wb_host constraint clean up
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index f79a7cf..1763ca2 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/def/wb_host.def.gz b/def/wb_host.def.gz
index a00bce9..25d6184 100644
--- a/def/wb_host.def.gz
+++ b/def/wb_host.def.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 308fd75..5b1bf4c 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index 8b9dcf2..ce54ee3 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 14f201b..8b09c14 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index 3488e1d..2fd1c6b 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 0649a43..e1fcb94 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/mag/wb_host.mag.gz b/mag/wb_host.mag.gz
index feb2ad7..492487c 100644
--- a/mag/wb_host.mag.gz
+++ b/mag/wb_host.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index 7564c58..f055733 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/wb_host.mag.gz b/maglef/wb_host.mag.gz
index bbd2d2c..d17b589 100644
--- a/maglef/wb_host.mag.gz
+++ b/maglef/wb_host.mag.gz
Binary files differ
diff --git a/openlane/wb_host/base.sdc b/openlane/wb_host/base.sdc
index 1d56895..a5bdf25 100644
--- a/openlane/wb_host/base.sdc
+++ b/openlane/wb_host/base.sdc
@@ -7,26 +7,25 @@
# Timing Constraints
###############################################################################
create_clock -name wbm_clk_i -period 10.0000 [get_ports {wbm_clk_i}]
-create_clock -name mem_clk -period 10.0000 [get_ports {mem_clk}]
-
-set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -hold 0.1500
-set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -setup 0.2000
-set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -hold 0.1500
-set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -setup 0.2000
-set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -hold 0.1500
-set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -setup 0.2000
-set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -hold 0.1500
-set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -setup 0.2000
-set_clock_uncertainty -rise_from [get_clocks {mem_clk}] -rise_to [get_clocks {mem_clk}] -hold 0.1500
-set_clock_uncertainty -rise_from [get_clocks {mem_clk}] -rise_to [get_clocks {mem_clk}] -setup 0.2000
-set_clock_uncertainty -rise_from [get_clocks {mem_clk}] -fall_to [get_clocks {mem_clk}] -hold 0.1500
-set_clock_uncertainty -rise_from [get_clocks {mem_clk}] -fall_to [get_clocks {mem_clk}] -setup 0.2000
-set_clock_uncertainty -fall_from [get_clocks {mem_clk}] -rise_to [get_clocks {mem_clk}] -hold 0.1500
-set_clock_uncertainty -fall_from [get_clocks {mem_clk}] -rise_to [get_clocks {mem_clk}] -setup 0.2000
-set_clock_uncertainty -fall_from [get_clocks {mem_clk}] -fall_to [get_clocks {mem_clk}] -hold 0.1500
-set_clock_uncertainty -fall_from [get_clocks {mem_clk}] -fall_to [get_clocks {mem_clk}] -setup 0.2000
+create_clock -name wbs_clk_i -period 10.0000 [get_ports {wbs_clk_i}]
+set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -hold 0.2000
+set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -setup 0.4000
+set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -hold 0.2000
+set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -setup 0.4000
+set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -hold 0.2000
+set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -setup 0.4000
+set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -hold 0.2000
+set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -setup 0.4000
+set_clock_uncertainty -rise_from [get_clocks {wbs_clk_i}] -rise_to [get_clocks {wbs_clk_i}] -hold 0.2000
+set_clock_uncertainty -rise_from [get_clocks {wbs_clk_i}] -rise_to [get_clocks {wbs_clk_i}] -setup 0.4000
+set_clock_uncertainty -rise_from [get_clocks {wbs_clk_i}] -fall_to [get_clocks {wbs_clk_i}] -hold 0.2000
+set_clock_uncertainty -rise_from [get_clocks {wbs_clk_i}] -fall_to [get_clocks {wbs_clk_i}] -setup 0.4000
+set_clock_uncertainty -fall_from [get_clocks {wbs_clk_i}] -rise_to [get_clocks {wbs_clk_i}] -hold 0.2000
+set_clock_uncertainty -fall_from [get_clocks {wbs_clk_i}] -rise_to [get_clocks {wbs_clk_i}] -setup 0.4000
+set_clock_uncertainty -fall_from [get_clocks {wbs_clk_i}] -fall_to [get_clocks {wbs_clk_i}] -hold 0.2000
+set_clock_uncertainty -fall_from [get_clocks {wbs_clk_i}] -fall_to [get_clocks {wbs_clk_i}] -setup 0.4000
set_clock_groups -name async_clock -asynchronous \
- -group [get_clocks {mem_clk}]\
+ -group [get_clocks {wbs_clk_i}]\
-group [get_clocks {wbm_clk_i}] -comment {Async Clock group}
set_case_analysis 0 [get_ports {cfg_cska_wh[0]}]
@@ -67,611 +66,42 @@
set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_rst_i}]
set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_stb_i}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_ack_o}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[0]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[10]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[11]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[12]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[13]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[14]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[15]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[16]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[17]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[18]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[19]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[1]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[20]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[21]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[22]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[23]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[24]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[25]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[26]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[27]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[28]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[29]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[2]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[30]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[31]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[3]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[4]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[5]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[6]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[7]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[8]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[9]}]
-set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_err_o}]
+set_output_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbm_ack_o}]
+set_output_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbm_dat_o[*]}]
+set_output_delay -max 5.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbm_err_o}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_ack_o}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[0]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[10]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[11]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[12]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[13]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[14]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[15]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[16]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[17]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[18]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[19]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[1]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[20]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[21]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[22]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[23]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[24]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[25]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[26]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[27]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[28]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[29]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[2]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[30]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[31]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[3]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[4]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[5]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[6]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[7]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[8]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[9]}]
-set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_err_o}]
+set_output_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbm_ack_o}]
+set_output_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbm_dat_o[*]}]
+set_output_delay -min 1.0000 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {wbm_err_o}]
+# WBS I/F
+set_input_delay -max 6.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_ack_i}]
+set_input_delay -max 6.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_dat_i[*]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[8]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[7]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[6]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[5]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[4]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[3]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[2]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[1]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[0]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[8]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[7]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[6]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[5]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[4]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[3]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[2]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[1]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[0]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[31]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[30]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[29]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[28]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[27]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[26]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[25]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[24]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[23]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[22]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[21]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[20]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[19]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[18]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[17]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[16]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[15]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[14]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[13]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[12]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[11]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[10]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[9]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[8]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[7]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[6]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[5]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[4]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[3]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[2]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[1]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[0]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[3]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[2]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[1]}]
-set_output_delay -max 4 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[0]}]
+set_input_delay -min 2.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_ack_i}]
+set_input_delay -min 2.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_dat_i[*]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[8]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[7]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[6]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[5]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[4]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[3]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[2]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[1]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_a[0]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[8]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[7]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[6]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[5]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[4]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[3]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[2]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[1]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_addr_b[0]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[31]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[30]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[29]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[28]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[27]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[26]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[25]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[24]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[23]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[22]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[21]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[20]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[19]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[18]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[17]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[16]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[15]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[14]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[13]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[12]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[11]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[10]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[9]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[8]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[7]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[6]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[5]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[4]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[3]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[2]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[1]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_din_b[0]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[3]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[2]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[1]}]
-set_output_delay -min -1.0 -clock [get_clocks {wbm_clk_i}] -add_delay [get_ports {func_mask_b[0]}]
+set_output_delay -max 4.5000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_adr_o[*]}]
+set_output_delay -max 4.5000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_cyc_o}]
+set_output_delay -max 4.5000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_dat_o[*]}]
+set_output_delay -max 4.5000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_sel_o[*]}]
+set_output_delay -max 4.5000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_stb_o}]
+set_output_delay -max 4.5000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_we_o}]
+
+set_output_delay -min 1.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_adr_o[*]}]
+set_output_delay -min 1.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_cyc_o}]
+set_output_delay -min 1.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_dat_o[*]}]
+set_output_delay -min 1.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_sel_o[*]}]
+set_output_delay -min 1.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_stb_o}]
+set_output_delay -min 1.0000 -clock [get_clocks {wbs_clk_i}] -add_delay [get_ports {wbs_we_o}]
###############################################################################
# Environment
###############################################################################
-set_load -pin_load 0.0334 [get_ports {bist_clk}]
-set_load -pin_load 0.0334 [get_ports {bist_en}]
-set_load -pin_load 0.0334 [get_ports {bist_load}]
-set_load -pin_load 0.0334 [get_ports {bist_rst_n}]
-set_load -pin_load 0.0334 [get_ports {bist_run}]
-set_load -pin_load 0.0334 [get_ports {bist_sdi}]
-set_load -pin_load 0.0334 [get_ports {bist_shift}]
-set_load -pin_load 0.0334 [get_ports {func_cen_a}]
-set_load -pin_load 0.0334 [get_ports {func_cen_b}]
-set_load -pin_load 0.0334 [get_ports {func_clk_a}]
-set_load -pin_load 0.0334 [get_ports {func_clk_b}]
-set_load -pin_load 0.0334 [get_ports {func_web_b}]
-set_load -pin_load 0.0334 [get_ports {mem_clk_out}]
-set_load -pin_load 0.0334 [get_ports {wbd_int_rst_n}]
-set_load -pin_load 0.0334 [get_ports {wbm_ack_o}]
-set_load -pin_load 0.0334 [get_ports {wbm_err_o}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[8]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[7]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[6]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[5]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[4]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[3]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[2]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[1]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_a[0]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[8]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[7]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[6]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[5]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[4]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[3]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[2]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[1]}]
-set_load -pin_load 0.0334 [get_ports {func_addr_b[0]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[31]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[30]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[29]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[28]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[27]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[26]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[25]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[24]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[23]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[22]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[21]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[20]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[19]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[18]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[17]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[16]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[15]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[14]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[13]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[12]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[11]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[10]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[9]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[8]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[7]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[6]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[5]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[4]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[3]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[2]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[1]}]
-set_load -pin_load 0.0334 [get_ports {func_din_b[0]}]
-set_load -pin_load 0.0334 [get_ports {func_mask_b[3]}]
-set_load -pin_load 0.0334 [get_ports {func_mask_b[2]}]
-set_load -pin_load 0.0334 [get_ports {func_mask_b[1]}]
-set_load -pin_load 0.0334 [get_ports {func_mask_b[0]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[37]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[36]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[35]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[34]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[33]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[32]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[31]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[30]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[29]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[28]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[27]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[26]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[25]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[24]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[23]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[22]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[21]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[20]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[19]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[18]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[17]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[16]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[15]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[14]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[13]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[12]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[11]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[10]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[9]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[8]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[7]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[6]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[5]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[4]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[3]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[2]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[1]}]
-set_load -pin_load 0.0334 [get_ports {io_oeb[0]}]
-set_load -pin_load 0.0334 [get_ports {io_out[37]}]
-set_load -pin_load 0.0334 [get_ports {io_out[36]}]
-set_load -pin_load 0.0334 [get_ports {io_out[35]}]
-set_load -pin_load 0.0334 [get_ports {io_out[34]}]
-set_load -pin_load 0.0334 [get_ports {io_out[33]}]
-set_load -pin_load 0.0334 [get_ports {io_out[32]}]
-set_load -pin_load 0.0334 [get_ports {io_out[31]}]
-set_load -pin_load 0.0334 [get_ports {io_out[30]}]
-set_load -pin_load 0.0334 [get_ports {io_out[29]}]
-set_load -pin_load 0.0334 [get_ports {io_out[28]}]
-set_load -pin_load 0.0334 [get_ports {io_out[27]}]
-set_load -pin_load 0.0334 [get_ports {io_out[26]}]
-set_load -pin_load 0.0334 [get_ports {io_out[25]}]
-set_load -pin_load 0.0334 [get_ports {io_out[24]}]
-set_load -pin_load 0.0334 [get_ports {io_out[23]}]
-set_load -pin_load 0.0334 [get_ports {io_out[22]}]
-set_load -pin_load 0.0334 [get_ports {io_out[21]}]
-set_load -pin_load 0.0334 [get_ports {io_out[20]}]
-set_load -pin_load 0.0334 [get_ports {io_out[19]}]
-set_load -pin_load 0.0334 [get_ports {io_out[18]}]
-set_load -pin_load 0.0334 [get_ports {io_out[17]}]
-set_load -pin_load 0.0334 [get_ports {io_out[16]}]
-set_load -pin_load 0.0334 [get_ports {io_out[15]}]
-set_load -pin_load 0.0334 [get_ports {io_out[14]}]
-set_load -pin_load 0.0334 [get_ports {io_out[13]}]
-set_load -pin_load 0.0334 [get_ports {io_out[12]}]
-set_load -pin_load 0.0334 [get_ports {io_out[11]}]
-set_load -pin_load 0.0334 [get_ports {io_out[10]}]
-set_load -pin_load 0.0334 [get_ports {io_out[9]}]
-set_load -pin_load 0.0334 [get_ports {io_out[8]}]
-set_load -pin_load 0.0334 [get_ports {io_out[7]}]
-set_load -pin_load 0.0334 [get_ports {io_out[6]}]
-set_load -pin_load 0.0334 [get_ports {io_out[5]}]
-set_load -pin_load 0.0334 [get_ports {io_out[4]}]
-set_load -pin_load 0.0334 [get_ports {io_out[3]}]
-set_load -pin_load 0.0334 [get_ports {io_out[2]}]
-set_load -pin_load 0.0334 [get_ports {io_out[1]}]
-set_load -pin_load 0.0334 [get_ports {io_out[0]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[127]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[126]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[125]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[124]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[123]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[122]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[121]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[120]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[119]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[118]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[117]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[116]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[115]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[114]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[113]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[112]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[111]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[110]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[109]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[108]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[107]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[106]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[105]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[104]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[103]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[102]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[101]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[100]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[99]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[98]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[97]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[96]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[95]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[94]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[93]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[92]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[91]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[90]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[89]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[88]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[87]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[86]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[85]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[84]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[83]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[82]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[81]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[80]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[79]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[78]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[77]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[76]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[75]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[74]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[73]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[72]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[71]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[70]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[69]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[68]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[67]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[66]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[65]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[64]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[63]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[62]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[61]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[60]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[59]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[58]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[57]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[56]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[55]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[54]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[53]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[52]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[51]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[50]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[49]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[48]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[47]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[46]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[45]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[44]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[43]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[42]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[41]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[40]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[39]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[38]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[37]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[36]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[35]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[34]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[33]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[32]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[31]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[30]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[29]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[28]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[27]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[26]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[25]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[24]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[23]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[22]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[21]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[20]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[19]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[18]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[17]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[16]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[15]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[14]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[13]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[12]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[11]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[10]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[9]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[8]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[7]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[6]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[5]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[4]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[3]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[2]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[1]}]
-set_load -pin_load 0.0334 [get_ports {la_data_out[0]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[31]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[30]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[29]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[28]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[27]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[26]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[25]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[24]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[23]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[22]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[21]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[20]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[19]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[18]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[17]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[16]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[15]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[14]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[13]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[12]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[11]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[10]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[9]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[8]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[7]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[6]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[5]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[4]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[3]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[2]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[1]}]
-set_load -pin_load 0.0334 [get_ports {wbm_dat_o[0]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {bist_correct}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {bist_done}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {bist_error}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {bist_sdo}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mem_clk}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock1}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock2}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_clk_i}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_cyc_i}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_rst_i}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_stb_i}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_we_i}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {bist_error_cnt[3]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {bist_error_cnt[2]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {bist_error_cnt[1]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {bist_error_cnt[0]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[31]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[30]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[29]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[28]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[27]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[26]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[25]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[24]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[23]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[22]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[21]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[20]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[19]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[18]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[17]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[16]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[15]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[14]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[13]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[12]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[11]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[10]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[9]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[8]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[7]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[6]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[5]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[4]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[3]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[2]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[1]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {func_dout_a[0]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[31]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[30]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[29]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[28]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[27]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[26]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[25]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[24]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[23]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[22]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[21]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[20]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[19]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[18]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[17]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[16]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[15]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[14]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[13]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[12]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[11]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[10]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[9]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[8]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[7]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[6]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[5]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[4]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[3]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[2]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[1]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_adr_i[0]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[31]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[30]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[29]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[28]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[27]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[26]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[25]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[24]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[23]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[22]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[21]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[20]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[19]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[18]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[17]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[16]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[15]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[14]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[13]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[12]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[11]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[10]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[9]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[8]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[7]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[6]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[5]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[4]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[3]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[2]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[1]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_dat_i[0]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_sel_i[3]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_sel_i[2]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_sel_i[1]}]
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbm_sel_i[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
+set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
+puts "\[INFO\]: Setting load to: $cap_load"
+set_load $cap_load [all_outputs]
+
###############################################################################
# Design Rules
###############################################################################
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index bd81758..5893fdb 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h30m6s,-1,3.697073474470735,10.2784,1.8485367372353676,-1,521.65,19,0,0,0,0,0,0,-1,0,0,-1,-1,1251333,10523,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.05,3.5,2.86,0.06,0.0,-1,267,2558,267,2558,0,0,0,19,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h30m39s,-1,3.697073474470735,10.2784,1.8485367372353676,-1,521.75,19,0,0,0,0,0,0,-1,0,0,-1,-1,1251316,10541,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.05,3.49,2.86,0.06,0.0,-1,267,2558,267,2558,0,0,0,19,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_host/final_summary_report.csv b/signoff/wb_host/final_summary_report.csv
index 9cd2bbf..8d17a88 100644
--- a/signoff/wb_host/final_summary_report.csv
+++ b/signoff/wb_host/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h4m25s,-1,45283.33333333334,0.12,22641.66666666667,26.77,586.19,2717,0,0,0,0,0,0,0,0,0,0,-1,139380,23095,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,110369956.0,4.18,31.74,22.49,3.07,0.06,-1,1168,3355,661,2846,0,0,0,1304,0,0,0,0,0,0,0,4,709,844,13,204,1560,0,1764,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.3,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h5m14s,-1,45283.33333333334,0.12,22641.66666666667,26.77,583.79,2717,0,0,0,0,0,0,0,3,0,0,-1,140432,23133,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,111504811.0,4.18,32.37,22.44,2.78,0.0,-1,1168,3355,661,2846,0,0,0,1304,0,0,0,0,0,0,0,4,709,844,13,204,1560,0,1764,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.3,0.0,sky130_fd_sc_hd,4,4
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index a589d02..9187067 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index 0198cbc..042871c 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/verilog/gl/wb_host.v b/verilog/gl/wb_host.v
index 6d64cf8..48dfb68 100644
--- a/verilog/gl/wb_host.v
+++ b/verilog/gl/wb_host.v
@@ -73,8 +73,7 @@
output [31:0] wbs_dat_o;
output [3:0] wbs_sel_o;
- wire net173;
- wire net183;
+ wire net174;
wire net184;
wire net185;
wire net186;
@@ -84,8 +83,8 @@
wire net190;
wire net191;
wire net192;
- wire net174;
wire net193;
+ wire net175;
wire net194;
wire net195;
wire net196;
@@ -95,8 +94,8 @@
wire net200;
wire net201;
wire net202;
- wire net175;
wire net203;
+ wire net176;
wire net204;
wire net205;
wire net206;
@@ -104,15 +103,15 @@
wire net208;
wire net209;
wire net210;
- wire net176;
+ wire net211;
wire net177;
wire net178;
wire net179;
wire net180;
wire net181;
wire net182;
- wire net211;
- wire net221;
+ wire net183;
+ wire net212;
wire net222;
wire net223;
wire net224;
@@ -122,8 +121,8 @@
wire net228;
wire net229;
wire net230;
- wire net212;
wire net231;
+ wire net213;
wire net232;
wire net233;
wire net234;
@@ -133,8 +132,8 @@
wire net238;
wire net239;
wire net240;
- wire net213;
wire net241;
+ wire net214;
wire net242;
wire net243;
wire net244;
@@ -142,15 +141,15 @@
wire net246;
wire net247;
wire net248;
- wire net214;
+ wire net249;
wire net215;
wire net216;
wire net217;
wire net218;
wire net219;
wire net220;
- wire net249;
- wire net349;
+ wire net221;
+ wire net250;
wire net350;
wire net351;
wire net352;
@@ -160,8 +159,8 @@
wire net356;
wire net357;
wire net358;
- wire net259;
wire net359;
+ wire net260;
wire net360;
wire net361;
wire net362;
@@ -171,8 +170,8 @@
wire net366;
wire net367;
wire net368;
- wire net260;
wire net369;
+ wire net261;
wire net370;
wire net371;
wire net372;
@@ -180,7 +179,7 @@
wire net374;
wire net375;
wire net376;
- wire net261;
+ wire net377;
wire net262;
wire net263;
wire net264;
@@ -188,8 +187,8 @@
wire net266;
wire net267;
wire net268;
- wire net250;
wire net269;
+ wire net251;
wire net270;
wire net271;
wire net272;
@@ -199,8 +198,8 @@
wire net276;
wire net277;
wire net278;
- wire net251;
wire net279;
+ wire net252;
wire net280;
wire net281;
wire net282;
@@ -210,8 +209,8 @@
wire net286;
wire net287;
wire net288;
- wire net252;
wire net289;
+ wire net253;
wire net290;
wire net291;
wire net292;
@@ -221,8 +220,8 @@
wire net296;
wire net297;
wire net298;
- wire net253;
wire net299;
+ wire net254;
wire net300;
wire net301;
wire net302;
@@ -232,8 +231,8 @@
wire net306;
wire net307;
wire net308;
- wire net254;
wire net309;
+ wire net255;
wire net310;
wire net311;
wire net312;
@@ -243,8 +242,8 @@
wire net316;
wire net317;
wire net318;
- wire net255;
wire net319;
+ wire net256;
wire net320;
wire net321;
wire net322;
@@ -254,8 +253,8 @@
wire net326;
wire net327;
wire net328;
- wire net256;
wire net329;
+ wire net257;
wire net330;
wire net331;
wire net332;
@@ -265,8 +264,8 @@
wire net336;
wire net337;
wire net338;
- wire net257;
wire net339;
+ wire net258;
wire net340;
wire net341;
wire net342;
@@ -276,14 +275,15 @@
wire net346;
wire net347;
wire net348;
- wire net258;
- wire net377;
+ wire net349;
+ wire net259;
wire net378;
wire net379;
wire net380;
wire net381;
wire net382;
wire net383;
+ wire net384;
wire _0000_;
wire _0001_;
wire _0002_;
@@ -2030,6 +2030,9 @@
wire clknet_leaf_58_wbm_clk_i;
wire clknet_leaf_59_wbm_clk_i;
wire clknet_leaf_5_wbm_clk_i;
+ wire clknet_leaf_60_wbm_clk_i;
+ wire clknet_leaf_61_wbm_clk_i;
+ wire clknet_leaf_62_wbm_clk_i;
wire clknet_leaf_6_wbm_clk_i;
wire clknet_leaf_7_wbm_clk_i;
wire clknet_leaf_8_wbm_clk_i;
@@ -2116,6 +2119,7 @@
wire net170;
wire net171;
wire net172;
+ wire net173;
wire net18;
wire net19;
wire net2;
@@ -2139,7 +2143,6 @@
wire net36;
wire net37;
wire net38;
- wire net384;
wire net385;
wire net386;
wire net387;
@@ -2152,9 +2155,25 @@
wire net393;
wire net394;
wire net395;
+ wire net396;
+ wire net397;
+ wire net398;
+ wire net399;
wire net4;
wire net40;
+ wire net400;
+ wire net401;
+ wire net402;
+ wire net403;
+ wire net404;
+ wire net405;
+ wire net406;
+ wire net407;
+ wire net408;
+ wire net409;
wire net41;
+ wire net410;
+ wire net411;
wire net42;
wire net43;
wire net44;
@@ -2946,7 +2965,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1671__A (.DIODE(net390),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1671__A (.DIODE(net392),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1672__A (.DIODE(net391),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2966,7 +2990,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1692__A (.DIODE(_0366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1691__B1 (.DIODE(_0880_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -2981,7 +3005,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1699__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1699__A (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3006,7 +3030,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1707__A (.DIODE(net388),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1707__A (.DIODE(_0864_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3021,6 +3045,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1714__A2 (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1717__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -3036,17 +3065,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1724__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1726__A_N (.DIODE(_0901_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1734__B (.DIODE(_0901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1724__A1 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3061,7 +3080,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1740__A (.DIODE(_0890_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1740__A (.DIODE(net406),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3071,31 +3090,36 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1745__A (.DIODE(_0916_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1746__B1 (.DIODE(_0917_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1749__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1761__A2 (.DIODE(_0926_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1761__A3 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1762__A2 (.DIODE(_0926_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1763__A (.DIODE(_0890_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1766__B1 (.DIODE(_0926_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1782__A (.DIODE(_0890_),
.VGND(vssd1),
.VNB(vssd1),
@@ -3111,6 +3135,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1804__A (.DIODE(net391),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1805__A (.DIODE(net390),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1806__A (.DIODE(_0952_),
.VGND(vssd1),
.VNB(vssd1),
@@ -3141,7 +3175,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1868__A (.DIODE(_0951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1868__A (.DIODE(net390),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1869__A (.DIODE(_0983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3156,6 +3195,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1884__A (.DIODE(_0983_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1887__A (.DIODE(_0976_),
.VGND(vssd1),
.VNB(vssd1),
@@ -3166,6 +3210,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__1899__A (.DIODE(_0983_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1902__A (.DIODE(_0976_),
.VGND(vssd1),
.VNB(vssd1),
@@ -3176,7 +3225,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1913__A1 (.DIODE(\reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1914__A (.DIODE(_0983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3216,11 +3265,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1935__A (.DIODE(_1022_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1936__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -3251,7 +3295,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1943__A (.DIODE(_0951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1943__A (.DIODE(net390),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3266,11 +3310,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1951__A (.DIODE(_1022_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1952__B1 (.DIODE(wbm_dat_i[4]),
.VGND(vssd1),
.VNB(vssd1),
@@ -3286,12 +3325,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1962__B1 (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1962__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1966__A (.DIODE(_1022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__1962__B1 (.DIODE(wbm_dat_i[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3321,11 +3360,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1981__A (.DIODE(_1022_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__1982__B1 (.DIODE(wbm_dat_i[12]),
.VGND(vssd1),
.VNB(vssd1),
@@ -3376,7 +3410,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2006__A (.DIODE(_0951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2006__A (.DIODE(net390),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3441,11 +3475,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2033__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2033__B1 (.DIODE(wbm_dat_i[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2036__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2036__B1 (.DIODE(wbm_dat_i[26]),
.VGND(vssd1),
.VNB(vssd1),
@@ -3531,12 +3575,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2070__A (.DIODE(_1088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2069__A (.DIODE(net391),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2071__A (.DIODE(_1089_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2071__A (.DIODE(net398),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3551,22 +3595,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2079__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2079__B1 (.DIODE(wbm_dat_i[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2082__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2082__B1 (.DIODE(wbm_dat_i[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2085__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2085__B1 (.DIODE(wbm_dat_i[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2086__A (.DIODE(_1089_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2086__A (.DIODE(net398),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2091__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3576,11 +3640,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2094__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2094__B1 (.DIODE(wbm_dat_i[8]),
.VGND(vssd1),
.VNB(vssd1),
@@ -3601,12 +3660,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2101__A (.DIODE(_1089_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2106__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2101__A (.DIODE(net398),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -3626,6 +3680,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2112__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2112__B1 (.DIODE(wbm_dat_i[13]),
.VGND(vssd1),
.VNB(vssd1),
@@ -3641,11 +3700,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2116__A (.DIODE(_1089_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2120__A (.DIODE(_1113_),
.VGND(vssd1),
.VNB(vssd1),
@@ -3676,11 +3730,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2133__A (.DIODE(_1088_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2134__A (.DIODE(_1120_),
.VGND(vssd1),
.VNB(vssd1),
@@ -3736,6 +3785,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2154__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2154__B1 (.DIODE(wbm_dat_i[23]),
.VGND(vssd1),
.VNB(vssd1),
@@ -3841,11 +3895,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2193__A (.DIODE(_1088_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2197__A1 (.DIODE(\u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -3916,11 +3965,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2229__A (.DIODE(_1166_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2231__A (.DIODE(wbm_we_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2235__A (.DIODE(_1166_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2237__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -3931,11 +3990,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2238__A (.DIODE(_1166_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2240__B1 (.DIODE(wbm_dat_i[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2241__A (.DIODE(_1166_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2243__B1 (.DIODE(wbm_dat_i[29]),
.VGND(vssd1),
.VNB(vssd1),
@@ -3991,11 +4060,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2259__A (.DIODE(net395),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2260__A (.DIODE(_1181_),
.VGND(vssd1),
.VNB(vssd1),
@@ -4141,6 +4205,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2322__A (.DIODE(net391),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2323__A (.DIODE(_1212_),
.VGND(vssd1),
.VNB(vssd1),
@@ -4221,6 +4290,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2379__A (.DIODE(_1239_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2388__A (.DIODE(_1239_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2397__A (.DIODE(_1239_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2406__A (.DIODE(_1239_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2415__A (.DIODE(_1212_),
.VGND(vssd1),
.VNB(vssd1),
@@ -4251,37 +4340,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2453__A (.DIODE(_1281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2453__A (.DIODE(net395),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2462__A (.DIODE(_1281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2462__A (.DIODE(net395),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2471__A (.DIODE(_1281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2480__A (.DIODE(net395),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2480__A (.DIODE(_1281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2489__A (.DIODE(net386),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2489__A (.DIODE(net385),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2498__A (.DIODE(net386),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2498__A (.DIODE(net385),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2506__A (.DIODE(_1311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2507__A (.DIODE(_1312_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4291,11 +4375,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2509__A (.DIODE(_1311_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2511__A (.DIODE(_1316_),
.VGND(vssd1),
.VNB(vssd1),
@@ -4391,42 +4470,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2532__A2 (.DIODE(_1322_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2532__B1 (.DIODE(wbm_adr_i[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2533__A2 (.DIODE(_1322_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2533__B1 (.DIODE(wbm_adr_i[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2534__A2 (.DIODE(_1322_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2534__B1 (.DIODE(wbm_adr_i[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2535__A2 (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2535__B1 (.DIODE(wbm_adr_i[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2535__B1 (.DIODE(wbm_adr_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2536__A (.DIODE(_1312_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4521,11 +4585,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2558__A (.DIODE(_1334_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2559__B1 (.DIODE(wbm_dat_i[31]),
.VGND(vssd1),
.VNB(vssd1),
@@ -4546,12 +4605,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2564__A (.DIODE(_1336_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2565__A (.DIODE(_1334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2563__A (.DIODE(_1312_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4576,16 +4630,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2570__A (.DIODE(_1336_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2571__A (.DIODE(_1334_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2572__B1 (.DIODE(wbm_dat_i[23]),
.VGND(vssd1),
.VNB(vssd1),
@@ -4606,16 +4650,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2576__A (.DIODE(_1336_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2577__A (.DIODE(_1334_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2578__B1 (.DIODE(wbm_dat_i[19]),
.VGND(vssd1),
.VNB(vssd1),
@@ -4636,11 +4670,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2582__A (.DIODE(_1336_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2583__A (.DIODE(_1323_),
.VGND(vssd1),
.VNB(vssd1),
@@ -4651,26 +4680,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2585__A2 (.DIODE(_1343_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2585__B1 (.DIODE(wbm_dat_i[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2586__A2 (.DIODE(_1343_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2586__B1 (.DIODE(wbm_dat_i[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2587__A2 (.DIODE(_1343_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2587__B1 (.DIODE(wbm_dat_i[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2588__A2 (.DIODE(_1343_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2588__B1 (.DIODE(wbm_dat_i[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2589__A (.DIODE(_1312_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2590__A (.DIODE(_1346_),
.VGND(vssd1),
.VNB(vssd1),
@@ -4791,17 +4845,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2615__A (.DIODE(_1355_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2617__A (.DIODE(_1357_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2618__A (.DIODE(_1355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2616__A (.DIODE(_1356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4831,11 +4875,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2625__A (.DIODE(_1357_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2626__A (.DIODE(_1360_),
.VGND(vssd1),
.VNB(vssd1),
@@ -4861,11 +4900,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2631__A (.DIODE(_1357_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2632__A (.DIODE(_1360_),
.VGND(vssd1),
.VNB(vssd1),
@@ -4891,52 +4925,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2637__A (.DIODE(_1357_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2639__A (.DIODE(_1367_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2641__A2 (.DIODE(_1366_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2641__B1 (.DIODE(wbm_adr_i[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2642__A2 (.DIODE(_1366_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2642__B1 (.DIODE(wbm_adr_i[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2643__A2 (.DIODE(_1366_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2643__B1 (.DIODE(wbm_adr_i[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2644__A2 (.DIODE(_1366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2644__B1 (.DIODE(wbm_adr_i[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2644__B1 (.DIODE(wbm_adr_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2645__A (.DIODE(_1356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5051,7 +5065,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2673__A (.DIODE(_1380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2672__A (.DIODE(_1356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5076,11 +5090,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2679__A (.DIODE(_1380_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2681__B1 (.DIODE(wbm_dat_i[23]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5101,11 +5110,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2685__A (.DIODE(_1380_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2687__B1 (.DIODE(wbm_dat_i[19]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5126,11 +5130,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2691__A (.DIODE(_1380_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2692__A (.DIODE(_1367_),
.VGND(vssd1),
.VNB(vssd1),
@@ -5161,6 +5160,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2698__A (.DIODE(_1356_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2699__A (.DIODE(_1390_),
.VGND(vssd1),
.VNB(vssd1),
@@ -5286,12 +5290,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2725__A (.DIODE(_1400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2726__B1 (.DIODE(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2726__B1 (.DIODE(\u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2726__B2 (.DIODE(_0880_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5301,11 +5305,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2727__B2 (.DIODE(_0880_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2728__B1 (.DIODE(\u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2728__B2 (.DIODE(_0880_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2729__A (.DIODE(_0879_),
.VGND(vssd1),
.VNB(vssd1),
@@ -5316,11 +5330,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2731__A (.DIODE(_1400_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2732__B1 (.DIODE(\u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -5336,6 +5345,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2736__A (.DIODE(_1404_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2737__A (.DIODE(_1405_),
.VGND(vssd1),
.VNB(vssd1),
@@ -5346,11 +5360,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2739__A (.DIODE(_1400_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2740__B1 (.DIODE(wbm_adr_i[19]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5381,11 +5390,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2745__A (.DIODE(_1400_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2746__B1 (.DIODE(wbm_adr_i[15]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5476,6 +5480,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2762__A (.DIODE(_1404_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2763__A (.DIODE(_1415_),
.VGND(vssd1),
.VNB(vssd1),
@@ -5641,6 +5650,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2790__A (.DIODE(_1425_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2791__B1 (.DIODE(wbm_dat_i[20]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5666,6 +5680,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2796__A (.DIODE(_1425_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2797__B1 (.DIODE(wbm_dat_i[16]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5691,6 +5710,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2802__A (.DIODE(_1425_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2803__B1 (.DIODE(wbm_dat_i[12]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5716,12 +5740,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2810__B1 (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2809__A (.DIODE(_1425_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2810__B2 (.DIODE(_1433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2810__B1 (.DIODE(wbm_dat_i[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5736,27 +5760,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2812__B2 (.DIODE(_1433_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2813__B1 (.DIODE(wbm_dat_i[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2813__B2 (.DIODE(_1433_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2814__B1 (.DIODE(wbm_dat_i[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2814__B2 (.DIODE(_1433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2815__A (.DIODE(_1404_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -5786,6 +5800,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2821__A (.DIODE(_1404_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2822__B1 (.DIODE(wbm_dat_i[0]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5906,11 +5925,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2855__A (.DIODE(_1453_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2856__B1 (.DIODE(wbm_adr_i[15]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5931,11 +5945,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2861__A (.DIODE(_1453_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2862__B1 (.DIODE(wbm_adr_i[11]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5956,11 +5965,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2867__A (.DIODE(_1453_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2868__B1 (.DIODE(wbm_adr_i[7]),
.VGND(vssd1),
.VNB(vssd1),
@@ -5981,11 +5985,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2873__A (.DIODE(_1453_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2874__B1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6111,11 +6110,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2907__A (.DIODE(_1473_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2908__B1 (.DIODE(wbm_dat_i[16]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6141,11 +6135,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2913__A (.DIODE(_1473_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2914__B1 (.DIODE(wbm_dat_i[12]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6171,11 +6160,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2919__A (.DIODE(_1473_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2920__B1 (.DIODE(wbm_dat_i[8]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6201,11 +6185,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2925__A (.DIODE(_1473_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2926__B1 (.DIODE(wbm_dat_i[4]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6256,11 +6235,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2936__A1 (.DIODE(\u_async_wb.u_cmd_if.mem[0][0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2936__B1 (.DIODE(wbm_sel_i[0]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6341,16 +6315,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2960__A (.DIODE(_1493_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2962__A (.DIODE(_1495_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2963__B1 (.DIODE(wbs_dat_i[20]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6371,16 +6335,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2967__A (.DIODE(_1493_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2968__A (.DIODE(_1495_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2969__B1 (.DIODE(wbs_dat_i[16]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6401,16 +6355,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2973__A (.DIODE(_1493_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2974__A (.DIODE(_1495_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2975__B1 (.DIODE(wbs_dat_i[12]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6431,16 +6375,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2979__A (.DIODE(_1493_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2980__A (.DIODE(_1495_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2981__B1 (.DIODE(wbs_dat_i[8]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6471,41 +6405,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2987__B2 (.DIODE(_1504_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2988__B1 (.DIODE(wbs_dat_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2988__B2 (.DIODE(_1504_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2989__B1 (.DIODE(wbs_dat_i[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2989__B2 (.DIODE(_1504_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2990__B1 (.DIODE(wbs_dat_i[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2990__B2 (.DIODE(_1504_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2991__B1 (.DIODE(wbs_dat_i[0]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6516,11 +6430,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2994__A (.DIODE(_0917_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__2995__B1 (.DIODE(wbs_err_i),
.VGND(vssd1),
.VNB(vssd1),
@@ -6541,11 +6450,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3000__A (.DIODE(_0917_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3001__B1 (.DIODE(wbs_dat_i[28]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6566,16 +6470,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3006__A (.DIODE(_0916_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3007__A (.DIODE(_1511_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3008__B1 (.DIODE(wbs_dat_i[24]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6601,16 +6495,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3013__A (.DIODE(_1513_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3014__A (.DIODE(_1511_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3015__B1 (.DIODE(wbs_dat_i[20]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6631,16 +6515,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3019__A (.DIODE(_1513_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3020__A (.DIODE(_1511_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3021__B1 (.DIODE(wbs_dat_i[16]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6661,16 +6535,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3025__A (.DIODE(_1513_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3026__A (.DIODE(_1511_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3027__B1 (.DIODE(wbs_dat_i[12]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6691,16 +6555,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3031__A (.DIODE(_1513_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3032__A (.DIODE(_0916_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3033__B1 (.DIODE(wbs_dat_i[8]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6726,11 +6580,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3038__A (.DIODE(_0916_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3039__B1 (.DIODE(wbs_dat_i[4]),
.VGND(vssd1),
.VNB(vssd1),
@@ -6756,11 +6605,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3043__B2 (.DIODE(_0917_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3044__B1 (.DIODE(\u_async_wb.m_resp_rd_data[32] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -6776,12 +6620,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3056__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3060__A (.DIODE(_0901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3056__A2 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6791,12 +6630,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3093__B (.DIODE(_0023_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3095__B (.DIODE(_0024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3063__B (.DIODE(_0147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6806,32 +6640,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3099__B (.DIODE(_0025_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3101__B (.DIODE(_0026_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3103__A (.DIODE(_1532_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3104__A (.DIODE(_1556_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3106__A (.DIODE(_1558_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3107__B (.DIODE(_0028_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3109__B (.DIODE(_0029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3108__A (.DIODE(_1559_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6841,17 +6665,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3111__B (.DIODE(_0030_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3112__A (.DIODE(_1561_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3114__B (.DIODE(_0031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3113__A (.DIODE(_1556_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6891,6 +6710,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3122__A (.DIODE(_1556_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3123__B (.DIODE(_0035_),
.VGND(vssd1),
.VNB(vssd1),
@@ -6901,11 +6725,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3125__B (.DIODE(_0036_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3126__A (.DIODE(_1569_),
.VGND(vssd1),
.VNB(vssd1),
@@ -6931,6 +6750,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3131__A (.DIODE(_1556_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3132__B (.DIODE(_0039_),
.VGND(vssd1),
.VNB(vssd1),
@@ -6941,31 +6765,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3135__B (.DIODE(_0040_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3136__A (.DIODE(_1574_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3137__B (.DIODE(_0041_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3138__A (.DIODE(_1575_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3139__B (.DIODE(_0042_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3140__A (.DIODE(_1576_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7046,6 +6855,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3176__D (.DIODE(_0926_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3178__B (.DIODE(_0057_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7061,32 +6875,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3184__A_N (.DIODE(_1601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3183__A (.DIODE(_1600_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3186__A_N (.DIODE(_1601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3184__B (.DIODE(_0059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3188__A_N (.DIODE(_1601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3186__B (.DIODE(_0060_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3189__A (.DIODE(_1604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3188__B (.DIODE(_0061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3190__A_N (.DIODE(_1601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3190__B (.DIODE(_0062_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3191__A (.DIODE(_1605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3192__A (.DIODE(_1600_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7096,27 +6910,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3194__A (.DIODE(_1607_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3195__B (.DIODE(net68),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3197__A (.DIODE(_1608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3196__B (.DIODE(_0064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3201__A (.DIODE(_1609_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3200__B (.DIODE(_0065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3203__A (.DIODE(_1610_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3202__B (.DIODE(_0066_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3204__A (.DIODE(_1600_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3205__B (.DIODE(_0067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7126,7 +6945,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3208__A (.DIODE(_1613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3207__B (.DIODE(_0068_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3209__B (.DIODE(_0069_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7136,22 +6960,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3211__B (.DIODE(_0070_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3212__A (.DIODE(_1615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3216__A (.DIODE(_1617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3214__A (.DIODE(_1600_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3219__A (.DIODE(_1618_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3215__B (.DIODE(_0071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3221__A (.DIODE(_1619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3218__B (.DIODE(_0072_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3220__B (.DIODE(_0073_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7161,11 +6995,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3223__A (.DIODE(_1620_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3225__A (.DIODE(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7336,6 +7165,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3261__A3 (.DIODE(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3262__A2 (.DIODE(wbm_adr_i[2]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7351,6 +7185,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3263__A3 (.DIODE(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3264__A2 (.DIODE(wbm_adr_i[2]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7366,6 +7205,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3265__A3 (.DIODE(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3266__A2 (.DIODE(wbm_adr_i[2]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7376,12 +7220,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3266__B1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3267__A1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3271__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3267__A3 (.DIODE(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7391,11 +7240,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3272__A3 (.DIODE(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3273__A1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7421,16 +7265,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3275__A3 (.DIODE(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3277__A (.DIODE(_1647_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3278__A1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7446,6 +7280,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3279__A3 (.DIODE(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3280__A1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7461,11 +7300,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3283__A (.DIODE(_1647_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3284__A1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7506,11 +7340,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3289__A (.DIODE(_1647_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3290__A1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7546,6 +7375,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3293__A3 (.DIODE(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3293__B1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7576,11 +7410,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3297__B1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3298__A1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3298__B1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3299__A1 (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
@@ -7676,7 +7520,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3528__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3524__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3525__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3526__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3527__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7686,21 +7545,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3531__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3532__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3533__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3534__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3543__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3549__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7731,6 +7595,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3559__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3561__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7781,6 +7650,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3577__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3578__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3579__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7866,6 +7745,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3593__A0 (.DIODE(_0096_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3593__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7886,6 +7770,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3595__A0 (.DIODE(_0098_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3595__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7896,6 +7785,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3596__A0 (.DIODE(_0099_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3596__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -8021,26 +7915,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3610__A0 (.DIODE(_0113_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3610__S (.DIODE(_0087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3611__A0 (.DIODE(_0114_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3611__S (.DIODE(_0087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3612__A0 (.DIODE(_0115_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3612__S (.DIODE(_0087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3613__A0 (.DIODE(_0116_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3613__S (.DIODE(_0087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3614__A0 (.DIODE(_0117_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3614__S (.DIODE(_0087_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8056,61 +7975,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3616__A0 (.DIODE(_0119_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3616__S (.DIODE(_0087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3617__A0 (.DIODE(\u_async_wb.m_resp_rd_data[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3617__A1 (.DIODE(\reg_rdata[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3617__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3618__A0 (.DIODE(\u_async_wb.m_resp_rd_data[1] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3618__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3619__A0 (.DIODE(\u_async_wb.m_resp_rd_data[2] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3619__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3620__A0 (.DIODE(\u_async_wb.m_resp_rd_data[3] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3620__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3621__A0 (.DIODE(\u_async_wb.m_resp_rd_data[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3621__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3622__A0 (.DIODE(\u_async_wb.m_resp_rd_data[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3622__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8126,21 +8035,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3625__A0 (.DIODE(\u_async_wb.m_resp_rd_data[8] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3625__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3626__A0 (.DIODE(\u_async_wb.m_resp_rd_data[9] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3626__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8166,21 +8065,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3630__A0 (.DIODE(\u_async_wb.m_resp_rd_data[13] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3630__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3631__A0 (.DIODE(\u_async_wb.m_resp_rd_data[14] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3631__S (.DIODE(_0139_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8526,22 +8415,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3697__A0 (.DIODE(\u_async_wb.u_cmd_if.mem[0][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3697__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3697__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3697__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3697__S1 (.DIODE(net170),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3698__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3698__S0 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8551,7 +8435,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3699__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3699__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8561,12 +8445,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3700__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3700__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3700__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3700__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8581,12 +8465,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3702__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3702__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3702__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3702__S1 (.DIODE(net170),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8621,12 +8505,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3706__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3706__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3706__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3706__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8641,17 +8525,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3708__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3708__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3708__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3708__S1 (.DIODE(net170),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3709__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3709__S0 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8661,7 +8545,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3710__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3710__S0 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8671,7 +8555,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3711__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3711__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8681,12 +8565,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3712__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3712__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3712__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3712__S1 (.DIODE(net170),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8701,22 +8585,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3714__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3714__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3714__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3715__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3715__S0 (.DIODE(net172),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3715__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3715__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8731,17 +8610,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3717__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3717__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3717__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3717__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3718__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3718__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8751,7 +8630,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3719__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3719__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8761,7 +8640,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3720__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3720__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8771,17 +8650,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3721__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3721__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3721__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3721__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3722__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3722__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8791,27 +8670,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3723__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3723__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3723__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3723__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3724__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3724__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3724__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3724__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3725__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3725__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8831,22 +8710,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3727__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3727__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3727__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3727__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3728__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3728__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3728__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3728__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8856,122 +8735,122 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3729__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3729__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3730__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3730__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3730__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3730__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3731__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3731__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3731__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3731__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3732__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3732__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3732__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3732__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3733__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3733__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3733__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3733__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3734__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3734__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3734__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3734__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3735__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3735__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3735__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3735__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3736__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3736__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3736__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3736__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3737__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3737__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3737__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3737__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3738__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3738__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3738__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3738__S1 (.DIODE(net170),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3739__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3739__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3739__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3739__S1 (.DIODE(net170),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3740__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3740__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3740__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3740__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3741__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3741__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8981,37 +8860,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3742__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3742__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3742__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3742__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3743__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3743__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3743__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3743__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3744__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3744__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3744__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3744__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3745__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3745__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9021,7 +8900,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3746__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3746__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9031,7 +8910,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3747__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3747__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9041,12 +8920,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3748__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3748__S0 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3748__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3748__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9061,17 +8940,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3750__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3750__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3750__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3750__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3751__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3751__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9081,17 +8960,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3752__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3752__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3752__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3752__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3753__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3753__S0 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9101,7 +8980,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3754__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3754__S0 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9111,7 +8990,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3755__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3755__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9121,27 +9000,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3756__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3756__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3756__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3756__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3757__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3757__S0 (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3757__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3757__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3758__S0 (.DIODE(net171),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3758__S0 (.DIODE(net172),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9151,12 +9030,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3759__S0 (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3759__S0 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3759__S1 (.DIODE(net170),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3759__S1 (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9171,7 +9050,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3761__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3761__S0 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9181,6 +9060,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3762__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3763__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3764__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3765__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3766__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9201,6 +9100,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3770__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__3771__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[9] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9216,72 +9120,187 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3796__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3775__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3798__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3776__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3802__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3776__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3804__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3795__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3805__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3804__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3806__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3805__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3807__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3806__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3829__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3807__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3831__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3828__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3835__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3833__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3836__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3834__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3837__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3835__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3838__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3836__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3840__CLK (.DIODE(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3837__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3838__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3839__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3840__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3842__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3843__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3847__CLK (.DIODE(clknet_3_2_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3849__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3850__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3851__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3852__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3880__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3881__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3883__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3946__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3947__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3948__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3951__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3958__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4011__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4076__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4077__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4078__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4124__D (.DIODE(\wbm_dat_o1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9291,11 +9310,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4127__D (.DIODE(\wbm_dat_o1[5] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__4128__D (.DIODE(\wbm_dat_o1[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9306,12 +9320,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4136__D (.DIODE(\wbm_dat_o1[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4131__D (.DIODE(\wbm_dat_o1[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4162__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4133__D (.DIODE(\wbm_dat_o1[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4135__D (.DIODE(\wbm_dat_o1[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4138__D (.DIODE(\wbm_dat_o1[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4139__D (.DIODE(\wbm_dat_o1[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4151__D (.DIODE(\wbm_dat_o1[29] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4155__D (.DIODE(wbm_err_o1),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4162__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9331,12 +9375,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4166__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4166__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4167__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4167__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9351,7 +9395,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4170__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4170__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9361,7 +9405,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4178__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4173__D (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9371,42 +9415,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4226__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4226__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4227__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4227__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4331__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4331__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4332__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4333__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4334__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4335__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4336__CLK (.DIODE(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4332__CLK (.DIODE(clknet_3_5_0_wbs_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9431,21 +9455,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_0_0_wbs_clk_i_A (.DIODE(clknet_0_wbs_clk_i),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_1_0_wbm_clk_i_A (.DIODE(clknet_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_1_0_wbs_clk_i_A (.DIODE(clknet_0_wbs_clk_i),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_2_0_0_wbm_clk_i_A (.DIODE(clknet_1_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
@@ -9466,12 +9480,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_0_0_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_1_0_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_2_0_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_3_0_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_6_0_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_7_0_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_0_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_10_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_10_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9496,22 +9540,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9536,12 +9580,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9561,27 +9605,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_30_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_30_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9601,47 +9645,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_36_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_36_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_39_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_39_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9656,22 +9700,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9681,117 +9725,122 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_53_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_53_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_6_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_60_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_9_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_6_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold10_A (.DIODE(_0951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold12_A (.DIODE(_1088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold2_A (.DIODE(net388),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_9_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold4_A (.DIODE(_0366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold1_A (.DIODE(_0366_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold5_A (.DIODE(_0864_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold22_A (.DIODE(_0890_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold7_A (.DIODE(wbm_rst_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold23_A (.DIODE(_0864_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold8_A (.DIODE(wbm_rst_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9811,11 +9860,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output12_A (.DIODE(net12),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_output131_A (.DIODE(net131),
.VGND(vssd1),
.VNB(vssd1),
@@ -9841,11 +9885,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output17_A (.DIODE(net17),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_output18_A (.DIODE(net18),
.VGND(vssd1),
.VNB(vssd1),
@@ -9881,16 +9920,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output26_A (.DIODE(net26),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output51_A (.DIODE(net51),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_output52_A (.DIODE(net52),
.VGND(vssd1),
.VNB(vssd1),
@@ -9926,16 +9955,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output81_A (.DIODE(net81),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output82_A (.DIODE(net82),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_output83_A (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
@@ -9971,37 +9990,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output90_A (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer25_A (.DIODE(net173),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output92_A (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer26_A (.DIODE(net173),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output93_A (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater170_A (.DIODE(net171),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output9_A (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater171_A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater170_A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater172_A (.DIODE(net173),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater171_A (.DIODE(net172),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater173_A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater172_A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_split24_A (.DIODE(net173),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_split27_A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10121,15 +10145,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_101 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_107 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_106 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10145,6 +10161,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_13 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_0_132 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10189,11 +10209,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_210 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_222 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10201,11 +10221,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10225,11 +10245,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_270 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10237,15 +10261,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_293 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10369,11 +10393,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_513 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_519 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10381,7 +10405,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_531 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10401,15 +10425,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_573 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_579 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_582 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10421,6 +10445,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_61 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_0_613 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10429,10 +10457,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_62 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_0_629 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10445,11 +10469,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_657 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_0_669 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10461,15 +10489,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_69 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_0_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10489,11 +10513,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10509,10 +10533,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_78 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_0_781 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10545,6 +10565,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_83 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_836 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10553,23 +10577,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_100 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_94 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_102 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10577,7 +10593,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10589,35 +10605,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_152 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_165 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_172 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_178 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_181 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_100_187 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10625,19 +10649,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_201 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_208 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_215 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_219 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_100_222 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_230 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10645,35 +10685,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_246 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_289 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_29 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10685,135 +10721,143 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_389 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_445 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_457 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_464 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_501 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_513 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_525 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_531 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_537 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_571 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_58 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_583 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_587 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10821,55 +10865,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_601 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_604 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_626 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_637 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_657 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_669 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_667 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_68 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_681 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10881,27 +10917,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_704 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_716 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_728 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_740 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_752 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10909,10 +10945,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_100_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10925,6 +10957,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_8 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_100_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10953,75 +10989,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_100 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_124 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_132 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_162 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_171 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_177 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_189 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11029,19 +11053,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_202 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_208 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_207 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_214 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11049,19 +11069,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_231 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_24 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_101_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11069,15 +11093,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11089,6 +11113,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_3 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_305 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11121,19 +11149,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_39 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_389 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11141,6 +11165,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_40 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11169,10 +11197,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_47 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11181,6 +11205,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_101_48 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11193,10 +11221,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_51 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_517 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11205,19 +11229,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_544 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_55 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_556 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11237,31 +11257,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_601 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_613 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_619 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_627 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_630 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_642 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11277,10 +11297,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_67 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11289,6 +11305,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_69 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11313,10 +11333,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_75 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_753 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11329,6 +11345,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_101_77 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_781 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11337,11 +11357,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_797 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11369,111 +11389,107 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_102 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_114 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_154 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_176 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_221 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_242 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_250 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_303 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11485,91 +11501,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_378 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_398 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_404 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_412 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_429 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_433 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_44 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_445 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_449 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_457 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11577,99 +11569,115 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_495 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_507 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_523 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_527 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_531 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_536 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_569 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_576 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_601 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_613 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_628 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_657 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_663 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_669 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_672 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11677,23 +11685,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_701 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_713 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_725 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_737 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_74 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_749 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_755 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11701,10 +11721,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_10_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11745,15 +11761,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11761,55 +11777,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_116 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_128 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_131 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_142 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_217 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11821,15 +11837,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_244 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_247 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_253 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_262 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11837,51 +11865,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_294 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_308 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_339 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_35 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_351 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_363 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_375 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_11_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11889,143 +11937,135 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_414 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_457 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_497 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_517 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_522 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_546 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_570 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_582 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_586 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_607 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_626 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_632 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_644 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_646 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_658 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_666 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12033,7 +12073,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_680 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_692 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12041,6 +12089,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_71 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_11_716 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12065,6 +12117,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_78 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_11_783 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12081,10 +12137,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_82 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_821 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12105,7 +12157,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12113,7 +12165,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12121,27 +12173,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_144 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_155 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_162 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12157,7 +12197,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12165,163 +12205,135 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_274 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_286 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_319 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_412 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_445 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_498 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_508 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_514 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_468 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_477 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_489 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_501 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_506 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_51 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_519 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_526 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12329,87 +12341,79 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_544 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_555 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_567 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_579 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_600 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_608 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_629 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_637 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_652 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_658 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_662 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_686 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_698 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_677 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_690 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12421,6 +12425,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_72 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_12_725 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12433,10 +12441,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_75 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_12_755 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12485,11 +12489,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_92 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12501,27 +12501,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_130 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_159 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12529,23 +12533,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_198 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_20 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_210 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_212 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12557,63 +12549,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_242 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_298 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_30 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_310 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_311 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_317 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_320 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12625,71 +12609,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_350 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_374 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_410 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_422 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_446 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12697,10 +12681,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_481 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_13_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12713,27 +12693,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_509 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_519 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_531 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_542 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_546 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_556 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12741,75 +12721,87 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_570 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_582 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_593 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_599 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_605 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_626 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_632 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_644 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_648 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_651 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_662 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_670 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_677 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_689 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_7 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_701 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_71 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_713 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12845,7 +12837,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12857,6 +12849,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_82 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_821 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12877,7 +12873,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12885,111 +12881,111 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_171 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_199 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_210 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_221 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_230 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_244 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12997,47 +12993,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_394 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_404 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_393 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_410 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13045,75 +13037,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_429 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_470 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_490 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_502 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_565 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_572 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_545 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_557 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_563 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_580 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13121,63 +13101,59 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_599 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_623 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_629 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_635 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_651 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_662 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_674 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_686 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_698 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_69 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13193,6 +13169,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_73 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_14_737 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13221,11 +13201,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_81 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13249,39 +13229,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_98 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_106 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_115 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_127 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_151 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_157 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_15_165 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13289,83 +13277,95 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_204 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_212 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_230 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_242 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_254 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_266 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_278 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_309 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_312 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_323 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_15_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13373,39 +13373,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_353 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_368 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_372 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_375 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_379 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13413,27 +13397,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_396 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_408 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_414 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_429 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_43 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13441,139 +13433,147 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_492 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_524 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_542 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_554 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_571 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_592 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_609 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_620 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_628 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_638 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_650 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_662 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_670 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_696 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_708 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_72 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_720 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13629,99 +13629,123 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_84 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_15_841 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_116 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_151 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_158 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_213 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_216 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_253 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_267 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_279 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_287 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_292 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_300 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13729,7 +13753,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13737,83 +13761,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_338 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_352 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_374 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_387 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_412 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_429 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_435 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_421 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_435 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_441 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_45 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_450 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_459 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_471 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_468 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13821,55 +13817,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_498 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_524 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_543 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_557 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_569 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_58 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_557 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_564 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_568 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_572 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_581 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13877,67 +13857,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_602 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_613 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_627 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_648 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_680 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_684 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_688 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_656 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_664 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_671 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_683 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_695 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13961,10 +13921,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_75 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_16_755 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13973,6 +13929,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_76 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_16_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14001,10 +13961,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_83 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_831 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14013,19 +13969,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_91 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_102 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_108 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_134 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_142 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14033,247 +13997,235 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_190 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_196 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_199 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_206 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_212 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_246 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_266 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_271 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_404 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_446 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_452 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_492 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_498 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_517 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_525 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_538 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_551 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_581 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_605 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_619 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_631 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_654 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_661 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_629 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_633 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_657 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14289,7 +14241,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14297,6 +14249,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_72 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_17_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14317,10 +14273,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_17_765 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14329,6 +14281,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_78 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_17_783 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14365,23 +14321,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_123 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14389,11 +14349,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_141 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14401,55 +14357,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_173 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_201 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_204 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_217 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_230 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_241 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_247 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14457,19 +14421,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_263 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_265 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14477,191 +14437,187 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_296 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_312 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_326 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_342 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_378 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_384 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_392 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_435 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_465 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_490 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_524 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_573 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_585 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_599 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_606 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_623 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_636 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_648 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_660 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_664 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_677 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_689 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_69 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_690 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14673,6 +14629,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_18_72 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_18_725 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14733,31 +14693,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_115 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_127 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14765,31 +14725,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_156 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_201 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_207 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_213 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14797,119 +14765,99 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_241 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_288 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_305 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_328 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_346 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_378 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_375 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_381 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_389 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_397 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_40 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14917,151 +14865,159 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_445 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_498 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_517 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_521 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_529 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_542 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_565 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_569 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_581 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_593 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_605 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_609 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_629 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_641 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_657 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_665 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_678 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_685 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_691 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_703 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_715 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_19_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15085,10 +15041,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_77 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_19_777 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15129,15 +15081,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_98 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_103 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15145,10 +15093,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_12 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15161,15 +15105,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_154 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_157 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15177,23 +15117,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_203 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_206 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15201,163 +15137,187 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_297 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_362 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_396 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_418 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_426 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_432 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_446 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_457 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_493 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_499 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_1_50 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_503 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_505 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_1_513 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_535 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15373,27 +15333,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_569 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_575 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_581 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_602 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_614 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15405,39 +15365,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_639 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_653 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_660 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_685 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_7 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_709 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_1_721 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_727 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15445,11 +15417,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15493,7 +15465,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_83 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15505,15 +15477,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_94 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_98 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_102 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_108 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_20_114 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15521,91 +15505,103 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_13 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_182 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_213 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_219 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_226 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_242 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_256 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_20_268 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_20_294 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_20_306 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_319 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15613,39 +15609,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_321 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_329 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_371 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15653,43 +15637,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_381 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_410 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_418 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_455 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15697,27 +15685,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_490 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_502 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_514 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_526 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15725,79 +15713,87 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_541 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_548 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_554 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_558 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_579 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_587 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_593 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_596 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_608 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_62 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_620 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_632 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_648 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_656 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_661 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_667 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_675 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_686 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_20_698 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15817,6 +15813,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_20_74 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_20_749 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15841,10 +15841,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_80 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_20_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15857,6 +15853,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_20_82 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_20_825 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15869,227 +15869,211 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_96 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_100 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_107 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_158 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_171 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_201 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_204 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_216 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_246 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_259 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_289 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_312 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_350 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_362 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_452 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_465 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_483 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_449 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_45 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_455 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_476 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16097,15 +16081,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_520 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_527 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16113,15 +16093,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_554 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_564 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16129,19 +16109,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_573 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_579 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_587 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_592 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_598 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_601 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16149,35 +16137,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_621 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_642 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_649 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_661 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_669 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_677 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_681 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16185,19 +16177,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_693 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_705 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_717 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_21_725 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16261,71 +16257,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_148 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_165 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_171 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_200 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_214 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_20 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16337,27 +16329,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_264 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16369,99 +16357,123 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_340 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_354 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_370 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_389 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_493 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_505 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_51 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_511 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_517 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_22_529 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_540 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_22_548 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16469,75 +16481,79 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_560 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_566 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_574 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_592 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_627 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_633 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_637 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_648 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_656 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_679 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_685 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_692 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_7 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_70 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16545,10 +16561,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_71 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_22_713 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16565,10 +16577,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_75 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_22_755 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16581,11 +16589,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_781 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16621,27 +16629,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_107 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_115 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16649,27 +16653,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_169 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_180 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_23_192 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_198 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16677,135 +16693,131 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_210 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_216 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_263 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_283 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_299 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_306 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_374 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_414 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_420 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_432 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_440 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16813,11 +16825,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_456 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_23_462 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16825,131 +16845,115 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_501 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_509 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_522 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_556 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_564 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_571 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_577 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_592 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_652 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_658 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_670 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_679 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_686 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_690 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_694 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_706 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_718 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_697 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_709 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_72 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_721 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_726 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16969,11 +16973,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_777 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17013,27 +17017,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_100 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_112 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_119 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_13 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_124 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17041,7 +17037,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_148 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17049,19 +17049,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_178 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_184 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_24_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17069,23 +17073,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_202 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_213 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_207 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17093,155 +17093,163 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_241 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_257 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_286 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_297 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_346 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_352 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_387 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_408 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_439 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_463 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_470 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_497 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_508 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_521 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_527 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17249,63 +17257,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_535 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_541 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_562 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_574 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_598 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_609 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_589 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_593 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_6 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_603 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_609 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_617 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17313,47 +17297,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_648 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_660 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_672 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_684 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_690 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_698 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_704 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_716 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_728 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_740 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_752 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17361,10 +17353,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_24_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17373,11 +17361,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_793 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17397,6 +17385,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_83 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_831 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17405,11 +17397,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_87 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_95 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_25_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17417,47 +17413,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_171 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_177 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_193 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17465,7 +17457,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_219 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17477,51 +17473,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_257 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_263 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_292 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17529,11 +17525,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17541,47 +17537,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_401 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_404 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_408 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_42 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_432 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_25_440 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17589,19 +17605,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_452 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_464 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_461 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_478 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17609,115 +17621,135 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_494 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_497 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_509 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_513 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_539 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_551 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_577 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_585 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_597 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_602 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_614 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_629 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_637 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_644 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_656 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_676 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_25_684 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_7 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_707 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_719 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_727 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17753,11 +17785,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_809 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17785,31 +17817,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_98 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_13 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_133 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17817,23 +17841,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_154 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_160 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_171 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_177 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_183 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_189 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17841,79 +17877,79 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_202 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_242 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_271 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_277 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_282 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_350 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17921,15 +17957,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_391 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17945,75 +17985,83 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_440 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_468 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_492 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_495 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_504 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_535 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_547 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_559 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_26_571 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_58 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18021,75 +18069,75 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_591 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_623 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_628 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_657 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_665 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_670 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_682 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_690 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_696 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_733 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_745 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_753 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18097,10 +18145,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_26_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18113,6 +18157,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_80 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_26_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18137,75 +18185,99 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_87 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_99 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_115 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_144 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_172 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_196 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_207 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_219 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_22 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_223 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_225 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_27_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18213,67 +18285,79 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_247 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_270 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_297 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_350 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_354 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_27_362 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_37 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18285,171 +18369,183 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_401 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_440 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_497 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_507 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_518 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_525 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_531 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_537 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_548 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_573 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_594 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_605 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_613 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_626 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_632 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_644 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_656 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_662 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_667 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_671 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_676 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_688 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_698 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_7 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_708 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_715 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_727 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18457,10 +18553,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_73 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_27_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18485,7 +18577,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18517,31 +18609,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_97 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_151 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18549,11 +18645,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_187 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18561,7 +18657,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18569,23 +18665,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_200 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_206 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_212 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18597,39 +18693,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_262 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_272 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_292 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_283 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_286 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_294 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_297 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_298 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18637,83 +18717,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_338 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_346 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_378 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_406 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_418 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_448 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_451 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_455 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_467 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18721,107 +18789,83 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_481 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_494 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_502 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_526 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_538 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_565 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_573 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_598 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_602 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_623 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_630 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_642 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_61 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_615 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_631 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_638 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_645 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_65 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18833,47 +18877,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_684 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_696 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_70 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_708 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_716 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_728 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_740 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_730 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_742 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_752 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18925,15 +18961,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18941,19 +18977,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_116 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_131 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -18961,191 +19001,163 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_160 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_177 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_216 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_242 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_257 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_284 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_330 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_371 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_389 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_426 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_446 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_470 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_452 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_458 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_462 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_465 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_471 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_480 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_487 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_492 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19153,23 +19165,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_521 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_55 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_29_552 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19181,39 +19197,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_591 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_598 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_604 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_620 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_632 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_644 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_29_65 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_652 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_29_665 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_671 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19221,19 +19253,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_685 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_691 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_694 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_702 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_713 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_29_725 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19241,6 +19281,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_73 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_29_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19249,6 +19293,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_76 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_29_765 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19273,10 +19321,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_82 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_821 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19297,23 +19341,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_87 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_91 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_99 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_105 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_118 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_124 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_2_130 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19321,15 +19377,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_175 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_179 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_186 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19337,11 +19401,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_205 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_229 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19349,23 +19421,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_274 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_297 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19373,27 +19441,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_336 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_357 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_363 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19401,39 +19461,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_392 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_415 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_462 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19441,111 +19497,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_497 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_504 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_554 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_562 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_583 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_587 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_614 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_62 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_638 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_666 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_678 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_690 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_698 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_608 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_630 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_637 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_643 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_645 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_653 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_67 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_676 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_683 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_695 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19569,6 +19585,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_2_75 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_755 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19589,10 +19609,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_80 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_2_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19613,6 +19629,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_83 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_831 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19621,67 +19641,83 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_87 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_131 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_155 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_173 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_200 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_211 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_222 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_228 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19689,39 +19725,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_257 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_270 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_282 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_290 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_286 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19729,87 +19757,91 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_312 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_342 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_398 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_452 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_460 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_465 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19817,67 +19849,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_496 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_501 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_518 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_524 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_554 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_566 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_578 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_583 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_586 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19889,59 +19917,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_613 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_621 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_631 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_655 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_666 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_678 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_683 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_691 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_696 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_711 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_723 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_735 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_30_747 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19965,11 +20001,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -19993,67 +20029,75 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_99 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_140 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_146 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_157 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_167 (.VGND(vssd1),
.VNB(vssd1),