uart master added + la[0] added as soft reset
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index e2bf36a..161ce4f 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/def/wb_host.def.gz b/def/wb_host.def.gz
index d8d35f4..7901ac5 100644
--- a/def/wb_host.def.gz
+++ b/def/wb_host.def.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index c68c48d..0f032d3 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index 718435b..d0e8ed7 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index fd4c211..65b2380 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index 6b90b22..d7ee763 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 0c12843..f7f3206 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/mag/wb_host.mag.gz b/mag/wb_host.mag.gz
index 8a63e5a..7e39714 100644
--- a/mag/wb_host.mag.gz
+++ b/mag/wb_host.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index 7e2f79d..5181161 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/wb_host.mag.gz b/maglef/wb_host.mag.gz
index 0fcfd9a..81b4e67 100644
--- a/maglef/wb_host.mag.gz
+++ b/maglef/wb_host.mag.gz
Binary files differ
diff --git a/openlane/wb_host/base.sdc b/openlane/wb_host/base.sdc
index 11f50eb..021f2ea 100644
--- a/openlane/wb_host/base.sdc
+++ b/openlane/wb_host/base.sdc
@@ -9,13 +9,11 @@
 create_clock -name wbm_clk_i -period 10.0000 [get_ports {wbm_clk_i}]
 create_clock -name wbs_clk_i -period 10.0000 [get_ports {wbs_clk_i}]
 create_clock -name lbist_clk -period 10.0000 [get_ports {lbist_clk_int}]
+create_clock -name uart_clk -period 100.0000 [get_pins {u_uart2wb.u_core.u_uart_clk.u_mux/X}]
 
-set_clock_uncertainty -from wbm_clk_i -to wbm_clk_i  -setup 0.250
-set_clock_uncertainty -from wbm_clk_i -to wbm_clk_i  -hold  0.250
-set_clock_uncertainty -from wbs_clk_i -to wbs_clk_i  -setup 0.250
-set_clock_uncertainty -from wbs_clk_i -to wbs_clk_i  -hold  0.250
-set_clock_uncertainty -from lbist_clk -to lbist_clk  -setup 0.250
-set_clock_uncertainty -from lbist_clk -to lbist_clk  -hold  0.250
+set_clock_transition 0.1500 [all_clocks]
+set_clock_uncertainty -setup 0.2500 [all_clocks]
+set_clock_uncertainty -hold 0.2500 [all_clocks]
 
 set ::env(SYNTH_TIMING_DERATE) 0.05
 puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
@@ -23,6 +21,7 @@
 set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
 
 set_clock_groups -name async_clock -asynchronous \
+ -group [get_clocks {uart_clk}]  \
  -group [get_clocks {wbs_clk_i}]\
  -group [get_clocks {wbm_clk_i}]\
  -group [get_clocks {lbist_clk}]\
diff --git a/openlane/wb_host/config.tcl b/openlane/wb_host/config.tcl
index a6be980..513ad02 100755
--- a/openlane/wb_host/config.tcl
+++ b/openlane/wb_host/config.tcl
@@ -26,7 +26,7 @@
 
 # Timing configuration
 set ::env(CLOCK_PERIOD) "10"
-set ::env(CLOCK_PORT) "wbm_clk_i wbs_clk_i lbist_clk_int"
+set ::env(CLOCK_PORT) "wbm_clk_i wbs_clk_i lbist_clk_int u_uart2wb.u_core.u_uart_clk.u_mux/X"
 
 set ::env(SYNTH_MAX_FANOUT) 4
 
@@ -48,7 +48,15 @@
      $script_dir/../../verilog/rtl/lib/reset_sync.sv      \
      $script_dir/../../verilog/rtl/lbist/src/lbist_top.sv \
      $script_dir/../../verilog/rtl/lbist/src/lbist_core.sv \
-     $script_dir/../../verilog/rtl/lbist/src/lbist_reg.sv"
+     $script_dir/../../verilog/rtl/lbist/src/lbist_reg.sv \
+     $script_dir/../../verilog/rtl/uart/src/uart_txfsm.sv \
+     $script_dir/../../verilog/rtl/uart/src/uart_rxfsm.sv \
+     $script_dir/../../verilog/rtl/lib/double_sync_low.v  \
+     $script_dir/../../verilog/rtl/lib/wb_arb.sv     \
+     $script_dir/../../verilog/rtl/uart2wb/src/uart2wb.sv \
+     $script_dir/../../verilog/rtl/uart2wb/src/uart2_core.sv \
+     $script_dir/../../verilog/rtl/uart2wb/src/uart_msg_handler.v \
+     "
 
 set ::env(SYNTH_DEFINES) [list SYNTHESIS ]
 set ::env(SYNTH_READ_BLACKBOX_LIB) 1
@@ -67,7 +75,7 @@
 set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
 
 set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 450 300"
+set ::env(DIE_AREA) "0 0 700 300"
 
 
 # If you're going to use multiple power domains, then keep this disabled.
diff --git a/openlane/wb_host/pin_order.cfg b/openlane/wb_host/pin_order.cfg
index 48b72c1..7e3832f 100644
--- a/openlane/wb_host/pin_order.cfg
+++ b/openlane/wb_host/pin_order.cfg
@@ -177,6 +177,7 @@
 io_oeb\[2\]
 io_oeb\[1\]
 io_oeb\[0\]
+io_in
 la_data_out\[127\]
 la_data_out\[126\]
 la_data_out\[125\]
@@ -306,18 +307,6 @@
 la_data_out\[1\]
 la_data_out\[0\]
 
-la_data_in\[11\]
-la_data_in\[10\]
-la_data_in\[9\]
-la_data_in\[8\]
-la_data_in\[7\]
-la_data_in\[6\]
-la_data_in\[5\]
-la_data_in\[4\]
-la_data_in\[3\]
-la_data_in\[2\]
-la_data_in\[1\]
-la_data_in\[0\]
 
 #S
 user_clock2         0000 0  4
@@ -438,6 +427,42 @@
 wbm_dat_o\[31\]  
 wbm_err_o        
 
+la_data_in\[35\]  0300 0 2
+la_data_in\[34\]
+la_data_in\[33\]
+la_data_in\[32\]
+la_data_in\[31\]
+la_data_in\[30\]
+la_data_in\[29\]
+la_data_in\[28\]
+la_data_in\[27\]
+la_data_in\[26\]
+la_data_in\[25\]
+la_data_in\[24\]
+la_data_in\[23\]
+la_data_in\[22\]
+la_data_in\[21\]
+la_data_in\[20\]
+la_data_in\[19\]
+la_data_in\[18\]
+la_data_in\[17\]
+la_data_in\[16\]
+la_data_in\[15\]
+la_data_in\[14\]
+la_data_in\[13\]
+la_data_in\[12\]
+la_data_in\[11\]
+la_data_in\[10\]
+la_data_in\[9\]
+la_data_in\[8\]
+la_data_in\[7\]
+la_data_in\[6\]
+la_data_in\[5\]
+la_data_in\[4\]
+la_data_in\[3\]
+la_data_in\[2\]
+la_data_in\[1\]
+la_data_in\[0\]
 
 #N
 wbs_stb_o        0000 0 2
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 6ac6522..15ae373 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h31m25s,-1,3.697073474470735,10.2784,1.8485367372353676,-1,534.01,19,0,0,0,0,0,0,-1,0,0,-1,-1,1376288,11058,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.05,3.73,3.44,0.05,0.04,-1,305,2683,305,2683,0,0,0,19,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h32m16s,-1,3.697073474470735,10.2784,1.8485367372353676,-1,533.55,19,0,0,0,0,0,0,-1,0,0,-1,-1,1393132,11351,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.06,3.83,3.5,0.06,0.03,-1,305,2683,305,2683,0,0,0,19,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_host/final_summary_report.csv b/signoff/wb_host/final_summary_report.csv
index 28df3bf..d9f28a8 100644
--- a/signoff/wb_host/final_summary_report.csv
+++ b/signoff/wb_host/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h8m9s,-1,67881.48148148147,0.135,33940.74074074074,39.61,640.16,4582,0,0,0,0,0,0,0,5,0,0,-1,228604,41422,0.0,-0.09,-1,-0.43,-1,0.0,-0.09,-1,-4.19,-1,168254398.0,0.0,47.04,30.79,6.99,0.27,-1,2650,5679,807,3826,0,0,0,2971,0,0,0,0,0,0,0,4,1069,1303,23,204,1716,0,1920,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h11m32s,-1,55371.42857142857,0.21,27685.714285714286,34.18,731.17,5814,0,0,0,0,0,0,0,4,0,0,-1,323290,58469,0.0,-0.35,-1,-0.47,-1,0.0,-73.92,-1,-5.32,-1,240809964.0,5.5,47.44,21.72,9.09,0.04,-1,4666,8730,1073,4985,0,0,0,5251,0,0,0,0,0,0,0,4,1449,1463,22,204,2756,0,2960,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
diff --git a/spef/user_project_wrapper.spef.gz b/spef/user_project_wrapper.spef.gz
index f3f4403..b6bd5ec 100644
--- a/spef/user_project_wrapper.spef.gz
+++ b/spef/user_project_wrapper.spef.gz
Binary files differ
diff --git a/spef/wb_host.spef.gz b/spef/wb_host.spef.gz
index e05f5a1..f9cfaa7 100644
--- a/spef/wb_host.spef.gz
+++ b/spef/wb_host.spef.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index 9e83745..513f5d5 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index 5aedebf..e33f94f 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/sta/sdc/caravel.sdc b/sta/sdc/caravel.sdc
index b6a0745..ab9cc67 100644
--- a/sta/sdc/caravel.sdc
+++ b/sta/sdc/caravel.sdc
@@ -16,6 +16,7 @@
 create_generated_clock -name wb_clk -add -source [get_ports {clock}] -master_clock [get_clocks clock] -divide_by 1 -comment {Wishbone User Clock} [get_pins mprj/wb_clk_i]
 create_clock -name wbs_clk_i -period 15.0000  [get_pins {mprj/u_wb_host/wbs_clk_out}]
 create_clock -name lbist_clk -period 15.0000 [get_pins {mprj/u_wb_host/u_lbist_clk_sel.u_mux/X}]
+create_clock -name uartm_clk   -period 100.0000 [get_pins {mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.u_mux/X}]
 
 ## Scan Mode
 set_case_analysis 0 [get_pins {mprj/u_wb_host/u_scan_buf.u_buf/X}]
@@ -93,6 +94,7 @@
  -group [get_clocks {user_clk2}]\
  -group [get_clocks {wbs_clk_i}]\
  -group [get_clocks {lbist_clk}]\
+ -group [get_clocks {uartm_clk}]\
  -comment {Async Clock group}
 
 ## INPUT/OUTPUT DELAYS
diff --git a/verilog/dv/Makefile b/verilog/dv/Makefile
index 087ff39..3efa444 100644
--- a/verilog/dv/Makefile
+++ b/verilog/dv/Makefile
@@ -19,7 +19,7 @@
 .SUFFIXES:
 .SILENT: clean all
 
-PATTERNS = wb_port user_mbist_test1 user_basic user_lbist
+PATTERNS = wb_port user_mbist_test1 user_basic user_lbist user_uart_master uart_master
 
 all:  ${PATTERNS}
 	for i in ${PATTERNS}; do \
diff --git a/verilog/dv/agents/uart_agent.v b/verilog/dv/agents/uart_agent.v
new file mode 100644
index 0000000..df4661b
--- /dev/null
+++ b/verilog/dv/agents/uart_agent.v
@@ -0,0 +1,528 @@
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText:  2021 , Dinesh Annayya
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Modified by Dinesh Annayya <dinesha@opencores.org>
+module uart_agent (
+	mclk,
+	txd,
+	rxd
+	);
+
+input	mclk;
+output	txd;
+
+input	rxd;
+
+event	uart_read_done, uart_write_done;
+event	error_detected,uart_parity_error, uart_stop_error1, uart_stop_error2;
+event 	uart_timeout_error;
+event	abort;
+
+reg [15:0] rx_count;
+reg [15:0] tx_count;
+reg [15:0] par_err_count;
+reg [15:0] stop_err1_cnt;
+reg [15:0] stop_err2_cnt;
+reg [15:0] timeout_err_cnt;
+reg [15:0] err_cnt;
+
+reg 	   txd, read, write;
+wire	   uart_rx_clk;
+reg	   uart_clk;
+reg	   stop_err_check;
+
+integer timeout_count;
+integer data_bit_number;
+reg [15:0] clk_count;
+reg        debug_mode;
+
+reg      error_ind; // 1 indicate error
+
+initial 
+begin
+	debug_mode = 1; // Keep in debug mode and enable display
+	txd = 1'b1;
+ 	uart_clk = 0;
+	clk_count = 0;
+	stop_err_check = 0;
+  error_ind = 0;
+end
+
+always @(posedge mclk)
+begin
+   if (clk_count == 'h0) begin
+      uart_clk <= ~uart_clk;
+      clk_count <= control_setup.divisor;	
+   end else begin
+      clk_count <= clk_count - 1;	
+   end
+end
+assign uart_rx_clk = uart_clk;
+
+always @(posedge mclk)
+begin
+	timeout_count = timeout_count + 1;
+	if (timeout_count == (control_setup.maxtime * 16))
+		-> abort;
+end
+
+always @uart_read_done
+    rx_count = rx_count + 1;
+
+always @uart_write_done
+    tx_count = tx_count + 1;
+
+always @uart_parity_error begin
+    error_ind = 1;
+    par_err_count = par_err_count + 1;
+end
+
+always @uart_stop_error1 begin
+    error_ind = 1;
+    stop_err1_cnt = stop_err1_cnt + 1;
+end
+
+always @uart_stop_error2 begin
+    error_ind = 1;
+    stop_err2_cnt = stop_err2_cnt + 1;
+end
+
+always @uart_timeout_error begin
+    error_ind = 1;
+    timeout_err_cnt = timeout_err_cnt + 1;
+end
+
+
+always @error_detected begin
+    error_ind = 1;
+    err_cnt = err_cnt + 1;
+end
+
+
+////////////////////////////////////////////////////////////////////////////////
+task uart_init;
+begin
+  read = 0;
+  write = 0;
+  tx_count = 0;
+  rx_count = 0;
+  stop_err_check = 0;
+  par_err_count = 0;
+  stop_err1_cnt = 0;
+  stop_err2_cnt = 0;
+  timeout_err_cnt = 0;
+  err_cnt = 0;
+
+end 
+endtask 
+
+
+////////////////////////////////////////////////////////////////////////////////
+task read_char_chk;
+input 	expected_data;
+
+integer i;
+reg	[7:0] expected_data;
+reg 	[7:0] data;
+reg	parity;
+
+begin
+	data <= 8'h0;
+	parity <= 1;
+	timeout_count = 0;
+
+fork	
+   begin : loop_1
+        @(abort)
+	if(debug_mode)
+         $display ("%m: >>>>> Exceed time limit, uart no responce.\n");
+         ->uart_timeout_error;
+         disable loop_2;
+   end
+
+   begin : loop_2
+
+// start cycle
+	@(negedge rxd) 
+	 disable loop_1;
+	 read <= 1;
+
+// data cycle
+	@(posedge uart_rx_clk);
+	 for (i = 0; i < data_bit_number; i = i + 1)
+	  begin
+	    @(posedge uart_rx_clk)
+	    data[i] <=  rxd;
+	    parity <= parity ^ rxd;
+	  end		
+
+// parity cycle
+	if(control_setup.parity_en)
+	begin
+          @(posedge uart_rx_clk);
+	  if ((control_setup.even_odd_parity && (rxd == parity)) ||
+	     (!control_setup.even_odd_parity && (rxd != parity)))
+	     begin
+		   $display ("%m: >>>>>  Parity Error");	
+ 		-> error_detected;
+		-> uart_parity_error;
+	     end
+	end
+
+// stop cycle 1
+        @(posedge uart_rx_clk);	
+	  if (!rxd)
+	     begin
+		$display ("%m: >>>>>  Stop signal 1 Error");	
+ 		-> error_detected;
+		-> uart_stop_error1;
+	     end
+
+// stop cycle 2
+	if (control_setup.stop_bit_number)
+	begin
+	      @(posedge uart_rx_clk);	// stop cycle 2
+		if (!rxd)
+		  begin
+		    $display ("%m: >>>>>  Stop signal 2 Error");	
+ 		    -> error_detected;
+		    -> uart_stop_error2;
+		  end
+	end
+
+
+	read <= 0;
+	-> uart_read_done;
+
+	if (expected_data != data)
+	begin
+		$display ("%m: Error! Data return is %h, expecting %h", data, expected_data);
+		-> error_detected;
+	end
+	else begin
+	        if(debug_mode)
+		  $display ("%m: Data match  %h", expected_data);
+	end
+
+	if(debug_mode)
+	   $display ("%m:... Read Data from UART done cnt :%d...",rx_count +1);
+   end
+join
+
+end
+
+endtask
+
+////////////////////////////////////////////////////////////////////////////////
+task read_char2;
+output [7:0]	rxd_data;
+output          timeout; // 1-> timeout
+integer i;
+reg	[7:0] rxd_data;
+reg 	[7:0] data;
+reg	parity;
+
+begin
+	data <= 8'h0;
+	parity <= 1;
+	timeout_count = 0;
+	timeout = 0;
+
+   fork	
+   begin 
+        @(abort)
+         //$display (">>>>>  Exceed time limit, uart no responce.\n");
+         //->uart_timeout_error;
+	  timeout = 1;
+   end
+
+   begin
+
+// start cycle
+	@(negedge rxd) 
+	 read <= 1;
+
+// data cycle
+	@(posedge uart_rx_clk );
+	 for (i = 0; i < data_bit_number; i = i + 1)
+	  begin
+	    @(posedge uart_rx_clk)
+	    data[i] <=  rxd;
+	    parity <= parity ^ rxd;
+	  end		
+
+// parity cycle
+	if(control_setup.parity_en)
+	begin
+          @(posedge uart_rx_clk);
+	  if ((control_setup.even_odd_parity && (rxd == parity)) ||
+	     (!control_setup.even_odd_parity && (rxd != parity)))
+	     begin
+		$display (">>>>>  Parity Error");	
+ 		-> error_detected;
+		-> uart_parity_error;
+	     end
+	end
+
+// stop cycle 1
+        @(posedge uart_rx_clk);	
+	  if (!rxd)
+	     begin
+		$display (">>>>>  Stop signal 1 Error");	
+ 		-> error_detected;
+		-> uart_stop_error1;
+	     end
+
+// stop cycle 2
+	if (control_setup.stop_bit_number)
+	begin
+	      @(posedge uart_rx_clk);	// stop cycle 2
+		if (!rxd)
+		  begin
+		    $display (">>>>>  Stop signal 2 Error");	
+ 		    -> error_detected;
+		    -> uart_stop_error2;
+		  end
+	end
+
+	read <= 0;
+	-> uart_read_done;
+
+//      $display ("(%m) Received Data  %c", data);
+//	$display ("... Read Data from UART done cnt :%d...",rx_count +1);
+        $write ("%c",data);
+	rxd_data = data;
+   end
+   join_any
+   disable fork; //disable pending fork activity
+
+end
+
+endtask
+
+
+////////////////////////////////////////////////////////////////////////////////
+task read_char;
+output [7:0]	rxd_data;
+output          timeout; // 1-> timeout
+
+reg	[7:0] rxd_data;
+
+
+integer i;
+reg	[7:0] expected_data;
+reg 	[7:0] data;
+reg	parity;
+
+begin
+	data <= 8'h0;
+	parity <= 1;
+	timeout_count = 0;
+	timeout = 0;
+
+
+fork	
+   begin : loop_1
+        @(abort)
+	 if(debug_mode)
+             $display ("%m: >>>>> Exceed time limit, uart no responce.\n");
+	 timeout = 1;
+         ->uart_timeout_error;
+         disable loop_2;
+   end
+
+   begin : loop_2
+
+// start cycle
+	@(negedge rxd) 
+	 disable loop_1;
+	 read <= 1;
+
+// data cycle
+	@(posedge uart_rx_clk);
+	 for (i = 0; i < data_bit_number; i = i + 1)
+	  begin
+	    @(posedge uart_rx_clk)
+	    data[i] <=  rxd;
+	    parity <= parity ^ rxd;
+	  end		
+
+// parity cycle
+	if(control_setup.parity_en)
+	begin
+          @(posedge uart_rx_clk);
+	  if ((control_setup.even_odd_parity && (rxd == parity)) ||
+	     (!control_setup.even_odd_parity && (rxd != parity)))
+	     begin
+		$display ("%m: >>>>>  Parity Error");	
+ 		-> error_detected;
+		-> uart_parity_error;
+	     end
+	end
+
+// stop cycle 1
+        @(posedge uart_rx_clk);	
+	  if (!rxd)
+	     begin
+		$display ("%m: >>>>>  Stop signal 1 Error");	
+ 		-> error_detected;
+		-> uart_stop_error1;
+	     end
+
+// stop cycle 2
+	if (control_setup.stop_bit_number)
+	begin
+	      @(posedge uart_rx_clk);	// stop cycle 2
+		if (!rxd)
+		  begin
+		    $display ("%m: >>>>>  Stop signal 2 Error");	
+ 		    -> error_detected;
+		    -> uart_stop_error2;
+		  end
+	end
+
+
+	read <= 0;
+	-> uart_read_done;
+
+	rxd_data = data;
+
+
+	if(debug_mode) begin
+	   $display ("%m: Received Data %h", rxd_data);
+	   $display ("%m:... Read Data from UART done cnt :%d...",rx_count +1);
+        end
+   end
+join
+
+end
+
+endtask
+
+////////////////////////////////////////////////////////////////////////////////
+task write_char;
+input [7:0] data;
+
+integer i;
+reg parity;	// 0: odd parity, 1: even parity
+
+begin
+	parity <=  #1 1;
+
+// start cycle
+	@(posedge uart_clk)
+	 begin
+		txd <= #1 0;
+		write <= #1 1;
+	 end
+
+// data cycle
+	begin
+	   for (i = 0; i < data_bit_number; i = i + 1)
+	   begin
+		@(posedge uart_clk)
+		    txd <= #1 data[i];
+		parity <= parity ^ data[i];
+	   end
+	end
+
+// parity cycle
+	if (control_setup.parity_en)
+	begin
+		@(posedge uart_clk)
+			txd <= #1 
+//				control_setup.stick_parity ? ~control_setup.even_odd_parity : 
+				control_setup.even_odd_parity ? !parity : parity;
+	end
+
+// stop cycle 1
+	@(posedge uart_clk)
+		txd <= #1 stop_err_check ? 0 : 1;
+
+// stop cycle 2
+	@(posedge uart_clk);
+		txd <= #1 1;
+	if (data_bit_number == 5)
+		@(negedge uart_clk);
+	else if (control_setup.stop_bit_number)
+		@(posedge uart_clk);
+
+	write <= #1 0;
+	if(debug_mode)
+	   $display ("%m:... Write data %h to UART done cnt : %d ...\n", data,tx_count+1);
+        else
+	   $write ("%c",data);
+	-> uart_write_done;
+end
+endtask
+
+
+////////////////////////////////////////////////////////////////////////////////
+task control_setup;
+input	  [1:0] data_bit_set;	
+input		stop_bit_number;
+input		parity_en;
+input		even_odd_parity;
+input		stick_parity;
+input	 [15:0] maxtime;
+input	 [15:0] divisor;
+
+begin
+        clk_count = divisor;	
+	data_bit_number = data_bit_set + 5;
+end
+endtask
+
+
+////////////////////////////////////////////////////////////////////////////////
+task report_status;
+output 	[15:0] rx_nu;
+output 	[15:0] tx_nu;
+begin
+	$display ("-------------------- UART Reporting Configuration --------------------");
+	$display ("	Data bit number setting is : %0d", data_bit_number);
+	$display ("	Stop bit number setting is : %0d", control_setup.stop_bit_number + 1);
+	$display ("	Divisor of Uart clock   is : %0d", control_setup.divisor);
+	if (control_setup.parity_en) 
+	$display ("	Parity is enable");
+	else
+	$display ("	Parity is disable");
+	
+	if (control_setup.even_odd_parity)
+	$display ("	Even parity setting");
+	else	
+	$display ("	Odd parity setting");
+
+
+	$display ("-----------------------------------------------------------------");
+
+	$display ("-------------------- Reporting Status --------------------\n");
+	$display ("	Number of character received is : %d", rx_count);
+	$display ("	Number of character sent     is : %d", tx_count);
+	$display ("	Number of parity error rxd   is : %d", par_err_count);
+	$display ("	Number of stop1  error rxd   is : %d", stop_err1_cnt);
+	$display ("	Number of stop2  error rxd   is : %d", stop_err2_cnt);
+	$display ("	Number of timeout error      is : %d", timeout_err_cnt);
+	$display ("	Number of error              is : %d", err_cnt);
+	$display ("-----------------------------------------------------------------");
+
+	rx_nu = rx_count;
+	tx_nu = tx_count;
+end
+endtask
+
+
+////////////////////////////////////////////////////////////////////////////////
+endmodule
diff --git a/verilog/dv/agents/uart_master_tasks.sv b/verilog/dv/agents/uart_master_tasks.sv
new file mode 100644
index 0000000..f0a1a7d
--- /dev/null
+++ b/verilog/dv/agents/uart_master_tasks.sv
@@ -0,0 +1,201 @@
+
+task uartm_reg_write;
+input [31:0] addr;
+input [31:0] data;
+reg [7:0] read_data;
+reg flag;
+begin
+   fork
+   begin : loop_1
+       tb_master_uart.write_char("w");
+       tb_master_uart.write_char("m");
+       tb_master_uart.write_char(" ");
+       tb_master_uart.write_char(hex2char(addr[31:28]));
+       tb_master_uart.write_char(hex2char(addr[27:24]));
+       tb_master_uart.write_char(hex2char(addr[23:20]));
+       tb_master_uart.write_char(hex2char(addr[19:16]));
+       tb_master_uart.write_char(hex2char(addr[15:12]));
+       tb_master_uart.write_char(hex2char(addr[11:8]));
+       tb_master_uart.write_char(hex2char(addr[7:4]));
+       tb_master_uart.write_char(hex2char(addr[3:0]));
+       tb_master_uart.write_char(" ");
+       tb_master_uart.write_char(hex2char(data[31:28]));
+       tb_master_uart.write_char(hex2char(data[27:24]));
+       tb_master_uart.write_char(hex2char(data[23:20]));
+       tb_master_uart.write_char(hex2char(data[19:16]));
+       tb_master_uart.write_char(hex2char(data[15:12]));
+       tb_master_uart.write_char(hex2char(data[11:8]));
+       tb_master_uart.write_char(hex2char(data[7:4]));
+       tb_master_uart.write_char(hex2char(data[3:0]));
+       tb_master_uart.write_char("\n");
+   end
+   begin : loop_2
+       // Wait for sucess command
+       flag = 0;
+       while(flag == 0)
+       begin
+          tb_master_uart.read_char2(read_data,flag);
+          //$write ("%c",read_data);
+       end
+   end
+   join
+end
+endtask
+
+task uartm_reg_read;
+input [31:0] addr;
+output [31:0] data;
+reg [7:0] read_data;
+reg flag;
+integer i;
+begin
+   fork
+   begin : loop_1
+      tb_master_uart.write_char("r");
+      tb_master_uart.write_char("m");
+      tb_master_uart.write_char(" ");
+      tb_master_uart.write_char(hex2char(addr[31:28]));
+      tb_master_uart.write_char(hex2char(addr[27:24]));
+      tb_master_uart.write_char(hex2char(addr[23:20]));
+      tb_master_uart.write_char(hex2char(addr[19:16]));
+      tb_master_uart.write_char(hex2char(addr[15:12]));
+      tb_master_uart.write_char(hex2char(addr[11:8]));
+      tb_master_uart.write_char(hex2char(addr[7:4]));
+      tb_master_uart.write_char(hex2char(addr[3:0]));
+      tb_master_uart.write_char("\n");
+   end
+   begin : loop_2
+      // Wait for sucess command
+      flag = 0;
+      i = 0;
+      while(flag == 0)
+      begin
+         tb_master_uart.read_char2(read_data,flag);
+         //$write ("%d:%c",i,read_data);
+           case (i)
+           8'd10 : data[31:28] = char2hex(read_data);
+           8'd11 : data[27:24] = char2hex(read_data);
+           8'd12 : data[23:20] = char2hex(read_data);
+           8'd13 : data[19:16] = char2hex(read_data);
+           8'd14 : data[15:12] = char2hex(read_data);
+           8'd15 : data[11:8]  = char2hex(read_data);
+           8'd16 : data[7:4]   = char2hex(read_data);
+           8'd17 : data[3:0]   = char2hex(read_data);
+           endcase
+	   i = i+1;
+      end
+   end
+   join
+   $display("received Data: %x",data);
+
+end
+endtask
+
+task uartm_reg_read_check;
+input [31:0] addr;
+input [31:0] exp_data;
+reg [31:0] rxd_data;
+reg [7:0] read_data;
+reg flag;
+integer i;
+begin
+   fork
+   begin : loop_1
+      tb_master_uart.write_char("r");
+      tb_master_uart.write_char("m");
+      tb_master_uart.write_char(" ");
+      tb_master_uart.write_char(hex2char(addr[31:28]));
+      tb_master_uart.write_char(hex2char(addr[27:24]));
+      tb_master_uart.write_char(hex2char(addr[23:20]));
+      tb_master_uart.write_char(hex2char(addr[19:16]));
+      tb_master_uart.write_char(hex2char(addr[15:12]));
+      tb_master_uart.write_char(hex2char(addr[11:8]));
+      tb_master_uart.write_char(hex2char(addr[7:4]));
+      tb_master_uart.write_char(hex2char(addr[3:0]));
+      tb_master_uart.write_char("\n");
+   end
+   begin : loop_2
+      // Wait for sucess command
+      flag = 0;
+      i = 0;
+      while(flag == 0)
+      begin
+         tb_master_uart.read_char2(read_data,flag);
+         //$write ("%d:%c",i,read_data);
+           case (i)
+           8'd10 : rxd_data[31:28] = char2hex(read_data);
+           8'd11 : rxd_data[27:24] = char2hex(read_data);
+           8'd12 : rxd_data[23:20] = char2hex(read_data);
+           8'd13 : rxd_data[19:16] = char2hex(read_data);
+           8'd14 : rxd_data[15:12] = char2hex(read_data);
+           8'd15 : rxd_data[11:8]  = char2hex(read_data);
+           8'd16 : rxd_data[7:4]   = char2hex(read_data);
+           8'd17 : rxd_data[3:0]   = char2hex(read_data);
+           endcase
+	   i = i+1;
+      end
+   end
+   join
+   if(rxd_data == exp_data) begin
+      // $display("STATUS: ADDRESS: %x RXD: %x", addr,rxd_data);
+   end else begin
+      $display("ERROR:  ADDRESS: %x EXP: %x RXD: %x", addr,exp_data,rxd_data);
+      test_fail = 1;
+   end
+
+
+end
+endtask
+
+// Character to hex number
+function [3:0] char2hex;
+input [7:0] data_in;
+case (data_in)
+     8'h30:	char2hex = 4'h0; // character '0' 
+     8'h31:	char2hex = 4'h1; // character '1'
+     8'h32:	char2hex = 4'h2; // character '2'
+     8'h33:	char2hex = 4'h3; // character '3'
+     8'h34:	char2hex = 4'h4; // character '4' 
+     8'h35:	char2hex = 4'h5; // character '5'
+     8'h36:	char2hex = 4'h6; // character '6'
+     8'h37:	char2hex = 4'h7; // character '7'
+     8'h38:	char2hex = 4'h8; // character '8'
+     8'h39:	char2hex = 4'h9; // character '9'
+     8'h41:	char2hex = 4'hA; // character 'A'
+     8'h42:	char2hex = 4'hB; // character 'B'
+     8'h43:	char2hex = 4'hC; // character 'C'
+     8'h44:	char2hex = 4'hD; // character 'D'
+     8'h45:	char2hex = 4'hE; // character 'E'
+     8'h46:	char2hex = 4'hF; // character 'F'
+     8'h61:	char2hex = 4'hA; // character 'a'
+     8'h62:	char2hex = 4'hB; // character 'b'
+     8'h63:	char2hex = 4'hC; // character 'c'
+     8'h64:	char2hex = 4'hD; // character 'd'
+     8'h65:	char2hex = 4'hE; // character 'e'
+     8'h66:	char2hex = 4'hF; // character 'f'
+      default :  char2hex = 4'hF;
+   endcase 
+endfunction
+
+// Hex to Asci Character 
+function [7:0] hex2char;
+input [3:0] data_in;
+case (data_in)
+     4'h0:	hex2char = 8'h30; // character '0' 
+     4'h1:	hex2char = 8'h31; // character '1'
+     4'h2:	hex2char = 8'h32; // character '2'
+     4'h3:	hex2char = 8'h33; // character '3'
+     4'h4:	hex2char = 8'h34; // character '4' 
+     4'h5:	hex2char = 8'h35; // character '5'
+     4'h6:	hex2char = 8'h36; // character '6'
+     4'h7:	hex2char = 8'h37; // character '7'
+     4'h8:	hex2char = 8'h38; // character '8'
+     4'h9:	hex2char = 8'h39; // character '9'
+     4'hA:	hex2char = 8'h41; // character 'A'
+     4'hB:	hex2char = 8'h42; // character 'B'
+     4'hC:	hex2char = 8'h43; // character 'C'
+     4'hD:	hex2char = 8'h44; // character 'D'
+     4'hE:	hex2char = 8'h45; // character 'E'
+     4'hF:	hex2char = 8'h46; // character 'F'
+   endcase 
+endfunction
diff --git a/verilog/dv/uart_master/Makefile b/verilog/dv/uart_master/Makefile
new file mode 100644
index 0000000..fdaa47d
--- /dev/null
+++ b/verilog/dv/uart_master/Makefile
@@ -0,0 +1,120 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+## PDK 
+PDK_PATH = $(PDK_ROOT)/sky130A
+
+## Caravel Pointers
+CARAVEL_ROOT ?= ../../../caravel
+CARAVEL_PATH ?= $(CARAVEL_ROOT)
+CARAVEL_FIRMWARE_PATH = $(CARAVEL_PATH)/verilog/dv/caravel
+CARAVEL_VERILOG_PATH  = $(CARAVEL_PATH)/verilog
+CARAVEL_RTL_PATH = $(CARAVEL_VERILOG_PATH)/rtl
+CARAVEL_BEHAVIOURAL_MODELS = $(CARAVEL_VERILOG_PATH)/dv/caravel
+
+## User Project Pointers
+UPRJ_VERILOG_PATH ?= ../../../verilog
+UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_GL_PATH = $(UPRJ_VERILOG_PATH)/gl
+UPRJ_BEHAVIOURAL_MODELS = ../model
+UPRJ_BEHAVIOURAL_AGENTS = ../agents
+UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/syntacore/scr1/src/includes
+UPRJ_INCLUDE_PATH2 = $(UPRJ_RTL_PATH)/sdram_ctrl/src/defs
+UPRJ_INCLUDE_PATH3 = $(UPRJ_RTL_PATH)/i2cm/src/includes
+UPRJ_INCLUDE_PATH4 = $(UPRJ_RTL_PATH)/usb1_host/src/includes
+UPRJ_INCLUDE_PATH5 = $(UPRJ_RTL_PATH)/mbist/include
+## RISCV GCC 
+GCC_PATH?=/ef/apps/bin
+GCC_PREFIX?=riscv32-unknown-elf
+GCC64_PREFIX?=riscv64-unknown-elf
+
+## Simulation mode: RTL/GL
+SIM_DEFINES = -DFUNCTIONAL -DSIM
+SIM?=RTL
+DUMP?=OFF
+
+.SUFFIXES:
+
+PATTERN = uart_master
+
+all:  ${PATTERN:=.vcd}
+
+hex:  ${PATTERN:=.hex}
+
+vvp:  ${PATTERN:=.vvp}
+
+%.vvp: %_tb.v %.hex
+ifeq ($(SIM),RTL)
+   ifeq ($(DUMP),OFF)
+	iverilog -g2005-sv $(SIM_DEFINES) -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+	-I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+	$< -o $@ 
+    else  
+	iverilog -g2005-sv -DWFDUMP $(SIM_DEFINES) -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+	-I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+	$< -o $@ 
+   endif
+else  
+	iverilog $(SIM_DEFINES) -DGL -I $(PDK_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS) -I$(UPRJ_GL_PATH)  -I$(UPRJ_RTL_PATH)  -I $(UPRJ_VERILOG_PATH) \
+	$< -o $@ 
+endif
+
+%.vcd: %.vvp
+	vvp $<
+
+%.elf: %.c $(CARAVEL_FIRMWARE_PATH)/sections.lds $(CARAVEL_FIRMWARE_PATH)/start.s check-env
+	${GCC64_PREFIX}-gcc -I $(CARAVEL_PATH) -march=rv32imc -mabi=ilp32 -Wl,-Bstatic,-T,$(CARAVEL_FIRMWARE_PATH)/sections.lds,--strip-debug -ffreestanding -nostdlib -o $@ $(CARAVEL_FIRMWARE_PATH)/start.s $<
+
+%.hex: %.elf
+	${GCC64_PREFIX}-objcopy -O verilog $< $@ 
+	# to fix flash base address
+	sed -i 's/@10000000/@00000000/g' $@
+
+%.bin: %.elf
+	${GCC64_PREFIX}-objcopy -O binary $< /dev/stdout | tail -c +1048577 > $@
+
+check-env:
+ifndef PDK_ROOT
+	$(error PDK_ROOT is undefined, please export it before running make)
+endif
+ifeq (,$(wildcard $(PDK_ROOT)/sky130A))
+	$(error $(PDK_ROOT)/sky130A not found, please install pdk before running make)
+endif
+#ifeq (,$(wildcard $(GCC64_PREFIX)-gcc ))
+#	$(error $(GCC64_PREFIX)-gcc is not found, please export GCC_PATH and GCC_PREFIX before running make)
+#endif
+# check for efabless style installation
+ifeq (,$(wildcard $(PDK_ROOT)/sky130A/libs.ref/*/verilog))
+SIM_DEFINES := ${SIM_DEFINES} -DEF_STYLE
+endif
+
+# ---- Clean ----
+
+clean:
+	rm -f *.elf *.hex *.bin *.vvp *.vcd *.log
+
+.PHONY: clean hex all
diff --git a/verilog/dv/uart_master/run_verilog b/verilog/dv/uart_master/run_verilog
new file mode 100644
index 0000000..5ffed3c
--- /dev/null
+++ b/verilog/dv/uart_master/run_verilog
@@ -0,0 +1,20 @@
+# //////////////////////////////////////////////////////////////////////////////
+# // SPDX-FileCopyrightText: 2021, Dinesh Annayya
+# // 
+# // Licensed under the Apache License, Version 2.0 (the "License");
+# // you may not use this file except in compliance with the License.
+# // You may obtain a copy of the License at
+# //
+# //      http://www.apache.org/licenses/LICENSE-2.0
+# //
+# // Unless required by applicable law or agreed to in writing, software
+# // distributed under the License is distributed on an "AS IS" BASIS,
+# // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# // See the License for the specific language governing permissions and
+# // limitations under the License.
+# // SPDX-License-Identifier: Apache-2.0
+# // SPDX-FileContributor: Dinesh Annayya <dinesha@opencores.org>
+# // //////////////////////////////////////////////////////////////////////////
+
+#iverilog -g2005-sv -DFUNCTIONAL -DSIM -DGL -I /home/dinesha/workarea/pdk/sky130A -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog/dv/caravel -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog/rtl -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog -I ../ -I../../../verilog/rtl -I../../../verilog/gl  -I ../../../verilog wb_port_tb.v -o wb_port.vvp
+iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -DGL -I /home/dinesha/workarea/pdk/sky130A -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog/dv/caravel -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog/rtl -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog -I ../ -I../../../verilog/rtl -I../../../verilog/gl  -I ../../../verilog wb_port_tb.v -o wb_port.vvp
diff --git a/verilog/dv/uart_master/uart_master.c b/verilog/dv/uart_master/uart_master.c
new file mode 100644
index 0000000..1f5912a
--- /dev/null
+++ b/verilog/dv/uart_master/uart_master.c
@@ -0,0 +1,156 @@
+/*
+ * SPDX-FileCopyrightText: 2020 Efabless Corporation
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *      http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+// This include is relative to $CARAVEL_PATH (see Makefile)
+#include "verilog/dv/caravel/defs.h"
+#include "verilog/dv/caravel/stub.c"
+
+// User Project Slaves (0x3000_0000)
+
+
+#define GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP   0x1C00
+
+#define SC_SIM_OUTPORT (0xf0000000)
+
+/*
+         RiscV Hello World test.
+	        - Wake up the Risc V
+		- Boot from SPI Flash
+		- Riscv Write Hello World to SDRAM,
+		- External Wishbone read back validation the data
+*/
+int i = 0; 
+int clk = 0;
+int uart_cfg = 0;
+void main()
+{
+
+	int bFail = 0;
+	/* 
+	IO Control Registers
+	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
+	| 3-bits | 1-bit | 1-bit | 1-bit  | 1-bit  | 1-bit | 1-bit   | 1-bit   | 1-bit | 1-bit | 1-bit   |
+	Output: 0000_0110_0000_1110  (0x1808) = GPIO_MODE_USER_STD_OUTPUT
+	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
+	| 110    | 0     | 0     | 0      | 0      | 0     | 0       | 1       | 0     | 0     | 0       |
+	
+	 
+	Input: 0000_0001_0000_1111 (0x0402) = GPIO_MODE_USER_STD_INPUT_NOPULL
+	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
+	| 001    | 0     | 0     | 0      | 0      | 0     | 0       | 0       | 0     | 1     | 0       |
+
+	Input: 0000_0001_0000_1111 (0x1800) = GPIO_MODE_USER_STD_BIDIRECTIONAL
+	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
+	| 110    | 0     | 0     | 0      | 0      | 0     | 0       | 0       | 0     | 0     | 0       |
+	*/
+
+	/* Set up the housekeeping SPI to be connected internally so	*/
+	/* that external pin changes don't affect it.			*/
+
+	reg_spimaster_config = 0xa002;	// Enable, prescaler = 2,
+                                        // connect to housekeeping SPI
+
+	// Connect the housekeeping SPI to the SPI master
+	// so that the CSB line is not left floating.  This allows
+	// all of the GPIO pins to be used for user functions.
+        reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
+        reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
+
+
+    reg_la0_oenb = reg_la0_iena =  0x0000000;
+     /* Apply configuration */
+    reg_mprj_xfer = 1;
+    while (reg_mprj_xfer == 1);
+    reg_mprj_datal = 0xAB600000;
+
+    reg_la0_oenb = reg_la0_iena =  0x0000000;
+
+    //-----------------------------------------------------
+    // Start of User Functionality and take over the GPIO Pins
+    // ------------------------------------------------------
+    // User block decide on the GPIO function
+    reg_mprj_io_37 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_36 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_35 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_34 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_33 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_32 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_31 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_30 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_29 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_28 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_27 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_26 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_25 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_24 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_23 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_22 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_21 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_20 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_19 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_18 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_17 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_16 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_15 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_14 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_13 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_12 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_11 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_10 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_9  = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_8  = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_7  = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_6 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_5 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_4 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_3 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_2 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_1 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+    reg_mprj_io_0 =  GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+
+     /* Apply configuration */
+    reg_mprj_xfer = 1;
+    while (reg_mprj_xfer == 1);
+
+    reg_la0_data = 0x000;
+    //reg_la0_data = 0x000;
+    //reg_la0_data |= 0x1; // bit[0] - Remove Software Reset
+    //reg_la0_data |= 0x1; // bit[1] - Enable Transmit Path
+    //reg_la0_data |= 0x2; // bit[2] - Enable Receive Path
+    //reg_la0_data |= 0x4; // bit[3] - Set 2 Stop Bit
+    //reg_la0_data |= 0x0; // bit[15:4] - 16x Baud Clock
+    //reg_la0_data |= 0x0; // bit[17:16] - Priority mode = 0
+    reg_la0_data = 0x001;
+    reg_la0_data = 0x00F;
+
+
+
+}
diff --git a/verilog/dv/uart_master/uart_master_tb.v b/verilog/dv/uart_master/uart_master_tb.v
new file mode 100644
index 0000000..ffb75c0
--- /dev/null
+++ b/verilog/dv/uart_master/uart_master_tb.v
@@ -0,0 +1,274 @@
+// SPDX-FileCopyrightText: 2020 Efabless Corporation
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+
+`default_nettype none
+
+`timescale 1 ns / 1 ps
+
+`include "uprj_netlists.v"
+`include "caravel_netlists.v"
+`include "spiflash.v"
+`include "uart_agent.v"
+
+module uart_master_tb;
+	reg clock;
+	reg RSTB;
+	reg CSB;
+	reg power1, power2;
+	reg power3, power4;
+
+	wire gpio;
+	wire [37:0] mprj_io;
+	wire [7:0] mprj_io_0;
+	wire [15:0] checkbits;
+
+//----------------------------------
+// Uart Configuration
+// ---------------------------------
+reg [1:0]      uart_data_bit        ;
+reg	       uart_stop_bits       ; // 0: 1 stop bit; 1: 2 stop bit;
+reg	       uart_stick_parity    ; // 1: force even parity
+reg	       uart_parity_en       ; // parity enable
+reg	       uart_even_odd_parity ; // 0: odd parity; 1: even parity
+
+reg [7:0]      uart_data            ;
+reg [15:0]     uart_divisor         ;	// divided by n * 16
+reg [15:0]     uart_timeout         ;// wait time limit
+
+reg [15:0]     uart_rx_nu           ;
+reg [15:0]     uart_tx_nu           ;
+reg [7:0]      uart_write_data [0:39];
+reg 	       uart_fifo_enable     ;	// fifo mode disable
+
+reg [31:0]     read_data     ;
+reg            flag;
+reg            test_fail     ;
+
+
+	assign checkbits = mprj_io[31:16];
+
+	assign mprj_io[3] = (CSB == 1'b1) ? 1'b1 : 1'bz;
+
+	// External clock is used by default.  Make this artificially fast for the
+	// simulation.  Normally this would be a slow clock and the digital PLL
+	// would be the fast clock.
+
+	always #12.5 clock <= (clock === 1'b0);
+
+	initial begin
+		clock = 0;
+	end
+
+	`ifdef WFDUMP
+	initial begin
+		$dumpfile("simx.vcd");
+		$dumpvars(1, uart_master_tb);
+		$dumpvars(1, uart_master_tb.uut);
+		$dumpvars(1, uart_master_tb.uut.mprj);
+		$dumpvars(1, uart_master_tb.uut.mprj.u_wb_host);
+		$dumpvars(1, uart_master_tb.uut.mprj.u_wb_host.u_uart2wb);
+		$dumpvars(1, uart_master_tb.tb_master_uart);
+		//$dumpvars(2, uart_master_tb.uut.mprj.u_pinmux);
+	end
+       `endif
+
+	initial begin
+
+		// Repeat cycles of 1000 clock edges as needed to complete testbench
+		repeat (400) begin
+			repeat (1000) @(posedge clock);
+			// $display("+1000 cycles");
+		end
+		$display("%c[1;31m",27);
+		$display ("##########################################################");
+		`ifdef GL
+		   $display ("Monitor: Timeout, Test UART Master (GL) Failed");
+		`else
+		   $display ("Monitor: Timeout, Test UART Master (RTL) Failed");
+		`endif
+		$display ("##########################################################");
+		$display("%c[0m",27);
+		$finish;
+	end
+
+	initial begin
+            uart_data_bit           = 2'b11;
+            uart_stop_bits          = 1; // 0: 1 stop bit; 1: 2 stop bit;
+            uart_stick_parity       = 0; // 1: force even parity
+            uart_parity_en          = 0; // parity enable
+            uart_even_odd_parity    = 1; // 0: odd parity; 1: even parity
+            uart_divisor            = 15;// divided by n * 16
+            uart_timeout            = 600;// wait time limit
+            uart_fifo_enable        = 0;	// fifo mode disable
+            tb_master_uart.debug_mode = 0; // disable debug display
+            tb_master_uart.uart_init;
+            tb_master_uart.control_setup (uart_data_bit, uart_stop_bits, uart_parity_en, uart_even_odd_parity, 
+        	                          uart_stick_parity, uart_timeout, uart_divisor);
+	   wait(checkbits == 16'h AB60);
+		$display("Monitor: UART Master Test Started");
+
+           repeat (4000) @(posedge clock);
+           //$write ("\n(%t)Response:\n",$time);
+           flag = 0;
+           while(flag == 0)
+           begin
+                tb_master_uart.read_char(read_data,flag);
+                $write ("%c",read_data);
+           end
+
+
+
+           // Remove Wb Reset
+           uartm_reg_write('h3080_0000,'h1);
+
+           repeat (2) @(posedge clock);
+           #1;
+
+           $display("Monitor: Writing  expected value");
+           
+           test_fail = 0;
+           uartm_reg_read_check(32'h30000000,32'h44332211);
+           uartm_reg_read_check(32'h30000004,32'hDDCCBBAA);
+
+           uartm_reg_write(32'h30020000,32'h11223344);
+           uartm_reg_write(32'h30020004,32'h22334455);
+
+           uartm_reg_read_check(32'h30000000,32'h11223344);
+           uartm_reg_read_check(32'h30000004,32'h22334455);
+
+           $display("###################################################");
+           if(test_fail == 0) begin
+              `ifdef GL
+                  $display("Monitor: Standalone User UART Master (GL) Passed");
+              `else
+                  $display("Monitor: Standalone User Uart Master (RTL) Passed");
+              `endif
+           end else begin
+               `ifdef GL
+                   $display("Monitor: Standalone User Uart Master (GL) Failed");
+               `else
+                   $display("Monitor: Standalone User Uart Master (RTL) Failed");
+               `endif
+            end
+           $display("###################################################");
+           #100
+
+	    $finish;
+	end
+
+	initial begin
+		RSTB <= 1'b0;
+		CSB  <= 1'b1;		// Force CSB high
+		#2000;
+		RSTB <= 1'b1;	    	// Release reset
+		#170000;
+		CSB = 1'b0;		// CSB can be released
+	end
+
+	initial begin		// Power-up sequence
+		power1 <= 1'b0;
+		power2 <= 1'b0;
+		power3 <= 1'b0;
+		power4 <= 1'b0;
+		#100;
+		power1 <= 1'b1;
+		#100;
+		power2 <= 1'b1;
+		#100;
+		power3 <= 1'b1;
+		#100;
+		power4 <= 1'b1;
+	end
+
+	//always @(mprj_io) begin
+	//	#1 $display("MPRJ-IO state = %b ", mprj_io[7:0]);
+	//end
+
+	wire flash_csb;
+	wire flash_clk;
+	wire flash_io0;
+	wire flash_io1;
+
+	wire VDD3V3 = power1;
+	wire VDD1V8 = power2;
+	wire USER_VDD3V3 = power3;
+	wire USER_VDD1V8 = power4;
+	wire VSS = 1'b0;
+
+	caravel uut (
+		.vddio	  (VDD3V3),
+		.vssio	  (VSS),
+		.vdda	  (VDD3V3),
+		.vssa	  (VSS),
+		.vccd	  (VDD1V8),
+		.vssd	  (VSS),
+		.vdda1    (USER_VDD3V3),
+		.vdda2    (USER_VDD3V3),
+		.vssa1	  (VSS),
+		.vssa2	  (VSS),
+		.vccd1	  (USER_VDD1V8),
+		.vccd2	  (USER_VDD1V8),
+		.vssd1	  (VSS),
+		.vssd2	  (VSS),
+		.clock	  (clock),
+		.gpio     (gpio),
+        .mprj_io  (mprj_io),
+		.flash_csb(flash_csb),
+		.flash_clk(flash_clk),
+		.flash_io0(flash_io0),
+		.flash_io1(flash_io1),
+		.resetb	  (RSTB)
+	);
+
+	spiflash #(
+		.FILENAME("uart_master.hex")
+	) spiflash (
+		.csb(flash_csb),
+		.clk(flash_clk),
+		.io0(flash_io0),
+		.io1(flash_io1),
+		.io2(),			// not used
+		.io3()			// not used
+	);
+
+
+
+
+`ifndef GL // Drive Power for Hold Fix Buf
+    // All standard cell need power hook-up for functionality work
+    initial begin
+    end
+`endif    
+
+
+//---------------------------
+//  UART Agent integration
+// --------------------------
+wire uart_txd,uart_rxd;
+
+assign uart_txd   = mprj_io[1];
+assign mprj_io[0]  = uart_rxd ;
+ 
+uart_agent tb_master_uart(
+	.mclk                (clock              ),
+	.txd                 (uart_rxd           ),
+	.rxd                 (uart_txd           )
+	);
+
+
+`include "uart_master_tasks.sv"
+
+endmodule
+`default_nettype wire
diff --git a/verilog/dv/user_basic/user_basic_tb.v b/verilog/dv/user_basic/user_basic_tb.v
index 85dd442..a456095 100644
--- a/verilog/dv/user_basic/user_basic_tb.v
+++ b/verilog/dv/user_basic/user_basic_tb.v
@@ -250,8 +250,8 @@
          wb_user_core_write(`WB_GLBL_CTRL,'h1);
 
 	 wb_user_core_read_check(`GLBL_BIST_SOFT1,read_data,32'h4C66_8354);
-	 wb_user_core_read_check(`GLBL_BIST_SOFT2,read_data,32'h2112_2021);
-	 wb_user_core_read_check(`GLBL_BIST_SOFT3,read_data,32'h0001_3000);
+	 wb_user_core_read_check(`GLBL_BIST_SOFT2,read_data,32'h0201_2022);
+	 wb_user_core_read_check(`GLBL_BIST_SOFT3,read_data,32'h0001_4000);
       end
    
       begin
@@ -308,7 +308,7 @@
 
  
     // Logic Analyzer Signals
-    .la_data_in      ('0) ,
+    .la_data_in      ('1) ,
     .la_data_out     (),
     .la_oenb         ('0),
  
diff --git a/verilog/dv/user_lbist/user_lbist_tb.v b/verilog/dv/user_lbist/user_lbist_tb.v
index 5d60700..464f8a7 100644
--- a/verilog/dv/user_lbist/user_lbist_tb.v
+++ b/verilog/dv/user_lbist/user_lbist_tb.v
@@ -308,7 +308,7 @@
 
  
     // Logic Analyzer Signals
-    .la_data_in      ('0) ,
+    .la_data_in      ('1) ,
     .la_data_out     (),
     .la_oenb         ('0),
  
diff --git a/verilog/dv/user_mbist_test1/user_mbist_test1_tb.v b/verilog/dv/user_mbist_test1/user_mbist_test1_tb.v
index adcc57c..ba19953 100644
--- a/verilog/dv/user_mbist_test1/user_mbist_test1_tb.v
+++ b/verilog/dv/user_mbist_test1/user_mbist_test1_tb.v
@@ -439,7 +439,7 @@
 
  
     // Logic Analyzer Signals
-    .la_data_in      ('0) ,
+    .la_data_in      ('1) ,
     .la_data_out     (),
     .la_oenb         ('0),
  
diff --git a/verilog/dv/user_uart_master/Makefile b/verilog/dv/user_uart_master/Makefile
new file mode 100644
index 0000000..cb31b0b
--- /dev/null
+++ b/verilog/dv/user_uart_master/Makefile
@@ -0,0 +1,92 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+## Caravel Pointers
+CARAVEL_ROOT ?= ../../../caravel
+CARAVEL_PATH ?= $(CARAVEL_ROOT)
+CARAVEL_FIRMWARE_PATH = $(CARAVEL_PATH)/verilog/dv/caravel
+CARAVEL_VERILOG_PATH  = $(CARAVEL_PATH)/verilog
+CARAVEL_RTL_PATH = $(CARAVEL_VERILOG_PATH)/rtl
+CARAVEL_BEHAVIOURAL_MODELS = $(CARAVEL_VERILOG_PATH)/dv/caravel
+
+
+## User Project Pointers
+UPRJ_VERILOG_PATH ?= ../../../verilog
+UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_GL_PATH = $(UPRJ_VERILOG_PATH)/gl
+UPRJ_BEHAVIOURAL_MODELS = ../model
+UPRJ_BEHAVIOURAL_AGENTS = ../agents
+UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/syntacore/scr1/src/includes
+UPRJ_INCLUDE_PATH2 = $(UPRJ_RTL_PATH)/sdram_ctrl/src/defs
+UPRJ_INCLUDE_PATH3 = $(UPRJ_RTL_PATH)/i2cm/src/includes
+UPRJ_INCLUDE_PATH4 = $(UPRJ_RTL_PATH)/usb1_host/src/includes
+UPRJ_INCLUDE_PATH5 = $(UPRJ_RTL_PATH)/mbist/include
+
+## SYNTACORE FIRMWARE
+SYNTACORE_FIRMWARE_PATH = $(UPRJ_VERILOG_PATH)/rtl/syntacore/scr1/sim/tests/common
+
+
+## Simulation mode: RTL/GL
+SIM?=RTL
+DUMP?=OFF
+
+.SUFFIXES:
+
+PATTERN = user_uart_master
+
+all:  ${PATTERN:=.vcd}
+
+
+vvp:  ${PATTERN:=.vvp}
+
+%.vvp: %_tb.v
+ifeq ($(SIM),RTL)
+   ifeq ($(DUMP),OFF)
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+	-I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+	$< -o $@ 
+    else  
+	iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+	-I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+	$< -o $@ 
+   endif
+else  
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_GL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	$< -o $@ 
+endif
+
+%.vcd: %.vvp
+	vvp $<
+
+
+
+# ---- Clean ----
+
+clean:
+	rm -f *.vvp *.vcd *.log 
+
+.PHONY: clean all
diff --git a/verilog/dv/user_uart_master/run_iverilog b/verilog/dv/user_uart_master/run_iverilog
new file mode 100755
index 0000000..e461fd1
--- /dev/null
+++ b/verilog/dv/user_uart_master/run_iverilog
@@ -0,0 +1,42 @@
+# //////////////////////////////////////////////////////////////////////////////
+# // SPDX-FileCopyrightText: 2021, Dinesh Annayya
+# // 
+# // Licensed under the Apache License, Version 2.0 (the "License");
+# // you may not use this file except in compliance with the License.
+# // You may obtain a copy of the License at
+# //
+# //      http://www.apache.org/licenses/LICENSE-2.0
+# //
+# // Unless required by applicable law or agreed to in writing, software
+# // distributed under the License is distributed on an "AS IS" BASIS,
+# // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# // See the License for the specific language governing permissions and
+# // limitations under the License.
+# // SPDX-License-Identifier: Apache-2.0
+# // SPDX-FileContributor: Dinesh Annayya <dinesha@opencores.org>
+# // //////////////////////////////////////////////////////////////////////////
+riscv64-unknown-elf-gcc -O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las  -D__RVC_EXT -static -std=gnu99 -fno-common -fno-builtin-printf -DTCM=1 -Wa,-march=rv32imc -march=rv32imc -mabi=ilp32 -DFLAGS_STR=\""-O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las "\"  -c -I./ -I../../rtl/syntacore/scr1/sim/tests/common  user_uart.c -o user_uart.o
+
+riscv64-unknown-elf-gcc -O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las  -D__RVC_EXT -static -std=gnu99 -fno-common -fno-builtin-printf -DTCM=1 -Wa,-march=rv32imc -march=rv32imc -mabi=ilp32 -DFLAGS_STR=\""-O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las "\"  -D__ASSEMBLY__=1 -c -I./ -I../../rtl/syntacore/scr1/sim/tests/common/  ../../rtl/syntacore/scr1/sim/tests/common/crt_tcm.S -o crt_tcm.o
+
+riscv64-unknown-elf-gcc -o user_uart.elf -T ../../rtl/syntacore/scr1/sim/tests/common/link_tcm.ld user_uart.o crt_tcm.o -nostartfiles -nostdlib -lc -lgcc -march=rv32imc -mabi=ilp32
+
+riscv64-unknown-elf-objcopy -O verilog user_uart.elf user_uart.hex
+
+riscv64-unknown-elf-objdump -D user_uart.elf > user_uart.dump
+
+rm crt_tcm.o user_uart.o
+
+#iverilog with waveform dump
+iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/rtl -I ../ -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I ../../../verilog/rtl/i2cm/src/includes -I ../../../verilog/rtl/usb1_host/src/includes -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
+
+
+#iverilog -g2005-sv -I $PDK_PATH -DFUNCTIONAL -DSIM -I  ../../../caravel/verilog/rtl -I ../ -I ../../../verilog/rtl -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
+
+# GLS 
+#iverilog -g2005-sv -D GL -D FUNCTIONAL -I $PDK_PATH -I  ../../../caravel/verilog/rtl  -I ../ -I ../../../verilog/gl -I ../../../verilog -I /home/dinesha/workarea/pdk/sky130A -I ../../../verilog/rtl/syntacore/scr1/src/includes   -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
+#
+
+vvp user_uart_tb.vvp | tee test.log
+
+\rm -rf user_uart_tb.vvp
diff --git a/verilog/dv/user_uart_master/user_uart.c b/verilog/dv/user_uart_master/user_uart.c
new file mode 100644
index 0000000..99e0204
--- /dev/null
+++ b/verilog/dv/user_uart_master/user_uart.c
@@ -0,0 +1,43 @@
+//////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021, Dinesh Annayya
+// 
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Dinesh Annayya <dinesha@opencores.org>
+// //////////////////////////////////////////////////////////////////////////
+#define SC_SIM_OUTPORT (0xf0000000)
+#define uint32_t  long
+
+
+#define reg_mprj_uart_reg0 (*(volatile uint32_t*)0x10010000)
+#define reg_mprj_uart_reg1 (*(volatile uint32_t*)0x10010004)
+#define reg_mprj_uart_reg2 (*(volatile uint32_t*)0x10010008)
+#define reg_mprj_uart_reg3 (*(volatile uint32_t*)0x1001000C)
+#define reg_mprj_uart_reg4 (*(volatile uint32_t*)0x10010010)
+#define reg_mprj_uart_reg5 (*(volatile uint32_t*)0x10010014)
+#define reg_mprj_uart_reg6 (*(volatile uint32_t*)0x10010018)
+#define reg_mprj_uart_reg7 (*(volatile uint32_t*)0x1001001C)
+#define reg_mprj_uart_reg8 (*(volatile uint32_t*)0x10010020)
+
+int main()
+{
+
+    while(1) {
+       // Check UART RX fifo has data, if available loop back the data
+       if(reg_mprj_uart_reg8 != 0) { 
+	   reg_mprj_uart_reg5 = reg_mprj_uart_reg6;
+       }
+    }
+
+    return 0;
+}
diff --git a/verilog/dv/user_uart_master/user_uart_master_tb.v b/verilog/dv/user_uart_master/user_uart_master_tb.v
new file mode 100644
index 0000000..cdbb559
--- /dev/null
+++ b/verilog/dv/user_uart_master/user_uart_master_tb.v
@@ -0,0 +1,296 @@
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText:  2021 , Dinesh Annayya
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Modified by Dinesh Annayya <dinesha@opencores.org>
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+////  Standalone User validation Test bench                       ////
+////                                                              ////
+////  This file is part of the YIFive cores project               ////
+////  https://github.com/dineshannayya/yifive_r0.git              ////
+////  http://www.opencores.org/cores/yifive/                      ////
+////                                                              ////
+////  Description                                                 ////
+////   This is a standalone test bench to validate the            ////
+////   Digital core using uart master i/f.                        ////
+////                                                              ////
+////  To Do:                                                      ////
+////    nothing                                                   ////
+////                                                              ////
+////  Author(s):                                                  ////
+////      - Dinesh Annayya, dinesha@opencores.org                 ////
+////                                                              ////
+////  Revision :                                                  ////
+////    0.1 - 16th Feb 2021, Dinesh A                             ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
+////                                                              ////
+//// This source file may be used and distributed without         ////
+//// restriction provided that this copyright statement is not    ////
+//// removed from the file and that any derivative work contains  ////
+//// the original copyright notice and the associated disclaimer. ////
+////                                                              ////
+//// This source file is free software; you can redistribute it   ////
+//// and/or modify it under the terms of the GNU Lesser General   ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any   ////
+//// later version.                                               ////
+////                                                              ////
+//// This source is distributed in the hope that it will be       ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
+//// PURPOSE.  See the GNU Lesser General Public License for more ////
+//// details.                                                     ////
+////                                                              ////
+//// You should have received a copy of the GNU Lesser General    ////
+//// Public License along with this source; if not, download it   ////
+//// from http://www.opencores.org/lgpl.shtml                     ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+
+`default_nettype wire
+
+`timescale 1 ns / 1 ns
+
+`include "uprj_netlists.v"
+`include "uart_agent.v"
+
+
+
+
+module user_uart_master_tb;
+
+reg            clock         ;
+reg            wb_rst_i      ;
+reg            power1, power2;
+reg            power3, power4;
+
+reg            wbd_ext_cyc_i;  // strobe/request
+reg            wbd_ext_stb_i;  // strobe/request
+reg [31:0]     wbd_ext_adr_i;  // address
+reg            wbd_ext_we_i;  // write
+reg [31:0]     wbd_ext_dat_i;  // data output
+reg [3:0]      wbd_ext_sel_i;  // byte enable
+
+wire [31:0]    wbd_ext_dat_o;  // data input
+wire           wbd_ext_ack_o;  // acknowlegement
+wire           wbd_ext_err_o;  // error
+
+// User I/O
+wire [37:0]    io_oeb        ;
+wire [37:0]    io_out        ;
+wire [37:0]    io_in         ;
+
+wire [37:0]    mprj_io       ;
+wire [7:0]     mprj_io_0     ;
+reg            test_fail     ;
+reg [31:0]     read_data     ;
+//----------------------------------
+// Uart Configuration
+// ---------------------------------
+reg [1:0]      uart_data_bit        ;
+reg	       uart_stop_bits       ; // 0: 1 stop bit; 1: 2 stop bit;
+reg	       uart_stick_parity    ; // 1: force even parity
+reg	       uart_parity_en       ; // parity enable
+reg	       uart_even_odd_parity ; // 0: odd parity; 1: even parity
+
+reg [7:0]      uart_data            ;
+reg [15:0]     uart_divisor         ;	// divided by n * 16
+reg [15:0]     uart_timeout         ;// wait time limit
+
+reg [15:0]     uart_rx_nu           ;
+reg [15:0]     uart_tx_nu           ;
+reg [7:0]      uart_write_data [0:39];
+reg 	       uart_fifo_enable     ;	// fifo mode disable
+
+reg  [127:0]   la_data_in;
+reg       flag;
+
+
+integer i,j;
+
+	// External clock is used by default.  Make this artificially fast for the
+	// simulation.  Normally this would be a slow clock and the digital PLL
+	// would be the fast clock.
+
+	always #12.5 clock <= (clock === 1'b0);
+
+	initial begin
+		clock = 0;
+		la_data_in = 1;
+	end
+
+	`ifdef WFDUMP
+	   initial begin
+	   	$dumpfile("risc_boot.vcd");
+	   	$dumpvars(0, user_uart_master_tb);
+	   end
+       `endif
+
+	initial begin
+		clock = 0;
+                wbd_ext_cyc_i ='h0;  // strobe/request
+                wbd_ext_stb_i ='h0;  // strobe/request
+                wbd_ext_adr_i ='h0;  // address
+                wbd_ext_we_i  ='h0;  // write
+                wbd_ext_dat_i ='h0;  // data output
+                wbd_ext_sel_i ='h0;  // byte enable
+	end
+initial
+begin
+   wb_rst_i <= 1'b1;
+   uart_data_bit           = 2'b11;
+   uart_stop_bits          = 1; // 0: 1 stop bit; 1: 2 stop bit;
+   uart_stick_parity       = 0; // 1: force even parity
+   uart_parity_en          = 0; // parity enable
+   uart_even_odd_parity    = 1; // 0: odd parity; 1: even parity
+   uart_divisor            = 15;// divided by n * 16
+   uart_timeout            = 600;// wait time limit
+   uart_fifo_enable        = 0;	// fifo mode disable
+
+   // UPDATE the RTL UART MASTER
+   la_data_in[1] = 1; //  Enable Transmit Path
+   la_data_in[2] = 1; //  Enable Received Path
+   la_data_in[3] = 1; //  Enable Received Path
+   la_data_in[15:4] = ((uart_divisor+1)/16)-1; //  Divisor value
+   la_data_in[17:16] = 2'b00; //  priority mode, 0 -> nop, 1 -> Even, 2 -> Odd
+
+   #100;
+   wb_rst_i <= 1'b0;	    	// Release reset
+
+   $display("Monitor: Standalone User Uart master Test Started");
+
+   tb_master_uart.debug_mode = 0; // disable debug display
+   tb_master_uart.uart_init;
+   tb_master_uart.control_setup (uart_data_bit, uart_stop_bits, uart_parity_en, uart_even_odd_parity, 
+	                          uart_stick_parity, uart_timeout, uart_divisor);
+
+   //$write ("\n(%t)Response:\n",$time);
+   flag = 0;
+   while(flag == 0)
+   begin
+        tb_master_uart.read_char(read_data,flag);
+        $write ("%c",read_data);
+   end
+
+
+
+   // Remove Wb Reset
+   uartm_reg_write('h3080_0000,'h1);
+
+   repeat (2) @(posedge clock);
+   #1;
+
+   $display("Monitor: Writing  expected value");
+   
+   test_fail = 0;
+   uartm_reg_read_check(32'h30000000,32'h44332211);
+   uartm_reg_read_check(32'h30000004,32'hDDCCBBAA);
+
+   uartm_reg_write(32'h30020000,32'h11223344);
+   uartm_reg_write(32'h30020004,32'h22334455);
+
+   uartm_reg_read_check(32'h30000000,32'h11223344);
+   uartm_reg_read_check(32'h30000004,32'h22334455);
+   
+   
+   
+   $display("###################################################");
+   if(test_fail == 0) begin
+      `ifdef GL
+          $display("Monitor: Standalone User UART Master (GL) Passed");
+      `else
+          $display("Monitor: Standalone User Uart Master (RTL) Passed");
+      `endif
+   end else begin
+       `ifdef GL
+           $display("Monitor: Standalone User Uart Master (GL) Failed");
+       `else
+           $display("Monitor: Standalone User Uart Master (RTL) Failed");
+       `endif
+    end
+   $display("###################################################");
+   #100
+   $finish;
+end
+
+
+wire USER_VDD1V8 = 1'b1;
+wire VSS = 1'b0;
+
+
+user_project_wrapper u_top(
+`ifdef USE_POWER_PINS
+    .vccd1(USER_VDD1V8),	// User area 1 1.8V supply
+    .vssd1(VSS),	// User area 1 digital ground
+`endif
+    .wb_clk_i        (clock),  // System clock
+    .user_clock2     (1'b1),  // Real-time clock
+    .wb_rst_i        (wb_rst_i),  // Regular Reset signal
+
+    .wbs_cyc_i   (wbd_ext_cyc_i),  // strobe/request
+    .wbs_stb_i   (wbd_ext_stb_i),  // strobe/request
+    .wbs_adr_i   (wbd_ext_adr_i),  // address
+    .wbs_we_i    (wbd_ext_we_i),  // write
+    .wbs_dat_i   (wbd_ext_dat_i),  // data output
+    .wbs_sel_i   (wbd_ext_sel_i),  // byte enable
+
+    .wbs_dat_o   (wbd_ext_dat_o),  // data input
+    .wbs_ack_o   (wbd_ext_ack_o),  // acknowlegement
+
+ 
+    // Logic Analyzer Signals
+    .la_data_in      (la_data_in) ,
+    .la_data_out     (),
+    .la_oenb         ('0),
+ 
+
+    // IOs
+    .io_in          (io_in)  ,
+    .io_out         (io_out) ,
+    .io_oeb         (io_oeb) ,
+
+    .user_irq       () 
+
+);
+
+`ifndef GL // Drive Power for Hold Fix Buf
+    // All standard cell need power hook-up for functionality work
+    initial begin
+    end
+`endif    
+
+
+//---------------------------
+//  UART Agent integration
+// --------------------------
+wire uart_txd,uart_rxd;
+
+assign uart_txd   = io_out[1];
+assign io_in[0]  = uart_rxd ;
+ 
+uart_agent tb_master_uart(
+	.mclk                (clock              ),
+	.txd                 (uart_rxd           ),
+	.rxd                 (uart_txd           )
+	);
+
+
+
+`include "uart_master_tasks.sv"
+endmodule
+`default_nettype wire
diff --git a/verilog/dv/wb_port/wb_port.c b/verilog/dv/wb_port/wb_port.c
index 3dd3911..ec5c2f7 100644
--- a/verilog/dv/wb_port/wb_port.c
+++ b/verilog/dv/wb_port/wb_port.c
@@ -75,7 +75,9 @@
     reg_mprj_xfer = 1;
     while (reg_mprj_xfer == 1);
 
-	reg_la2_oenb = reg_la2_iena = 0xFFFFFFFF;    // [95:64]
+    reg_la2_oenb = reg_la2_iena = 0xFFFFFFFF;    // [95:64]
+    reg_la0_data = 0x000;
+    reg_la0_data = 0x001; // Remove Soft Reset
 
     // Flag start of the test
 	reg_mprj_datal = 0xAB600000;
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.v
index 5ef4071..3c270e5 100644
--- a/verilog/gl/user_project_wrapper.v
+++ b/verilog/gl/user_project_wrapper.v
@@ -6042,6 +6042,7 @@
     \mem8_mask_b[1] ,
     \mem8_mask_b[0] }));
  wb_host u_wb_host (.bist_rst_n(bist_rst_n),
+    .io_in(io_in[0]),
     .lbist_clk_int(lbist_clk),
     .lbist_clk_out(lbist_clk),
     .scan_clk(scan_clk),
@@ -6216,7 +6217,31 @@
     io_out[2],
     io_out[1],
     io_out[0]}),
-    .la_data_in({la_data_in[11],
+    .la_data_in({la_data_in[35],
+    la_data_in[34],
+    la_data_in[33],
+    la_data_in[32],
+    la_data_in[31],
+    la_data_in[30],
+    la_data_in[29],
+    la_data_in[28],
+    la_data_in[27],
+    la_data_in[26],
+    la_data_in[25],
+    la_data_in[24],
+    la_data_in[23],
+    la_data_in[22],
+    la_data_in[21],
+    la_data_in[20],
+    la_data_in[19],
+    la_data_in[18],
+    la_data_in[17],
+    la_data_in[16],
+    la_data_in[15],
+    la_data_in[14],
+    la_data_in[13],
+    la_data_in[12],
+    la_data_in[11],
     la_data_in[10],
     la_data_in[9],
     la_data_in[8],
diff --git a/verilog/gl/wb_host.v b/verilog/gl/wb_host.v
index b336867..c0a40cc 100644
--- a/verilog/gl/wb_host.v
+++ b/verilog/gl/wb_host.v
@@ -1,4 +1,5 @@
 module wb_host (bist_rst_n,
+    io_in,
     lbist_clk_int,
     lbist_clk_out,
     scan_clk,
@@ -46,6 +47,7 @@
     wbs_dat_o,
     wbs_sel_o);
  output bist_rst_n;
+ input io_in;
  input lbist_clk_int;
  output lbist_clk_out;
  output scan_clk;
@@ -79,7 +81,7 @@
  input [3:0] cfg_cska_wh;
  output [37:0] io_oeb;
  output [37:0] io_out;
- input [11:0] la_data_in;
+ input [35:0] la_data_in;
  output [127:0] la_data_out;
  output [7:0] scan_in;
  input [7:0] scan_out;
@@ -93,21 +95,7 @@
  output [31:0] wbs_dat_o;
  output [3:0] wbs_sel_o;
 
- wire net202;
- wire net212;
- wire net213;
- wire net214;
- wire net215;
- wire net216;
- wire net217;
- wire net218;
- wire net219;
- wire net220;
- wire net221;
- wire net203;
- wire net222;
- wire net223;
- wire net224;
+ wire net417;
  wire net225;
  wire net226;
  wire net227;
@@ -115,36 +103,37 @@
  wire net229;
  wire net230;
  wire net231;
- wire net204;
  wire net232;
  wire net233;
  wire net234;
+ wire net216;
  wire net235;
  wire net236;
  wire net237;
  wire net238;
  wire net239;
- wire net205;
- wire net206;
- wire net207;
- wire net208;
- wire net209;
- wire net210;
- wire net211;
  wire net240;
+ wire net241;
+ wire net242;
+ wire net243;
+ wire net244;
+ wire net217;
+ wire net245;
+ wire net246;
+ wire net247;
+ wire net248;
+ wire net249;
  wire net250;
  wire net251;
  wire net252;
+ wire net218;
+ wire net219;
+ wire net220;
+ wire net221;
+ wire net222;
+ wire net223;
+ wire net224;
  wire net253;
- wire net254;
- wire net255;
- wire net256;
- wire net257;
- wire net258;
- wire net259;
- wire net241;
- wire net260;
- wire net261;
  wire net262;
  wire net263;
  wire net264;
@@ -153,7 +142,6 @@
  wire net267;
  wire net268;
  wire net269;
- wire net242;
  wire net270;
  wire net271;
  wire net272;
@@ -162,43 +150,11 @@
  wire net275;
  wire net276;
  wire net277;
- wire net243;
- wire net244;
- wire net245;
- wire net246;
- wire net247;
- wire net248;
- wire net249;
- wire net370;
- wire net371;
- wire net372;
- wire net373;
- wire net374;
- wire net375;
- wire net376;
- wire net377;
- wire net378;
- wire net379;
+ wire net278;
+ wire net279;
  wire net280;
- wire net380;
- wire net381;
- wire net382;
- wire net383;
- wire net384;
- wire net385;
- wire net386;
- wire net387;
- wire net388;
- wire net389;
  wire net281;
- wire net390;
- wire net391;
- wire net392;
- wire net393;
- wire net394;
- wire net395;
- wire net396;
- wire net397;
+ wire net254;
  wire net282;
  wire net283;
  wire net284;
@@ -207,10 +163,43 @@
  wire net287;
  wire net288;
  wire net289;
- wire net290;
- wire net291;
+ wire net255;
+ wire net256;
+ wire net257;
+ wire net258;
+ wire net259;
+ wire net260;
+ wire net261;
+ wire net382;
+ wire net383;
+ wire net384;
+ wire net385;
+ wire net386;
+ wire net387;
+ wire net388;
+ wire net389;
+ wire net390;
+ wire net391;
  wire net292;
+ wire net392;
+ wire net393;
+ wire net394;
+ wire net395;
+ wire net396;
+ wire net397;
+ wire net398;
+ wire net399;
+ wire net400;
+ wire net401;
  wire net293;
+ wire net402;
+ wire net403;
+ wire net404;
+ wire net405;
+ wire net406;
+ wire net407;
+ wire net408;
+ wire net409;
  wire net294;
  wire net295;
  wire net296;
@@ -277,7 +266,6 @@
  wire net357;
  wire net358;
  wire net359;
- wire net278;
  wire net360;
  wire net361;
  wire net362;
@@ -288,10 +276,23 @@
  wire net367;
  wire net368;
  wire net369;
- wire net279;
- wire net398;
- wire net399;
- wire net400;
+ wire net370;
+ wire net371;
+ wire net290;
+ wire net372;
+ wire net373;
+ wire net374;
+ wire net375;
+ wire net376;
+ wire net377;
+ wire net378;
+ wire net379;
+ wire net380;
+ wire net381;
+ wire net291;
+ wire net410;
+ wire net411;
+ wire net412;
  wire _0000_;
  wire _0001_;
  wire _0002_;
@@ -3523,15 +3524,829 @@
  wire _3228_;
  wire _3229_;
  wire _3230_;
+ wire _3231_;
+ wire _3232_;
+ wire _3233_;
+ wire _3234_;
+ wire _3235_;
+ wire _3236_;
+ wire _3237_;
+ wire _3238_;
+ wire _3239_;
+ wire _3240_;
+ wire _3241_;
+ wire _3242_;
+ wire _3243_;
+ wire _3244_;
+ wire _3245_;
+ wire _3246_;
+ wire _3247_;
+ wire _3248_;
+ wire _3249_;
+ wire _3250_;
+ wire _3251_;
+ wire _3252_;
+ wire _3253_;
+ wire _3254_;
+ wire _3255_;
+ wire _3256_;
+ wire _3257_;
+ wire _3258_;
+ wire _3259_;
+ wire _3260_;
+ wire _3261_;
+ wire _3262_;
+ wire _3263_;
+ wire _3264_;
+ wire _3265_;
+ wire _3266_;
+ wire _3267_;
+ wire _3268_;
+ wire _3269_;
+ wire _3270_;
+ wire _3271_;
+ wire _3272_;
+ wire _3273_;
+ wire _3274_;
+ wire _3275_;
+ wire _3276_;
+ wire _3277_;
+ wire _3278_;
+ wire _3279_;
+ wire _3280_;
+ wire _3281_;
+ wire _3282_;
+ wire _3283_;
+ wire _3284_;
+ wire _3285_;
+ wire _3286_;
+ wire _3287_;
+ wire _3288_;
+ wire _3289_;
+ wire _3290_;
+ wire _3291_;
+ wire _3292_;
+ wire _3293_;
+ wire _3294_;
+ wire _3295_;
+ wire _3296_;
+ wire _3297_;
+ wire _3298_;
+ wire _3299_;
+ wire _3300_;
+ wire _3301_;
+ wire _3302_;
+ wire _3303_;
+ wire _3304_;
+ wire _3305_;
+ wire _3306_;
+ wire _3307_;
+ wire _3308_;
+ wire _3309_;
+ wire _3310_;
+ wire _3311_;
+ wire _3312_;
+ wire _3313_;
+ wire _3314_;
+ wire _3315_;
+ wire _3316_;
+ wire _3317_;
+ wire _3318_;
+ wire _3319_;
+ wire _3320_;
+ wire _3321_;
+ wire _3322_;
+ wire _3323_;
+ wire _3324_;
+ wire _3325_;
+ wire _3326_;
+ wire _3327_;
+ wire _3328_;
+ wire _3329_;
+ wire _3330_;
+ wire _3331_;
+ wire _3332_;
+ wire _3333_;
+ wire _3334_;
+ wire _3335_;
+ wire _3336_;
+ wire _3337_;
+ wire _3338_;
+ wire _3339_;
+ wire _3340_;
+ wire _3341_;
+ wire _3342_;
+ wire _3343_;
+ wire _3344_;
+ wire _3345_;
+ wire _3346_;
+ wire _3347_;
+ wire _3348_;
+ wire _3349_;
+ wire _3350_;
+ wire _3351_;
+ wire _3352_;
+ wire _3353_;
+ wire _3354_;
+ wire _3355_;
+ wire _3356_;
+ wire _3357_;
+ wire _3358_;
+ wire _3359_;
+ wire _3360_;
+ wire _3361_;
+ wire _3362_;
+ wire _3363_;
+ wire _3364_;
+ wire _3365_;
+ wire _3366_;
+ wire _3367_;
+ wire _3368_;
+ wire _3369_;
+ wire _3370_;
+ wire _3371_;
+ wire _3372_;
+ wire _3373_;
+ wire _3374_;
+ wire _3375_;
+ wire _3376_;
+ wire _3377_;
+ wire _3378_;
+ wire _3379_;
+ wire _3380_;
+ wire _3381_;
+ wire _3382_;
+ wire _3383_;
+ wire _3384_;
+ wire _3385_;
+ wire _3386_;
+ wire _3387_;
+ wire _3388_;
+ wire _3389_;
+ wire _3390_;
+ wire _3391_;
+ wire _3392_;
+ wire _3393_;
+ wire _3394_;
+ wire _3395_;
+ wire _3396_;
+ wire _3397_;
+ wire _3398_;
+ wire _3399_;
+ wire _3400_;
+ wire _3401_;
+ wire _3402_;
+ wire _3403_;
+ wire _3404_;
+ wire _3405_;
+ wire _3406_;
+ wire _3407_;
+ wire _3408_;
+ wire _3409_;
+ wire _3410_;
+ wire _3411_;
+ wire _3412_;
+ wire _3413_;
+ wire _3414_;
+ wire _3415_;
+ wire _3416_;
+ wire _3417_;
+ wire _3418_;
+ wire _3419_;
+ wire _3420_;
+ wire _3421_;
+ wire _3422_;
+ wire _3423_;
+ wire _3424_;
+ wire _3425_;
+ wire _3426_;
+ wire _3427_;
+ wire _3428_;
+ wire _3429_;
+ wire _3430_;
+ wire _3431_;
+ wire _3432_;
+ wire _3433_;
+ wire _3434_;
+ wire _3435_;
+ wire _3436_;
+ wire _3437_;
+ wire _3438_;
+ wire _3439_;
+ wire _3440_;
+ wire _3441_;
+ wire _3442_;
+ wire _3443_;
+ wire _3444_;
+ wire _3445_;
+ wire _3446_;
+ wire _3447_;
+ wire _3448_;
+ wire _3449_;
+ wire _3450_;
+ wire _3451_;
+ wire _3452_;
+ wire _3453_;
+ wire _3454_;
+ wire _3455_;
+ wire _3456_;
+ wire _3457_;
+ wire _3458_;
+ wire _3459_;
+ wire _3460_;
+ wire _3461_;
+ wire _3462_;
+ wire _3463_;
+ wire _3464_;
+ wire _3465_;
+ wire _3466_;
+ wire _3467_;
+ wire _3468_;
+ wire _3469_;
+ wire _3470_;
+ wire _3471_;
+ wire _3472_;
+ wire _3473_;
+ wire _3474_;
+ wire _3475_;
+ wire _3476_;
+ wire _3477_;
+ wire _3478_;
+ wire _3479_;
+ wire _3480_;
+ wire _3481_;
+ wire _3482_;
+ wire _3483_;
+ wire _3484_;
+ wire _3485_;
+ wire _3486_;
+ wire _3487_;
+ wire _3488_;
+ wire _3489_;
+ wire _3490_;
+ wire _3491_;
+ wire _3492_;
+ wire _3493_;
+ wire _3494_;
+ wire _3495_;
+ wire _3496_;
+ wire _3497_;
+ wire _3498_;
+ wire _3499_;
+ wire _3500_;
+ wire _3501_;
+ wire _3502_;
+ wire _3503_;
+ wire _3504_;
+ wire _3505_;
+ wire _3506_;
+ wire _3507_;
+ wire _3508_;
+ wire _3509_;
+ wire _3510_;
+ wire _3511_;
+ wire _3512_;
+ wire _3513_;
+ wire _3514_;
+ wire _3515_;
+ wire _3516_;
+ wire _3517_;
+ wire _3518_;
+ wire _3519_;
+ wire _3520_;
+ wire _3521_;
+ wire _3522_;
+ wire _3523_;
+ wire _3524_;
+ wire _3525_;
+ wire _3526_;
+ wire _3527_;
+ wire _3528_;
+ wire _3529_;
+ wire _3530_;
+ wire _3531_;
+ wire _3532_;
+ wire _3533_;
+ wire _3534_;
+ wire _3535_;
+ wire _3536_;
+ wire _3537_;
+ wire _3538_;
+ wire _3539_;
+ wire _3540_;
+ wire _3541_;
+ wire _3542_;
+ wire _3543_;
+ wire _3544_;
+ wire _3545_;
+ wire _3546_;
+ wire _3547_;
+ wire _3548_;
+ wire _3549_;
+ wire _3550_;
+ wire _3551_;
+ wire _3552_;
+ wire _3553_;
+ wire _3554_;
+ wire _3555_;
+ wire _3556_;
+ wire _3557_;
+ wire _3558_;
+ wire _3559_;
+ wire _3560_;
+ wire _3561_;
+ wire _3562_;
+ wire _3563_;
+ wire _3564_;
+ wire _3565_;
+ wire _3566_;
+ wire _3567_;
+ wire _3568_;
+ wire _3569_;
+ wire _3570_;
+ wire _3571_;
+ wire _3572_;
+ wire _3573_;
+ wire _3574_;
+ wire _3575_;
+ wire _3576_;
+ wire _3577_;
+ wire _3578_;
+ wire _3579_;
+ wire _3580_;
+ wire _3581_;
+ wire _3582_;
+ wire _3583_;
+ wire _3584_;
+ wire _3585_;
+ wire _3586_;
+ wire _3587_;
+ wire _3588_;
+ wire _3589_;
+ wire _3590_;
+ wire _3591_;
+ wire _3592_;
+ wire _3593_;
+ wire _3594_;
+ wire _3595_;
+ wire _3596_;
+ wire _3597_;
+ wire _3598_;
+ wire _3599_;
+ wire _3600_;
+ wire _3601_;
+ wire _3602_;
+ wire _3603_;
+ wire _3604_;
+ wire _3605_;
+ wire _3606_;
+ wire _3607_;
+ wire _3608_;
+ wire _3609_;
+ wire _3610_;
+ wire _3611_;
+ wire _3612_;
+ wire _3613_;
+ wire _3614_;
+ wire _3615_;
+ wire _3616_;
+ wire _3617_;
+ wire _3618_;
+ wire _3619_;
+ wire _3620_;
+ wire _3621_;
+ wire _3622_;
+ wire _3623_;
+ wire _3624_;
+ wire _3625_;
+ wire _3626_;
+ wire _3627_;
+ wire _3628_;
+ wire _3629_;
+ wire _3630_;
+ wire _3631_;
+ wire _3632_;
+ wire _3633_;
+ wire _3634_;
+ wire _3635_;
+ wire _3636_;
+ wire _3637_;
+ wire _3638_;
+ wire _3639_;
+ wire _3640_;
+ wire _3641_;
+ wire _3642_;
+ wire _3643_;
+ wire _3644_;
+ wire _3645_;
+ wire _3646_;
+ wire _3647_;
+ wire _3648_;
+ wire _3649_;
+ wire _3650_;
+ wire _3651_;
+ wire _3652_;
+ wire _3653_;
+ wire _3654_;
+ wire _3655_;
+ wire _3656_;
+ wire _3657_;
+ wire _3658_;
+ wire _3659_;
+ wire _3660_;
+ wire _3661_;
+ wire _3662_;
+ wire _3663_;
+ wire _3664_;
+ wire _3665_;
+ wire _3666_;
+ wire _3667_;
+ wire _3668_;
+ wire _3669_;
+ wire _3670_;
+ wire _3671_;
+ wire _3672_;
+ wire _3673_;
+ wire _3674_;
+ wire _3675_;
+ wire _3676_;
+ wire _3677_;
+ wire _3678_;
+ wire _3679_;
+ wire _3680_;
+ wire _3681_;
+ wire _3682_;
+ wire _3683_;
+ wire _3684_;
+ wire _3685_;
+ wire _3686_;
+ wire _3687_;
+ wire _3688_;
+ wire _3689_;
+ wire _3690_;
+ wire _3691_;
+ wire _3692_;
+ wire _3693_;
+ wire _3694_;
+ wire _3695_;
+ wire _3696_;
+ wire _3697_;
+ wire _3698_;
+ wire _3699_;
+ wire _3700_;
+ wire _3701_;
+ wire _3702_;
+ wire _3703_;
+ wire _3704_;
+ wire _3705_;
+ wire _3706_;
+ wire _3707_;
+ wire _3708_;
+ wire _3709_;
+ wire _3710_;
+ wire _3711_;
+ wire _3712_;
+ wire _3713_;
+ wire _3714_;
+ wire _3715_;
+ wire _3716_;
+ wire _3717_;
+ wire _3718_;
+ wire _3719_;
+ wire _3720_;
+ wire _3721_;
+ wire _3722_;
+ wire _3723_;
+ wire _3724_;
+ wire _3725_;
+ wire _3726_;
+ wire _3727_;
+ wire _3728_;
+ wire _3729_;
+ wire _3730_;
+ wire _3731_;
+ wire _3732_;
+ wire _3733_;
+ wire _3734_;
+ wire _3735_;
+ wire _3736_;
+ wire _3737_;
+ wire _3738_;
+ wire _3739_;
+ wire _3740_;
+ wire _3741_;
+ wire _3742_;
+ wire _3743_;
+ wire _3744_;
+ wire _3745_;
+ wire _3746_;
+ wire _3747_;
+ wire _3748_;
+ wire _3749_;
+ wire _3750_;
+ wire _3751_;
+ wire _3752_;
+ wire _3753_;
+ wire _3754_;
+ wire _3755_;
+ wire _3756_;
+ wire _3757_;
+ wire _3758_;
+ wire _3759_;
+ wire _3760_;
+ wire _3761_;
+ wire _3762_;
+ wire _3763_;
+ wire _3764_;
+ wire _3765_;
+ wire _3766_;
+ wire _3767_;
+ wire _3768_;
+ wire _3769_;
+ wire _3770_;
+ wire _3771_;
+ wire _3772_;
+ wire _3773_;
+ wire _3774_;
+ wire _3775_;
+ wire _3776_;
+ wire _3777_;
+ wire _3778_;
+ wire _3779_;
+ wire _3780_;
+ wire _3781_;
+ wire _3782_;
+ wire _3783_;
+ wire _3784_;
+ wire _3785_;
+ wire _3786_;
+ wire _3787_;
+ wire _3788_;
+ wire _3789_;
+ wire _3790_;
+ wire _3791_;
+ wire _3792_;
+ wire _3793_;
+ wire _3794_;
+ wire _3795_;
+ wire _3796_;
+ wire _3797_;
+ wire _3798_;
+ wire _3799_;
+ wire _3800_;
+ wire _3801_;
+ wire _3802_;
+ wire _3803_;
+ wire _3804_;
+ wire _3805_;
+ wire _3806_;
+ wire _3807_;
+ wire _3808_;
+ wire _3809_;
+ wire _3810_;
+ wire _3811_;
+ wire _3812_;
+ wire _3813_;
+ wire _3814_;
+ wire _3815_;
+ wire _3816_;
+ wire _3817_;
+ wire _3818_;
+ wire _3819_;
+ wire _3820_;
+ wire _3821_;
+ wire _3822_;
+ wire _3823_;
+ wire _3824_;
+ wire _3825_;
+ wire _3826_;
+ wire _3827_;
+ wire _3828_;
+ wire _3829_;
+ wire _3830_;
+ wire _3831_;
+ wire _3832_;
+ wire _3833_;
+ wire _3834_;
+ wire _3835_;
+ wire _3836_;
+ wire _3837_;
+ wire _3838_;
+ wire _3839_;
+ wire _3840_;
+ wire _3841_;
+ wire _3842_;
+ wire _3843_;
+ wire _3844_;
+ wire _3845_;
+ wire _3846_;
+ wire _3847_;
+ wire _3848_;
+ wire _3849_;
+ wire _3850_;
+ wire _3851_;
+ wire _3852_;
+ wire _3853_;
+ wire _3854_;
+ wire _3855_;
+ wire _3856_;
+ wire _3857_;
+ wire _3858_;
+ wire _3859_;
+ wire _3860_;
+ wire _3861_;
+ wire _3862_;
+ wire _3863_;
+ wire _3864_;
+ wire _3865_;
+ wire _3866_;
+ wire _3867_;
+ wire _3868_;
+ wire _3869_;
+ wire _3870_;
+ wire _3871_;
+ wire _3872_;
+ wire _3873_;
+ wire _3874_;
+ wire _3875_;
+ wire _3876_;
+ wire _3877_;
+ wire _3878_;
+ wire _3879_;
+ wire _3880_;
+ wire _3881_;
+ wire _3882_;
+ wire _3883_;
+ wire _3884_;
+ wire _3885_;
+ wire _3886_;
+ wire _3887_;
+ wire _3888_;
+ wire _3889_;
+ wire _3890_;
+ wire _3891_;
+ wire _3892_;
+ wire _3893_;
+ wire _3894_;
+ wire _3895_;
+ wire _3896_;
+ wire _3897_;
+ wire _3898_;
+ wire _3899_;
+ wire _3900_;
+ wire _3901_;
+ wire _3902_;
+ wire _3903_;
+ wire _3904_;
+ wire _3905_;
+ wire _3906_;
+ wire _3907_;
+ wire _3908_;
+ wire _3909_;
+ wire _3910_;
+ wire _3911_;
+ wire _3912_;
+ wire _3913_;
+ wire _3914_;
+ wire _3915_;
+ wire _3916_;
+ wire _3917_;
+ wire _3918_;
+ wire _3919_;
+ wire _3920_;
+ wire _3921_;
+ wire _3922_;
+ wire _3923_;
+ wire _3924_;
+ wire _3925_;
+ wire _3926_;
+ wire _3927_;
+ wire _3928_;
+ wire _3929_;
+ wire _3930_;
+ wire _3931_;
+ wire _3932_;
+ wire _3933_;
+ wire _3934_;
+ wire _3935_;
+ wire _3936_;
+ wire _3937_;
+ wire _3938_;
+ wire _3939_;
+ wire _3940_;
+ wire _3941_;
+ wire _3942_;
+ wire _3943_;
+ wire _3944_;
+ wire _3945_;
+ wire _3946_;
+ wire _3947_;
+ wire _3948_;
+ wire _3949_;
+ wire _3950_;
+ wire _3951_;
+ wire _3952_;
+ wire _3953_;
+ wire _3954_;
+ wire _3955_;
+ wire _3956_;
+ wire _3957_;
+ wire _3958_;
+ wire _3959_;
+ wire _3960_;
+ wire _3961_;
+ wire _3962_;
+ wire _3963_;
+ wire _3964_;
+ wire _3965_;
+ wire _3966_;
+ wire _3967_;
+ wire _3968_;
+ wire _3969_;
+ wire _3970_;
+ wire _3971_;
+ wire _3972_;
+ wire _3973_;
+ wire _3974_;
+ wire _3975_;
+ wire _3976_;
+ wire _3977_;
+ wire _3978_;
+ wire _3979_;
+ wire _3980_;
+ wire _3981_;
+ wire _3982_;
+ wire _3983_;
+ wire _3984_;
+ wire _3985_;
+ wire _3986_;
+ wire _3987_;
+ wire _3988_;
+ wire _3989_;
+ wire _3990_;
+ wire _3991_;
+ wire _3992_;
+ wire _3993_;
+ wire _3994_;
+ wire _3995_;
+ wire _3996_;
+ wire _3997_;
+ wire _3998_;
+ wire _3999_;
+ wire _4000_;
+ wire _4001_;
+ wire _4002_;
+ wire _4003_;
+ wire _4004_;
+ wire _4005_;
+ wire _4006_;
+ wire _4007_;
+ wire _4008_;
+ wire _4009_;
+ wire _4010_;
+ wire _4011_;
+ wire _4012_;
+ wire _4013_;
+ wire _4014_;
+ wire _4015_;
+ wire _4016_;
+ wire _4017_;
+ wire _4018_;
+ wire _4019_;
+ wire _4020_;
+ wire _4021_;
+ wire _4022_;
+ wire _4023_;
+ wire _4024_;
+ wire _4025_;
+ wire _4026_;
+ wire _4027_;
+ wire _4028_;
+ wire _4029_;
+ wire _4030_;
+ wire _4031_;
+ wire _4032_;
+ wire _4033_;
+ wire _4034_;
+ wire _4035_;
+ wire _4036_;
+ wire _4037_;
+ wire _4038_;
+ wire _4039_;
  wire clknet_0_lbist_clk_int;
+ wire \clknet_0_u_uart2wb.baud_clk_16x ;
  wire clknet_0_wbm_clk_i;
  wire clknet_0_wbs_clk_i;
  wire clknet_1_0_0_lbist_clk_int;
+ wire \clknet_1_0_0_u_uart2wb.baud_clk_16x ;
  wire clknet_1_0_0_wbm_clk_i;
  wire clknet_1_0_0_wbs_clk_i;
+ wire \clknet_1_0_1_u_uart2wb.baud_clk_16x ;
  wire clknet_1_1_0_lbist_clk_int;
+ wire \clknet_1_1_0_u_uart2wb.baud_clk_16x ;
  wire clknet_1_1_0_wbm_clk_i;
  wire clknet_1_1_0_wbs_clk_i;
+ wire \clknet_1_1_1_u_uart2wb.baud_clk_16x ;
  wire clknet_2_0_0_lbist_clk_int;
  wire clknet_2_0_0_wbm_clk_i;
  wire clknet_2_0_0_wbs_clk_i;
@@ -3545,20 +4360,28 @@
  wire clknet_2_3_0_wbm_clk_i;
  wire clknet_2_3_0_wbs_clk_i;
  wire clknet_3_0_0_lbist_clk_int;
+ wire clknet_3_0_0_wbm_clk_i;
  wire clknet_3_0_0_wbs_clk_i;
  wire clknet_3_1_0_lbist_clk_int;
+ wire clknet_3_1_0_wbm_clk_i;
  wire clknet_3_1_0_wbs_clk_i;
  wire clknet_3_2_0_lbist_clk_int;
+ wire clknet_3_2_0_wbm_clk_i;
  wire clknet_3_2_0_wbs_clk_i;
  wire clknet_3_3_0_lbist_clk_int;
+ wire clknet_3_3_0_wbm_clk_i;
  wire clknet_3_3_0_wbs_clk_i;
  wire clknet_3_4_0_lbist_clk_int;
+ wire clknet_3_4_0_wbm_clk_i;
  wire clknet_3_4_0_wbs_clk_i;
  wire clknet_3_5_0_lbist_clk_int;
+ wire clknet_3_5_0_wbm_clk_i;
  wire clknet_3_5_0_wbs_clk_i;
  wire clknet_3_6_0_lbist_clk_int;
+ wire clknet_3_6_0_wbm_clk_i;
  wire clknet_3_6_0_wbs_clk_i;
  wire clknet_3_7_0_lbist_clk_int;
+ wire clknet_3_7_0_wbm_clk_i;
  wire clknet_3_7_0_wbs_clk_i;
  wire clknet_4_0_0_lbist_clk_int;
  wire clknet_4_10_0_lbist_clk_int;
@@ -3576,10 +4399,15 @@
  wire clknet_4_7_0_lbist_clk_int;
  wire clknet_4_8_0_lbist_clk_int;
  wire clknet_4_9_0_lbist_clk_int;
+ wire \clknet_leaf_0_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_0_wbm_clk_i;
+ wire \clknet_leaf_10_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_10_wbm_clk_i;
+ wire \clknet_leaf_11_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_11_wbm_clk_i;
+ wire \clknet_leaf_12_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_12_wbm_clk_i;
+ wire \clknet_leaf_13_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_13_wbm_clk_i;
  wire clknet_leaf_14_wbm_clk_i;
  wire clknet_leaf_15_wbm_clk_i;
@@ -3587,6 +4415,7 @@
  wire clknet_leaf_17_wbm_clk_i;
  wire clknet_leaf_18_wbm_clk_i;
  wire clknet_leaf_19_wbm_clk_i;
+ wire \clknet_leaf_1_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_1_wbm_clk_i;
  wire clknet_leaf_20_wbm_clk_i;
  wire clknet_leaf_21_wbm_clk_i;
@@ -3598,6 +4427,7 @@
  wire clknet_leaf_27_wbm_clk_i;
  wire clknet_leaf_28_wbm_clk_i;
  wire clknet_leaf_29_wbm_clk_i;
+ wire \clknet_leaf_2_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_2_wbm_clk_i;
  wire clknet_leaf_30_wbm_clk_i;
  wire clknet_leaf_31_wbm_clk_i;
@@ -3609,23 +4439,39 @@
  wire clknet_leaf_37_wbm_clk_i;
  wire clknet_leaf_38_wbm_clk_i;
  wire clknet_leaf_39_wbm_clk_i;
+ wire \clknet_leaf_3_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_3_wbm_clk_i;
  wire clknet_leaf_40_wbm_clk_i;
  wire clknet_leaf_41_wbm_clk_i;
  wire clknet_leaf_42_wbm_clk_i;
- wire clknet_leaf_43_wbm_clk_i;
  wire clknet_leaf_44_wbm_clk_i;
  wire clknet_leaf_45_wbm_clk_i;
  wire clknet_leaf_46_wbm_clk_i;
  wire clknet_leaf_47_wbm_clk_i;
  wire clknet_leaf_48_wbm_clk_i;
  wire clknet_leaf_49_wbm_clk_i;
+ wire \clknet_leaf_4_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_4_wbm_clk_i;
  wire clknet_leaf_50_wbm_clk_i;
+ wire clknet_leaf_51_wbm_clk_i;
+ wire clknet_leaf_52_wbm_clk_i;
+ wire clknet_leaf_53_wbm_clk_i;
+ wire clknet_leaf_54_wbm_clk_i;
+ wire clknet_leaf_55_wbm_clk_i;
+ wire clknet_leaf_56_wbm_clk_i;
+ wire clknet_leaf_57_wbm_clk_i;
+ wire clknet_leaf_58_wbm_clk_i;
+ wire clknet_leaf_59_wbm_clk_i;
+ wire \clknet_leaf_5_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_5_wbm_clk_i;
+ wire clknet_leaf_60_wbm_clk_i;
+ wire \clknet_leaf_6_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_6_wbm_clk_i;
+ wire \clknet_leaf_7_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_7_wbm_clk_i;
+ wire \clknet_leaf_8_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_8_wbm_clk_i;
+ wire \clknet_leaf_9_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_9_wbm_clk_i;
  wire clknet_opt_1_0_wbm_clk_i;
  wire net1;
@@ -3743,7 +4589,21 @@
  wire net20;
  wire net200;
  wire net201;
+ wire net202;
+ wire net203;
+ wire net204;
+ wire net205;
+ wire net206;
+ wire net207;
+ wire net208;
+ wire net209;
  wire net21;
+ wire net210;
+ wire net211;
+ wire net212;
+ wire net213;
+ wire net214;
+ wire net215;
  wire net22;
  wire net23;
  wire net24;
@@ -3765,24 +4625,11 @@
  wire net39;
  wire net4;
  wire net40;
- wire net401;
- wire net402;
- wire net403;
- wire net404;
- wire net405;
- wire net406;
- wire net407;
- wire net408;
- wire net409;
  wire net41;
- wire net410;
- wire net411;
- wire net412;
  wire net413;
  wire net414;
  wire net415;
  wire net416;
- wire net417;
  wire net418;
  wire net419;
  wire net42;
@@ -3824,7 +4671,13 @@
  wire net452;
  wire net453;
  wire net454;
+ wire net455;
+ wire net456;
+ wire net457;
+ wire net458;
+ wire net459;
  wire net46;
+ wire net460;
  wire net47;
  wire net48;
  wire net49;
@@ -3883,7 +4736,71 @@
  wire net97;
  wire net98;
  wire net99;
+ wire \u_arb.rstn ;
+ wire \u_arb.state[0] ;
+ wire \u_arb.state[1] ;
  wire \u_async_wb.PendingRd ;
+ wire \u_async_wb.m_cmd_wr_data[10] ;
+ wire \u_async_wb.m_cmd_wr_data[11] ;
+ wire \u_async_wb.m_cmd_wr_data[12] ;
+ wire \u_async_wb.m_cmd_wr_data[13] ;
+ wire \u_async_wb.m_cmd_wr_data[14] ;
+ wire \u_async_wb.m_cmd_wr_data[15] ;
+ wire \u_async_wb.m_cmd_wr_data[16] ;
+ wire \u_async_wb.m_cmd_wr_data[17] ;
+ wire \u_async_wb.m_cmd_wr_data[18] ;
+ wire \u_async_wb.m_cmd_wr_data[19] ;
+ wire \u_async_wb.m_cmd_wr_data[20] ;
+ wire \u_async_wb.m_cmd_wr_data[21] ;
+ wire \u_async_wb.m_cmd_wr_data[22] ;
+ wire \u_async_wb.m_cmd_wr_data[23] ;
+ wire \u_async_wb.m_cmd_wr_data[24] ;
+ wire \u_async_wb.m_cmd_wr_data[25] ;
+ wire \u_async_wb.m_cmd_wr_data[26] ;
+ wire \u_async_wb.m_cmd_wr_data[27] ;
+ wire \u_async_wb.m_cmd_wr_data[28] ;
+ wire \u_async_wb.m_cmd_wr_data[29] ;
+ wire \u_async_wb.m_cmd_wr_data[30] ;
+ wire \u_async_wb.m_cmd_wr_data[31] ;
+ wire \u_async_wb.m_cmd_wr_data[32] ;
+ wire \u_async_wb.m_cmd_wr_data[33] ;
+ wire \u_async_wb.m_cmd_wr_data[34] ;
+ wire \u_async_wb.m_cmd_wr_data[35] ;
+ wire \u_async_wb.m_cmd_wr_data[36] ;
+ wire \u_async_wb.m_cmd_wr_data[37] ;
+ wire \u_async_wb.m_cmd_wr_data[38] ;
+ wire \u_async_wb.m_cmd_wr_data[39] ;
+ wire \u_async_wb.m_cmd_wr_data[40] ;
+ wire \u_async_wb.m_cmd_wr_data[41] ;
+ wire \u_async_wb.m_cmd_wr_data[42] ;
+ wire \u_async_wb.m_cmd_wr_data[43] ;
+ wire \u_async_wb.m_cmd_wr_data[44] ;
+ wire \u_async_wb.m_cmd_wr_data[45] ;
+ wire \u_async_wb.m_cmd_wr_data[46] ;
+ wire \u_async_wb.m_cmd_wr_data[47] ;
+ wire \u_async_wb.m_cmd_wr_data[48] ;
+ wire \u_async_wb.m_cmd_wr_data[49] ;
+ wire \u_async_wb.m_cmd_wr_data[4] ;
+ wire \u_async_wb.m_cmd_wr_data[50] ;
+ wire \u_async_wb.m_cmd_wr_data[51] ;
+ wire \u_async_wb.m_cmd_wr_data[52] ;
+ wire \u_async_wb.m_cmd_wr_data[53] ;
+ wire \u_async_wb.m_cmd_wr_data[54] ;
+ wire \u_async_wb.m_cmd_wr_data[55] ;
+ wire \u_async_wb.m_cmd_wr_data[56] ;
+ wire \u_async_wb.m_cmd_wr_data[57] ;
+ wire \u_async_wb.m_cmd_wr_data[58] ;
+ wire \u_async_wb.m_cmd_wr_data[59] ;
+ wire \u_async_wb.m_cmd_wr_data[5] ;
+ wire \u_async_wb.m_cmd_wr_data[60] ;
+ wire \u_async_wb.m_cmd_wr_data[61] ;
+ wire \u_async_wb.m_cmd_wr_data[62] ;
+ wire \u_async_wb.m_cmd_wr_data[63] ;
+ wire \u_async_wb.m_cmd_wr_data[64] ;
+ wire \u_async_wb.m_cmd_wr_data[6] ;
+ wire \u_async_wb.m_cmd_wr_data[7] ;
+ wire \u_async_wb.m_cmd_wr_data[8] ;
+ wire \u_async_wb.m_cmd_wr_data[9] ;
  wire \u_async_wb.m_cmd_wr_en ;
  wire \u_async_wb.m_resp_rd_data[0] ;
  wire \u_async_wb.m_resp_rd_data[10] ;
@@ -4187,6 +5104,7 @@
  wire \u_async_wb.u_cmd_if.mem[3][9] ;
  wire \u_async_wb.u_cmd_if.rd_ptr[0] ;
  wire \u_async_wb.u_cmd_if.rd_ptr[1] ;
+ wire \u_async_wb.u_cmd_if.rd_reset_n ;
  wire \u_async_wb.u_cmd_if.sync_rd_ptr[2] ;
  wire \u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ;
  wire \u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ;
@@ -4317,14 +5235,6 @@
  wire \u_async_wb.u_resp_if.wr_ptr[0] ;
  wire \u_async_wb.u_resp_if.wr_ptr[1] ;
  wire \u_async_wb.wbs_ack_f ;
- wire \u_bank_sel.gen_bit_reg[0].u_bit_reg.data_out ;
- wire \u_bank_sel.gen_bit_reg[1].u_bit_reg.data_out ;
- wire \u_bank_sel.gen_bit_reg[2].u_bit_reg.data_out ;
- wire \u_bank_sel.gen_bit_reg[3].u_bit_reg.data_out ;
- wire \u_bank_sel.gen_bit_reg[4].u_bit_reg.data_out ;
- wire \u_bank_sel.gen_bit_reg[5].u_bit_reg.data_out ;
- wire \u_bank_sel.gen_bit_reg[6].u_bit_reg.data_out ;
- wire \u_bank_sel.gen_bit_reg[7].u_bit_reg.data_out ;
  wire \u_bist_rst_scan_sel.A0 ;
  wire \u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ;
  wire \u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ;
@@ -4390,6 +5300,7 @@
  wire \u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ;
  wire \u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ;
  wire \u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ;
+ wire \u_delay1_stb0.A ;
  wire \u_delay1_stb0.X ;
  wire \u_delay2_stb1.X ;
  wire \u_delay2_stb2.X ;
@@ -4732,6 +5643,328 @@
  wire \u_skew_wh.d13 ;
  wire \u_skew_wh.d20 ;
  wire \u_skew_wh.d21 ;
+ wire \u_uart2wb.baud_clk_16x ;
+ wire \u_uart2wb.line_reset_n ;
+ wire \u_uart2wb.reg_ack ;
+ wire \u_uart2wb.reg_addr[0] ;
+ wire \u_uart2wb.reg_addr[10] ;
+ wire \u_uart2wb.reg_addr[11] ;
+ wire \u_uart2wb.reg_addr[12] ;
+ wire \u_uart2wb.reg_addr[13] ;
+ wire \u_uart2wb.reg_addr[14] ;
+ wire \u_uart2wb.reg_addr[15] ;
+ wire \u_uart2wb.reg_addr[16] ;
+ wire \u_uart2wb.reg_addr[17] ;
+ wire \u_uart2wb.reg_addr[18] ;
+ wire \u_uart2wb.reg_addr[19] ;
+ wire \u_uart2wb.reg_addr[1] ;
+ wire \u_uart2wb.reg_addr[22] ;
+ wire \u_uart2wb.reg_addr[23] ;
+ wire \u_uart2wb.reg_addr[2] ;
+ wire \u_uart2wb.reg_addr[3] ;
+ wire \u_uart2wb.reg_addr[4] ;
+ wire \u_uart2wb.reg_addr[5] ;
+ wire \u_uart2wb.reg_addr[6] ;
+ wire \u_uart2wb.reg_addr[7] ;
+ wire \u_uart2wb.reg_addr[8] ;
+ wire \u_uart2wb.reg_addr[9] ;
+ wire \u_uart2wb.reg_rdata[0] ;
+ wire \u_uart2wb.reg_rdata[10] ;
+ wire \u_uart2wb.reg_rdata[11] ;
+ wire \u_uart2wb.reg_rdata[12] ;
+ wire \u_uart2wb.reg_rdata[13] ;
+ wire \u_uart2wb.reg_rdata[14] ;
+ wire \u_uart2wb.reg_rdata[15] ;
+ wire \u_uart2wb.reg_rdata[16] ;
+ wire \u_uart2wb.reg_rdata[17] ;
+ wire \u_uart2wb.reg_rdata[18] ;
+ wire \u_uart2wb.reg_rdata[19] ;
+ wire \u_uart2wb.reg_rdata[1] ;
+ wire \u_uart2wb.reg_rdata[20] ;
+ wire \u_uart2wb.reg_rdata[21] ;
+ wire \u_uart2wb.reg_rdata[22] ;
+ wire \u_uart2wb.reg_rdata[23] ;
+ wire \u_uart2wb.reg_rdata[24] ;
+ wire \u_uart2wb.reg_rdata[25] ;
+ wire \u_uart2wb.reg_rdata[26] ;
+ wire \u_uart2wb.reg_rdata[27] ;
+ wire \u_uart2wb.reg_rdata[28] ;
+ wire \u_uart2wb.reg_rdata[29] ;
+ wire \u_uart2wb.reg_rdata[2] ;
+ wire \u_uart2wb.reg_rdata[30] ;
+ wire \u_uart2wb.reg_rdata[31] ;
+ wire \u_uart2wb.reg_rdata[3] ;
+ wire \u_uart2wb.reg_rdata[4] ;
+ wire \u_uart2wb.reg_rdata[5] ;
+ wire \u_uart2wb.reg_rdata[6] ;
+ wire \u_uart2wb.reg_rdata[7] ;
+ wire \u_uart2wb.reg_rdata[8] ;
+ wire \u_uart2wb.reg_rdata[9] ;
+ wire \u_uart2wb.reg_req ;
+ wire \u_uart2wb.reg_wdata[0] ;
+ wire \u_uart2wb.reg_wdata[10] ;
+ wire \u_uart2wb.reg_wdata[11] ;
+ wire \u_uart2wb.reg_wdata[12] ;
+ wire \u_uart2wb.reg_wdata[13] ;
+ wire \u_uart2wb.reg_wdata[14] ;
+ wire \u_uart2wb.reg_wdata[15] ;
+ wire \u_uart2wb.reg_wdata[16] ;
+ wire \u_uart2wb.reg_wdata[17] ;
+ wire \u_uart2wb.reg_wdata[18] ;
+ wire \u_uart2wb.reg_wdata[19] ;
+ wire \u_uart2wb.reg_wdata[1] ;
+ wire \u_uart2wb.reg_wdata[20] ;
+ wire \u_uart2wb.reg_wdata[21] ;
+ wire \u_uart2wb.reg_wdata[22] ;
+ wire \u_uart2wb.reg_wdata[23] ;
+ wire \u_uart2wb.reg_wdata[24] ;
+ wire \u_uart2wb.reg_wdata[25] ;
+ wire \u_uart2wb.reg_wdata[26] ;
+ wire \u_uart2wb.reg_wdata[27] ;
+ wire \u_uart2wb.reg_wdata[28] ;
+ wire \u_uart2wb.reg_wdata[29] ;
+ wire \u_uart2wb.reg_wdata[2] ;
+ wire \u_uart2wb.reg_wdata[30] ;
+ wire \u_uart2wb.reg_wdata[31] ;
+ wire \u_uart2wb.reg_wdata[3] ;
+ wire \u_uart2wb.reg_wdata[4] ;
+ wire \u_uart2wb.reg_wdata[5] ;
+ wire \u_uart2wb.reg_wdata[6] ;
+ wire \u_uart2wb.reg_wdata[7] ;
+ wire \u_uart2wb.reg_wdata[8] ;
+ wire \u_uart2wb.reg_wdata[9] ;
+ wire \u_uart2wb.reg_wr ;
+ wire \u_uart2wb.rx_data[0] ;
+ wire \u_uart2wb.rx_data[1] ;
+ wire \u_uart2wb.rx_data[2] ;
+ wire \u_uart2wb.rx_data[3] ;
+ wire \u_uart2wb.rx_data[4] ;
+ wire \u_uart2wb.rx_data[5] ;
+ wire \u_uart2wb.rx_data[6] ;
+ wire \u_uart2wb.rx_data[7] ;
+ wire \u_uart2wb.rx_wr ;
+ wire \u_uart2wb.tx_data[0] ;
+ wire \u_uart2wb.tx_data[1] ;
+ wire \u_uart2wb.tx_data[2] ;
+ wire \u_uart2wb.tx_data[3] ;
+ wire \u_uart2wb.tx_data[4] ;
+ wire \u_uart2wb.tx_data[5] ;
+ wire \u_uart2wb.tx_data[6] ;
+ wire \u_uart2wb.tx_data_avail ;
+ wire \u_uart2wb.tx_rd ;
+ wire \u_uart2wb.txd ;
+ wire \u_uart2wb.u_async_reg_bus.in_flag ;
+ wire \u_uart2wb.u_async_reg_bus.in_flag_s ;
+ wire \u_uart2wb.u_async_reg_bus.in_flag_ss ;
+ wire \u_uart2wb.u_async_reg_bus.in_state[0] ;
+ wire \u_uart2wb.u_async_reg_bus.in_state[1] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[0] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[1] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[2] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[3] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[4] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[5] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[6] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[7] ;
+ wire \u_uart2wb.u_async_reg_bus.in_timer[8] ;
+ wire \u_uart2wb.u_async_reg_bus.out_flag ;
+ wire \u_uart2wb.u_async_reg_bus.out_flag_s ;
+ wire \u_uart2wb.u_async_reg_bus.out_flag_ss ;
+ wire \u_uart2wb.u_async_reg_bus.out_reg_cs ;
+ wire \u_uart2wb.u_async_reg_bus.out_state[0] ;
+ wire \u_uart2wb.u_async_reg_bus.out_state[1] ;
+ wire \u_uart2wb.u_core.line_clk_16x ;
+ wire \u_uart2wb.u_core.si_ss ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[0] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[10] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[11] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[1] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[2] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[3] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[4] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[5] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[6] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[7] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[8] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.high_count[9] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[0] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[10] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[11] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[1] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[2] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[3] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[4] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[5] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[6] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[7] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[8] ;
+ wire \u_uart2wb.u_core.u_clk_ctl.low_count[9] ;
+ wire \u_uart2wb.u_core.u_line_rst.in_data_s ;
+ wire \u_uart2wb.u_core.u_rxd_sync.in_data_2s ;
+ wire \u_uart2wb.u_core.u_rxd_sync.in_data_s ;
+ wire \u_uart2wb.u_core.u_rxfsm.cnt[0] ;
+ wire \u_uart2wb.u_core.u_rxfsm.cnt[1] ;
+ wire \u_uart2wb.u_core.u_rxfsm.cnt[2] ;
+ wire \u_uart2wb.u_core.u_rxfsm.offset[0] ;
+ wire \u_uart2wb.u_core.u_rxfsm.offset[1] ;
+ wire \u_uart2wb.u_core.u_rxfsm.offset[2] ;
+ wire \u_uart2wb.u_core.u_rxfsm.offset[3] ;
+ wire \u_uart2wb.u_core.u_rxfsm.rxpos[0] ;
+ wire \u_uart2wb.u_core.u_rxfsm.rxpos[1] ;
+ wire \u_uart2wb.u_core.u_rxfsm.rxpos[2] ;
+ wire \u_uart2wb.u_core.u_rxfsm.rxpos[3] ;
+ wire \u_uart2wb.u_core.u_rxfsm.rxstate[0] ;
+ wire \u_uart2wb.u_core.u_rxfsm.rxstate[1] ;
+ wire \u_uart2wb.u_core.u_rxfsm.rxstate[2] ;
+ wire \u_uart2wb.u_core.u_txfsm.cnt[0] ;
+ wire \u_uart2wb.u_core.u_txfsm.cnt[1] ;
+ wire \u_uart2wb.u_core.u_txfsm.cnt[2] ;
+ wire \u_uart2wb.u_core.u_txfsm.divcnt[0] ;
+ wire \u_uart2wb.u_core.u_txfsm.divcnt[1] ;
+ wire \u_uart2wb.u_core.u_txfsm.divcnt[2] ;
+ wire \u_uart2wb.u_core.u_txfsm.divcnt[3] ;
+ wire \u_uart2wb.u_core.u_txfsm.txdata[0] ;
+ wire \u_uart2wb.u_core.u_txfsm.txdata[1] ;
+ wire \u_uart2wb.u_core.u_txfsm.txdata[2] ;
+ wire \u_uart2wb.u_core.u_txfsm.txdata[3] ;
+ wire \u_uart2wb.u_core.u_txfsm.txdata[4] ;
+ wire \u_uart2wb.u_core.u_txfsm.txdata[5] ;
+ wire \u_uart2wb.u_core.u_txfsm.txdata[6] ;
+ wire \u_uart2wb.u_core.u_txfsm.txstate[0] ;
+ wire \u_uart2wb.u_core.u_txfsm.txstate[1] ;
+ wire \u_uart2wb.u_core.u_txfsm.txstate[2] ;
+ wire \u_uart2wb.u_core.u_txfsm.txstate[3] ;
+ wire \u_uart2wb.u_core.u_txfsm.txstate[4] ;
+ wire \u_uart2wb.u_msg.NextState[0] ;
+ wire \u_uart2wb.u_msg.NextState[1] ;
+ wire \u_uart2wb.u_msg.NextState[3] ;
+ wire \u_uart2wb.u_msg.RxMsgCnt[0] ;
+ wire \u_uart2wb.u_msg.RxMsgCnt[1] ;
+ wire \u_uart2wb.u_msg.RxMsgCnt[2] ;
+ wire \u_uart2wb.u_msg.RxMsgCnt[3] ;
+ wire \u_uart2wb.u_msg.RxMsgCnt[4] ;
+ wire \u_uart2wb.u_msg.State[0] ;
+ wire \u_uart2wb.u_msg.State[1] ;
+ wire \u_uart2wb.u_msg.State[2] ;
+ wire \u_uart2wb.u_msg.State[3] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[100] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[101] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[102] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[104] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[105] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[106] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[107] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[108] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[109] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[110] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[112] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[113] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[114] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[115] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[116] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[117] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[118] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[11] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[120] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[121] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[122] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[123] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[124] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[125] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[126] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[12] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[13] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[17] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[18] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[20] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[21] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[24] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[25] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[26] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[28] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[29] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[32] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[33] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[34] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[35] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[36] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[37] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[38] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[40] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[41] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[42] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[43] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[44] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[45] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[46] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[48] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[49] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[50] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[51] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[52] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[53] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[54] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[56] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[57] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[58] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[59] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[5] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[60] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[61] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[62] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[64] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[65] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[66] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[67] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[68] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[69] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[70] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[72] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[73] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[74] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[75] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[76] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[77] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[78] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[80] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[81] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[82] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[83] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[84] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[85] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[86] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[88] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[89] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[90] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[91] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[92] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[93] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[94] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[96] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[97] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[98] ;
+ wire \u_uart2wb.u_msg.TxMsgBuf[99] ;
+ wire \u_uart2wb.u_msg.TxMsgSize[0] ;
+ wire \u_uart2wb.u_msg.TxMsgSize[1] ;
+ wire \u_uart2wb.u_msg.TxMsgSize[2] ;
+ wire \u_uart2wb.u_msg.TxMsgSize[3] ;
+ wire \u_uart2wb.u_msg.TxMsgSize[4] ;
+ wire \u_uart2wb.u_msg.cmd[0] ;
+ wire \u_uart2wb.u_msg.cmd[10] ;
+ wire \u_uart2wb.u_msg.cmd[11] ;
+ wire \u_uart2wb.u_msg.cmd[12] ;
+ wire \u_uart2wb.u_msg.cmd[13] ;
+ wire \u_uart2wb.u_msg.cmd[14] ;
+ wire \u_uart2wb.u_msg.cmd[15] ;
+ wire \u_uart2wb.u_msg.cmd[1] ;
+ wire \u_uart2wb.u_msg.cmd[2] ;
+ wire \u_uart2wb.u_msg.cmd[3] ;
+ wire \u_uart2wb.u_msg.cmd[4] ;
+ wire \u_uart2wb.u_msg.cmd[5] ;
+ wire \u_uart2wb.u_msg.cmd[6] ;
+ wire \u_uart2wb.u_msg.cmd[7] ;
+ wire \u_uart2wb.u_msg.cmd[8] ;
+ wire \u_uart2wb.u_msg.cmd[9] ;
  wire \u_wbclk.clk_o ;
  wire \u_wbclk.high_count[0] ;
  wire \u_wbclk.high_count[1] ;
@@ -4739,7 +5972,78 @@
  wire \u_wbclk.low_count[0] ;
  wire \u_wbclk.low_count[1] ;
  wire \u_wbclk.low_count[2] ;
+ wire \u_wbm_rst.arst_n ;
+ wire \u_wbm_rst.in_data_s ;
  wire \u_wbs_clk_scan_sel.A0 ;
+ wire \u_wbs_rst.in_data_s ;
+ wire wb_ack_o;
+ wire wb_ack_o1;
+ wire \wb_dat_o1[0] ;
+ wire \wb_dat_o1[10] ;
+ wire \wb_dat_o1[11] ;
+ wire \wb_dat_o1[12] ;
+ wire \wb_dat_o1[13] ;
+ wire \wb_dat_o1[14] ;
+ wire \wb_dat_o1[15] ;
+ wire \wb_dat_o1[16] ;
+ wire \wb_dat_o1[17] ;
+ wire \wb_dat_o1[18] ;
+ wire \wb_dat_o1[19] ;
+ wire \wb_dat_o1[1] ;
+ wire \wb_dat_o1[20] ;
+ wire \wb_dat_o1[21] ;
+ wire \wb_dat_o1[22] ;
+ wire \wb_dat_o1[23] ;
+ wire \wb_dat_o1[24] ;
+ wire \wb_dat_o1[25] ;
+ wire \wb_dat_o1[26] ;
+ wire \wb_dat_o1[27] ;
+ wire \wb_dat_o1[28] ;
+ wire \wb_dat_o1[29] ;
+ wire \wb_dat_o1[2] ;
+ wire \wb_dat_o1[30] ;
+ wire \wb_dat_o1[31] ;
+ wire \wb_dat_o1[3] ;
+ wire \wb_dat_o1[4] ;
+ wire \wb_dat_o1[5] ;
+ wire \wb_dat_o1[6] ;
+ wire \wb_dat_o1[7] ;
+ wire \wb_dat_o1[8] ;
+ wire \wb_dat_o1[9] ;
+ wire \wb_dat_o[0] ;
+ wire \wb_dat_o[10] ;
+ wire \wb_dat_o[11] ;
+ wire \wb_dat_o[12] ;
+ wire \wb_dat_o[13] ;
+ wire \wb_dat_o[14] ;
+ wire \wb_dat_o[15] ;
+ wire \wb_dat_o[16] ;
+ wire \wb_dat_o[17] ;
+ wire \wb_dat_o[18] ;
+ wire \wb_dat_o[19] ;
+ wire \wb_dat_o[1] ;
+ wire \wb_dat_o[20] ;
+ wire \wb_dat_o[21] ;
+ wire \wb_dat_o[22] ;
+ wire \wb_dat_o[23] ;
+ wire \wb_dat_o[24] ;
+ wire \wb_dat_o[25] ;
+ wire \wb_dat_o[26] ;
+ wire \wb_dat_o[27] ;
+ wire \wb_dat_o[28] ;
+ wire \wb_dat_o[29] ;
+ wire \wb_dat_o[2] ;
+ wire \wb_dat_o[30] ;
+ wire \wb_dat_o[31] ;
+ wire \wb_dat_o[3] ;
+ wire \wb_dat_o[4] ;
+ wire \wb_dat_o[5] ;
+ wire \wb_dat_o[6] ;
+ wire \wb_dat_o[7] ;
+ wire \wb_dat_o[8] ;
+ wire \wb_dat_o[9] ;
+ wire wb_err_o;
+ wire wb_err_o1;
  wire wb_reg_ack;
  wire \wb_reg_out[0] ;
  wire \wb_reg_out[10] ;
@@ -4806,12321 +6110,20543 @@
  wire \wb_reg_rdata[8] ;
  wire \wb_reg_rdata[9] ;
  wire wb_req;
- wire wbm_ack_o1;
- wire \wbm_dat_o1[0] ;
- wire \wbm_dat_o1[10] ;
- wire \wbm_dat_o1[11] ;
- wire \wbm_dat_o1[12] ;
- wire \wbm_dat_o1[13] ;
- wire \wbm_dat_o1[14] ;
- wire \wbm_dat_o1[15] ;
- wire \wbm_dat_o1[16] ;
- wire \wbm_dat_o1[17] ;
- wire \wbm_dat_o1[18] ;
- wire \wbm_dat_o1[19] ;
- wire \wbm_dat_o1[1] ;
- wire \wbm_dat_o1[20] ;
- wire \wbm_dat_o1[21] ;
- wire \wbm_dat_o1[22] ;
- wire \wbm_dat_o1[23] ;
- wire \wbm_dat_o1[24] ;
- wire \wbm_dat_o1[25] ;
- wire \wbm_dat_o1[26] ;
- wire \wbm_dat_o1[27] ;
- wire \wbm_dat_o1[28] ;
- wire \wbm_dat_o1[29] ;
- wire \wbm_dat_o1[2] ;
- wire \wbm_dat_o1[30] ;
- wire \wbm_dat_o1[31] ;
- wire \wbm_dat_o1[3] ;
- wire \wbm_dat_o1[4] ;
- wire \wbm_dat_o1[5] ;
- wire \wbm_dat_o1[6] ;
- wire \wbm_dat_o1[7] ;
- wire \wbm_dat_o1[8] ;
- wire \wbm_dat_o1[9] ;
 
- sky130_fd_sc_hd__diode_2 ANTENNA__3233__A (.DIODE(wbm_sel_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4047__A (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4051__B (.DIODE(_0069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4053__B (.DIODE(_1670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4065__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4081__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4090__B (.DIODE(_1707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4093__A3 (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4119__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4124__A (.DIODE(_1732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4125__A (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4133__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_timeout ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4134__A0 (.DIODE(\u_lbist.u_async_reg.in_reg_ack ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4136__A (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4139__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4139__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4139__B2 (.DIODE(_1744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4140__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4140__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4140__B2 (.DIODE(_1744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4141__A (.DIODE(_1732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4143__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4143__B2 (.DIODE(_1744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4146__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4147__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4148__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4150__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4152__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4153__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4154__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4155__A (.DIODE(_1732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4157__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4157__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4159__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4159__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4160__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4161__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4163__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4163__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4166__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4167__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4167__A3 (.DIODE(\u_lbist.lbist_reg_rdata[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4168__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4168__A3 (.DIODE(\u_lbist.lbist_reg_rdata[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4170__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4172__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4173__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4174__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4176__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4178__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4179__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4180__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4180__A3 (.DIODE(\u_lbist.lbist_reg_rdata[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4181__A (.DIODE(_1732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4182__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4184__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4185__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4186__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4187__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4188__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4188__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4192__C (.DIODE(\u_lbist.lbist_reg_ack ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4198__C (.DIODE(\u_lbist.lbist_reg_ack ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4205__A (.DIODE(\u_arb.state[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4207__B (.DIODE(wbm_sel_i[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4208__A (.DIODE(_1775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4209__A (.DIODE(\u_async_wb.m_cmd_wr_data[36] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4210__A (.DIODE(_0067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4212__A (.DIODE(_0066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4214__A (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4214__B (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4216__A (.DIODE(_1776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4216__B (.DIODE(_1783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4217__A (.DIODE(_1784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4218__A (.DIODE(_1784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4220__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4221__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[26] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4222__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4223__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4224__A (.DIODE(_1784_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4226__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4227__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4228__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4229__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4230__B (.DIODE(wbm_sel_i[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4231__A (.DIODE(_1790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4232__A (.DIODE(_1783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4236__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4237__A1 (.DIODE(\u_glb_ctrl.data_out[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4237__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4238__A1 (.DIODE(\u_glb_ctrl.data_out[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4238__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4239__A1 (.DIODE(\u_glb_ctrl.data_out[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4239__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4242__A1 (.DIODE(\u_glb_ctrl.data_out[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4242__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4243__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4244__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4245__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4246__B (.DIODE(wbm_sel_i[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4247__A (.DIODE(_1798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4248__A (.DIODE(_1783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4248__B (.DIODE(_1799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4252__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4253__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4254__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4255__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4258__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4259__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4260__A1 (.DIODE(\u_bist_rst_scan_sel.A0 ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4260__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4261__A1 (.DIODE(\u_glb_ctrl.data_out[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4261__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4275__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[36] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4280__A (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4283__D_N (.DIODE(\u_uart2wb.rx_data[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4285__A (.DIODE(_1827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4288__B (.DIODE(\u_uart2wb.rx_data[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4304__A (.DIODE(_1827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4307__A (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4311__C (.DIODE(_1827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4316__A (.DIODE(\u_uart2wb.reg_ack ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4317__B1 (.DIODE(_1856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4324__A (.DIODE(_1863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4324__B (.DIODE(_1861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4325__A2 (.DIODE(_1861_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4325__B1_N (.DIODE(_1864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4327__A (.DIODE(_1865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4332__B (.DIODE(_1865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4334__A (.DIODE(_1872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4337__A (.DIODE(_1872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4357__A (.DIODE(_1865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4368__A (.DIODE(_1872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4388__A (.DIODE(_1865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4391__A (.DIODE(\u_uart2wb.rx_data[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4393__A3 (.DIODE(_1902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4394__B (.DIODE(\u_uart2wb.rx_data[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4398__A1 (.DIODE(\u_uart2wb.rx_data[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4403__D (.DIODE(_1902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4405__A3 (.DIODE(_1914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4407__A2 (.DIODE(_1902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4408__A3 (.DIODE(_1916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4409__B1 (.DIODE(\u_uart2wb.rx_data[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4411__A (.DIODE(_1918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4415__B1 (.DIODE(_1921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4418__A (.DIODE(_1923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4420__A (.DIODE(_1925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4421__A (.DIODE(_1926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4423__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4425__A (.DIODE(_1930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4426__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4427__A (.DIODE(scan_out[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4428__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4428__A2 (.DIODE(scan_out[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4431__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4433__A (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4435__A (.DIODE(_1940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4436__B (.DIODE(_1707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4439__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4439__B1 (.DIODE(_1941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4440__A3 (.DIODE(_1928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4443__A2 (.DIODE(scan_out[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4443__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4445__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4447__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4449__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4449__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4450__A (.DIODE(scan_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4452__A (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4454__A1 (.DIODE(scan_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4454__A2 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4454__B1 (.DIODE(_1956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4457__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4457__B1 (.DIODE(_1960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4461__A1 (.DIODE(_1947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4461__B1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4462__A3 (.DIODE(_1946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4464__A1 (.DIODE(_1960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4464__A2 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4464__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4467__A (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4468__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4468__A2 (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4470__A2 (.DIODE(scan_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4470__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4471__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4472__A (.DIODE(_1975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4474__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4478__B1 (.DIODE(_1980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4480__A1 (.DIODE(_1947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4480__B1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4481__A3 (.DIODE(_1967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4482__A (.DIODE(_1925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4483__A (.DIODE(_1985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4486__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4487__A (.DIODE(scan_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4489__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4489__A2 (.DIODE(scan_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4493__A1 (.DIODE(_1988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4493__B1 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4494__A2 (.DIODE(_1986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4494__A3 (.DIODE(_1987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4497__A (.DIODE(_1998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4499__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4500__A (.DIODE(scan_out[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4501__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4501__A2 (.DIODE(scan_out[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4501__B2 (.DIODE(_2002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4503__A1 (.DIODE(scan_out[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4505__A1 (.DIODE(_2006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4505__B1 (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4507__A1 (.DIODE(_1930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4507__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4507__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4509__A1 (.DIODE(_1960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4509__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4511__A1_N (.DIODE(_2005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4511__B1 (.DIODE(_2005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4514__A1 (.DIODE(_1947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4514__B1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4514__C1 (.DIODE(_2015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4515__A1 (.DIODE(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4515__A2 (.DIODE(_1986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4515__A3 (.DIODE(_2000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4518__A1 (.DIODE(_1930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4518__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4519__B1 (.DIODE(scan_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4521__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4523__A1_N (.DIODE(_2018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4523__B1 (.DIODE(_2018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4524__A1 (.DIODE(_1956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4524__B1 (.DIODE(scan_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4524__B2 (.DIODE(scan_out[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4526__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4527__A (.DIODE(scan_out[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4528__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4528__A2 (.DIODE(scan_out[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4531__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4535__A1 (.DIODE(_1940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4535__B1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4535__C1 (.DIODE(_2015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4536__A1 (.DIODE(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4536__A2 (.DIODE(_1986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4536__A3 (.DIODE(_2017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4539__A2 (.DIODE(scan_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4539__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4539__B2 (.DIODE(_1956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4542__A1 (.DIODE(_2002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4542__B1 (.DIODE(scan_out[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4543__A (.DIODE(_2042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4544__A2 (.DIODE(_2042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4546__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4546__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4546__B2 (.DIODE(_2045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4550__A1_N (.DIODE(_2041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4550__B1 (.DIODE(_2041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4552__A1 (.DIODE(_2038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4552__B1 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4552__C1 (.DIODE(_2015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4553__A1 (.DIODE(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4553__A2 (.DIODE(_1986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4553__A3 (.DIODE(_2037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4554__A (.DIODE(_1985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4556__A2 (.DIODE(scan_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4556__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4561__A2 (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4561__B1 (.DIODE(scan_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4565__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4565__B1 (.DIODE(_1941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4565__C1 (.DIODE(_2015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4566__A1 (.DIODE(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4566__A2 (.DIODE(_2053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4566__A3 (.DIODE(_2054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4567__A (.DIODE(_1998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4571__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4573__B1 (.DIODE(_1980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4574__A1_N (.DIODE(_2068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4577__A1 (.DIODE(_1928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4577__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4577__B2 (.DIODE(_2074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4581__A (.DIODE(_2078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4582__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4582__B1 (.DIODE(_1941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4583__A2 (.DIODE(_2053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4583__A3 (.DIODE(_2066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4584__A (.DIODE(_1707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4585__A1 (.DIODE(_1975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4585__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4587__A1 (.DIODE(_1956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4587__B1 (.DIODE(scan_out[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4589__B1 (.DIODE(_1946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4591__A (.DIODE(_1988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4593__A1 (.DIODE(_1988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4593__B1 (.DIODE(_2089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4594__A3 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4597__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4597__B1 (.DIODE(_1975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4597__B2 (.DIODE(scan_out[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4600__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4602__A1 (.DIODE(_1967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4602__A2 (.DIODE(_2097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4602__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4609__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4609__B1 (.DIODE(_1941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4610__A2 (.DIODE(_2053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4611__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4612__A2 (.DIODE(_2074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4612__B1 (.DIODE(_1987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4612__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4615__A (.DIODE(_1940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4616__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4617__A2 (.DIODE(_2053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4617__A3 (.DIODE(_2106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4618__A (.DIODE(_1985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4620__B1 (.DIODE(_2000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4621__A1_N (.DIODE(_1980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4621__B1 (.DIODE(_1980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4623__A (.DIODE(_2116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4624__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4624__B2 (.DIODE(_2116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4625__A3 (.DIODE(_2113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4626__A (.DIODE(_1998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4628__A1 (.DIODE(scan_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4629__A1 (.DIODE(_2017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4629__A2 (.DIODE(_2097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4629__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4634__A (.DIODE(_2078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4635__A1 (.DIODE(_2038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4635__B1 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4636__A3 (.DIODE(_2120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4638__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4640__A1 (.DIODE(_2037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4642__A (.DIODE(_2133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4643__A2 (.DIODE(_2133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4643__C1 (.DIODE(_2006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4644__B2 (.DIODE(_2133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4644__C1 (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4647__B (.DIODE(_1926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4647__C (.DIODE(_2138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4650__A (.DIODE(_2045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4651__A2 (.DIODE(_2141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4651__B1 (.DIODE(_2054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4651__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4652__A1 (.DIODE(_2068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4652__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4654__B1 (.DIODE(_2042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4656__A (.DIODE(_2078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4657__A1 (.DIODE(_2140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4657__B1 (.DIODE(_2147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4658__A1 (.DIODE(_2140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4659__A3 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4660__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4661__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4661__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4661__B2 (.DIODE(_2045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4662__A1 (.DIODE(_2066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4662__A2 (.DIODE(_2097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4662__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4663__A1 (.DIODE(scan_out[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4663__A2 (.DIODE(scan_out[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4663__B2 (.DIODE(_2002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4667__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4668__A3 (.DIODE(_2150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4670__A (.DIODE(_2097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4671__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4672__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4672__A2 (.DIODE(_2159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4672__B1 (.DIODE(_2160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4672__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4676__A1 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4676__B1 (.DIODE(_1988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4677__A3 (.DIODE(_2158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4678__A (.DIODE(_1926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4680__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4680__B2 (.DIODE(_2074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4681__A1_N (.DIODE(_2041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4681__B1 (.DIODE(_2041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4683__A1 (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4683__B1 (.DIODE(_2006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4684__A2 (.DIODE(_2166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4684__A3 (.DIODE(_2167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4685__A1 (.DIODE(_2106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4685__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4685__C1 (.DIODE(_2140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4686__A1 (.DIODE(_2106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4686__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4686__C1 (.DIODE(scan_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4687__A (.DIODE(_1925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4689__C (.DIODE(_2175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4691__A (.DIODE(_1998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4693__A (.DIODE(_2078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4694__B1 (.DIODE(_2113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4694__C1 (.DIODE(_2179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4695__A1 (.DIODE(_2177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4695__A2 (.DIODE(_2166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4697__B1 (.DIODE(_2120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4697__C1 (.DIODE(_2179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4698__A1 (.DIODE(_2177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4698__A2 (.DIODE(_2166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4698__A3 (.DIODE(_2181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4700__A2 (.DIODE(_2183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4700__B1 (.DIODE(_2138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4700__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4700__C1 (.DIODE(_1940_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4701__A1 (.DIODE(_2138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4701__A2 (.DIODE(_2183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4701__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4701__C1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4703__C (.DIODE(_2186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4706__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4706__B1 (.DIODE(_1930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4706__B2 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4707__A1_N (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4707__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4710__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4710__C1 (.DIODE(_2179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4711__A1 (.DIODE(_2177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4711__A2 (.DIODE(_2166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4711__A3 (.DIODE(_2188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4712__A (.DIODE(_1926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4713__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4713__B1 (.DIODE(_2150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4713__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4714__A1_N (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4714__B1 (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4717__A1 (.DIODE(_2038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4717__B1 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4717__C1 (.DIODE(_2179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4718__A1 (.DIODE(_2177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4718__A3 (.DIODE(_2183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4720__A1 (.DIODE(_2158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4720__A2 (.DIODE(_2200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4720__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4721__A2 (.DIODE(_2200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4721__B1 (.DIODE(_2158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4721__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4722__C (.DIODE(_2018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4724__B1 (.DIODE(_2167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4724__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4728__A1 (.DIODE(scan_out[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4728__B1 (.DIODE(_1947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4728__C1 (.DIODE(_2147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4729__A1 (.DIODE(_1923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4729__A3 (.DIODE(_2200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4730__A2 (.DIODE(_2042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4730__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4731__A1 (.DIODE(_2175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4731__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4731__B2 (.DIODE(_2045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4735__A1 (.DIODE(_2038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4735__B1 (.DIODE(scan_out[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4735__C1 (.DIODE(_2147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4736__A1 (.DIODE(_1923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4736__A3 (.DIODE(_2068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4737__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4737__B2 (.DIODE(_2159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4738__A (.DIODE(_2215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4739__A2 (.DIODE(_2215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4739__C1 (.DIODE(scan_out[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4740__B2 (.DIODE(_2215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4740__C1 (.DIODE(_2006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4741__C (.DIODE(_2141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4742__A1 (.DIODE(_2089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4744__A1 (.DIODE(_2181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4745__A (.DIODE(_2221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4747__B2 (.DIODE(_2221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4747__C1 (.DIODE(_2140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4748__A2 (.DIODE(_2221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4748__C1 (.DIODE(scan_out[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4749__A (.DIODE(_1925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4750__B (.DIODE(_2226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4750__C (.DIODE(_2159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4751__A1 (.DIODE(_2089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4752__A1 (.DIODE(_2186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4752__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4752__B2 (.DIODE(_2141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4754__C1 (.DIODE(_2002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4755__C1 (.DIODE(scan_out[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4756__B (.DIODE(_2226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4756__C (.DIODE(_1960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4757__A1 (.DIODE(_2089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4758__B1 (.DIODE(_2188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4758__C1 (.DIODE(_2147_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4759__A1 (.DIODE(_1923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4759__A3 (.DIODE(_2074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4768__A (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4770__A1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4776__A (.DIODE(_2247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4777__A (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4779__A (.DIODE(_2250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4787__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4788__A (.DIODE(_2226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4790__A2 (.DIODE(_2246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4790__C1 (.DIODE(_2261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4795__A1_N (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4795__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4801__A (.DIODE(_2226_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4804__A (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4805__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4812__A1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4812__C1 (.DIODE(_2277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4813__A2 (.DIODE(_0100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4813__B1 (.DIODE(_2270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4817__A (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4819__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4819__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4823__B1 (.DIODE(_2285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4824__A2 (.DIODE(_2246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4824__C1 (.DIODE(_2261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4826__A (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4827__A1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4827__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4829__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4829__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4835__C1 (.DIODE(_2277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4836__A2 (.DIODE(_0100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4836__B1 (.DIODE(_2270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4836__C1 (.DIODE(_2296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4839__A1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4840__B2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4843__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4846__C1 (.DIODE(_2277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4847__A2 (.DIODE(_0100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4847__B1 (.DIODE(_2270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4849__A (.DIODE(_2285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4851__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4853__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4853__B2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4856__A (.DIODE(_2247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4858__B1 (.DIODE(_2270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4862__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4863__A1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4867__A (.DIODE(_2319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4868__A2 (.DIODE(_2319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4873__A2 (.DIODE(_2324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4873__B2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4876__C1 (.DIODE(_2261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4880__A (.DIODE(_2328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4881__A2 (.DIODE(_2328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4885__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4885__B2 (.DIODE(_2331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4887__A1 (.DIODE(_2319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4891__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4891__B2 (.DIODE(_2324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4895__A (.DIODE(_2247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4896__C1 (.DIODE(_2340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4897__A2 (.DIODE(_2335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4904__C1 (.DIODE(_2340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4905__A2 (.DIODE(_2335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4905__B1 (.DIODE(_2342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4906__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4906__B2 (.DIODE(_2250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4912__A1 (.DIODE(_2277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4917__B1 (.DIODE(_2285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4918__C1 (.DIODE(_2261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4921__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4921__B2 (.DIODE(_0427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4923__C1 (.DIODE(_2340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4924__A2 (.DIODE(_2335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4924__B1 (.DIODE(_2342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4929__C1 (.DIODE(_2362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4933__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4933__B2 (.DIODE(_2250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4937__B1 (.DIODE(_0288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4937__C1 (.DIODE(_2340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4938__A2 (.DIODE(_2335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4938__B1 (.DIODE(_2342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4941__C1 (.DIODE(_2362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4944__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4947__C1 (.DIODE(_2362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4948__A (.DIODE(_2324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4949__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4949__B2 (.DIODE(_0395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4951__C1 (.DIODE(_2362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4952__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4952__B2 (.DIODE(_0427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4958__B2 (.DIODE(_2375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4963__A (.DIODE(_2247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4964__A1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4965__A1 (.DIODE(_0427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4965__A2 (.DIODE(_2376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4965__B1 (.DIODE(_2342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4969__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4970__A1_N (.DIODE(_2331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4970__B1 (.DIODE(_2331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4973__A2 (.DIODE(_2376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4978__A2 (.DIODE(_2376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4982__A1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4982__B1 (.DIODE(_0395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4983__A2 (.DIODE(_2376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4984__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4984__B2 (.DIODE(_2250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4985__A1_N (.DIODE(_2331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4987__B1 (.DIODE(_0288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4988__A1 (.DIODE(_0395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4988__A2 (.DIODE(_2246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4989__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4991__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4992__A1 (.DIODE(_0288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4992__A2 (.DIODE(_2246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4993__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4993__B2 (.DIODE(_2324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4996__A (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4997__A (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4998__A (.DIODE(_2404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4999__A (.DIODE(_1799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5000__A (.DIODE(_2408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5001__A (.DIODE(_2408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5003__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5004__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5005__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5006__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5007__A (.DIODE(_2408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5009__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5010__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5011__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5012__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5017__A1 (.DIODE(\u_lbist.cfg_chain_depth[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5017__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5018__A1 (.DIODE(\u_lbist.cfg_chain_depth[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5018__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5019__A1 (.DIODE(\u_lbist.cfg_chain_depth[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5019__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5020__A1 (.DIODE(\u_lbist.cfg_chain_depth[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5020__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5023__A1 (.DIODE(\u_lbist.cfg_chain_depth[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5023__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5024__A1 (.DIODE(\u_lbist.cfg_chain_depth[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5024__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5025__A1 (.DIODE(\u_lbist.cfg_chain_depth[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5025__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5026__A1 (.DIODE(\u_lbist.cfg_chain_depth[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5026__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5027__A (.DIODE(_1776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5031__A1 (.DIODE(\u_lbist.cfg_lbist_pat[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5031__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5032__A1 (.DIODE(\u_lbist.cfg_lbist_pat[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5032__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[26] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5033__A1 (.DIODE(\u_lbist.cfg_lbist_pat[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5033__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5034__A1 (.DIODE(\u_lbist.cfg_lbist_pat[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5034__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5037__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5038__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5039__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5040__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5075__A (.DIODE(\u_uart2wb.u_async_reg_bus.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5083__B1 (.DIODE(\u_uart2wb.u_async_reg_bus.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5088__A1 (.DIODE(_2445_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5088__B2 (.DIODE(_2459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5092__A1 (.DIODE(_2461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5092__B2 (.DIODE(_2459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5096__A1 (.DIODE(_2464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5096__B2 (.DIODE(_2459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5102__A1 (.DIODE(_2467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5102__B1 (.DIODE(_2468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5108__A1 (.DIODE(_2472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5112__A1 (.DIODE(_2477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5116__A1 (.DIODE(_2480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5121__A1 (.DIODE(_2483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5127__A1 (.DIODE(_2487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5131__A1 (.DIODE(_2492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5135__A1 (.DIODE(_2495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5140__A1 (.DIODE(_2498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5146__A1 (.DIODE(_2502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5150__A1 (.DIODE(_2507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5154__A1 (.DIODE(_2510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5160__A1 (.DIODE(_2513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5168__A1 (.DIODE(_2518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5172__A1 (.DIODE(_2525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5176__A1 (.DIODE(_2528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5181__A1 (.DIODE(_2531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5187__A1 (.DIODE(_2535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5191__A1 (.DIODE(_2540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5195__A1 (.DIODE(_2543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5200__A1 (.DIODE(_2546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5214__A1 (.DIODE(_2558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5219__A1 (.DIODE(_2561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5219__B1 (.DIODE(_2562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5225__A1 (.DIODE(_2565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5229__A1 (.DIODE(_2570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5233__A1 (.DIODE(_2573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5237__A1 (.DIODE(_2576_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5239__A0 (.DIODE(\u_uart2wb.reg_ack ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5246__A1 (.DIODE(\u_uart2wb.u_async_reg_bus.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5277__A (.DIODE(_2459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5304__A2 (.DIODE(_0093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5305__A2 (.DIODE(_0093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5343__B1 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5346__A0 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5350__A0 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5353__A0 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5357__A0 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5357__A1 (.DIODE(\u_uart2wb.rx_data[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5360__A0 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5363__A0 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5366__A0 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5368__A (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5376__B (.DIODE(la_data_in[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5401__A (.DIODE(la_data_in[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5401__B (.DIODE(la_data_in[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5404__A2 (.DIODE(_2697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5405__D_N (.DIODE(la_data_in[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5409__A1 (.DIODE(\u_uart2wb.u_core.si_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5416__B (.DIODE(_2697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5426__B (.DIODE(la_data_in[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5433__A2 (.DIODE(la_data_in[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5441__A (.DIODE(la_data_in[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5450__A2 (.DIODE(la_data_in[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5451__A2 (.DIODE(la_data_in[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5480__S (.DIODE(_1864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5484__A (.DIODE(_2759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5485__A (.DIODE(_2760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5499__A (.DIODE(_2770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5502__A (.DIODE(_2770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5516__A (.DIODE(_2760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5523__A (.DIODE(_2760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5530__A (.DIODE(_2760_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5533__A3 (.DIODE(\u_uart2wb.reg_addr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5535__A3 (.DIODE(_1902_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5536__A3 (.DIODE(_1914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5537__A3 (.DIODE(_1916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5537__B1 (.DIODE(\u_uart2wb.reg_addr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5542__A (.DIODE(_2790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5545__A (.DIODE(_2793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5550__A (.DIODE(_2790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5551__A (.DIODE(_2793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5556__A (.DIODE(_2790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5557__A (.DIODE(_2793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5562__A (.DIODE(_2790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5563__A (.DIODE(_2793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5569__A (.DIODE(_2801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5571__A (.DIODE(_2803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5576__A (.DIODE(_2801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5577__A (.DIODE(_2803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5578__B1 (.DIODE(\wb_reg_out[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5582__A (.DIODE(_2801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5583__A (.DIODE(_2803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5588__A (.DIODE(_2801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5589__A (.DIODE(_2803_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5601__A (.DIODE(_0066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5601__B (.DIODE(_0067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5603__C (.DIODE(_0068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5604__A2 (.DIODE(_1744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5605__A (.DIODE(_1856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5607__A (.DIODE(_2817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5608__A (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5612__C (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5616__A (.DIODE(_2820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5619__A2 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5620__A1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5621__A2 (.DIODE(_2830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5624__B1 (.DIODE(_2832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5625__B (.DIODE(wbm_sel_i[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5626__A (.DIODE(_2833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5631__A1 (.DIODE(\u_lbist.cfg_lbist_pat[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5631__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[35] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5632__A1 (.DIODE(\u_lbist.cfg_lbist_pat[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5632__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[34] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5633__A1 (.DIODE(\u_lbist.cfg_lbist_pat[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5633__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[33] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5634__A1 (.DIODE(\u_lbist.cfg_lbist_pat[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5634__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[32] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5637__A1 (.DIODE(\u_lbist.cfg_lbist_pat[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5637__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5638__A1 (.DIODE(\u_lbist.cfg_lbist_pat[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5638__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5639__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5640__A1 (.DIODE(\u_lbist.cfg_lbist_pat[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5640__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5641__A (.DIODE(_1783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5642__A (.DIODE(_2841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5643__A (.DIODE(_2841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5645__A1 (.DIODE(\u_glb_ctrl.data_out[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5645__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[35] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5646__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[34] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5647__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[33] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5648__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[32] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5649__A (.DIODE(_2841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5651__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5652__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5653__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5654__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5656__A (.DIODE(_2404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5656__C (.DIODE(_2847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5656__D (.DIODE(_1799_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5657__A (.DIODE(_2848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5658__A (.DIODE(_2848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5660__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5661__A (.DIODE(_2404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5661__B (.DIODE(\u_lbist.lbist_reg_ack ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5666__A (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5667__A (.DIODE(_2856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5667__B (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5668__A (.DIODE(_2857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5671__A (.DIODE(_2847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5673__A (.DIODE(_2856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5674__B (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5674__C (.DIODE(\u_lbist.cfg_lbist_pat[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5675__A1 (.DIODE(_1928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5675__B2 (.DIODE(_0348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5680__A (.DIODE(_2867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5681__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5681__A3 (.DIODE(\u_lbist.cfg_lbist_pat[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5681__B1 (.DIODE(_1946_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5681__B2 (.DIODE(_2868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5683__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5683__A3 (.DIODE(\u_lbist.cfg_lbist_pat[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5683__B1 (.DIODE(_1967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5683__B2 (.DIODE(_2868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5687__A (.DIODE(_2867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5688__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5688__A3 (.DIODE(\u_lbist.cfg_lbist_pat[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5688__B1 (.DIODE(_1987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5691__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5691__A3 (.DIODE(\u_lbist.cfg_lbist_pat[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5691__B1 (.DIODE(_2000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5694__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5694__A3 (.DIODE(\u_lbist.cfg_lbist_pat[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5694__B1 (.DIODE(_2017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5696__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5696__B1 (.DIODE(_2037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5701__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5701__A3 (.DIODE(\u_lbist.cfg_lbist_pat[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5701__B1 (.DIODE(_2054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5704__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5704__A3 (.DIODE(\u_lbist.cfg_lbist_pat[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5704__B1 (.DIODE(_2066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5707__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5707__A3 (.DIODE(\u_lbist.cfg_lbist_pat[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5707__B1 (.DIODE(_2160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5709__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5709__A3 (.DIODE(\u_lbist.cfg_lbist_pat[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5713__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5713__A3 (.DIODE(\u_lbist.cfg_lbist_pat[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5713__B1 (.DIODE(_2106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5716__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5716__B1 (.DIODE(_2113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5719__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5719__B1 (.DIODE(_2120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5721__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5721__B1 (.DIODE(_2138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5727__A (.DIODE(_2899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5728__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5733__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5733__A3 (.DIODE(\u_lbist.cfg_chain_depth[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5733__B1 (.DIODE(_2150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5734__A1 (.DIODE(\u_lbist.lbist_reg_rdata[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5735__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5735__A3 (.DIODE(\u_lbist.cfg_chain_depth[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5735__B1 (.DIODE(_2158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5736__A1 (.DIODE(\u_lbist.lbist_reg_rdata[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5738__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5738__A3 (.DIODE(\u_lbist.cfg_chain_depth[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5738__B1 (.DIODE(_2167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5741__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5741__A3 (.DIODE(\u_lbist.cfg_chain_depth[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5741__B1 (.DIODE(_2175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5745__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5745__A3 (.DIODE(\u_lbist.cfg_chain_depth[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5747__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5747__A3 (.DIODE(\u_lbist.cfg_chain_depth[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5747__B1 (.DIODE(_2181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5750__A1 (.DIODE(_2915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5750__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5750__A3 (.DIODE(\u_lbist.cfg_chain_depth[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5750__B1 (.DIODE(_2186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5753__A1 (.DIODE(_2915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5753__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5753__A3 (.DIODE(\u_lbist.cfg_chain_depth[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5753__B1 (.DIODE(_2188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5757__A (.DIODE(_0239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5758__A1 (.DIODE(_2183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5758__B2 (.DIODE(_0348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5761__A (.DIODE(_0234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5762__A1 (.DIODE(_2018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5762__B2 (.DIODE(_0348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5763__A1 (.DIODE(\u_lbist.lbist_reg_rdata[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5766__A (.DIODE(_0229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5767__A1 (.DIODE(_2200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5772__A (.DIODE(_2899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5773__A (.DIODE(_0224_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5774__A1 (.DIODE(_2068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5774__A2 (.DIODE(_2928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5775__B2 (.DIODE(_2931_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5779__A1 (.DIODE(_2141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5779__A2 (.DIODE(_2928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5780__B2 (.DIODE(_2934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5783__A1 (.DIODE(_2159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5783__A2 (.DIODE(_2928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5783__B1 (.DIODE(\u_lbist.cfg_lbist_rsb ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5784__B2 (.DIODE(_2936_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5786__B2 (.DIODE(_2847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5787__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5788__B2 (.DIODE(_2938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5790__A2 (.DIODE(_2899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5790__B2 (.DIODE(_2847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5791__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5793__A1 (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5793__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5794__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5795__A1 (.DIODE(\u_lbist.cfg_lbist_rsb ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5795__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5796__A (.DIODE(_2848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5798__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5799__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5800__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5801__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5811__D1 (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5817__C (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5818__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5822__B1 (.DIODE(\u_lbist.scan_en ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5825__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5827__B1 (.DIODE(\u_lbist.scan_mode ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5843__A1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5847__A (.DIODE(_2975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5848__B (.DIODE(_2976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5849__B (.DIODE(_2817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5850__C (.DIODE(_2978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5851__A (.DIODE(_1856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5857__A3 (.DIODE(_2984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5859__A (.DIODE(_2986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5860__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5862__A (.DIODE(_2989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5864__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5866__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5868__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5870__A (.DIODE(_2986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5871__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5872__A (.DIODE(_2989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5874__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5876__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5878__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5880__A (.DIODE(_2986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5881__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5882__A (.DIODE(_2989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5884__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5886__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5888__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5890__A (.DIODE(_2986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5891__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5892__A (.DIODE(_2989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5894__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5896__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5898__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5903__A (.DIODE(_3013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5907__A (.DIODE(_3015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5910__A (.DIODE(wbs_ack_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5911__A (.DIODE(_3019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5912__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5915__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5916__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5917__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5919__B1 (.DIODE(wbs_ack_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5920__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5921__B1 (.DIODE(wbs_ack_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5925__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5926__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5928__A_N (.DIODE(_3031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5934__B1 (.DIODE(_3019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5936__B (.DIODE(_3031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5937__A (.DIODE(_0531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5937__D_N (.DIODE(_0473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5943__A (.DIODE(_3043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5945__B1 (.DIODE(_3045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5946__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5954__A2 (.DIODE(_3051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5954__A3 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5955__A2 (.DIODE(_3051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5956__B1 (.DIODE(_3051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5960__B1 (.DIODE(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5962__B1 (.DIODE(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5963__B (.DIODE(_2857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5964__A (.DIODE(_3055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5966__A (.DIODE(_3055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5969__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5969__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5970__A (.DIODE(_2856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5971__A (.DIODE(_3061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5972__A (.DIODE(_3062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5973__A (.DIODE(_3061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5975__A (.DIODE(_3065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5976__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5977__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[33] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5978__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[35] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5979__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5980__A (.DIODE(_3062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5981__A (.DIODE(_3065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5982__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5983__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5984__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5985__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5986__A (.DIODE(_3062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5987__A (.DIODE(_3065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5988__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5988__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5989__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5990__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5990__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5991__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5991__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5992__A (.DIODE(_3062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5993__A (.DIODE(_3065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5994__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5995__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5996__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5997__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5998__A (.DIODE(_3061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6002__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6002__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6003__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6003__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6004__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6004__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6005__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6005__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6008__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6009__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6010__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[26] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6011__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6011__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6014__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6014__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6015__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6015__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6016__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6016__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6017__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6017__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6020__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6020__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[32] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6021__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6021__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6022__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6022__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[34] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6023__B (.DIODE(_2899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6024__A (.DIODE(_3083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6025__A (.DIODE(_3083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6027__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[64] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6027__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6028__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6029__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[33] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6030__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[35] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6033__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6034__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6035__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6036__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6039__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6040__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6040__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6041__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6042__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6042__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6045__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6045__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6046__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6046__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6047__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6047__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6048__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6049__A (.DIODE(_3055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6052__A (.DIODE(_3095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6053__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6053__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6054__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6054__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6055__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6055__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6056__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6056__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6058__A (.DIODE(_3095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6059__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6059__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6060__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6061__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6062__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[26] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6064__A (.DIODE(_3095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6065__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6065__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6066__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6066__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6067__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6067__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6068__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6068__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6070__A (.DIODE(_3095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6071__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6071__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6072__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6072__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[32] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6073__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6073__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6074__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6074__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[34] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6078__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[57] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6078__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6079__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[58] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6079__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6080__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[59] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6080__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6081__A (.DIODE(_3083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6083__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[60] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6083__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6084__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[61] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6084__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6085__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[62] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6085__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6086__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[63] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6086__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6087__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6087__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6090__A1 (.DIODE(\u_lbist.u_lbist_core.scan_clk_enb ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6092__A (.DIODE(\u_lbist.scan_rst_n ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6093__B (.DIODE(_1985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6096__A1 (.DIODE(_3112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6097__C1 (.DIODE(_1921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6098__A (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6101__B2 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6102__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6103__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6104__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6106__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6107__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6108__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6109__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6111__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6112__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6113__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6114__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6117__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6118__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6119__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6120__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6122__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6123__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6124__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6125__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6127__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6128__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6129__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6130__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6132__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6133__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6134__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6135__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6137__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6138__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6139__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6140__B1 (.DIODE(wb_ack_o1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6150__B2 (.DIODE(_0071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6166__A (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6201__A1 (.DIODE(_1921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6223__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[64] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6224__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[63] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6225__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[62] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6227__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[61] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6229__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[60] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6230__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[59] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6231__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[58] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6233__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[57] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6235__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[56] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6236__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[55] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6237__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[54] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6240__A (.DIODE(_3176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6241__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[53] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6243__A (.DIODE(_3178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6245__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[52] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6246__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[51] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6247__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[50] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6248__A (.DIODE(_3176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6249__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[49] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6251__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[48] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6252__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[47] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6254__A (.DIODE(_3176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6255__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[45] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6257__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[44] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6258__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[43] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6259__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[42] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6260__A (.DIODE(_3176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6261__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[41] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6263__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6264__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6265__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[38] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6268__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[37] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6269__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[36] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6270__A (.DIODE(_3178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6272__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[35] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6273__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[34] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6274__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[33] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6276__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[32] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6278__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6279__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6280__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6282__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6284__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6285__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[26] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6286__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6288__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6290__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6291__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6292__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6295__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6296__A (.DIODE(_3178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6298__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6298__B2 (.DIODE(_3200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6299__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6299__B2 (.DIODE(_3200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6300__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6300__B2 (.DIODE(_3200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6302__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6302__B2 (.DIODE(_3200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6304__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6305__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6306__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6308__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6310__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6311__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6312__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6314__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6316__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6317__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6318__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6320__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6321__A (.DIODE(_2833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6322__A (.DIODE(_3178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6324__A (.DIODE(_1775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6326__A (.DIODE(_1790_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6328__A (.DIODE(_1798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6331__A (.DIODE(_3213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6332__A (.DIODE(_3214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6333__A (.DIODE(_3213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6335__A (.DIODE(_3217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6336__B1 (.DIODE(wbs_err_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6337__B1 (.DIODE(wbs_dat_i[31]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6338__B1 (.DIODE(wbs_dat_i[30]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6339__B1 (.DIODE(wbs_dat_i[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6340__A (.DIODE(_3214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6341__A (.DIODE(_3217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6342__B1 (.DIODE(wbs_dat_i[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6343__B1 (.DIODE(wbs_dat_i[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6344__B1 (.DIODE(wbs_dat_i[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6345__B1 (.DIODE(wbs_dat_i[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6346__A (.DIODE(_3214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6347__A (.DIODE(_3217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6348__B1 (.DIODE(wbs_dat_i[24]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6349__B1 (.DIODE(wbs_dat_i[23]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6350__B1 (.DIODE(wbs_dat_i[22]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6351__B1 (.DIODE(wbs_dat_i[21]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6352__A (.DIODE(_3213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6353__A (.DIODE(_3223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6355__A (.DIODE(_3225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6356__B1 (.DIODE(wbs_dat_i[20]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6357__B1 (.DIODE(wbs_dat_i[19]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6358__B1 (.DIODE(wbs_dat_i[18]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6359__B1 (.DIODE(wbs_dat_i[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6360__A (.DIODE(_3223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6361__A (.DIODE(_3225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6362__B1 (.DIODE(wbs_dat_i[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6363__B1 (.DIODE(wbs_dat_i[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6364__B1 (.DIODE(wbs_dat_i[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6365__B1 (.DIODE(wbs_dat_i[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6366__A (.DIODE(_3223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6367__A (.DIODE(_3225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6368__B1 (.DIODE(wbs_dat_i[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6369__B1 (.DIODE(wbs_dat_i[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6370__B1 (.DIODE(wbs_dat_i[10]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6371__B1 (.DIODE(wbs_dat_i[9]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6372__A (.DIODE(_3223_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6373__A (.DIODE(_3225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6374__B1 (.DIODE(wbs_dat_i[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6375__B1 (.DIODE(wbs_dat_i[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6376__B1 (.DIODE(wbs_dat_i[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6377__B1 (.DIODE(wbs_dat_i[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6378__A (.DIODE(_3213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6380__B1 (.DIODE(wbs_dat_i[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6381__B1 (.DIODE(wbs_dat_i[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6382__B1 (.DIODE(wbs_dat_i[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6383__B1 (.DIODE(wbs_dat_i[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6384__A2 (.DIODE(_3214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6384__B1 (.DIODE(wbs_dat_i[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6384__B2 (.DIODE(_3217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6385__A (.DIODE(_3043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6386__A (.DIODE(_3235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6387__A (.DIODE(_3045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6388__B1 (.DIODE(wbs_err_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6389__B1 (.DIODE(wbs_dat_i[31]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6390__B1 (.DIODE(wbs_dat_i[30]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6391__B1 (.DIODE(wbs_dat_i[29]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6392__A (.DIODE(_3235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6393__A (.DIODE(_3045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6394__B1 (.DIODE(wbs_dat_i[28]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6395__B1 (.DIODE(wbs_dat_i[27]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6396__B1 (.DIODE(wbs_dat_i[26]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6397__B1 (.DIODE(wbs_dat_i[25]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6398__A (.DIODE(_3235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6401__B1 (.DIODE(wbs_dat_i[24]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6401__B2 (.DIODE(_3242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6402__B1 (.DIODE(wbs_dat_i[23]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6402__B2 (.DIODE(_3242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6403__B1 (.DIODE(wbs_dat_i[22]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6403__B2 (.DIODE(_3242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6404__B1 (.DIODE(wbs_dat_i[21]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6404__B2 (.DIODE(_3242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6405__A (.DIODE(_3043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6406__A (.DIODE(_3243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6408__B1 (.DIODE(wbs_dat_i[20]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6409__B1 (.DIODE(wbs_dat_i[19]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6410__B1 (.DIODE(wbs_dat_i[18]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6411__B1 (.DIODE(wbs_dat_i[17]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6412__A (.DIODE(_3243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6414__B1 (.DIODE(wbs_dat_i[16]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6415__B1 (.DIODE(wbs_dat_i[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6416__B1 (.DIODE(wbs_dat_i[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6417__B1 (.DIODE(wbs_dat_i[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6418__A (.DIODE(_3243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6420__B1 (.DIODE(wbs_dat_i[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6421__B1 (.DIODE(wbs_dat_i[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6422__B1 (.DIODE(wbs_dat_i[10]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6423__B1 (.DIODE(wbs_dat_i[9]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6424__A (.DIODE(_3243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6426__B1 (.DIODE(wbs_dat_i[8]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6427__B1 (.DIODE(wbs_dat_i[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6428__B1 (.DIODE(wbs_dat_i[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6429__B1 (.DIODE(wbs_dat_i[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6430__A (.DIODE(_3043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6432__B1 (.DIODE(wbs_dat_i[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6433__B1 (.DIODE(wbs_dat_i[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6434__B1 (.DIODE(wbs_dat_i[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6435__B1 (.DIODE(wbs_dat_i[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6436__A2 (.DIODE(_3235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6436__B1 (.DIODE(wbs_dat_i[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6436__B2 (.DIODE(_3045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6437__A (.DIODE(net201),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6444__B1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[126] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6454__A (.DIODE(_3263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6458__B1 (.DIODE(net201),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6468__A (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6469__A (.DIODE(_2975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6470__A (.DIODE(_1863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6475__A1 (.DIODE(_3274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6475__A2 (.DIODE(_3275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6476__A2 (.DIODE(_3264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6478__A (.DIODE(_3286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6480__A (.DIODE(_3288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6482__B (.DIODE(_3290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6491__A (.DIODE(_3286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6492__A (.DIODE(_3299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6494__A2 (.DIODE(_3295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6500__A2 (.DIODE(_3306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6500__B1 (.DIODE(_2976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6504__A1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[51] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6510__A2 (.DIODE(_3313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6510__B1 (.DIODE(_3315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6511__A2 (.DIODE(_3295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6513__A (.DIODE(_3286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6521__B1 (.DIODE(_3324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6524__B2 (.DIODE(_3275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6524__C1 (.DIODE(_3327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6527__A2 (.DIODE(_3264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6531__A (.DIODE(_3331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6533__A2 (.DIODE(_3332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6533__B1 (.DIODE(_3333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6534__B2 (.DIODE(_3275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6534__C1 (.DIODE(_3327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6535__A (.DIODE(_3306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6538__A2 (.DIODE(_3335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6540__A2 (.DIODE(_3338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6542__B1_N (.DIODE(_3333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6543__B2 (.DIODE(_3275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6543__C1 (.DIODE(_3327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6545__B (.DIODE(_3341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6546__A2 (.DIODE(_3338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6546__B1 (.DIODE(_3342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6547__A2 (.DIODE(_3295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6555__A (.DIODE(_3290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6558__A2 (.DIODE(_3263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6558__B1 (.DIODE(_3288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6558__C1 (.DIODE(_3352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6562__A1 (.DIODE(_3354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6562__B1 (.DIODE(_3355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6565__B1 (.DIODE(_3333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6570__B1 (.DIODE(_3355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6575__A2 (.DIODE(_3364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6578__A2 (.DIODE(_3299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6581__B (.DIODE(_3341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6582__A1 (.DIODE(_3354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6583__A2 (.DIODE(_3264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6585__A2 (.DIODE(_3335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6587__A2 (.DIODE(_3335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6589__A2 (.DIODE(_3295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6589__B1 (.DIODE(_3327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6593__B1 (.DIODE(_3342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6596__A2 (.DIODE(_3263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6596__B1 (.DIODE(_3313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6601__A (.DIODE(_3376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6607__A1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6607__B1 (.DIODE(_2832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6607__B2 (.DIODE(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6607__C1 (.DIODE(_3382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6610__A (.DIODE(_3376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6613__A (.DIODE(_3387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6617__A (.DIODE(_2820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6619__A (.DIODE(_2817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6621__B2 (.DIODE(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6622__B1 (.DIODE(_3389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6624__A (.DIODE(_3397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6627__A (.DIODE(_2820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6629__A (.DIODE(_3402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6631__A (.DIODE(_3299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6632__A (.DIODE(_3341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6633__A2 (.DIODE(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6633__C1 (.DIODE(_3406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6634__A2 (.DIODE(_3398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6636__A (.DIODE(_3397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6639__A (.DIODE(_3331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6642__C1 (.DIODE(_3414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6646__A (.DIODE(_3331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6648__B2 (.DIODE(_3419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6648__C1 (.DIODE(_3414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6652__A (.DIODE(_3422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6655__A2 (.DIODE(_3263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6655__B2 (.DIODE(_3425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6655__C1 (.DIODE(_1863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6656__A2 (.DIODE(_3398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6659__A2 (.DIODE(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6661__B1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6661__B2 (.DIODE(_3429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6668__A1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6668__A2 (.DIODE(_3435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6670__A1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6670__A2 (.DIODE(_3435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6672__A (.DIODE(_3387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6673__A1 (.DIODE(_3382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6675__A2 (.DIODE(_3435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6675__B2 (.DIODE(_3306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6680__A2 (.DIODE(_3332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6680__C1 (.DIODE(_2978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6680__D1 (.DIODE(_3324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6681__A1 (.DIODE(_3443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6685__B1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[114] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6686__A (.DIODE(_3422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6690__A (.DIODE(_2978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6691__A (.DIODE(_3454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6693__A2 (.DIODE(_3452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6693__C1 (.DIODE(_3456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6697__A2_N (.DIODE(_3435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6698__B1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6699__B1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[112] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6701__A (.DIODE(_3462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6703__A (.DIODE(_2820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6706__A (.DIODE(_1863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6706__B (.DIODE(_3456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6707__B2 (.DIODE(_3467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6712__A (.DIODE(_3467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6713__A (.DIODE(_3472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6714__A (.DIODE(_3454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6715__C1 (.DIODE(_3364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6715__D1 (.DIODE(_3475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6719__A2 (.DIODE(_3467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6719__C1 (.DIODE(_3478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6724__C1 (.DIODE(_3475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6730__A2 (.DIODE(_3332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6730__C1 (.DIODE(_3333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6730__D1 (.DIODE(_3454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6732__A (.DIODE(_3397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6734__A (.DIODE(_3472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6736__B1 (.DIODE(_3491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6736__B2 (.DIODE(_3492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6736__C1 (.DIODE(_3414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6739__A2 (.DIODE(_3467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6740__A (.DIODE(_3478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6741__A2 (.DIODE(_3313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6743__A (.DIODE(_3462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6746__B2 (.DIODE(_3500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6749__A (.DIODE(_3500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6751__B1 (.DIODE(_3502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6752__A2 (.DIODE(_3500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6752__C1 (.DIODE(_3352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6754__A (.DIODE(_3331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6757__A (.DIODE(_2978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6758__C1 (.DIODE(_3509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6759__B1 (.DIODE(_3502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6761__C1 (.DIODE(_3509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6762__B1 (.DIODE(_3502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6763__A (.DIODE(_3462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6766__A2 (.DIODE(_3338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6766__B2 (.DIODE(_3515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6767__B1 (.DIODE(_3502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6770__A2 (.DIODE(_3500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6770__C1 (.DIODE(_3402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6771__A2 (.DIODE(_3313_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6771__B1 (.DIODE(_3454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6775__B1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6775__B2 (.DIODE(_3522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6778__A (.DIODE(_3522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6783__C1 (.DIODE(_3406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6785__A (.DIODE(_3462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6788__C1 (.DIODE(_2830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6793__A2 (.DIODE(_3535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6793__B2 (.DIODE(_3288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6795__A (.DIODE(_3387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6800__B1 (.DIODE(_3491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6800__B2 (.DIODE(_3542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6800__C1 (.DIODE(_2830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6801__B1 (.DIODE(_3538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6806__A2 (.DIODE(_3522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6806__C1 (.DIODE(_3402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6807__B1 (.DIODE(_3324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6812__B2 (.DIODE(_3552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6815__A (.DIODE(_3552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6821__A (.DIODE(_3352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6822__C1 (.DIODE(_3560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6826__A (.DIODE(_3286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6828__B2 (.DIODE(_3565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6828__C1 (.DIODE(_3315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6831__A3 (.DIODE(_2562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6832__B2 (.DIODE(_3568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6832__C1 (.DIODE(_3509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6835__A3 (.DIODE(_2562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6836__A2 (.DIODE(_3306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6836__B2 (.DIODE(_3571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6836__C1 (.DIODE(_3290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6841__A1 (.DIODE(_2562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6841__A2 (.DIODE(_3552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6847__A1 (.DIODE(_3472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6851__A1 (.DIODE(_3402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6851__B1 (.DIODE(_2817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6851__B2 (.DIODE(_2976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6855__A (.DIODE(_3299_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6856__B2 (.DIODE(_3587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6859__A (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[59] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6861__B2 (.DIODE(_3591_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6861__C1 (.DIODE(_2975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6866__B2 (.DIODE(_3595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6866__C1 (.DIODE(_3414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6872__B2 (.DIODE(_3599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6873__B1 (.DIODE(_3538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6879__A (.DIODE(_3605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6880__B1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6880__C1 (.DIODE(_3382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6881__B1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[126] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6882__A (.DIODE(_3397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6883__A (.DIODE(_3341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6883__B (.DIODE(_3315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6884__B2 (.DIODE(_3605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6885__B1 (.DIODE(_3538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6885__B2 (.DIODE(_3610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6886__A2 (.DIODE(_3605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6886__C1 (.DIODE(_3352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6887__B1 (.DIODE(_3538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6887__B2 (.DIODE(_3611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6888__A (.DIODE(_3387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6890__A1 (.DIODE(_3443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6890__B1 (.DIODE(_3491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6890__B2 (.DIODE(_3613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6892__A3 (.DIODE(_2468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6893__A1 (.DIODE(_3472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6893__B1 (.DIODE(_3355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6894__A1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[114] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6897__A3 (.DIODE(_2468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6898__A1 (.DIODE(\u_uart2wb.u_msg.State[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6898__C1 (.DIODE(_3382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6899__A2 (.DIODE(_3389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6899__B2 (.DIODE(_3620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6900__B1 (.DIODE(_2468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6900__B2 (.DIODE(_3605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6900__C1 (.DIODE(_3422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6901__A1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[112] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6901__B1 (.DIODE(_3342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6901__C1 (.DIODE(_3456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6902__A2 (.DIODE(_3389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6903__A1 (.DIODE(_3274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6903__B1 (.DIODE(_3324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6903__C1 (.DIODE(_3475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6906__A2 (.DIODE(_3338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6906__B1 (.DIODE(_3290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6909__A2 (.DIODE(_3587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6909__B1 (.DIODE(_3560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6911__A1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[51] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6911__C1 (.DIODE(_3478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6912__A1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[59] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6912__B1 (.DIODE(_3398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6913__B1 (.DIODE(_3509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6913__C1 (.DIODE(_3406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6915__B1 (.DIODE(_3422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6915__C1 (.DIODE(_3478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6915__D1 (.DIODE(_2976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6916__A1 (.DIODE(_3456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6917__A2 (.DIODE(_3587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6917__B1 (.DIODE(_3406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6920__B1 (.DIODE(_3475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6921__A1_N (.DIODE(_3398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6924__B1 (.DIODE(_3491_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6924__C1 (.DIODE(_3364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6925__A2 (.DIODE(_3389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6929__A1 (.DIODE(_3587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6929__B1_N (.DIODE(_3342_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6933__A2 (.DIODE(_3288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6934__A2 (.DIODE(_3332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6934__B1 (.DIODE(_3364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6936__C1 (.DIODE(_3355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6938__A1 (.DIODE(_2759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6940__B2 (.DIODE(_2975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6967__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[64] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6968__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[63] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6969__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[62] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6970__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[61] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6973__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[60] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6974__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[59] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6975__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[58] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6976__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[57] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6979__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[56] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6980__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[55] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6981__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[54] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6982__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[53] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6985__A (.DIODE(_3670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6989__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[52] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6990__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[51] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6991__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[50] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6992__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[49] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6993__A (.DIODE(_3670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6995__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[48] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6996__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[47] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6998__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[45] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6999__A (.DIODE(_3670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7001__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[44] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7002__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[43] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7003__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[42] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7004__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[41] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7005__A (.DIODE(_3670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7007__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7008__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7009__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[38] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7010__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[37] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7015__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[36] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7016__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[35] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7017__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[34] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7018__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[33] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7021__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[32] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7022__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7023__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7024__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7027__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7028__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7029__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[26] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7030__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7033__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7034__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7035__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7036__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7038__A (.DIODE(_3691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7040__A (.DIODE(_3693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7041__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3235__A (.DIODE(wbm_we_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7042__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3236__A (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7043__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3237__A (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7044__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3238__A (.DIODE(_1420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7045__A (.DIODE(_3691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3243__B1 (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7046__A (.DIODE(_3693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3244__B1 (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7047__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3245__B1 (.DIODE(wbm_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7048__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3246__B1 (.DIODE(wbm_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7049__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3249__B1 (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7050__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3250__B1 (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7051__A (.DIODE(_3691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3251__B1 (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7052__A (.DIODE(_3693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3253__A (.DIODE(net407),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7053__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3254__A (.DIODE(net415),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7054__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3260__A (.DIODE(net414),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7055__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3263__A (.DIODE(net414),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7056__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3266__A (.DIODE(net414),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7057__A (.DIODE(_3691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3268__A (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7058__A (.DIODE(_3693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3268__B (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7059__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3269__A (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7060__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3270__A (.DIODE(_1440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7061__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3271__A (.DIODE(wbm_adr_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7062__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3271__C_N (.DIODE(wb_req),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7065__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3272__B (.DIODE(_1442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7076__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[64] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3274__B (.DIODE(_1444_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7077__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[63] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3275__A (.DIODE(_1445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7078__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[62] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3276__A (.DIODE(_1445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7080__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[61] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3278__B1 (.DIODE(wbm_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7082__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[60] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3279__A (.DIODE(_1432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7083__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[59] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3283__B1 (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7084__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[58] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3286__B1 (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7086__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[57] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3289__B1 (.DIODE(wbm_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7088__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[56] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3292__A (.DIODE(_1445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7089__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[55] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3294__B1 (.DIODE(wbm_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7090__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[54] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3298__B1 (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7093__A (.DIODE(_3714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3301__B1 (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7094__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[53] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3304__B1 (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7096__A (.DIODE(_3716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3306__A (.DIODE(wbm_sel_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7098__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[52] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3308__A (.DIODE(_1420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7099__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[51] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3312__B1 (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7100__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[50] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3313__A (.DIODE(_1420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7101__A (.DIODE(_3714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3319__A (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7102__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[49] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3320__B (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7104__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[48] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3327__A (.DIODE(_1479_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7105__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[47] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3327__B (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7107__A (.DIODE(_3714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3327__C (.DIODE(\u_lbist.cfg_lbist_pat[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7108__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[45] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3328__A2 (.DIODE(_1477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7110__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[44] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3328__B1 (.DIODE(\u_lbist.lbist_done ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7111__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[43] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3328__B2 (.DIODE(_0353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7112__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[42] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3330__A (.DIODE(_1479_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7113__A (.DIODE(_3714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3334__A (.DIODE(_1485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7114__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[41] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3335__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7116__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3335__B1 (.DIODE(_1484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7117__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3335__B2 (.DIODE(_1486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7118__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[38] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3338__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7120__A (.DIODE(_3725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3338__B1 (.DIODE(_1488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7121__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[37] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3338__B2 (.DIODE(_1486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7122__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[36] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3343__A (.DIODE(_1485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7123__A (.DIODE(_3716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3344__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7125__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[35] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3348__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7126__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[34] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3348__B1 (.DIODE(_1496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7127__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[33] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3352__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7128__A (.DIODE(_3725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3352__B1 (.DIODE(_1499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7129__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[32] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3355__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7131__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3355__B1 (.DIODE(_1501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7132__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3361__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7133__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3361__B1 (.DIODE(_1504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7134__A (.DIODE(_3725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3365__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7135__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3368__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7137__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3369__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7138__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3369__A3 (.DIODE(\u_lbist.cfg_lbist_pat[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7139__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3372__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7140__A (.DIODE(_3725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3372__A3 (.DIODE(\u_lbist.cfg_lbist_pat[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7141__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3377__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7143__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3377__B1 (.DIODE(_1517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7144__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3381__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7145__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3381__B1 (.DIODE(_1521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7147__A (.DIODE(_3735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3382__A1 (.DIODE(\u_lbist.lbist_reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7148__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3385__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7149__A (.DIODE(_3716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3385__B1 (.DIODE(_1524_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7151__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3388__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7152__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3388__B1 (.DIODE(_1526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7153__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3394__A (.DIODE(_1530_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7154__A (.DIODE(_3735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3395__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7155__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3398__A (.DIODE(_1533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7157__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3401__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7157__B2 (.DIODE(_3740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3401__A3 (.DIODE(\u_lbist.cfg_chain_depth[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7158__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3401__B1 (.DIODE(_1535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7158__B2 (.DIODE(_3740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3404__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7159__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3404__B1 (.DIODE(_1538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7159__B2 (.DIODE(_3740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3405__A1 (.DIODE(\u_lbist.lbist_reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7160__A (.DIODE(_3735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3406__A (.DIODE(_1479_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7161__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3408__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7161__B2 (.DIODE(_3740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3408__A3 (.DIODE(\u_lbist.cfg_chain_depth[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7163__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3412__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7164__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3413__A1 (.DIODE(\u_lbist.lbist_reg_rdata[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7165__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3414__A (.DIODE(_1533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7166__A (.DIODE(_3735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3417__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7167__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3418__A1 (.DIODE(\u_lbist.lbist_reg_rdata[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7169__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3420__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7170__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3420__B1 (.DIODE(_1550_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7171__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3421__A1 (.DIODE(\u_lbist.lbist_reg_rdata[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7173__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3422__A (.DIODE(_1479_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7174__A (.DIODE(_3716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3424__A1 (.DIODE(_1552_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7202__B1 (.DIODE(\u_uart2wb.rx_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3424__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7206__A (.DIODE(_3013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3428__A1 (.DIODE(_1552_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7208__A (.DIODE(_3015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3428__A2 (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7209__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[64] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3430__A (.DIODE(_1533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7210__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[63] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3431__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7211__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[62] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3434__A (.DIODE(_1561_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7213__A2 (.DIODE(_3761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3437__A2 (.DIODE(_1477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7213__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[61] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3437__B2 (.DIODE(_0353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7214__A (.DIODE(_3015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3439__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7215__A2 (.DIODE(_3761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3441__A (.DIODE(_1566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7215__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[60] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3443__A (.DIODE(_0289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7216__A2 (.DIODE(_3761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3444__A1 (.DIODE(_1567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7216__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[59] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3444__A2 (.DIODE(_1477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7217__A2 (.DIODE(_3761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3444__B2 (.DIODE(_0353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7217__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[58] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3446__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7219__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[57] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3451__A (.DIODE(_0285_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7223__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[56] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3452__A2 (.DIODE(_1477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7224__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[55] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3460__A (.DIODE(_1530_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7225__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[54] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3461__A (.DIODE(_0281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7226__A (.DIODE(_3013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3462__A1 (.DIODE(_1579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7229__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[53] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3462__A2 (.DIODE(_1580_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7231__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[52] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3464__A (.DIODE(_1533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7232__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[51] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3469__A (.DIODE(_0277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7233__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[50] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3470__A2 (.DIODE(_1580_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7235__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[49] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3472__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7237__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[48] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3474__A (.DIODE(_1591_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7238__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[47] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3476__A (.DIODE(_0273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7241__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[45] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3477__A2 (.DIODE(_1580_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7243__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[44] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3477__B1 (.DIODE(\u_lbist.cfg_lbist_rsb ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7244__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[43] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3481__A1 (.DIODE(_0269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7245__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[42] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3482__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7247__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[41] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3485__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7249__A (.DIODE(_3776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3489__A1 (.DIODE(_0265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7250__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3489__A2 (.DIODE(_1530_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7251__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3489__B1 (.DIODE(_1601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7252__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[38] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3490__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7254__A (.DIODE(_3778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3492__A1 (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7255__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[37] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3492__B1 (.DIODE(wbm_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7256__A2 (.DIODE(_3015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3493__B1 (.DIODE(wbm_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7256__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[36] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3494__A1 (.DIODE(\u_lbist.cfg_lbist_rsb ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7257__A (.DIODE(_3776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3494__B1 (.DIODE(wbm_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7258__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[35] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3497__B1 (.DIODE(wbm_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7259__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[34] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3498__B1 (.DIODE(wbm_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7260__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[33] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3499__B1 (.DIODE(wbm_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7261__A (.DIODE(_3778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3500__B1 (.DIODE(wbm_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7262__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[32] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3527__A (.DIODE(net415),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7263__A (.DIODE(_3776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3528__A (.DIODE(_1620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7264__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3538__A (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7265__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3562__A (.DIODE(_1620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7266__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3585__A (.DIODE(_1620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7267__A (.DIODE(_3778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3597__A (.DIODE(_1666_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7268__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3598__A (.DIODE(wbm_adr_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7269__A (.DIODE(_3776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3598__B (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7270__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3598__C (.DIODE(wb_req),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7271__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3600__C (.DIODE(_0038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7272__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3611__B1 (.DIODE(_1440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7273__A (.DIODE(_3778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3611__C1 (.DIODE(wb_req),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7274__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3611__D1 (.DIODE(_1677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7277__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3615__B (.DIODE(wb_req),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7278__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3616__A1 (.DIODE(wbm_we_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7279__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3616__A2 (.DIODE(_1681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7282__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3616__B1 (.DIODE(_1677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7284__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3617__A (.DIODE(_1620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7285__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3618__A (.DIODE(_1683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7286__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3620__B (.DIODE(_1444_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7288__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3624__B1 (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7290__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3625__A (.DIODE(_1683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7291__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3627__B1 (.DIODE(wbm_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7292__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3628__A (.DIODE(_1683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7294__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3630__B1 (.DIODE(wbm_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7296__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3631__A (.DIODE(_1683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7297__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3633__B1 (.DIODE(wbm_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7298__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3634__A (.DIODE(net407),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7300__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3635__A (.DIODE(net419),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7302__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3637__A (.DIODE(_1694_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7303__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3642__B1 (.DIODE(wbm_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7304__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3645__B1 (.DIODE(wbm_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7306__B1 (.DIODE(\u_async_wb.m_cmd_wr_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3648__A1 (.DIODE(\u_bist_rst_scan_sel.A0 ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7319__A2 (.DIODE(_2697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3648__B1 (.DIODE(wbm_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7322__A1 (.DIODE(la_data_in[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3651__A1 (.DIODE(\u_glb_ctrl.data_out[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7324__A1 (.DIODE(la_data_in[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3651__B1 (.DIODE(wbm_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7325__A (.DIODE(net423),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3652__A (.DIODE(_1694_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7329__A2 (.DIODE(_2697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3655__A (.DIODE(wbm_sel_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7335__A2 (.DIODE(_0070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3656__A (.DIODE(_1704_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7335__B1 (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3656__B (.DIODE(_1444_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7336__A2 (.DIODE(_3112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3660__B1 (.DIODE(wbm_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7337__A (.DIODE(\u_lbist.cfg_lbist_srst ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3663__A1 (.DIODE(\u_glb_ctrl.data_out[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7340__B1 (.DIODE(_3814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3663__B1 (.DIODE(wbm_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7342__B (.DIODE(_2285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3666__A1 (.DIODE(\u_glb_ctrl.data_out[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7344__A1 (.DIODE(_1921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3666__B1 (.DIODE(wbm_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7344__A2 (.DIODE(_3112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3669__A1 (.DIODE(\u_glb_ctrl.data_out[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7345__A2 (.DIODE(_0070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3669__B1 (.DIODE(wbm_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7352__D (.DIODE(_3051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3670__A (.DIODE(_1694_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7354__C (.DIODE(\u_async_wb.m_cmd_wr_data[36] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3675__A1 (.DIODE(\u_glb_ctrl.data_out[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7359__A (.DIODE(la_data_in[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3675__B1 (.DIODE(wbm_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7362__A (.DIODE(la_data_in[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3678__B1 (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7365__A (.DIODE(la_data_in[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3681__B1 (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7366__A1 (.DIODE(la_data_in[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3684__A1 (.DIODE(\u_glb_ctrl.data_out[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7368__A (.DIODE(la_data_in[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3684__B1 (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7373__A (.DIODE(la_data_in[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3685__A (.DIODE(_1694_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7373__B (.DIODE(la_data_in[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3688__A (.DIODE(wbm_sel_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7375__A (.DIODE(la_data_in[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3689__A (.DIODE(_1721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7375__C (.DIODE(la_data_in[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3689__B (.DIODE(_1444_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7377__B1 (.DIODE(la_data_in[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3693__B1 (.DIODE(wbm_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7379__A (.DIODE(la_data_in[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3696__B1 (.DIODE(wbm_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7381__B1 (.DIODE(la_data_in[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3699__B1 (.DIODE(wbm_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7384__A (.DIODE(la_data_in[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3702__B1 (.DIODE(wbm_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7387__B1 (.DIODE(la_data_in[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3709__B1 (.DIODE(wbm_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7390__A (.DIODE(la_data_in[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3712__B1 (.DIODE(wbm_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7392__B1 (.DIODE(la_data_in[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3715__B1 (.DIODE(wbm_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7395__A (.DIODE(la_data_in[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3718__B1 (.DIODE(wbm_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7397__B1 (.DIODE(la_data_in[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3738__A (.DIODE(_1748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7400__A (.DIODE(la_data_in[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3739__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7402__B1 (.DIODE(la_data_in[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3739__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7405__A1 (.DIODE(la_data_in[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3742__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7405__B1 (.DIODE(la_data_in[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3745__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7406__A (.DIODE(la_data_in[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3745__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7411__A (.DIODE(la_data_in[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3748__A (.DIODE(_1748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7415__B1 (.DIODE(_0071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3749__A (.DIODE(_1752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7417__A1 (.DIODE(la_data_in[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3750__A (.DIODE(_1666_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7417__A2 (.DIODE(la_data_in[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3752__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7419__B1 (.DIODE(la_data_in[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3756__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7421__A1 (.DIODE(la_data_in[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3759__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7421__B1 (.DIODE(la_data_in[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3762__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7424__A1 (.DIODE(la_data_in[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3765__A (.DIODE(_1752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7426__A1 (.DIODE(la_data_in[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3767__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7428__A1 (.DIODE(la_data_in[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3771__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7430__A1 (.DIODE(la_data_in[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3774__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7432__A1 (.DIODE(la_data_in[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3777__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7434__A1 (.DIODE(la_data_in[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3780__A (.DIODE(_1748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7439__B1 (.DIODE(_0093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3783__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7443__C1 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3788__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7451__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3788__A3 (.DIODE(\u_lbist.lbist_reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7451__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3791__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7455__A (.DIODE(\u_lbist.cfg_lbist_srst ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3794__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7471__C (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3798__A (.DIODE(_1666_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7473__A1 (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3799__A (.DIODE(_1778_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7476__A (.DIODE(_0382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3800__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7476__B (.DIODE(_0385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3804__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7479__A3 (.DIODE(_2984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3807__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7480__B (.DIODE(_3865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3807__A3 (.DIODE(\u_lbist.lbist_reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7482__A2 (.DIODE(_0069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3810__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7483__B (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3814__A (.DIODE(_1778_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7484__B1 (.DIODE(_2984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3815__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7486__B1 (.DIODE(_1670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3815__A3 (.DIODE(\u_lbist.lbist_reg_rdata[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7487__A (.DIODE(_3865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3819__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7488__A (.DIODE(_3869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3819__A3 (.DIODE(\u_lbist.lbist_reg_rdata[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7490__A2 (.DIODE(_1670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3822__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7491__A (.DIODE(_3869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3822__A3 (.DIODE(\u_lbist.lbist_reg_rdata[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7494__A (.DIODE(_3869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3825__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7497__A (.DIODE(_3869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3829__A (.DIODE(_1778_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7500__A (.DIODE(_3865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3830__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7503__A (.DIODE(\u_lbist.cfg_chain_depth[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3834__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7507__A (.DIODE(\u_lbist.cfg_chain_depth[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3837__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7511__A (.DIODE(\u_lbist.cfg_chain_depth[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3840__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7515__A (.DIODE(\u_lbist.cfg_chain_depth[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3843__A (.DIODE(_1748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7517__A (.DIODE(_3865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3844__A (.DIODE(_1778_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7520__A (.DIODE(\u_lbist.cfg_chain_depth[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3845__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7524__A (.DIODE(\u_lbist.cfg_chain_depth[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3847__A (.DIODE(_1801_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7528__A (.DIODE(\u_lbist.cfg_chain_depth[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3850__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7533__A (.DIODE(\u_lbist.cfg_chain_depth[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3853__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7535__B1 (.DIODE(_3112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3856__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7536__A1 (.DIODE(_2984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3859__A1 (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7557__A (.DIODE(\u_glb_ctrl.data_out[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3859__A2 (.DIODE(_1752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7559__A (.DIODE(\u_glb_ctrl.data_out[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3859__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7562__A (.DIODE(\u_glb_ctrl.data_out[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3860__A (.DIODE(_1801_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7562__B (.DIODE(\u_glb_ctrl.data_out[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3861__A (.DIODE(_1807_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7562__C (.DIODE(\u_glb_ctrl.data_out[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3865__A (.DIODE(_1807_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7564__A1 (.DIODE(\u_glb_ctrl.data_out[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3867__A (.DIODE(\u_lbist.u_async_reg.out_flag_ss ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7570__A (.DIODE(\u_glb_ctrl.data_out[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3868__A2 (.DIODE(_1752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7589__A (.DIODE(_2832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3868__B1 (.DIODE(\u_lbist.u_async_reg.in_reg_timeout ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7590__A2 (.DIODE(_3335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3869__A (.DIODE(_1807_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7595__A1 (.DIODE(_2832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3872__A (.DIODE(_1807_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7596__B (.DIODE(_1827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3878__A (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7598__A2 (.DIODE(_3264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3890__A (.DIODE(_1828_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7601__A (.DIODE(_2915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3908__A (.DIODE(_1828_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7602__A (.DIODE(_2928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3909__A (.DIODE(_1845_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7603__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3922__A (.DIODE(_1845_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7603__A3 (.DIODE(\u_async_wb.m_cmd_wr_data[57] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3925__A (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7603__B2 (.DIODE(_3911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3926__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7604__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3934__A2 (.DIODE(_0030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7604__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3934__B1 (.DIODE(_1856_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7605__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3940__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7605__A3 (.DIODE(\u_async_wb.m_cmd_wr_data[58] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3944__B1 (.DIODE(_1871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7605__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3950__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7605__B2 (.DIODE(_3911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3957__A2 (.DIODE(_0030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7606__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3957__B1 (.DIODE(_1856_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7606__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3968__A2 (.DIODE(_0030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7606__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3968__B1 (.DIODE(_1856_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7607__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3970__A (.DIODE(_1871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7607__A3 (.DIODE(\u_async_wb.m_cmd_wr_data[59] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3974__B1 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7607__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3979__B1 (.DIODE(_1856_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7607__B2 (.DIODE(_3911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3983__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7608__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3990__B1 (.DIODE(_1901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7608__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4003__B1 (.DIODE(_1901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7608__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4006__A2 (.DIODE(\u_lbist.u_lbist_core.u_tx_crc.current_crc[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7609__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4009__B1 (.DIODE(_1901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7609__A3 (.DIODE(\u_async_wb.m_cmd_wr_data[60] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4017__C1 (.DIODE(_1926_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7609__B2 (.DIODE(_3911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4018__A2 (.DIODE(_1921_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7610__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4018__B1 (.DIODE(_1901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7610__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4025__C1 (.DIODE(_1926_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7611__A (.DIODE(_2915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4026__A2 (.DIODE(_1921_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7612__A (.DIODE(_2867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4026__B1 (.DIODE(_1928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7613__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4038__B1 (.DIODE(_1871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7613__A3 (.DIODE(\u_async_wb.m_cmd_wr_data[61] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4044__C1 (.DIODE(_1926_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7614__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4045__A2 (.DIODE(_1921_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7614__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4045__B1 (.DIODE(_1928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7615__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4050__C1 (.DIODE(_1948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7615__A3 (.DIODE(\u_async_wb.m_cmd_wr_data[62] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4058__C1 (.DIODE(_1926_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7616__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4059__A2 (.DIODE(_1921_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7616__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4059__B1 (.DIODE(_1928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7617__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4062__C1 (.DIODE(_1948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7617__A3 (.DIODE(\u_async_wb.m_cmd_wr_data[63] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4068__A1 (.DIODE(_1955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7618__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4068__B2 (.DIODE(_1957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7618__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4068__C1 (.DIODE(_1948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7619__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4072__A1 (.DIODE(_1955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7619__A3 (.DIODE(\u_async_wb.m_cmd_wr_data[64] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4072__B2 (.DIODE(_1957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7620__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4072__C1 (.DIODE(_1948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7620__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4076__A1 (.DIODE(_1955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7621__A (.DIODE(_2867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4079__A2 (.DIODE(_1957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7623__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4086__B1 (.DIODE(_1928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7623__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4088__A2 (.DIODE(_1957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7623__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4110__A (.DIODE(_1828_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7623__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4115__B1 (.DIODE(_1987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7624__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4117__A1 (.DIODE(_1955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7624__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4118__A (.DIODE(_1801_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7624__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4124__A (.DIODE(_1995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7624__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4128__A (.DIODE(_1997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7625__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4132__A2 (.DIODE(_1681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7625__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4132__B2 (.DIODE(\u_async_wb.m_cmd_wr_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7625__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4135__A (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7625__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4136__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7626__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4139__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7626__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4140__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7626__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4143__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7626__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4144__A (.DIODE(_1801_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7628__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4150__B1 (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7628__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4153__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7628__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4154__B1 (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7629__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4160__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7629__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4161__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7629__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4163__B (.DIODE(_2020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7630__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4170__B1 (.DIODE(_2026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7630__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4170__B2 (.DIODE(_2020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7631__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4171__A (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7631__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4171__B (.DIODE(_0095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7631__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4177__A (.DIODE(net407),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7633__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4179__A (.DIODE(_2034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7633__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4186__B1 (.DIODE(_2040_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7633__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4189__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7633__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4201__A2 (.DIODE(_2049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7633__B2 (.DIODE(_3925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4201__A3 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7634__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4202__A2 (.DIODE(_2049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7634__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4203__A (.DIODE(_2034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7634__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4206__B1 (.DIODE(_2049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7634__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4211__A1_N (.DIODE(\u_async_wb.m_cmd_wr_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7634__B2 (.DIODE(_3925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4211__B2 (.DIODE(\u_async_wb.m_cmd_wr_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7635__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4214__A2 (.DIODE(_1681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7635__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4218__B2 (.DIODE(_1681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7635__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4226__B (.DIODE(_0033_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7635__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4241__B (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7635__B2 (.DIODE(_3925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4244__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7636__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4261__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7636__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4266__A1 (.DIODE(\u_lbist.u_lbist_core.scan_clk_enb ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7636__B2 (.DIODE(_3925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4268__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7638__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4271__A3 (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7638__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4273__A (.DIODE(\u_lbist.u_lbist_core.next_lbist_done ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7639__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4280__A1 (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7639__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4282__C (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7640__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4284__A (.DIODE(\u_lbist.lbist_done ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7640__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4287__A2 (.DIODE(_0034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7641__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4287__B1 (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7641__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4291__A (.DIODE(_2034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7641__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4295__A (.DIODE(_2124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7641__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4297__A (.DIODE(_2124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7642__A (.DIODE(_2868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4300__B1 (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7643__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4304__A (.DIODE(_2131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7643__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4306__A (.DIODE(_2131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7643__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4309__B1 (.DIODE(wbm_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7643__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4312__B1 (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7644__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4315__B1 (.DIODE(wbm_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7644__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4316__A (.DIODE(_2034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7644__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4319__B1 (.DIODE(wbm_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7644__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4324__B1 (.DIODE(wbm_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7645__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4327__B1 (.DIODE(wbm_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7645__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4330__B1 (.DIODE(wbm_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7645__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4335__B1 (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7645__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4340__B1 (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7646__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4343__B1 (.DIODE(wbm_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7646__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4346__B1 (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7646__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4350__B1 (.DIODE(wbm_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7646__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4355__B1 (.DIODE(wbm_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7647__A (.DIODE(_2868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4358__B1 (.DIODE(wbm_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7648__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4361__B1 (.DIODE(wbm_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7648__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4365__B1 (.DIODE(wbm_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7648__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4368__A (.DIODE(_2131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7648__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4372__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7648__B2 (.DIODE(_3928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4372__B1 (.DIODE(wbm_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7649__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4375__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7649__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4375__B1 (.DIODE(wbm_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7649__B2 (.DIODE(_3928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4378__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7650__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4378__B1 (.DIODE(wbm_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7650__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4382__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7650__A3 (.DIODE(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4382__B1 (.DIODE(wbm_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7650__B1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4387__B1 (.DIODE(wbm_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7650__B2 (.DIODE(_3928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4390__B1 (.DIODE(wbm_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7651__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[40] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4393__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7651__A2 (.DIODE(\u_async_wb.m_cmd_wr_data[39] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4393__B1 (.DIODE(wbm_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7651__B2 (.DIODE(_3928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4398__B1 (.DIODE(wbm_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7652__A (.DIODE(_3814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4403__B1 (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7653__A (.DIODE(_2576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4406__B1 (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7654__A (.DIODE(_2573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4409__B1 (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7655__A (.DIODE(_2570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4413__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7656__A (.DIODE(_2565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4413__B1 (.DIODE(wbm_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7657__A (.DIODE(_3814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4418__B1 (.DIODE(wbm_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7658__A (.DIODE(_2561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4421__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7659__A (.DIODE(_2558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4421__B1 (.DIODE(wbm_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7664__A (.DIODE(_2546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4424__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7665__A (.DIODE(_2543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4424__B1 (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7666__A (.DIODE(_2540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4428__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7667__A (.DIODE(_2535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4432__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7669__A (.DIODE(_2531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4434__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7670__A (.DIODE(_2528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4436__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7671__A (.DIODE(_2525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4439__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7672__A (.DIODE(_2518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4442__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7674__A (.DIODE(_2513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4444__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7675__A (.DIODE(_2510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4446__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7676__A (.DIODE(_2507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4449__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7677__A (.DIODE(_2502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4452__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7679__A (.DIODE(_2498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4454__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7680__A (.DIODE(_2495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4456__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7681__A (.DIODE(_2492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4459__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7682__A (.DIODE(_2487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4462__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7684__A (.DIODE(_2483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4464__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7685__A (.DIODE(_2480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4466__A (.DIODE(\u_lbist.cfg_lbist_srst ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7686__A (.DIODE(_2477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4469__A (.DIODE(_2219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7687__A (.DIODE(_2472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4471__A (.DIODE(wbm_ack_o1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7689__A (.DIODE(_2467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4473__A1 (.DIODE(wbm_ack_o1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7690__A (.DIODE(_2464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4473__A3 (.DIODE(_1442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7691__A (.DIODE(_2461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4473__B2 (.DIODE(_2222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7692__A (.DIODE(_2445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4476__A (.DIODE(_2224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7693__A (.DIODE(_0466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4477__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7694__A (.DIODE(_3938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4478__A (.DIODE(scan_out[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7700__A (.DIODE(_3031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4479__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7703__B (.DIODE(_0474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4479__A2 (.DIODE(scan_out[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7705__B (.DIODE(_0476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4482__A1 (.DIODE(_1561_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7707__B (.DIODE(_0478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4482__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7709__B (.DIODE(_0480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4484__A (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7712__B (.DIODE(_0482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4486__A (.DIODE(_2234_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7714__B (.DIODE(_0484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4490__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7716__B (.DIODE(_0486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4491__A2 (.DIODE(_1987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7718__B (.DIODE(_0488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4493__A2 (.DIODE(scan_out[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7721__B (.DIODE(_0490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4493__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7723__B (.DIODE(_0492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4495__A (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7725__B (.DIODE(_0494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4497__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7727__B (.DIODE(_0496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4497__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7730__B (.DIODE(_0498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4497__B1 (.DIODE(_1566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7732__B (.DIODE(_0500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4498__A (.DIODE(scan_out[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7734__B (.DIODE(_0502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4500__A (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7736__B (.DIODE(_0504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4502__A1 (.DIODE(scan_out[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7739__A (.DIODE(_3962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4502__A2 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7740__B (.DIODE(_0506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4505__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7744__B (.DIODE(_0510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4509__A1 (.DIODE(_2240_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7746__B (.DIODE(_0512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4509__B1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7748__A (.DIODE(_3962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4510__A2 (.DIODE(_1987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7749__B (.DIODE(_0514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4510__A3 (.DIODE(_1484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7753__B (.DIODE(_0518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4511__A2 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7758__A (.DIODE(_3962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4511__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7767__A (.DIODE(wbs_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4514__A (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7767__B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4515__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7769__A (.DIODE(wbs_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4515__A2 (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7769__B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4517__A2 (.DIODE(scan_out[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7771__A (.DIODE(wbs_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4517__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7771__B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4519__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7773__A (.DIODE(wbs_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4525__A1 (.DIODE(_2240_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7773__B (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4525__B1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7775__A (.DIODE(_3962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4526__A2 (.DIODE(_1987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7819__B (.DIODE(_0570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4526__A3 (.DIODE(_1488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7822__A (.DIODE(_4008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4527__A (.DIODE(_1845_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7823__B (.DIODE(_0572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4530__A (.DIODE(scan_out[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7825__B (.DIODE(_0574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4532__A2 (.DIODE(scan_out[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7827__B (.DIODE(_0576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4536__A1 (.DIODE(_2275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7829__B (.DIODE(_0578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4536__B1 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7831__A (.DIODE(_4008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4541__A (.DIODE(scan_out[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7832__B (.DIODE(_0580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4542__A2 (.DIODE(scan_out[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7834__B (.DIODE(_0582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4544__A1 (.DIODE(scan_out[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7836__B (.DIODE(_0584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4546__B1 (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7838__B (.DIODE(_0586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4548__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7840__A2 (.DIODE(_0070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4548__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7841__A (.DIODE(_4008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4550__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7842__B (.DIODE(_0588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4555__A1 (.DIODE(_2240_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7844__B (.DIODE(_0590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4555__B1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7846__B (.DIODE(_0592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4556__A3 (.DIODE(_1496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7848__B (.DIODE(_0594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4557__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7849__A (.DIODE(_4023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4558__B1 (.DIODE(scan_out[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7850__A (.DIODE(_4008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4560__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7851__B (.DIODE(_0596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4562__A1_N (.DIODE(_1567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7853__B (.DIODE(_0598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4562__B1 (.DIODE(_1567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7855__B (.DIODE(_0600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4563__B1 (.DIODE(scan_out[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7857__B (.DIODE(_0602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4563__B2 (.DIODE(scan_out[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7859__B1 (.DIODE(_0071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4564__A (.DIODE(scan_out[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7861__A1 (.DIODE(\u_wbclk.clk_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4565__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7862__A1 (.DIODE(\u_lbist_clk.clk_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4565__A2 (.DIODE(scan_out[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7877__A_N (.DIODE(wbm_rst_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4572__A1 (.DIODE(_2234_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7877__B (.DIODE(la_data_in[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4572__B1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7879__A (.DIODE(_3814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4573__A3 (.DIODE(_1499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7880__A (.DIODE(\u_arb.state[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4575__A2 (.DIODE(scan_out[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8090__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4575__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8091__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4578__B1 (.DIODE(scan_out[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8097__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4581__A1 (.DIODE(_1566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8098__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4581__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8099__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4583__A (.DIODE(_2324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8100__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4584__A2 (.DIODE(_2324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8101__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4587__A1 (.DIODE(_2317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8102__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4587__B1 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8104__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4588__A3 (.DIODE(_1501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8105__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4590__A2 (.DIODE(scan_out[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8106__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4590__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8107__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4595__A2 (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8112__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4595__B1 (.DIODE(scan_out[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8113__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4599__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8114__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4600__A3 (.DIODE(_1504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8115__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4603__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8116__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4606__A1_N (.DIODE(_1579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8117__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4609__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8119__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4609__B2 (.DIODE(_2349_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8122__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4614__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8123__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4616__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8129__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4617__A (.DIODE(_2356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8130__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4618__B1 (.DIODE(scan_out[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8131__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4620__B1 (.DIODE(_1484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8132__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4620__B2 (.DIODE(_2324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8137__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4622__A (.DIODE(_2275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8138__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4624__A1 (.DIODE(_2275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8139__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4624__B1 (.DIODE(_2363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8144__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4625__A3 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8145__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4627__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8146__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4627__B2 (.DIODE(scan_out[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8147__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4631__A1 (.DIODE(_1488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8148__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4631__A2 (.DIODE(_1591_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8149__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4631__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8151__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4638__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8154__A (.DIODE(scan_out[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4640__A2 (.DIODE(_2349_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8155__A (.DIODE(scan_out[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4640__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8156__A (.DIODE(scan_out[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4643__A (.DIODE(_2234_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8157__A (.DIODE(scan_out[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4644__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8158__A (.DIODE(scan_out[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4645__A3 (.DIODE(_1517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8159__A (.DIODE(scan_out[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4647__A2 (.DIODE(_2324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8160__A (.DIODE(scan_out[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4647__B1 (.DIODE(_1496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8161__A (.DIODE(scan_out[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4651__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8163__A0 (.DIODE(_0473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4652__A3 (.DIODE(_1521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8163__A1 (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4654__A1 (.DIODE(scan_out[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8163__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4655__A1 (.DIODE(_1499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8164__A1 (.DIODE(wbs_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4655__A2 (.DIODE(_1591_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8164__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4655__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8165__A1 (.DIODE(wbs_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4661__A1 (.DIODE(_2317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8165__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4661__B1 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8166__A1 (.DIODE(wbs_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4662__A3 (.DIODE(_1524_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8166__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4666__A1 (.DIODE(_1501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8167__A1 (.DIODE(wbs_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4670__C1 (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8167__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4672__C (.DIODE(_1526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8168__A1 (.DIODE(wbs_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4673__A1 (.DIODE(_2398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8168__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4675__B1 (.DIODE(_1504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8169__A1 (.DIODE(wbs_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4676__A1 (.DIODE(_1579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8169__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4683__A3 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8170__A1 (.DIODE(wbs_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4684__A1 (.DIODE(_1561_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8170__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4684__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8171__A1 (.DIODE(wbs_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4685__A2 (.DIODE(_1591_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8171__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4685__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8172__A1 (.DIODE(wbs_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4686__A1 (.DIODE(scan_out[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8172__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4686__A2 (.DIODE(scan_out[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8173__A1 (.DIODE(wbs_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4690__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8173__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4691__A3 (.DIODE(_1535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8174__A1 (.DIODE(wbs_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4692__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8174__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4692__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8175__A1 (.DIODE(wbs_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4696__A1 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8175__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4696__B1 (.DIODE(_2275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8176__A1 (.DIODE(wbs_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4697__A3 (.DIODE(_1538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8176__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4699__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8177__A1 (.DIODE(wbs_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4699__B2 (.DIODE(_2349_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8177__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4702__A1 (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8178__A1 (.DIODE(wbs_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4704__A1 (.DIODE(_1517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8178__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4705__A1 (.DIODE(_1517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8179__A1 (.DIODE(wbs_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4705__C1 (.DIODE(scan_out[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8179__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4707__A1 (.DIODE(_2398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8180__A1 (.DIODE(wbs_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4710__B1 (.DIODE(_1521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8180__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4710__C1 (.DIODE(_2438_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8181__A1 (.DIODE(wbs_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4711__B1 (.DIODE(_2439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8181__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4712__B1 (.DIODE(_1524_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8182__A1 (.DIODE(wbs_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4712__C1 (.DIODE(_2438_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8182__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4713__A3 (.DIODE(_1550_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8183__A1 (.DIODE(wbs_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4714__B1 (.DIODE(_1526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8183__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4714__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8184__A1 (.DIODE(wbs_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4714__C1 (.DIODE(_2234_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8184__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4715__A1 (.DIODE(_1526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8185__A1 (.DIODE(wbs_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4715__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8185__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4715__C1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8186__A1 (.DIODE(wbs_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4716__A (.DIODE(_1828_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8186__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4718__A1 (.DIODE(_2398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8187__A1 (.DIODE(wbs_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4719__A1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8187__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4719__B2 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8188__A1 (.DIODE(wbs_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4720__A1_N (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8188__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4720__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8189__A1 (.DIODE(wbs_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4722__A (.DIODE(_2447_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8189__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4723__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8190__A1 (.DIODE(wbs_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4723__B2 (.DIODE(_2447_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8190__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4723__C1 (.DIODE(_2438_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8191__A1 (.DIODE(wbs_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4726__A2 (.DIODE(_1566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8191__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4726__B1 (.DIODE(_1535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8192__A0 (.DIODE(_0531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4726__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8192__A1 (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4727__A1_N (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8192__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4727__B1 (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8193__A1 (.DIODE(wbs_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4728__A1_N (.DIODE(_2356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8193__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4728__B1 (.DIODE(_2356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8194__A1 (.DIODE(wbs_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4730__A1 (.DIODE(_2317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8194__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4730__B1 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8195__A1 (.DIODE(wbs_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4730__C1 (.DIODE(_2438_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8195__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4732__A1 (.DIODE(_1538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8196__A1 (.DIODE(wbs_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4732__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8196__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4733__B1 (.DIODE(_1538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8197__A1 (.DIODE(wbs_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4733__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8197__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4734__C (.DIODE(_1567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8198__A1 (.DIODE(wbs_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4735__A1 (.DIODE(_2398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8198__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4736__A2 (.DIODE(_1561_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8199__A1 (.DIODE(wbs_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4736__B2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8199__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4740__A1 (.DIODE(scan_out[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8200__A1 (.DIODE(wbs_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4740__B1 (.DIODE(_2240_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8200__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4741__A1 (.DIODE(_2224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8201__A1 (.DIODE(wbs_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4742__B1 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8201__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4747__A1 (.DIODE(_2317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8202__A1 (.DIODE(wbs_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4747__B1 (.DIODE(scan_out[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8202__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4748__A1 (.DIODE(_2224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8203__A1 (.DIODE(wbs_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4748__A3 (.DIODE(_1579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8203__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4749__A2 (.DIODE(\u_lbist.u_lbist_core.u_rx_crc.current_crc[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8204__A1 (.DIODE(wbs_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4751__C1 (.DIODE(scan_out[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8204__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4754__A1 (.DIODE(_2363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8205__A1 (.DIODE(wbs_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4756__A1 (.DIODE(_1550_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8205__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4760__C1 (.DIODE(scan_out[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8206__A1 (.DIODE(wbs_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4762__A1 (.DIODE(_2363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8206__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4766__C1 (.DIODE(scan_out[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8207__A1 (.DIODE(wbs_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4767__B (.DIODE(_1845_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8207__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4768__A1 (.DIODE(_2363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8208__A0 (.DIODE(_0563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4770__A1 (.DIODE(_2224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8208__A1 (.DIODE(wbs_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4770__A3 (.DIODE(_2349_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8208__S (.DIODE(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2