uart master added + la[0] added as soft reset
50 files changed
tree: 676ca9da20fd06a173df067508a787d639105014
  1. .github/
  2. def/
  3. docs/
  4. gds/
  5. hacks/
  6. lef/
  7. lib/
  8. mag/
  9. maglef/
  10. openlane/
  11. signoff/
  12. spef/
  13. spi/
  14. sta/
  15. verilog/
  16. .gitignore
  17. .gitmodules
  18. info.yaml
  19. LICENSE
  20. Makefile
  21. README.md
README.md
  LOGIC BIST Controller


Permission to use, copy, modify, and/or distribute this soc for any
purpose with or without fee is hereby granted, provided that the above
copyright notice and this permission notice appear in all copies.

THE SOC IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
WITH REGARD TO THIS SOC INCLUDING ALL IMPLIED WARRANTIES OF
MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOC.

Table of contents

Overview

Logic built-in self-test (or LBIST) is a form of built-in self-test (BIST) in which hardware and/or software is built into integrated circuits allowing them to test their own operation.

Background

Scan chains are traditionally controlled by expensive external test equipment (ATE)
    * requires large ram for test vectors for high coverage
    * requires high speed electronics for at-speed test
    * expensive

Advantages

The main advantage of LBIST is the ability to test internal circuits having no direct connections to external pins.

Disadvantages

LBIST that requires additional circuitry increases the cost of the integrated circuit. Another disadvantage of LBIST is the possibility that the on-chip testing hardware itself can fail; external automated test equipment tests the integrated circuit with known-good test circuitry.

LOGIC BIST Block Diagram

Key features

    * Open sourced under Apache-2.0 License (see LICENSE file) - unrestricted commercial use allowed.
    * Mbist controller with memory repair supported
    * LOGIC BIST with 8 Scan-in/Scan-out chain
    * Wishbone compatible design
    * Written in System Verilog
    * Open-source tool set
       * simulation - iverilog
       * synthesis  - yosys
       * backend/sta - openlane tool set
    * Verification suite provided.

Prerequisites - Tools

There are hacks are done in openlane script/tool to integrated the scan chain. The tool and scripts are updated in dineshannayya:mpw4 docker. Here are details on hacks:

  1. Hack-1: Added DFF to Scan for replacement function
   Directory: OpenSTA (hacks/src/OpenSTA)
   Source Files:
	   hacks/src/OpenSTA/network/ConcreteNetwork.cc
	   hacks/src/OpenSTA/tcl/NetworkEdit.tcl
	   hacks/src/OpenSTA/tcl/Sta.tcl
    Patch File: for OpenRoad docker
           hacks/patch/scan_swap.patch

  1. Hack-2: Patch to disable Manually inserted delay cell resize
   Directory: OpenROAD
   Source Files:
           hacks/src/OpenROAD/Resizer.cc
    Patch File:  for OpenRoad docker
           hacks/patch/resizer.patch
  1. Hack-3: Manual Pin Placement Option
   Directory: Openlane
   Source Files:
           hacks/src/openlane/io_place.py
  1. Hack-4: Synthesis Parameter Over-ride option added with ENV : SYNTH_PARAMS
   Directory: Openlane
   Source Files:
           hacks/src/openlane/synth.tcl
           hacks/src/openlane/synth_top.tcl

all these hacks/patches are implemented inside dineshannayya:mpw4 docker

Prerequisites - Design

for logic bist to work properly, design should met these crieria.

  1. Clock Doman: All the Sub block should be synchronous and use single clock

  2. Reset: Scan Reset Bypass logic need to implemented

  3. SRAM: SRAM input towards digital logic should have scan bypass

  4. Register: All the Register should be able to re-initialize with reset, even the two dimensional FIFO.

  5. Input: All the Input should be in known state, else add scan bypass logic

Tests preparation

The simulation package includes the following tests:

  • wb_port - User Wishbone validation
  • user_mbist_test1 - Standalone Mbist Controller Specific Test for Non Error/Single/Two/Three/Four/Five Location Error
  • user_lbist - Standalone LBIST Controller Specific Test

Running Simulation

Examples:

    make verify-wb_port  
    make verify-user_basic  
    make verify-user_mbist_test1
    make verify-user_lbist
    make verify-wb_port SIM=RTL DUMP=OFF
    make verify-wb_port SIM=RTL DUMP=ON
    make verify-user_mbist_test1 SIM=RTL DUMP=OFF
    make verify-user_mbist_test1 SIM=RTL DUMP=ON
    make verify-user_lbist SIM=RTL DUMP=ON

Tool Sets

Mbist Controller flow uses Openlane tool sets.

  1. Synthesis
    1. yosys - Performs RTL synthesis
    2. abc - Performs technology mapping
    3. OpenSTA - Pefroms static timing analysis on the resulting netlist to generate timing reports
  2. Floorplan and PDN
    1. init_fp - Defines the core area for the macro as well as the rows (used for placement) and the tracks (used for routing)
    2. ioplacer - Places the macro input and output ports
    3. pdn - Generates the power distribution network
    4. tapcell - Inserts welltap and decap cells in the floorplan
  3. Placement
    1. RePLace - Performs global placement
    2. Resizer - Performs optional optimizations on the design
    3. OpenPhySyn - Performs timing optimizations on the design
    4. OpenDP - Perfroms detailed placement to legalize the globally placed components
  4. CTS
    1. TritonCTS - Synthesizes the clock distribution network (the clock tree)
  5. Routing
    1. FastRoute - Performs global routing to generate a guide file for the detailed router
    2. CU-GR - Another option for performing global routing.
    3. TritonRoute - Performs detailed routing
    4. SPEF-Extractor - Performs SPEF extraction
  6. GDSII Generation
    1. Magic - Streams out the final GDSII layout file from the routed def
    2. Klayout - Streams out the final GDSII layout file from the routed def as a back-up
  7. Checks
    1. Magic - Performs DRC Checks & Antenna Checks
    2. Klayout - Performs DRC Checks
    3. Netgen - Performs LVS Checks
    4. CVC - Performs Circuit Validity Checks

Contacts

Report an issue: https://github.com/dineshannayya/logic_bist/issues

Documentation