clk_ctl bug fix in wb_host
diff --git a/def/wb_host.def.gz b/def/wb_host.def.gz
index ab11da3..dbcf3ca 100644
--- a/def/wb_host.def.gz
+++ b/def/wb_host.def.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 1a574f9..624f25f 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index c708160..7ab4b67 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 3625963..89d192c 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index 8236269..c4a8905 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 363eadc..159dfdf 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/mag/wb_host.mag.gz b/mag/wb_host.mag.gz
index 9cbe987..ea723be 100644
--- a/mag/wb_host.mag.gz
+++ b/mag/wb_host.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index a3d63ff..4b2b5be 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/wb_host.mag.gz b/maglef/wb_host.mag.gz
index 04a19ba..e12df0e 100644
--- a/maglef/wb_host.mag.gz
+++ b/maglef/wb_host.mag.gz
Binary files differ
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 91324fd..cd722df 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h6m19s,-1,0.5837484433374844,10.2784,0.2918742216687422,-1,500.97,3,0,0,0,0,0,0,-1,0,0,-1,-1,605011,2110,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.04,0.93,1.45,0.12,0.06,-1,60,843,60,843,0,0,0,3,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h6m45s,-1,0.5837484433374844,10.2784,0.2918742216687422,-1,500.35,3,0,0,0,0,0,0,-1,0,0,-1,-1,605085,2130,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.04,0.93,1.45,0.12,0.06,-1,60,843,60,843,0,0,0,3,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_host/final_summary_report.csv b/signoff/wb_host/final_summary_report.csv
index c12774f..8fcd655 100644
--- a/signoff/wb_host/final_summary_report.csv
+++ b/signoff/wb_host/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h17m20s,-1,47516.66666666667,0.12,23758.333333333336,26.52,601.51,2851,0,0,0,0,0,0,0,28,0,0,-1,190373,28861,-3.74,-4.03,-1,-3.87,-1,-125.07,-180.27,-1,-136.91,-1,85390991.0,4.18,33.44,29.75,14.49,6.8,-1,1327,3653,624,2916,0,0,0,1406,0,0,0,0,0,0,0,4,697,864,13,204,1560,0,1764,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h31m26s,-1,48316.66666666667,0.12,24158.333333333336,26.88,651.89,2899,0,0,0,0,0,0,0,18,0,0,-1,201776,30106,-3.74,-4.04,-1,-3.95,-1,-125.07,-181.37,-1,-124.86,-1,86851552.0,3.95,34.53,31.03,16.16,9.0,-1,1350,3680,624,2920,0,0,0,1433,0,0,0,0,0,0,0,4,709,890,13,204,1560,0,1764,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index cb7164d..83c9c87 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index 5b61cbd..ae9cfde 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/verilog/dv/Makefile b/verilog/dv/Makefile
index 9ced77b..3769b1c 100644
--- a/verilog/dv/Makefile
+++ b/verilog/dv/Makefile
@@ -19,7 +19,7 @@
.SUFFIXES:
.SILENT: clean all
-PATTERNS = wb_port user_mbist_test1
+PATTERNS = wb_port user_mbist_test1 user_basic
all: ${PATTERNS}
for i in ${PATTERNS}; do \
diff --git a/verilog/dv/user_basic/Makefile b/verilog/dv/user_basic/Makefile
new file mode 100644
index 0000000..eb96af8
--- /dev/null
+++ b/verilog/dv/user_basic/Makefile
@@ -0,0 +1,109 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+## PDK
+PDK_PATH = $(PDK_ROOT)/sky130A
+
+## Caravel Pointers
+CARAVEL_ROOT ?= ../../../caravel
+CARAVEL_PATH ?= $(CARAVEL_ROOT)
+CARAVEL_FIRMWARE_PATH = $(CARAVEL_PATH)/verilog/dv/caravel
+CARAVEL_VERILOG_PATH = $(CARAVEL_PATH)/verilog
+CARAVEL_RTL_PATH = $(CARAVEL_VERILOG_PATH)/rtl
+CARAVEL_BEHAVIOURAL_MODELS = $(CARAVEL_VERILOG_PATH)/dv/caravel
+
+## User Project Pointers
+UPRJ_VERILOG_PATH ?= ../../../verilog
+UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_BEHAVIOURAL_MODELS = ../
+UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/mbist/include
+
+## RISCV GCC
+GCC_PATH?=/ef/apps/bin
+GCC_PREFIX?=riscv32-unknown-elf
+GCC64_PREFIX?=riscv64-unknown-elf
+
+## Simulation mode: RTL/GL
+SIM?=RTL
+DUMP?=OFF
+
+.SUFFIXES:
+
+PATTERN = user_basic
+
+all: ${PATTERN:=.vcd}
+
+hex: ${PATTERN:=.hex}
+
+vvp: ${PATTERN:=.vvp}
+
+%.vvp: %_tb.v
+ifeq ($(SIM),RTL)
+ ifeq ($(DUMP),OFF)
+ iverilog -g2005-sv $(SIM_DEFINES) -I $(PDK_PATH) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_RTL_PATH) \
+ -I $(UPRJ_INCLUDE_PATH1) \
+ $< -o $@
+ else
+ iverilog -g2005-sv -DWFDUMP $(SIM_DEFINES) -I $(PDK_PATH) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_RTL_PATH) \
+ -I $(UPRJ_INCLUDE_PATH1) \
+ $< -o $@
+ endif
+else
+ iverilog $(SIM_DEFINES) -DGL -I $(PDK_PATH) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I$(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+ $< -o $@
+endif
+
+%.vcd: %.vvp
+ vvp $<
+
+%.elf: %.c $(CARAVEL_FIRMWARE_PATH)/sections.lds $(CARAVEL_FIRMWARE_PATH)/start.s check-env
+ ${GCC64_PREFIX}-gcc -I $(CARAVEL_PATH) -march=rv32imc -mabi=ilp32 -Wl,-Bstatic,-T,$(CARAVEL_FIRMWARE_PATH)/sections.lds,--strip-debug -ffreestanding -nostdlib -o $@ $(CARAVEL_FIRMWARE_PATH)/start.s $<
+
+%.hex: %.elf
+ ${GCC64_PREFIX}-objcopy -O verilog $< $@
+ # to fix flash base address
+ sed -i 's/@10000000/@00000000/g' $@
+
+%.bin: %.elf
+ ${GCC64_PREFIX}-objcopy -O binary $< /dev/stdout | tail -c +1048577 > $@
+
+check-env:
+ifndef PDK_ROOT
+ $(error PDK_ROOT is undefined, please export it before running make)
+endif
+ifeq (,$(wildcard $(PDK_ROOT)/sky130A))
+ $(error $(PDK_ROOT)/sky130A not found, please install pdk before running make)
+endif
+#ifeq (,$(wildcard $(GCC64_PREFIX)-gcc ))
+# $(error $(GCC64_PREFIX)-gcc is not found, please export GCC_PATH and GCC_PREFIX before running make)
+#endif
+# check for efabless style installation
+ifeq (,$(wildcard $(PDK_ROOT)/sky130A/libs.ref/*/verilog))
+SIM_DEFINES := ${SIM_DEFINES} -DEF_STYLE
+endif
+
+# ---- Clean ----
+
+clean:
+ rm -f *.elf *.hex *.bin *.vvp *.vcd *.log
+
+.PHONY: clean hex all
diff --git a/verilog/dv/user_basic/user_basic_tb.v b/verilog/dv/user_basic/user_basic_tb.v
new file mode 100644
index 0000000..02cad66
--- /dev/null
+++ b/verilog/dv/user_basic/user_basic_tb.v
@@ -0,0 +1,500 @@
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021 , Dinesh Annayya
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+// http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Modified by Dinesh Annayya <dinesha@opencores.org>
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Standalone User validation Test bench ////
+//// ////
+//// This file is part of the YIFive cores project ////
+//// https://github.com/dineshannayya/yifive_r0.git ////
+//// http://www.opencores.org/cores/yifive/ ////
+//// ////
+//// Description ////
+//// This is a standalone test bench to validate the ////
+//// Digital core. ////
+//// 1. User Risc core is booted using compiled code of ////
+//// user_risc_boot.c ////
+//// 2. User Risc core uses Serial Flash and SDRAM to boot ////
+//// 3. After successful boot, Risc core will check the UART ////
+//// RX Data, If it's available then it loop back the same ////
+//// data in uart tx ////
+//// 4. Test bench send random 40 character towards User uart ////
+//// and expect same data to return back ////
+//// ////
+//// To Do: ////
+//// nothing ////
+//// ////
+//// Author(s): ////
+//// - Dinesh Annayya, dinesha@opencores.org ////
+//// ////
+//// Revision : ////
+//// 0.1 - 16th Feb 2021, Dinesh A ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2000 Authors and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from http://www.opencores.org/lgpl.shtml ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+
+`default_nettype wire
+
+`timescale 1 ns/10 ps
+
+`include "uprj_netlists.v"
+
+
+module user_basic_tb;
+parameter CLK1_PERIOD = 10;
+parameter CLK2_PERIOD = 2;
+
+reg clock ;
+reg clock2 ;
+reg wb_rst_i ;
+reg power1, power2;
+reg power3, power4;
+
+reg wbd_ext_cyc_i; // strobe/request
+reg wbd_ext_stb_i; // strobe/request
+reg [31:0] wbd_ext_adr_i; // address
+reg wbd_ext_we_i; // write
+reg [31:0] wbd_ext_dat_i; // data output
+reg [3:0] wbd_ext_sel_i; // byte enable
+
+wire [31:0] wbd_ext_dat_o; // data input
+wire wbd_ext_ack_o; // acknowlegement
+wire wbd_ext_err_o; // error
+
+// User I/O
+wire [37:0] io_oeb ;
+wire [37:0] io_out ;
+wire [37:0] io_in ;
+
+wire [37:0] mprj_io ;
+wire [7:0] mprj_io_0 ;
+reg test_fail ;
+reg [31:0] read_data ;
+//----------------------------------
+// Uart Configuration
+// ---------------------------------
+reg [1:0] uart_data_bit ;
+reg uart_stop_bits ; // 0: 1 stop bit; 1: 2 stop bit;
+reg uart_stick_parity ; // 1: force even parity
+reg uart_parity_en ; // parity enable
+reg uart_even_odd_parity ; // 0: odd parity; 1: even parity
+
+reg [7:0] uart_data ;
+reg [15:0] uart_divisor ; // divided by n * 16
+reg [15:0] uart_timeout ;// wait time limit
+
+reg [15:0] uart_rx_nu ;
+reg [15:0] uart_tx_nu ;
+reg [7:0] uart_write_data [0:39];
+reg uart_fifo_enable ; // fifo mode disable
+
+wire clock_mon;
+integer test_step;
+
+integer i,j;
+
+ // External clock is used by default. Make this artificially fast for the
+ // simulation. Normally this would be a slow clock and the digital PLL
+ // would be the fast clock.
+
+ always #(CLK1_PERIOD/2) clock <= (clock === 1'b0);
+ always #(CLK2_PERIOD/2) clock2 <= (clock2 === 1'b0);
+
+ initial begin
+ test_step = 0;
+ clock = 0;
+ clock2 = 0;
+ wbd_ext_cyc_i ='h0; // strobe/request
+ wbd_ext_stb_i ='h0; // strobe/request
+ wbd_ext_adr_i ='h0; // address
+ wbd_ext_we_i ='h0; // write
+ wbd_ext_dat_i ='h0; // data output
+ wbd_ext_sel_i ='h0; // byte enable
+ end
+
+ `ifdef WFDUMP
+ initial begin
+ $dumpfile("risc_boot.vcd");
+ $dumpvars(3, user_basic_tb);
+ end
+ `endif
+
+ initial begin
+ wb_rst_i <= 1'b1;
+ #100;
+ wb_rst_i <= 1'b0; // Release reset
+ end
+initial
+begin
+
+ #200; // Wait for reset removal
+ repeat (10) @(posedge clock);
+ $display("Monitor: Standalone User Basic Test Started");
+
+ repeat (2) @(posedge clock);
+
+ test_fail=0;
+ fork
+ begin
+ // Default Value Check
+ // assign cfg_glb_ctrl = reg_1[7:0];
+ // assign cfg_bist_clk_ctrl = reg_1[11:8];
+ // assign cfg_mem_clk_ctrl = reg_1[15:12];
+ // assign cfg_bank_sel = reg_1[23:16];
+ $display("Step-1, BIST CLK: CLOCK1, MEM CLK: CLOCK1 ");
+ test_step = 1;
+ wb_user_core_write('h3080_0004,{16'h0,4'h0,4'h0,8'h00});
+ clock_monitor(CLK1_PERIOD,CLK1_PERIOD);
+
+ $display("Step-2, BIST CLK: CLOCK2, MEM CLK: CLOCK2 ");
+ test_step = 2;
+ wb_user_core_write('h3080_0004,{16'h0,4'h8,4'h8,8'h00});
+ clock_monitor(CLK2_PERIOD,CLK2_PERIOD);
+
+ $display("Step-3, BIST CLK: CLOCK1/2, MEM CLK: CLOCK1/2");
+ test_step = 3;
+ wb_user_core_write('h3080_0004,{16'h0,4'h4,4'h4,8'h00});
+ clock_monitor(2*CLK1_PERIOD,2*CLK1_PERIOD);
+
+ $display("Step-4, BIST CLK: CLOCK1/(2+1), MEM CLK: CLOCK1/(2+1)");
+ test_step = 4;
+ wb_user_core_write('h3080_0004,{16'h0,4'h5,4'h5,8'h00});
+ clock_monitor(3*CLK1_PERIOD,3*CLK1_PERIOD);
+
+ $display("Step-5, BIST CLK: CLOCK1/(2+2), MEM CLK: CLOCK1/(2+2)");
+ test_step = 5;
+ wb_user_core_write('h3080_0004,{16'h0,4'h6,4'h6,8'h00});
+ clock_monitor(4*CLK1_PERIOD,4*CLK1_PERIOD);
+
+ $display("Step-6, BIST CLK: CLOCK1/(2+3), MEM CLK: CLOCK1/(2+3)");
+ test_step = 6;
+ wb_user_core_write('h3080_0004,{16'h0,4'h7,4'h7,8'h00});
+ clock_monitor(5*CLK1_PERIOD,5*CLK1_PERIOD);
+
+ $display("Step-7, BIST CLK: CLOCK2/(2+3), MEM CLK: CLOCK2/(2+3)");
+ test_step = 6;
+ wb_user_core_write('h3080_0004,{16'h0,4'hF,4'hF,8'h00});
+ clock_monitor(5*CLK2_PERIOD,5*CLK2_PERIOD);
+ end
+
+ begin
+ repeat (20000) @(posedge clock);
+ // $display("+1000 cycles");
+ test_fail = 1;
+ end
+ join_any
+ disable fork; //disable pending fork activity
+
+
+ $display("###################################################");
+ if(test_fail == 0) begin
+ `ifdef GL
+ $display("Monitor: Standalone User UART Test (GL) Passed");
+ `else
+ $display("Monitor: Standalone User UART Test (RTL) Passed");
+ `endif
+ end else begin
+ `ifdef GL
+ $display("Monitor: Standalone User UART Test (GL) Failed");
+ `else
+ $display("Monitor: Standalone User UART Test (RTL) Failed");
+ `endif
+ end
+ $display("###################################################");
+ #100
+ $finish;
+end
+
+
+wire USER_VDD1V8 = 1'b1;
+wire VSS = 1'b0;
+
+
+user_project_wrapper u_top(
+`ifdef USE_POWER_PINS
+ .vccd1(USER_VDD1V8), // User area 1 1.8V supply
+ .vssd1(VSS), // User area 1 digital ground
+`endif
+ .wb_clk_i (clock), // System clock
+ .user_clock2 (clock2), // Real-time clock
+ .wb_rst_i (wb_rst_i), // Regular Reset signal
+
+ .wbs_cyc_i (wbd_ext_cyc_i), // strobe/request
+ .wbs_stb_i (wbd_ext_stb_i), // strobe/request
+ .wbs_adr_i (wbd_ext_adr_i), // address
+ .wbs_we_i (wbd_ext_we_i), // write
+ .wbs_dat_i (wbd_ext_dat_i), // data output
+ .wbs_sel_i (wbd_ext_sel_i), // byte enable
+
+ .wbs_dat_o (wbd_ext_dat_o), // data input
+ .wbs_ack_o (wbd_ext_ack_o), // acknowlegement
+
+
+ // Logic Analyzer Signals
+ .la_data_in ('0) ,
+ .la_data_out (),
+ .la_oenb ('0),
+
+
+ // IOs
+ .io_in (io_in) ,
+ .io_out (io_out) ,
+ .io_oeb (io_oeb) ,
+
+ .user_irq ()
+
+);
+
+`ifndef GL // Drive Power for Hold Fix Buf
+ // All standard cell need power hook-up for functionality work
+ initial begin
+
+ force u_top.u_wb_host.u_buf_wb_rst.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_buf_wb_rst.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_buf_wb_rst.VGND =VSS;
+ force u_top.u_wb_host.u_buf_wb_rst.VNB = VSS;
+
+ force u_top.u_wb_host.u_buf_bist_rst.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_buf_bist_rst.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_buf_bist_rst.VGND =VSS;
+ force u_top.u_wb_host.u_buf_bist_rst.VNB = VSS;
+
+ force u_top.u_wb_host.u_clkbuf_bist.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_clkbuf_bist.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_clkbuf_bist.VGND =VSS;
+ force u_top.u_wb_host.u_clkbuf_bist.VNB = VSS;
+
+ force u_top.u_wb_host.u_clkbuf_mem.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_clkbuf_mem.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_clkbuf_mem.VGND =VSS;
+ force u_top.u_wb_host.u_clkbuf_mem.VNB = VSS;
+
+ force u_top.u_wb_host.u_cpu_ref_sel.u_mux.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_cpu_ref_sel.u_mux.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_cpu_ref_sel.u_mux.VGND =VSS;
+ force u_top.u_wb_host.u_cpu_ref_sel.u_mux.VNB = VSS;
+
+ force u_top.u_wb_host.u_cpu_clk_sel.u_mux.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_cpu_clk_sel.u_mux.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_cpu_clk_sel.u_mux.VGND =VSS;
+ force u_top.u_wb_host.u_cpu_clk_sel.u_mux.VNB = VSS;
+
+ force u_top.u_wb_host.u_mem_ref_sel.u_mux.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_mem_ref_sel.u_mux.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_mem_ref_sel.u_mux.VGND =VSS;
+ force u_top.u_wb_host.u_mem_ref_sel.u_mux.VNB = VSS;
+
+ force u_top.u_wb_host.u_mem_clk_sel.u_mux.VPWR =USER_VDD1V8;
+ force u_top.u_wb_host.u_mem_clk_sel.u_mux.VPB =USER_VDD1V8;
+ force u_top.u_wb_host.u_mem_clk_sel.u_mux.VGND =VSS;
+ force u_top.u_wb_host.u_mem_clk_sel.u_mux.VNB = VSS;
+
+ force u_top.u_mbist.u_mem_sel.u_mem_clk_a_sel.u_mux.VPWR =USER_VDD1V8;
+ force u_top.u_mbist.u_mem_sel.u_mem_clk_a_sel.u_mux.VPB =USER_VDD1V8;
+ force u_top.u_mbist.u_mem_sel.u_mem_clk_a_sel.u_mux.VGND =VSS;
+ force u_top.u_mbist.u_mem_sel.u_mem_clk_a_sel.u_mux.VNB = VSS;
+
+ force u_top.u_mbist.u_mem_sel.u_mem_clk_b_sel.u_mux.VPWR =USER_VDD1V8;
+ force u_top.u_mbist.u_mem_sel.u_mem_clk_b_sel.u_mux.VPB =USER_VDD1V8;
+ force u_top.u_mbist.u_mem_sel.u_mem_clk_b_sel.u_mux.VGND =VSS;
+ force u_top.u_mbist.u_mem_sel.u_mem_clk_b_sel.u_mux.VNB = VSS;
+
+ force u_top.u_mbist.u_mem_sel.u_cts_mem_clk_a.VPWR =USER_VDD1V8;
+ force u_top.u_mbist.u_mem_sel.u_cts_mem_clk_a.VPB =USER_VDD1V8;
+ force u_top.u_mbist.u_mem_sel.u_cts_mem_clk_a.VGND =VSS;
+ force u_top.u_mbist.u_mem_sel.u_cts_mem_clk_a.VNB = VSS;
+
+ force u_top.u_mbist.u_mem_sel.u_cts_mem_clk_b.VPWR =USER_VDD1V8;
+ force u_top.u_mbist.u_mem_sel.u_cts_mem_clk_b.VPB =USER_VDD1V8;
+ force u_top.u_mbist.u_mem_sel.u_cts_mem_clk_b.VGND =VSS;
+ force u_top.u_mbist.u_mem_sel.u_cts_mem_clk_b.VNB = VSS;
+
+ end
+`endif
+
+
+task clock_monitor;
+input [15:0] exp_bist_period;
+input [15:0] exp_mem_period;
+begin
+ force clock_mon = u_top.u_wb_host.bist_clk;
+ check_clock_period("BIST CLock",exp_bist_period);
+ release clock_mon;
+
+ force clock_mon = u_top.u_wb_host.mem_clk;
+ check_clock_period("MEM Clock",exp_mem_period);
+ release clock_mon;
+
+end
+endtask
+
+//----------------------------------
+// Check the clock period
+//----------------------------------
+task check_clock_period;
+input [127:0] clk_name;
+input [15:0] clk_period; // in NS
+time prev_t, next_t, periodd;
+begin
+ $timeformat(-12,3,"ns",10);
+ repeat(1) @(posedge clock_mon);
+ repeat(1) @(posedge clock_mon);
+ prev_t = $realtime;
+ repeat(100) @(posedge clock_mon);
+ next_t = $realtime;
+ periodd = (next_t-prev_t)/100;
+ //periodd = (periodd)/1e9;
+ if(clk_period != periodd) begin
+ $display("STATUS: FAIL => %s Exp Period: %d Rxd: %d",clk_name,clk_period,periodd);
+ test_fail = 1;
+ end else begin
+ $display("STATUS: PASS => %s Period: %d ",clk_name,clk_period);
+ end
+end
+endtask
+
+
+
+
+
+
+task wb_user_core_write;
+input [31:0] address;
+input [31:0] data;
+begin
+ repeat (1) @(posedge clock);
+ #1;
+ wbd_ext_adr_i =address; // address
+ wbd_ext_we_i ='h1; // write
+ wbd_ext_dat_i =data; // data output
+ wbd_ext_sel_i ='hF; // byte enable
+ wbd_ext_cyc_i ='h1; // strobe/request
+ wbd_ext_stb_i ='h1; // strobe/request
+ wait(wbd_ext_ack_o == 1);
+ repeat (1) @(posedge clock);
+ #1;
+ wbd_ext_cyc_i ='h0; // strobe/request
+ wbd_ext_stb_i ='h0; // strobe/request
+ wbd_ext_adr_i ='h0; // address
+ wbd_ext_we_i ='h0; // write
+ wbd_ext_dat_i ='h0; // data output
+ wbd_ext_sel_i ='h0; // byte enable
+ $display("DEBUG WB USER ACCESS WRITE Address : %x, Data : %x",address,data);
+ repeat (2) @(posedge clock);
+end
+endtask
+
+task wb_user_core_read;
+input [31:0] address;
+output [31:0] data;
+reg [31:0] data;
+begin
+ repeat (1) @(posedge clock);
+ #1;
+ wbd_ext_adr_i =address; // address
+ wbd_ext_we_i ='h0; // write
+ wbd_ext_dat_i ='0; // data output
+ wbd_ext_sel_i ='hF; // byte enable
+ wbd_ext_cyc_i ='h1; // strobe/request
+ wbd_ext_stb_i ='h1; // strobe/request
+ wait(wbd_ext_ack_o == 1);
+ data = wbd_ext_dat_o;
+ repeat (1) @(posedge clock);
+ #1;
+ wbd_ext_cyc_i ='h0; // strobe/request
+ wbd_ext_stb_i ='h0; // strobe/request
+ wbd_ext_adr_i ='h0; // address
+ wbd_ext_we_i ='h0; // write
+ wbd_ext_dat_i ='h0; // data output
+ wbd_ext_sel_i ='h0; // byte enable
+ $display("DEBUG WB USER ACCESS READ Address : %x, Data : %x",address,data);
+ repeat (2) @(posedge clock);
+end
+endtask
+
+`ifdef GL
+
+wire wbd_spi_stb_i = u_top.u_spi_master.wbd_stb_i;
+wire wbd_spi_ack_o = u_top.u_spi_master.wbd_ack_o;
+wire wbd_spi_we_i = u_top.u_spi_master.wbd_we_i;
+wire [31:0] wbd_spi_adr_i = u_top.u_spi_master.wbd_adr_i;
+wire [31:0] wbd_spi_dat_i = u_top.u_spi_master.wbd_dat_i;
+wire [31:0] wbd_spi_dat_o = u_top.u_spi_master.wbd_dat_o;
+wire [3:0] wbd_spi_sel_i = u_top.u_spi_master.wbd_sel_i;
+
+wire wbd_sdram_stb_i = u_top.u_sdram_ctrl.wb_stb_i;
+wire wbd_sdram_ack_o = u_top.u_sdram_ctrl.wb_ack_o;
+wire wbd_sdram_we_i = u_top.u_sdram_ctrl.wb_we_i;
+wire [31:0] wbd_sdram_adr_i = u_top.u_sdram_ctrl.wb_addr_i;
+wire [31:0] wbd_sdram_dat_i = u_top.u_sdram_ctrl.wb_dat_i;
+wire [31:0] wbd_sdram_dat_o = u_top.u_sdram_ctrl.wb_dat_o;
+wire [3:0] wbd_sdram_sel_i = u_top.u_sdram_ctrl.wb_sel_i;
+
+wire wbd_uart_stb_i = u_top.u_uart_i2c_usb.reg_cs;
+wire wbd_uart_ack_o = u_top.u_uart_i2c_usb.reg_ack;
+wire wbd_uart_we_i = u_top.u_uart_i2c_usb.reg_wr;
+wire [7:0] wbd_uart_adr_i = u_top.u_uart_i2c_usb.reg_addr;
+wire [7:0] wbd_uart_dat_i = u_top.u_uart_i2c_usb.reg_wdata;
+wire [7:0] wbd_uart_dat_o = u_top.u_uart_i2c_usb.reg_rdata;
+wire wbd_uart_sel_i = u_top.u_uart_i2c_usb.reg_be;
+
+`endif
+
+/**
+`ifdef GL
+//-----------------------------------------------------------------------------
+// RISC IMEM amd DMEM Monitoring TASK
+//-----------------------------------------------------------------------------
+
+`define RISC_CORE user_uart_tb.u_top.u_core.u_riscv_top
+
+always@(posedge `RISC_CORE.wb_clk) begin
+ if(`RISC_CORE.wbd_imem_ack_i)
+ $display("RISCV-DEBUG => IMEM ADDRESS: %x Read Data : %x", `RISC_CORE.wbd_imem_adr_o,`RISC_CORE.wbd_imem_dat_i);
+ if(`RISC_CORE.wbd_dmem_ack_i && `RISC_CORE.wbd_dmem_we_o)
+ $display("RISCV-DEBUG => DMEM ADDRESS: %x Write Data: %x Resonse: %x", `RISC_CORE.wbd_dmem_adr_o,`RISC_CORE.wbd_dmem_dat_o);
+ if(`RISC_CORE.wbd_dmem_ack_i && !`RISC_CORE.wbd_dmem_we_o)
+ $display("RISCV-DEBUG => DMEM ADDRESS: %x READ Data : %x Resonse: %x", `RISC_CORE.wbd_dmem_adr_o,`RISC_CORE.wbd_dmem_dat_i);
+end
+
+`endif
+**/
+endmodule
+`default_nettype wire
diff --git a/verilog/gl/wb_host.v b/verilog/gl/wb_host.v
index c2d6b01..c50e365 100644
--- a/verilog/gl/wb_host.v
+++ b/verilog/gl/wb_host.v
@@ -85,211 +85,211 @@
output [31:0] wbm_dat_o;
input [3:0] wbm_sel_i;
- wire net193;
- wire net203;
- wire net204;
- wire net205;
- wire net206;
- wire net207;
wire net208;
- wire net209;
- wire net210;
- wire net211;
- wire net212;
- wire net194;
- wire net213;
- wire net214;
- wire net215;
- wire net216;
- wire net217;
wire net218;
wire net219;
wire net220;
wire net221;
wire net222;
- wire net195;
wire net223;
wire net224;
wire net225;
wire net226;
wire net227;
+ wire net209;
wire net228;
wire net229;
wire net230;
- wire net196;
- wire net197;
- wire net198;
- wire net199;
- wire net200;
- wire net201;
- wire net202;
wire net231;
+ wire net232;
+ wire net233;
+ wire net234;
+ wire net235;
+ wire net236;
+ wire net237;
+ wire net210;
+ wire net238;
+ wire net239;
+ wire net240;
wire net241;
wire net242;
wire net243;
wire net244;
wire net245;
+ wire net211;
+ wire net212;
+ wire net213;
+ wire net214;
+ wire net215;
+ wire net216;
+ wire net217;
wire net246;
- wire net247;
- wire net248;
- wire net249;
- wire net250;
- wire net232;
- wire net251;
- wire net252;
- wire net253;
- wire net254;
- wire net255;
wire net256;
wire net257;
wire net258;
wire net259;
wire net260;
- wire net233;
wire net261;
wire net262;
wire net263;
wire net264;
wire net265;
+ wire net247;
wire net266;
wire net267;
wire net268;
- wire net234;
- wire net235;
- wire net236;
- wire net237;
- wire net238;
- wire net239;
- wire net240;
wire net269;
- wire net369;
- wire net370;
- wire net371;
- wire net372;
- wire net373;
- wire net374;
- wire net375;
- wire net376;
- wire net377;
- wire net378;
+ wire net270;
+ wire net271;
+ wire net272;
+ wire net273;
+ wire net274;
+ wire net275;
+ wire net248;
+ wire net276;
+ wire net277;
+ wire net278;
wire net279;
- wire net379;
- wire net380;
- wire net381;
- wire net382;
- wire net383;
+ wire net280;
+ wire net281;
+ wire net282;
+ wire net283;
+ wire net249;
+ wire net250;
+ wire net251;
+ wire net252;
+ wire net253;
+ wire net254;
+ wire net255;
+ wire net284;
wire net384;
wire net385;
wire net386;
wire net387;
wire net388;
- wire net280;
wire net389;
wire net390;
wire net391;
wire net392;
wire net393;
+ wire net294;
wire net394;
wire net395;
wire net396;
- wire net281;
- wire net282;
- wire net283;
- wire net284;
- wire net285;
- wire net286;
- wire net287;
- wire net288;
- wire net270;
- wire net289;
- wire net290;
- wire net291;
- wire net292;
- wire net293;
- wire net294;
+ wire net397;
+ wire net193;
+ wire net194;
+ wire net195;
+ wire net196;
+ wire net197;
+ wire net198;
wire net295;
+ wire net199;
+ wire net200;
+ wire net201;
+ wire net202;
+ wire net203;
+ wire net204;
+ wire net205;
+ wire net206;
wire net296;
wire net297;
wire net298;
- wire net271;
wire net299;
wire net300;
wire net301;
wire net302;
wire net303;
+ wire net285;
wire net304;
wire net305;
wire net306;
wire net307;
wire net308;
- wire net272;
wire net309;
wire net310;
wire net311;
wire net312;
wire net313;
+ wire net286;
wire net314;
wire net315;
wire net316;
wire net317;
wire net318;
- wire net273;
wire net319;
wire net320;
wire net321;
wire net322;
wire net323;
+ wire net287;
wire net324;
wire net325;
wire net326;
wire net327;
wire net328;
- wire net274;
wire net329;
wire net330;
wire net331;
wire net332;
wire net333;
+ wire net288;
wire net334;
wire net335;
wire net336;
wire net337;
wire net338;
- wire net275;
wire net339;
wire net340;
wire net341;
wire net342;
wire net343;
+ wire net289;
wire net344;
wire net345;
wire net346;
wire net347;
wire net348;
- wire net276;
wire net349;
wire net350;
wire net351;
wire net352;
wire net353;
+ wire net290;
wire net354;
wire net355;
wire net356;
wire net357;
wire net358;
- wire net277;
wire net359;
wire net360;
wire net361;
wire net362;
wire net363;
+ wire net291;
wire net364;
wire net365;
wire net366;
wire net367;
wire net368;
- wire net278;
- wire net397;
+ wire net369;
+ wire net370;
+ wire net371;
+ wire net372;
+ wire net373;
+ wire net292;
+ wire net374;
+ wire net375;
+ wire net376;
+ wire net377;
+ wire net378;
+ wire net379;
+ wire net380;
+ wire net381;
+ wire net382;
+ wire net383;
+ wire net293;
+ wire net207;
wire _0000_;
wire _0001_;
wire _0002_;
@@ -2269,17 +2269,57 @@
wire _1976_;
wire _1977_;
wire _1978_;
+ wire _1979_;
+ wire _1980_;
+ wire _1981_;
+ wire _1982_;
+ wire _1983_;
+ wire _1984_;
+ wire _1985_;
+ wire _1986_;
+ wire _1987_;
+ wire _1988_;
+ wire _1989_;
+ wire _1990_;
+ wire _1991_;
+ wire _1992_;
+ wire _1993_;
+ wire _1994_;
+ wire _1995_;
+ wire _1996_;
+ wire _1997_;
+ wire _1998_;
+ wire _1999_;
+ wire _2000_;
+ wire _2001_;
+ wire _2002_;
+ wire _2003_;
+ wire _2004_;
+ wire _2005_;
+ wire _2006_;
+ wire _2007_;
+ wire _2008_;
+ wire _2009_;
+ wire _2010_;
+ wire _2011_;
+ wire _2012_;
+ wire _2013_;
+ wire _2014_;
+ wire _2015_;
+ wire _2016_;
+ wire _2017_;
+ wire _2018_;
+ wire _2019_;
+ wire _2020_;
+ wire _2021_;
+ wire _2022_;
wire clknet_0_mem_clk;
wire clknet_0_wbm_clk_i;
wire clknet_1_0_0_wbm_clk_i;
wire clknet_1_1_0_wbm_clk_i;
- wire clknet_2_0_0_mem_clk;
wire clknet_2_0_0_wbm_clk_i;
- wire clknet_2_1_0_mem_clk;
wire clknet_2_1_0_wbm_clk_i;
- wire clknet_2_2_0_mem_clk;
wire clknet_2_2_0_wbm_clk_i;
- wire clknet_2_3_0_mem_clk;
wire clknet_2_3_0_wbm_clk_i;
wire clknet_3_0_0_mem_clk;
wire clknet_3_0_0_wbm_clk_i;
@@ -2353,7 +2393,12 @@
wire clknet_leaf_58_wbm_clk_i;
wire clknet_leaf_59_wbm_clk_i;
wire clknet_leaf_5_wbm_clk_i;
- wire clknet_leaf_6_wbm_clk_i;
+ wire clknet_leaf_60_wbm_clk_i;
+ wire clknet_leaf_61_wbm_clk_i;
+ wire clknet_leaf_62_wbm_clk_i;
+ wire clknet_leaf_63_wbm_clk_i;
+ wire clknet_leaf_64_wbm_clk_i;
+ wire clknet_leaf_65_wbm_clk_i;
wire clknet_leaf_7_wbm_clk_i;
wire clknet_leaf_8_wbm_clk_i;
wire clknet_leaf_9_wbm_clk_i;
@@ -2859,16 +2904,105 @@
wire net732;
wire net733;
wire net734;
+ wire net735;
+ wire net736;
+ wire net737;
+ wire net738;
+ wire net739;
wire net74;
+ wire net740;
+ wire net741;
+ wire net742;
+ wire net743;
+ wire net744;
+ wire net745;
+ wire net746;
+ wire net747;
+ wire net748;
+ wire net749;
wire net75;
+ wire net750;
+ wire net751;
+ wire net752;
+ wire net753;
+ wire net754;
+ wire net755;
+ wire net756;
+ wire net757;
+ wire net758;
+ wire net759;
wire net76;
+ wire net760;
+ wire net761;
+ wire net762;
+ wire net763;
+ wire net764;
+ wire net765;
+ wire net766;
+ wire net767;
+ wire net768;
+ wire net769;
wire net77;
+ wire net770;
+ wire net771;
+ wire net772;
+ wire net773;
+ wire net774;
+ wire net775;
+ wire net776;
+ wire net777;
+ wire net778;
+ wire net779;
wire net78;
+ wire net780;
+ wire net781;
+ wire net782;
+ wire net783;
+ wire net784;
+ wire net785;
+ wire net786;
+ wire net787;
+ wire net788;
+ wire net789;
wire net79;
+ wire net790;
+ wire net791;
+ wire net792;
+ wire net793;
+ wire net794;
+ wire net795;
+ wire net796;
+ wire net797;
+ wire net798;
+ wire net799;
wire net8;
wire net80;
+ wire net800;
+ wire net801;
+ wire net802;
+ wire net803;
+ wire net804;
+ wire net805;
+ wire net806;
+ wire net807;
+ wire net808;
+ wire net809;
wire net81;
+ wire net810;
+ wire net811;
+ wire net812;
+ wire net813;
+ wire net814;
+ wire net815;
+ wire net816;
+ wire net817;
+ wire net818;
+ wire net819;
wire net82;
+ wire net820;
+ wire net821;
+ wire net822;
+ wire net823;
wire net83;
wire net84;
wire net85;
@@ -3276,8 +3410,10 @@
wire \u_async_wb.u_resp_if.wr_ptr[1] ;
wire \u_async_wb.wbs_ack_f ;
wire \u_bistclk.clk_o ;
- wire \u_bistclk.high_count ;
- wire \u_bistclk.low_count ;
+ wire \u_bistclk.high_count[0] ;
+ wire \u_bistclk.high_count[1] ;
+ wire \u_bistclk.low_count[0] ;
+ wire \u_bistclk.low_count[1] ;
wire \u_bistclk.mclk ;
wire \u_chip_id.gen_bit_reg[0].u_bit_reg.data_out ;
wire \u_chip_id.gen_bit_reg[10].u_bit_reg.data_out ;
@@ -3344,8 +3480,6 @@
wire \u_cpu_clk_sel.X ;
wire \u_cpu_ref_sel.S ;
wire \u_glb_ctrl.gen_bit_reg[0].u_bit_reg.data_out ;
- wire \u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ;
- wire \u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ;
wire \u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ;
wire \u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ;
wire \u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ;
@@ -3373,11 +3507,15 @@
wire \u_glb_ctrl.gen_bit_reg[5].u_bit_reg.data_out ;
wire \u_glb_ctrl.gen_bit_reg[6].u_bit_reg.data_out ;
wire \u_glb_ctrl.gen_bit_reg[7].u_bit_reg.data_out ;
+ wire \u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ;
+ wire \u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ;
wire \u_mem_clk_sel.A0 ;
wire \u_mem_clk_sel.A1 ;
wire \u_mem_clk_sel.X ;
- wire \u_memclk.high_count ;
- wire \u_memclk.low_count ;
+ wire \u_memclk.high_count[0] ;
+ wire \u_memclk.high_count[1] ;
+ wire \u_memclk.low_count[0] ;
+ wire \u_memclk.low_count[1] ;
wire \u_ser_intf.bit_cnt[0] ;
wire \u_ser_intf.bit_cnt[1] ;
wire \u_ser_intf.bit_cnt[2] ;
@@ -3453,782 +3591,572 @@
wire wb_req;
wire wb_req_d;
- sky130_fd_sc_hd__diode_2 ANTENNA__1981__B (.DIODE(_0932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2025__B (.DIODE(_0966_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1982__A (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2026__A (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1982__B (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2026__B (.DIODE(net823),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1983__A (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2027__A (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1984__A (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2028__A (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1984__C (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2028__C (.DIODE(net548),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1987__A (.DIODE(net658),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2029__B (.DIODE(_0969_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1990__A (.DIODE(_0940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2030__A (.DIODE(net672),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1991__A1 (.DIODE(\u_cpu_clk_sel.S ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2031__A (.DIODE(net701),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1991__B1 (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2032__A (.DIODE(net672),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1992__A (.DIODE(net633),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2034__A (.DIODE(_0974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1994__A (.DIODE(_0943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2035__B1 (.DIODE(net736),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1996__A (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2036__A (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1998__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2037__A (.DIODE(_0976_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__1999__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2041__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2001__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2042__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2012__A (.DIODE(_0187_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2044__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2012__C (.DIODE(net118),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2045__B (.DIODE(_0983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2016__A (.DIODE(_0459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2055__C (.DIODE(net118),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2019__A (.DIODE(_0459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2059__A (.DIODE(_0487_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2022__A (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2065__A (.DIODE(net548),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2023__A (.DIODE(_0931_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2066__A (.DIODE(_0965_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2023__B (.DIODE(_0932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2066__B (.DIODE(_0966_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2023__D (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2066__D (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2024__A (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2067__A (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2024__B (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2067__B (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2025__A1 (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2068__A1 (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2026__A_N (.DIODE(net648),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2071__A2 (.DIODE(net823),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2028__A2 (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2071__B1 (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2028__A3 (.DIODE(net648),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2077__A (.DIODE(_0487_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2028__B1 (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2081__A (.DIODE(_1009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2029__A (.DIODE(net646),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2084__B1 (.DIODE(\reg_rdata[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2030__A (.DIODE(net646),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2088__A (.DIODE(net695),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2034__A (.DIODE(_0459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2089__B1 (.DIODE(\reg_rdata[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2045__A (.DIODE(net646),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2093__B1 (.DIODE(\reg_rdata[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2046__A (.DIODE(net668),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2096__B1 (.DIODE(\reg_rdata[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2051__B1 (.DIODE(\reg_rdata[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2097__A (.DIODE(_1009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2054__B1 (.DIODE(\reg_rdata[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2100__B1 (.DIODE(\reg_rdata[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2058__B1 (.DIODE(\reg_rdata[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2103__A (.DIODE(net695),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2061__A (.DIODE(net668),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2104__B1 (.DIODE(\reg_rdata[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2062__B1 (.DIODE(\reg_rdata[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2108__B1 (.DIODE(\reg_rdata[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2066__B1 (.DIODE(\reg_rdata[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2111__B1 (.DIODE(\reg_rdata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2069__B1 (.DIODE(\reg_rdata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2112__A (.DIODE(_1009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2073__B1 (.DIODE(\reg_rdata[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2115__B1 (.DIODE(\reg_rdata[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2076__A (.DIODE(net668),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2118__A (.DIODE(net695),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2077__A1 (.DIODE(_0013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2119__B1 (.DIODE(\reg_rdata[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2077__B1 (.DIODE(\reg_rdata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2123__B1 (.DIODE(\reg_rdata[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2084__B1 (.DIODE(\reg_rdata[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2126__B1 (.DIODE(\reg_rdata[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2086__A (.DIODE(_1000_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2128__A (.DIODE(_1032_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2087__A (.DIODE(_1001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2131__B1 (.DIODE(\reg_rdata[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2090__A (.DIODE(_1001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2136__B1 (.DIODE(\reg_rdata[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2092__A (.DIODE(net646),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2140__A (.DIODE(_1039_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2095__A (.DIODE(_1001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2145__A (.DIODE(_1032_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2098__A (.DIODE(net704),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2146__A (.DIODE(_1042_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2100__A (.DIODE(_1001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2149__A (.DIODE(_1042_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2103__A (.DIODE(_1000_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2153__A (.DIODE(_1042_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2104__A (.DIODE(_1010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2155__A (.DIODE(_1039_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2107__A (.DIODE(_1010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2157__A (.DIODE(_1042_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2111__A (.DIODE(_1010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2160__A (.DIODE(_1032_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2113__A (.DIODE(net704),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2161__A (.DIODE(_1049_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2115__A (.DIODE(_1010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2164__A (.DIODE(_1049_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2116__A (.DIODE(_1016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2165__A (.DIODE(_1051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2118__A (.DIODE(_1000_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2168__A (.DIODE(_1049_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2119__A (.DIODE(_1017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2170__A (.DIODE(_1039_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2122__A (.DIODE(_1017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2172__A (.DIODE(_1049_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2125__B2 (.DIODE(_1020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2175__A (.DIODE(_1032_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2126__A (.DIODE(_1017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2182__B2 (.DIODE(_1059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2128__A (.DIODE(net704),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2185__A (.DIODE(_1039_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2129__B2 (.DIODE(_1020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2186__A2 (.DIODE(_1061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2130__A (.DIODE(_1017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2186__B2 (.DIODE(_1059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2132__B2 (.DIODE(_1020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2189__A2 (.DIODE(_1061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2133__A (.DIODE(_1000_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2189__B2 (.DIODE(_1059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2134__A (.DIODE(_1024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2191__A (.DIODE(_1063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2137__A (.DIODE(_1024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2192__A (.DIODE(_1064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2141__A (.DIODE(_1024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2194__A2 (.DIODE(_1061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2143__A (.DIODE(net704),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2194__B2 (.DIODE(_1059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2144__A2 (.DIODE(_1029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2195__A (.DIODE(_1064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2145__A (.DIODE(_1024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2197__A2 (.DIODE(_1061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2147__A2 (.DIODE(_1029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2197__B1 (.DIODE(\reg_rdata[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2149__A (.DIODE(_1031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2197__B2 (.DIODE(net695),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2152__A2 (.DIODE(_1029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2198__A (.DIODE(_1064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2155__A2 (.DIODE(_1029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2207__A (.DIODE(_0965_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2155__B1 (.DIODE(\reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2215__A (.DIODE(_1081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2155__B2 (.DIODE(net668),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2217__A (.DIODE(_1083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2165__A (.DIODE(_0931_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2218__A (.DIODE(_1084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2173__A (.DIODE(_1049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2220__A (.DIODE(_1064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2175__A (.DIODE(_1051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2223__A (.DIODE(_1063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2176__A (.DIODE(_1052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2227__A1 (.DIODE(_1089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2181__A (.DIODE(_1031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2227__B1 (.DIODE(net118),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2184__A (.DIODE(_0187_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2230__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2185__A1 (.DIODE(_1057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2233__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2185__B1 (.DIODE(net118),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2233__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2188__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2237__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2190__B1 (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2237__B2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2191__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2239__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2191__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2242__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2195__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2246__A (.DIODE(_1063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2195__B2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2258__B1 (.DIODE(_1106_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2197__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2261__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2200__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2267__A (.DIODE(_1063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2204__A (.DIODE(_1031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2274__A3 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2215__A (.DIODE(_1073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2288__A (.DIODE(_1124_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2219__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2290__A (.DIODE(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2225__A (.DIODE(_1031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2307__A (.DIODE(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2232__A3 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2310__A (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2246__A (.DIODE(_1092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2314__A1 (.DIODE(net8),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2247__A (.DIODE(_1093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2314__A2 (.DIODE(_1140_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2249__B1 (.DIODE(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2314__B2 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2250__A (.DIODE(_1093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2317__A2 (.DIODE(_1140_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2253__B1 (.DIODE(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2254__A (.DIODE(_1093_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2256__A (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2259__A (.DIODE(_1093_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2261__A (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2265__A1 (.DIODE(net8),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2265__A2 (.DIODE(_1104_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2265__B1 (.DIODE(\u_ser_intf.reg_rdata[31] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2265__B2 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2266__A (.DIODE(_1092_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2269__A1 (.DIODE(\u_ser_intf.reg_rdata[31] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2269__A2 (.DIODE(_1104_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2269__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2273__A (.DIODE(_1108_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2274__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2277__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2280__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2281__A (.DIODE(_1092_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2284__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2287__A (.DIODE(_1108_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2288__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2291__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2294__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2295__A (.DIODE(_1092_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2298__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2301__A (.DIODE(_1108_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2302__A1 (.DIODE(_1121_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2302__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2305__A1 (.DIODE(_1121_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2305__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2308__A1 (.DIODE(_1121_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2308__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2308__B2 (.DIODE(\u_ser_intf.reg_rdata[19] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2311__A (.DIODE(_1125_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2314__A1 (.DIODE(_1121_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2314__A2 (.DIODE(\u_ser_intf.reg_rdata[19] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2314__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2317__A (.DIODE(_1108_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2318__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2321__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2324__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2325__A (.DIODE(_1125_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2326__A (.DIODE(_1132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2317__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2328__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2322__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2328__B2 (.DIODE(\u_ser_intf.reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2325__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2329__A (.DIODE(_1132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2326__A (.DIODE(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2332__A2 (.DIODE(\u_ser_intf.reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2329__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4238,42 +4166,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2332__B2 (.DIODE(\u_ser_intf.reg_rdata[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2336__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2333__A (.DIODE(_1132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2339__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2335__A2 (.DIODE(\u_ser_intf.reg_rdata[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2340__A (.DIODE(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2335__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2336__A (.DIODE(_1132_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2338__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2339__A (.DIODE(_1125_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2342__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2343__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4283,4552 +4191,4587 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2349__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2350__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2352__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2353__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2353__A (.DIODE(_1125_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2355__A (.DIODE(_1158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2356__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2358__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2356__B2 (.DIODE(\u_ser_intf.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2361__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2360__A2 (.DIODE(\u_ser_intf.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2365__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2360__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2368__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2360__B2 (.DIODE(\u_ser_intf.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2369__A (.DIODE(_1158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2363__A2 (.DIODE(\u_ser_intf.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2372__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2363__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2375__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2363__B2 (.DIODE(\u_ser_intf.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2379__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2366__A2 (.DIODE(\u_ser_intf.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2382__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2366__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2383__A (.DIODE(_1158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2366__B2 (.DIODE(\u_ser_intf.reg_rdata[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2385__A (.DIODE(_1172_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2368__A (.DIODE(_1150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2386__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2369__A (.DIODE(_1151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2389__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2371__A2 (.DIODE(\u_ser_intf.reg_rdata[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2393__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2371__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2396__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2371__B2 (.DIODE(\u_ser_intf.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2397__A (.DIODE(_1158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2372__A (.DIODE(_1151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2400__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2373__A (.DIODE(_1153_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2403__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2374__A2 (.DIODE(\u_ser_intf.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2403__B2 (.DIODE(\u_ser_intf.reg_rdata[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2374__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2407__A2 (.DIODE(\u_ser_intf.reg_rdata[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2374__B2 (.DIODE(\u_ser_intf.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2407__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2375__A (.DIODE(_1151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2407__B2 (.DIODE(\u_ser_intf.reg_rdata[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2377__A2 (.DIODE(\u_ser_intf.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2410__A2 (.DIODE(\u_ser_intf.reg_rdata[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2377__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2410__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2377__B2 (.DIODE(\u_ser_intf.reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2410__B2 (.DIODE(\u_ser_intf.reg_rdata[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2378__A (.DIODE(_1151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2412__A (.DIODE(_1183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2380__A2 (.DIODE(\u_ser_intf.shift_data[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2413__A (.DIODE(_1184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2380__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2415__A2 (.DIODE(\u_ser_intf.reg_rdata[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2380__B2 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2415__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2381__A (.DIODE(_1150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2416__A (.DIODE(_1184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2384__A (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2418__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2384__B (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2418__B2 (.DIODE(\u_ser_intf.reg_rdata[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2384__C (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2419__A (.DIODE(_1184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2387__A (.DIODE(net665),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2421__A2 (.DIODE(\u_ser_intf.reg_rdata[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2391__B1 (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2421__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2394__A (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2421__B2 (.DIODE(\u_ser_intf.reg_rdata[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2395__B (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2422__A (.DIODE(_1184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2395__C (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2423__A (.DIODE(_1188_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2398__A (.DIODE(_1169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2424__A2 (.DIODE(\u_ser_intf.reg_rdata[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2401__A (.DIODE(_1172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2424__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2402__B1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2425__A (.DIODE(_1183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2405__B1 (.DIODE(net533),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2428__A2 (.DIODE(\u_ser_intf.shift_data[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2408__B1 (.DIODE(net529),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2428__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2409__A (.DIODE(_1150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2428__B2 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2412__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2431__A (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2412__B1 (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2431__B (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2415__A (.DIODE(_1169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2431__C (.DIODE(net548),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2416__A (.DIODE(_1172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2437__A (.DIODE(_1197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2417__B1 (.DIODE(net507),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2438__B1 (.DIODE(net736),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2420__B1 (.DIODE(net537),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2441__A (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2423__B1 (.DIODE(net543),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2442__B (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2424__A (.DIODE(_1150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2442__C (.DIODE(net548),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2427__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2448__A (.DIODE(_1206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2427__B1 (.DIODE(net561),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2449__B1 (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2430__A (.DIODE(_1169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2452__B1 (.DIODE(net782),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2431__A (.DIODE(_1172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2453__A (.DIODE(_1183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2432__B1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2454__A (.DIODE(_1209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2435__B1 (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2456__B1 (.DIODE(net739),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2438__B1 (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2457__A (.DIODE(_1209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2440__A (.DIODE(_1190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2459__B1 (.DIODE(net506),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2443__B1 (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2460__A (.DIODE(_1209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2446__A (.DIODE(_1169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2462__A (.DIODE(net680),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2447__A (.DIODE(_1172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2463__A (.DIODE(_1206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2448__B1 (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2464__B1 (.DIODE(net728),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2451__B1 (.DIODE(net461),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2465__A (.DIODE(_1209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2454__B1 (.DIODE(net482),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2467__B1 (.DIODE(net725),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2455__A (.DIODE(_1190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2468__A (.DIODE(_1183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2458__B1 (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2471__B1 (.DIODE(net731),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2462__A (.DIODE(_1201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2474__B1 (.DIODE(net722),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2464__A (.DIODE(_1203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2477__A (.DIODE(net680),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2465__B1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2478__A (.DIODE(_1206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2468__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2479__B1 (.DIODE(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2471__B1 (.DIODE(net594),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2482__B1 (.DIODE(net733),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2472__A (.DIODE(_1190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2484__A (.DIODE(_1223_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2475__A1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2487__B1 (.DIODE(net744),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2475__B1 (.DIODE(net565),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2490__B1 (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2478__A (.DIODE(_1201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2493__A (.DIODE(net680),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2479__A (.DIODE(_1203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2494__A (.DIODE(_1206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2480__B1 (.DIODE(net486),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2495__B1 (.DIODE(net616),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2483__B1 (.DIODE(net469),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2498__B1 (.DIODE(net631),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2486__B1 (.DIODE(net441),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2499__A (.DIODE(_1223_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2487__A (.DIODE(_1190_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2505__B1 (.DIODE(net751),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2490__B1 (.DIODE(net401),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2512__B1 (.DIODE(net786),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2493__A (.DIODE(_1201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2515__B1 (.DIODE(net502),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2494__A (.DIODE(_1203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2516__A (.DIODE(_1223_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2495__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2519__B1 (.DIODE(net484),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2498__B1 (.DIODE(net404),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2522__A1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2501__B1 (.DIODE(net423),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2522__B1 (.DIODE(net768),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2503__A (.DIODE(_1221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2525__A (.DIODE(_1235_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2506__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2526__A (.DIODE(_1237_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2509__A (.DIODE(_1201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2527__B1 (.DIODE(net479),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2510__A (.DIODE(_1203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2530__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2511__B1 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2531__A (.DIODE(_1223_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2514__A1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2534__B1 (.DIODE(net514),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2514__B1 (.DIODE(net551),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2537__B1 (.DIODE(net755),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2517__B1 (.DIODE(net547),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2541__A (.DIODE(_1237_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2518__A (.DIODE(_1221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2542__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2521__B1 (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2545__B1 (.DIODE(net532),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2524__B1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2546__A (.DIODE(_1124_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2527__B1 (.DIODE(net533),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2548__A (.DIODE(_1255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2530__B1 (.DIODE(net529),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2551__B1 (.DIODE(net519),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2531__A (.DIODE(_1221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2554__B1 (.DIODE(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2534__A (.DIODE(net658),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2558__A (.DIODE(_1237_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2535__A (.DIODE(_0940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2559__B1 (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2536__B1 (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2562__A1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2539__B1 (.DIODE(net507),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2562__B1 (.DIODE(net741),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2542__B1 (.DIODE(net537),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2563__A (.DIODE(_1255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2545__B1 (.DIODE(net543),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2566__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2546__A (.DIODE(_1221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2569__B1 (.DIODE(net417),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2549__A (.DIODE(net658),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2572__B1 (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2550__A (.DIODE(_0940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2575__B1 (.DIODE(net398),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2551__B1 (.DIODE(net561),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2576__A (.DIODE(_1255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2554__B1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2579__B1 (.DIODE(net739),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2557__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2582__A (.DIODE(net701),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2557__B1 (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2583__A (.DIODE(_0974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2560__B1 (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2584__B1 (.DIODE(net770),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2563__A (.DIODE(_1249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2587__B1 (.DIODE(net728),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2566__A (.DIODE(net658),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2590__B1 (.DIODE(net725),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2567__A (.DIODE(_0940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2591__A (.DIODE(_1255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2568__B1 (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2594__B1 (.DIODE(net731),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2571__B1 (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2598__A (.DIODE(_0974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2574__B1 (.DIODE(net461),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2599__B1 (.DIODE(net722),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2577__B1 (.DIODE(net482),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2602__B1 (.DIODE(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2578__A (.DIODE(_1249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2605__B1 (.DIODE(net733),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2585__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2607__A (.DIODE(_1282_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2585__B1 (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2610__B1 (.DIODE(net744),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2588__B1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2613__A (.DIODE(net701),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2591__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2614__A (.DIODE(_0974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2594__B1 (.DIODE(net594),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2615__B1 (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2595__A (.DIODE(_1249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2618__B1 (.DIODE(net616),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2600__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2621__B1 (.DIODE(net631),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2600__B1 (.DIODE(net565),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2622__A (.DIODE(_1282_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2603__B1 (.DIODE(net486),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2628__A (.DIODE(net672),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2606__B1 (.DIODE(net469),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2632__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2609__B1 (.DIODE(net441),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2632__B1 (.DIODE(net463),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2610__A (.DIODE(_1249_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2635__B1 (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2615__B1 (.DIODE(net401),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2638__B1 (.DIODE(net502),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2618__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2639__A (.DIODE(_1282_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2621__B1 (.DIODE(net404),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2642__B1 (.DIODE(net484),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2624__B1 (.DIODE(net423),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2647__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2626__A (.DIODE(_1280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2647__B1 (.DIODE(net497),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2631__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2650__B1 (.DIODE(net479),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2634__B1 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2653__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2637__B1 (.DIODE(net551),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2654__A (.DIODE(_1282_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2640__B1 (.DIODE(net547),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2657__B1 (.DIODE(net514),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2641__A (.DIODE(_1280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2662__B1 (.DIODE(net755),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2642__A (.DIODE(_1288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2665__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2648__A (.DIODE(_1288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2668__B1 (.DIODE(net532),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2650__B1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2673__B1 (.DIODE(net519),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2651__A (.DIODE(_1288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2678__B1 (.DIODE(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2653__B1 (.DIODE(net533),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2681__B1 (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2654__A (.DIODE(_1288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2684__B1 (.DIODE(net741),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2656__B1 (.DIODE(net529),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2686__A (.DIODE(_1321_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2657__A (.DIODE(_1280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2688__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2660__A (.DIODE(net665),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2689__A (.DIODE(_1321_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2661__A (.DIODE(_1163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2695__A (.DIODE(_1321_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2662__B1 (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2698__A (.DIODE(_1321_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2665__B1 (.DIODE(net507),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2702__A (.DIODE(_1328_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2668__B1 (.DIODE(net537),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2704__B1 (.DIODE(net398),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2671__B1 (.DIODE(net543),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2705__A (.DIODE(_1328_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2672__A (.DIODE(_1280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2707__B1 (.DIODE(net739),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2676__A (.DIODE(_1163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2708__A (.DIODE(_1328_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2677__B1 (.DIODE(net561),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2711__A (.DIODE(_1197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2680__B1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2712__B1 (.DIODE(net770),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2683__B1 (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2713__A (.DIODE(_1328_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2686__B1 (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2715__B1 (.DIODE(net728),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2688__A (.DIODE(_1310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2719__B1 (.DIODE(net725),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2691__A (.DIODE(net665),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2722__B1 (.DIODE(net731),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2692__A (.DIODE(_1163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2726__A (.DIODE(_1197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2693__B1 (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2727__B1 (.DIODE(net722),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2696__B1 (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2730__B1 (.DIODE(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2699__B1 (.DIODE(net461),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2732__A (.DIODE(_1342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2702__B1 (.DIODE(net482),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2735__B1 (.DIODE(net733),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2703__A (.DIODE(_1310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2738__B1 (.DIODE(net744),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2707__A (.DIODE(net683),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2742__A (.DIODE(_1197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2709__A (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2743__B1 (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2710__B1 (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2746__B1 (.DIODE(net616),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2713__B1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2747__A (.DIODE(_1342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2716__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2750__B1 (.DIODE(net631),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2719__B1 (.DIODE(net594),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2759__A (.DIODE(_1356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2720__A (.DIODE(_1310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2760__B1 (.DIODE(net751),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2723__A (.DIODE(net683),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2763__B1 (.DIODE(net786),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2724__A (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2764__A (.DIODE(_1342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2725__B1 (.DIODE(net565),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2767__B1 (.DIODE(net502),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2728__B1 (.DIODE(net486),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2770__B1 (.DIODE(net484),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2731__B1 (.DIODE(net469),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2774__A (.DIODE(_1356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2734__B1 (.DIODE(net441),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2775__B1 (.DIODE(net497),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2735__A (.DIODE(_1310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2778__B1 (.DIODE(net479),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2738__A (.DIODE(net683),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2779__A (.DIODE(_1342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2739__A (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2782__B1 (.DIODE(net822),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2740__B1 (.DIODE(net401),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2785__B1 (.DIODE(net514),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2743__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2789__A (.DIODE(_1356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2746__B1 (.DIODE(net404),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2790__B1 (.DIODE(net755),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2749__B1 (.DIODE(net423),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2793__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2755__A (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2794__A (.DIODE(_1124_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2756__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2796__A (.DIODE(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2759__B1 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2799__B1 (.DIODE(net532),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2762__B1 (.DIODE(net551),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2802__B1 (.DIODE(net519),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2770__A (.DIODE(_1104_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2806__A (.DIODE(_1356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2778__A (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2807__B1 (.DIODE(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2787__C1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2810__B1 (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2806__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2811__A (.DIODE(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2809__A (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2814__B1 (.DIODE(net468),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2810__A (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2817__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2811__A4 (.DIODE(_0198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2821__A (.DIODE(_1140_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2816__A (.DIODE(_0932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2829__A (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2816__C (.DIODE(net648),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2831__A (.DIODE(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2817__A (.DIODE(net529),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2839__C1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2818__A (.DIODE(_0932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2855__A (.DIODE(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2822__A (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2858__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2826__A (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2874__A (.DIODE(_1417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2840__A (.DIODE(_0943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2877__A (.DIODE(_1417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2903__A (.DIODE(_0943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2879__A (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2946__B1 (.DIODE(\u_ser_intf.shift_data[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2880__A (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2950__A1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2881__A4 (.DIODE(_0219_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2950__B1 (.DIODE(_1104_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2883__A (.DIODE(_1417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2957__A (.DIODE(_0943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2885__A (.DIODE(_0966_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3013__A (.DIODE(_1484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2886__A (.DIODE(net403),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3015__A (.DIODE(_1484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2887__A (.DIODE(_0966_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3017__A (.DIODE(_1484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2888__A (.DIODE(_1426_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3019__A (.DIODE(_1484_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2890__A2_N (.DIODE(_1424_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3024__A (.DIODE(_1491_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2891__A (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3027__A (.DIODE(_1494_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2892__A (.DIODE(_1417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3030__B1 (.DIODE(net703),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2894__A (.DIODE(_1424_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3032__A (.DIODE(_1491_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2895__A (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3033__A (.DIODE(_1494_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3016__B1 (.DIODE(\u_ser_intf.shift_data[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3034__B1 (.DIODE(net610),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3019__A1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3035__B1 (.DIODE(net614),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3019__B1 (.DIODE(_1140_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3036__B1 (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3049__A (.DIODE(_1124_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3037__B1 (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3078__A (.DIODE(_1525_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3039__A (.DIODE(_1498_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3080__A (.DIODE(_1525_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3041__A (.DIODE(_1500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3082__A (.DIODE(_1525_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3042__B1 (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3084__A (.DIODE(_1525_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3043__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3086__A (.DIODE(_1081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3044__B1 (.DIODE(net529),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3088__B1 (.DIODE(net765),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3045__B1 (.DIODE(net547),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3089__B1 (.DIODE(net777),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3046__A (.DIODE(_1498_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3090__B1 (.DIODE(net753),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3047__A (.DIODE(_1500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3091__A (.DIODE(_1083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3048__B1 (.DIODE(net533),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3093__B1 (.DIODE(net775),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3049__B1 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3095__B1 (.DIODE(net809),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3050__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3096__B1 (.DIODE(net790),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3051__B1 (.DIODE(net423),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3097__B1 (.DIODE(net548),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3052__A (.DIODE(_1498_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3099__B1 (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3053__A (.DIODE(_1500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3101__B1 (.DIODE(net749),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3054__B1 (.DIODE(net404),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3102__B2 (.DIODE(_1084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3055__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3103__B1 (.DIODE(net739),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3056__B1 (.DIODE(net401),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3104__B1 (.DIODE(net811),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3057__B1 (.DIODE(net441),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3106__B1 (.DIODE(net782),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3058__A (.DIODE(_1498_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3107__A (.DIODE(_1081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3059__A (.DIODE(_1500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3109__B1 (.DIODE(net800),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3060__B1 (.DIODE(net469),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3110__B1 (.DIODE(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3061__B1 (.DIODE(net486),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3111__B1 (.DIODE(net519),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3062__B1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3113__B1 (.DIODE(net814),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3063__B1 (.DIODE(net594),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3115__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3068__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3116__B1 (.DIODE(net755),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3069__B1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3117__B1 (.DIODE(net514),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3070__B1 (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3120__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3071__B1 (.DIODE(net482),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3122__B1 (.DIODE(net479),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3074__B1 (.DIODE(net461),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3123__B1 (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3075__B1 (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3124__B1 (.DIODE(net484),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3076__B1 (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3126__B1 (.DIODE(net502),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3077__B1 (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3128__B1 (.DIODE(net786),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3080__B1 (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3129__B1 (.DIODE(net751),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3081__B1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3130__B1 (.DIODE(net488),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3082__B1 (.DIODE(net561),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3132__B1 (.DIODE(net631),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3083__B1 (.DIODE(net543),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3133__A (.DIODE(_1081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3086__B1 (.DIODE(net537),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3135__B1 (.DIODE(net616),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3087__B1 (.DIODE(net507),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3136__B1 (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3088__B1 (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3137__B1 (.DIODE(net744),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3089__B1 (.DIODE(net551),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3139__B1 (.DIODE(net736),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3092__B1 (.DIODE(net565),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3141__B1 (.DIODE(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3093__B1 (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3142__B1 (.DIODE(net722),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3094__B1 (.DIODE(net517),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3143__B1 (.DIODE(net731),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3095__B1 (.DIODE(net521),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3144__A (.DIODE(_1083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3096__A2 (.DIODE(_1491_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3145__B1 (.DIODE(net725),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3096__B1 (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3147__B1 (.DIODE(net728),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3096__B2 (.DIODE(_1494_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3148__B1 (.DIODE(net770),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3097__A2 (.DIODE(_1491_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3149__B1 (.DIODE(net741),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3097__B1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3150__A (.DIODE(_1083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3097__B2 (.DIODE(_1494_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3151__B1 (.DIODE(net768),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3098__A (.DIODE(_1049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3153__B1 (.DIODE(net733),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3102__B1 (.DIODE(net453),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3154__B1 (.DIODE(net591),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3103__A (.DIODE(_1051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3155__B1 (.DIODE(net585),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3107__B1 (.DIODE(net610),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3156__B1 (.DIODE(net710),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3108__B1 (.DIODE(net614),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3156__B2 (.DIODE(_1084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3109__B1 (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3157__B1 (.DIODE(net762),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3111__B1 (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3157__B2 (.DIODE(_1084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3113__B1 (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3165__B1 (.DIODE(net765),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3114__B2 (.DIODE(_1052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3166__B1 (.DIODE(net708),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3115__B1 (.DIODE(net529),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3167__B1 (.DIODE(net753),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3116__B1 (.DIODE(net547),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3168__B1 (.DIODE(net775),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3118__B1 (.DIODE(net533),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3171__B1 (.DIODE(net809),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3119__A (.DIODE(_1049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3172__B1 (.DIODE(net790),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3121__B1 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3173__B1 (.DIODE(net548),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3122__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3174__B1 (.DIODE(net796),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3123__B1 (.DIODE(net423),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3179__A2 (.DIODE(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3125__B1 (.DIODE(net404),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3179__B1 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3127__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3179__B2 (.DIODE(_1568_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3128__B1 (.DIODE(net401),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3180__A2 (.DIODE(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3129__B1 (.DIODE(net441),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3180__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3132__B1 (.DIODE(net469),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3180__B2 (.DIODE(_1568_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3134__B1 (.DIODE(net486),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3181__A2 (.DIODE(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3135__B1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3181__B1 (.DIODE(net739),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3136__B1 (.DIODE(net594),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3181__B2 (.DIODE(_1568_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3138__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3182__A2 (.DIODE(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3140__B1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3182__B1 (.DIODE(net811),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3141__B1 (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3182__B2 (.DIODE(_1568_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3142__B1 (.DIODE(net482),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3185__B1 (.DIODE(net782),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3144__B1 (.DIODE(net461),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3186__B1 (.DIODE(net800),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3145__A (.DIODE(_1049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3187__B1 (.DIODE(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3147__B1 (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3188__B1 (.DIODE(net519),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3148__B1 (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3191__B1 (.DIODE(net532),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3149__B1 (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3192__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3151__B1 (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3193__B1 (.DIODE(net755),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3153__B1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3194__B1 (.DIODE(net820),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3154__B1 (.DIODE(net561),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3197__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3155__B1 (.DIODE(net543),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3198__B1 (.DIODE(net479),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3156__A (.DIODE(_1051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3199__B1 (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3157__B1 (.DIODE(net537),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3200__B1 (.DIODE(net484),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3159__B1 (.DIODE(net507),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3205__B1 (.DIODE(net502),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3160__B1 (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3206__B1 (.DIODE(net786),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3161__B1 (.DIODE(net551),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3207__B1 (.DIODE(net751),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3162__A (.DIODE(_1051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3208__B1 (.DIODE(net488),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3163__B1 (.DIODE(net565),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3211__B1 (.DIODE(net631),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3165__B1 (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3212__B1 (.DIODE(net616),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3166__B1 (.DIODE(net517),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3213__B1 (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3167__B1 (.DIODE(net730),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3214__B1 (.DIODE(net744),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3168__B1 (.DIODE(net721),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3217__B1 (.DIODE(net736),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3168__B2 (.DIODE(_1052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3218__B1 (.DIODE(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3169__B1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3219__B1 (.DIODE(net722),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3169__B2 (.DIODE(_1052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3220__B1 (.DIODE(net731),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3173__B1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3223__B1 (.DIODE(net725),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3175__B1 (.DIODE(net30),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3224__B1 (.DIODE(net728),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3176__A (.DIODE(_1073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3225__B1 (.DIODE(net770),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3177__B1 (.DIODE(net29),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3226__B1 (.DIODE(net741),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3179__B1 (.DIODE(net28),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3229__B1 (.DIODE(net768),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3182__A (.DIODE(_1073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3230__B1 (.DIODE(net733),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3205__B1 (.DIODE(net11),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3231__B1 (.DIODE(net591),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3215__B1 (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3232__B1 (.DIODE(net585),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3217__B1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3233__B1 (.DIODE(net710),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3218__B1 (.DIODE(net31),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3234__B1 (.DIODE(net762),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3219__B1 (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3241__B1 (.DIODE(net33),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3220__A2 (.DIODE(_1073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3242__B1 (.DIODE(net32),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3220__B1 (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3243__B1 (.DIODE(net30),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3222__A (.DIODE(_1565_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3247__B1 (.DIODE(net28),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3224__A (.DIODE(_1565_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3248__B1 (.DIODE(net27),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3226__A (.DIODE(_1569_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3249__B1 (.DIODE(net26),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3229__B1 (.DIODE(net453),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3250__B1 (.DIODE(net25),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3232__A (.DIODE(_1569_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3273__B1 (.DIODE(net11),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3233__B1 (.DIODE(net610),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3274__B1 (.DIODE(net10),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3234__B1 (.DIODE(net614),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3276__B1 (.DIODE(net39),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3235__B1 (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3280__B1 (.DIODE(net37),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3236__B1 (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3281__B1 (.DIODE(net36),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3239__A (.DIODE(_1574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3282__B1 (.DIODE(net35),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3240__B1 (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3285__B1 (.DIODE(net34),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3241__A2 (.DIODE(_1569_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3286__B1 (.DIODE(net31),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3242__B1 (.DIODE(net529),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3287__B1 (.DIODE(net20),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3243__B1 (.DIODE(net547),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3288__B1 (.DIODE(net9),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3244__B1 (.DIODE(net533),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3292__A2 (.DIODE(_1106_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3245__A (.DIODE(_1565_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3292__B1 (.DIODE(net33),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3247__A (.DIODE(_1574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3293__A2 (.DIODE(_1106_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3248__B1 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3293__B1 (.DIODE(net32),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3249__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3294__A2 (.DIODE(_1106_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3250__B1 (.DIODE(net423),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3294__B1 (.DIODE(net30),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3251__B1 (.DIODE(net404),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3298__B1 (.DIODE(net28),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3253__A (.DIODE(_1574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3299__B1 (.DIODE(net27),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3254__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3300__B1 (.DIODE(net26),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3255__B1 (.DIODE(net401),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3302__B1 (.DIODE(net25),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3256__B1 (.DIODE(net441),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3324__B1 (.DIODE(net11),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3257__B1 (.DIODE(net469),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3325__B1 (.DIODE(net10),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3259__A (.DIODE(_1574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3328__B1 (.DIODE(net39),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3260__B1 (.DIODE(net486),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3331__B1 (.DIODE(net37),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3261__B1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3332__B1 (.DIODE(net36),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3262__B1 (.DIODE(net594),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3334__B1 (.DIODE(net35),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3263__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3336__B1 (.DIODE(net34),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3266__A (.DIODE(_1584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3337__B1 (.DIODE(net31),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3267__B1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3338__B1 (.DIODE(net20),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3268__B1 (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3339__B1 (.DIODE(net9),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3269__B1 (.DIODE(net482),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3341__A (.DIODE(_1628_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3270__B1 (.DIODE(net461),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3343__A (.DIODE(_1628_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3271__A (.DIODE(_1565_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3346__B1 (.DIODE(net765),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3272__A (.DIODE(_1586_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3347__B1 (.DIODE(net777),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3273__A (.DIODE(_1584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3348__B1 (.DIODE(net753),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3274__B1 (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3349__B1 (.DIODE(net775),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3275__B1 (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3352__B1 (.DIODE(net576),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3276__B1 (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3353__B1 (.DIODE(net790),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3277__B1 (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3354__B1 (.DIODE(net548),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3278__A (.DIODE(_1586_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3355__B1 (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3279__A (.DIODE(_1584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3359__B1 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3280__B1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3361__B1 (.DIODE(net739),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3281__B1 (.DIODE(net561),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3362__B1 (.DIODE(net811),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3282__B1 (.DIODE(net543),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3363__B1 (.DIODE(net782),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3283__B1 (.DIODE(net537),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3364__A (.DIODE(_1628_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3284__A (.DIODE(_1586_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3365__A (.DIODE(_1639_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3285__A (.DIODE(_1584_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3367__B1 (.DIODE(net800),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3286__B1 (.DIODE(net507),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3368__B1 (.DIODE(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3287__B1 (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3369__B1 (.DIODE(net519),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3288__B1 (.DIODE(net551),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3370__B1 (.DIODE(net814),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3289__B1 (.DIODE(net565),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3371__A (.DIODE(_1639_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3290__A (.DIODE(_1586_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3373__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3292__B1 (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3374__B1 (.DIODE(net436),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3293__B1 (.DIODE(net517),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3375__B1 (.DIODE(net514),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3294__B1 (.DIODE(net521),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3376__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3295__B1 (.DIODE(net721),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3377__A (.DIODE(_1639_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3296__B1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3379__B1 (.DIODE(net479),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3296__B2 (.DIODE(_1569_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3380__B1 (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3298__A (.DIODE(_1595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3381__B1 (.DIODE(net484),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3300__A (.DIODE(_1595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3382__B1 (.DIODE(net502),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3302__A (.DIODE(_1599_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3383__A (.DIODE(_1639_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3305__B1 (.DIODE(net703),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3385__A (.DIODE(_1647_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3308__A (.DIODE(_1599_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3386__B1 (.DIODE(net786),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3309__B1 (.DIODE(net610),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3387__B1 (.DIODE(net751),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3310__B1 (.DIODE(net614),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3388__B1 (.DIODE(net488),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3311__B1 (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3389__B1 (.DIODE(net631),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3312__B1 (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3390__A (.DIODE(_1628_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3315__A (.DIODE(_1604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3391__A (.DIODE(_1649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3316__B1 (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3392__A (.DIODE(_1647_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3317__B2 (.DIODE(_1599_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3393__B1 (.DIODE(net616),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3318__B1 (.DIODE(net529),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3394__B1 (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3319__B1 (.DIODE(net547),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3395__B1 (.DIODE(net414),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3320__B1 (.DIODE(net533),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3396__B1 (.DIODE(net736),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3321__A (.DIODE(_1595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3397__A (.DIODE(_1649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3323__A (.DIODE(_1604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3398__A (.DIODE(_1647_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3324__B1 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3399__B1 (.DIODE(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3325__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3400__B1 (.DIODE(net722),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3326__B1 (.DIODE(net423),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3401__B1 (.DIODE(net731),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3327__B1 (.DIODE(net404),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3402__B1 (.DIODE(net725),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3329__A (.DIODE(_1604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3403__A (.DIODE(_1649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3330__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3404__A (.DIODE(_1647_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3331__B1 (.DIODE(net401),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3405__B1 (.DIODE(net728),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3332__B1 (.DIODE(net441),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3406__B1 (.DIODE(net770),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3333__B1 (.DIODE(net469),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3407__B1 (.DIODE(net741),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3335__A (.DIODE(_1604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3408__B1 (.DIODE(net768),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3336__B1 (.DIODE(net486),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3409__A (.DIODE(_1649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3337__B1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3411__B1 (.DIODE(net733),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3338__B1 (.DIODE(net594),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3412__B1 (.DIODE(net591),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3339__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3413__B1 (.DIODE(net585),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3342__A (.DIODE(_1614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3414__B1 (.DIODE(net757),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3343__B1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3415__B1 (.DIODE(net762),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3344__B1 (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3417__A (.DIODE(_1658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3345__B1 (.DIODE(net482),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3419__A (.DIODE(_1658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3346__B1 (.DIODE(net461),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3421__A (.DIODE(_1662_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3347__A (.DIODE(_1595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3422__B1 (.DIODE(net766),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3349__A (.DIODE(_1614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3423__B1 (.DIODE(net777),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3424__B1 (.DIODE(net753),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3425__B1 (.DIODE(net560),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3427__A (.DIODE(_1662_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3428__B1 (.DIODE(net809),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3429__B1 (.DIODE(net790),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3430__B1 (.DIODE(net548),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3431__B1 (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3350__B1 (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3435__B1 (.DIODE(net749),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3351__B1 (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3436__B2 (.DIODE(_1662_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3352__B1 (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3437__B1 (.DIODE(net739),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3353__B1 (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3438__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3355__A (.DIODE(_1614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3439__B1 (.DIODE(net398),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3356__B1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3440__A (.DIODE(_1658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3357__B1 (.DIODE(net561),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3443__B1 (.DIODE(net800),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3358__B1 (.DIODE(net543),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3444__B1 (.DIODE(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3359__B1 (.DIODE(net537),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3445__B1 (.DIODE(net519),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3361__A (.DIODE(_1614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3446__B1 (.DIODE(net532),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3362__B1 (.DIODE(net507),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3449__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3363__B1 (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3450__B1 (.DIODE(net436),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3364__B1 (.DIODE(net551),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3451__B1 (.DIODE(net514),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3365__B1 (.DIODE(net565),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3452__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3368__B1 (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3455__B1 (.DIODE(net479),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3369__B1 (.DIODE(net517),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3456__B1 (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3370__B1 (.DIODE(net521),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3457__B1 (.DIODE(net484),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3371__B1 (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3458__B1 (.DIODE(net502),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3372__B1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3462__B1 (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3372__B2 (.DIODE(_1599_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3463__B1 (.DIODE(net751),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3379__B1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3464__B1 (.DIODE(net488),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3381__B1 (.DIODE(net30),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3465__B1 (.DIODE(net631),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3382__B1 (.DIODE(net29),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3466__A (.DIODE(_1658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3385__B1 (.DIODE(net28),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3469__B1 (.DIODE(net616),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3411__B1 (.DIODE(net11),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3470__B1 (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3420__B1 (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3471__B1 (.DIODE(net744),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3423__B1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3472__B1 (.DIODE(net736),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3424__B1 (.DIODE(net31),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3475__B1 (.DIODE(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3425__B1 (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3476__B1 (.DIODE(net722),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3426__B1 (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3477__B1 (.DIODE(net731),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3432__A_N (.DIODE(net160),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3478__B1 (.DIODE(net725),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3433__A (.DIODE(_1648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3481__B1 (.DIODE(net728),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3434__A (.DIODE(\u_ser_intf.reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3482__B1 (.DIODE(net770),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3435__A1 (.DIODE(net556),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3483__B1 (.DIODE(net741),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3435__B2 (.DIODE(net589),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3484__B1 (.DIODE(net497),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3438__A (.DIODE(_1652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3487__B1 (.DIODE(net733),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3441__A (.DIODE(_1655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3488__B1 (.DIODE(net591),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3443__A (.DIODE(net501),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3489__B1 (.DIODE(net585),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3444__A (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3490__B1 (.DIODE(net757),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3445__A2 (.DIODE(_1656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3491__B1 (.DIODE(net762),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3446__A (.DIODE(\u_glb_ctrl.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3491__B2 (.DIODE(_1662_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3451__A (.DIODE(_1665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3500__A1 (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3452__A2 (.DIODE(_1663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3500__B2 (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3453__A1 (.DIODE(_1649_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3506__A (.DIODE(_1696_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3454__A (.DIODE(\u_ser_intf.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3508__A (.DIODE(net548),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3455__A (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3509__A (.DIODE(_1699_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3457__A1 (.DIODE(_1669_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3510__A2 (.DIODE(_1697_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3457__A2 (.DIODE(_1656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3512__A (.DIODE(_0969_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3458__A (.DIODE(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3516__A (.DIODE(_1706_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3460__A2 (.DIODE(_1663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3517__A2 (.DIODE(_1704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3460__B1 (.DIODE(_1673_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3517__B2 (.DIODE(_1707_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3461__A1 (.DIODE(_1668_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3519__A (.DIODE(\u_ser_intf.reg_rdata[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3462__A (.DIODE(\u_ser_intf.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3520__A (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3463__A (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3522__A2 (.DIODE(_1697_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3465__A1 (.DIODE(_1676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3523__A (.DIODE(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3465__A2 (.DIODE(_1656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3525__A2 (.DIODE(_1704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3468__A2 (.DIODE(_1663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3525__B1 (.DIODE(_1714_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3469__A1 (.DIODE(_1675_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3525__B2 (.DIODE(_1707_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3469__C1 (.DIODE(_1681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3526__A1 (.DIODE(_1709_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3470__A (.DIODE(\u_ser_intf.reg_rdata[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3527__A (.DIODE(\u_ser_intf.reg_rdata[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3471__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3528__A (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3472__A (.DIODE(_1655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3530__A2 (.DIODE(_1697_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3474__A1 (.DIODE(_1683_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3533__A2 (.DIODE(_1704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3477__A (.DIODE(_1665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3533__B2 (.DIODE(_1707_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3478__A2 (.DIODE(_1663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3534__C1 (.DIODE(_1722_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3479__A1 (.DIODE(_1682_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3537__A (.DIODE(_1696_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3480__A (.DIODE(\u_ser_intf.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3539__A1 (.DIODE(_1724_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3482__A (.DIODE(_1692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3542__A (.DIODE(_1706_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3485__B2 (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3543__A2 (.DIODE(_1704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3490__A1 (.DIODE(_1691_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3545__A (.DIODE(\u_ser_intf.reg_rdata[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3491__A (.DIODE(\u_ser_intf.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3547__A (.DIODE(_1733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3494__B2 (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3550__B2 (.DIODE(_1699_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3499__A (.DIODE(\u_ser_intf.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3556__A (.DIODE(\u_ser_intf.reg_rdata[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3502__B2 (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3559__B2 (.DIODE(_1699_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3509__A (.DIODE(_1716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3564__A (.DIODE(\u_ser_intf.reg_rdata[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3512__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3567__B2 (.DIODE(_1699_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3519__A (.DIODE(_1652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3574__A (.DIODE(_1757_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3527__A (.DIODE(\u_cpu_clk_sel.S ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3587__A (.DIODE(_1706_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3535__A (.DIODE(_1665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3631__A (.DIODE(_1757_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3547__A (.DIODE(_1652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3633__A (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3553__A (.DIODE(_1716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3642__A (.DIODE(_0969_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3554__A (.DIODE(\u_ser_intf.reg_rdata[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3660__A (.DIODE(_1757_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3558__A2 (.DIODE(_1755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3665__B2 (.DIODE(_1834_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3560__A (.DIODE(\u_ser_intf.reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3666__A2 (.DIODE(_1830_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3569__B2 (.DIODE(_1768_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3669__A (.DIODE(_1733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3573__A (.DIODE(_1652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3673__B2 (.DIODE(_1834_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3576__A (.DIODE(_1774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3674__A2 (.DIODE(_1830_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3578__B2 (.DIODE(_1768_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3679__B2 (.DIODE(_1834_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3579__A2 (.DIODE(_1755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3680__A2 (.DIODE(_1830_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3584__B2 (.DIODE(_1768_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3685__B2 (.DIODE(_1834_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3585__A2 (.DIODE(_1755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3686__A2 (.DIODE(_1830_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3590__B2 (.DIODE(_1768_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3688__A (.DIODE(_1757_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3591__A2 (.DIODE(_1755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3692__A (.DIODE(_1696_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3593__A (.DIODE(_1716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3697__A (.DIODE(_1733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3594__A (.DIODE(\u_ser_intf.reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3716__A (.DIODE(_1706_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3598__B2 (.DIODE(_1793_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3720__A (.DIODE(_1696_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3599__A2 (.DIODE(_1789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3725__A (.DIODE(_1733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3602__A (.DIODE(_1692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3747__B2 (.DIODE(_1697_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3604__A (.DIODE(_1774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3748__A2 (.DIODE(_1707_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3606__B2 (.DIODE(_1793_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3749__A (.DIODE(_1426_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3607__A2 (.DIODE(_1789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3751__B (.DIODE(net440),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3612__B2 (.DIODE(_1793_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3753__B (.DIODE(net497),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3613__A2 (.DIODE(_1789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3755__B (.DIODE(net468),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3618__B2 (.DIODE(_1793_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3757__B (.DIODE(net506),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3619__A2 (.DIODE(_1789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3760__B (.DIODE(net523),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3621__A (.DIODE(_1716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3762__B (.DIODE(net491),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3625__A (.DIODE(_1655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3764__B (.DIODE(net510),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3630__A (.DIODE(_1692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3766__B (.DIODE(net454),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3632__A (.DIODE(_1774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3769__B (.DIODE(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3649__A (.DIODE(_1665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3771__B (.DIODE(net417),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3653__A (.DIODE(_1655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3773__B (.DIODE(net414),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3658__A (.DIODE(_1692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3775__B (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3660__A (.DIODE(_1774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3778__B (.DIODE(net616),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3677__A (.DIODE(\u_ser_intf.reg_rdata[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3780__B (.DIODE(net631),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3680__B2 (.DIODE(_1656_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3782__B (.DIODE(net488),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3684__B (.DIODE(net449),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3784__B (.DIODE(net463),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3686__B (.DIODE(net565),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3787__B (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3688__B (.DIODE(net551),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3789__B (.DIODE(net502),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3690__B (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3791__B (.DIODE(net484),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3693__B (.DIODE(net507),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3793__B (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3695__B (.DIODE(net537),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3796__B (.DIODE(net479),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3697__B (.DIODE(net543),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3798__B (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3699__B (.DIODE(net561),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3800__B (.DIODE(net514),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3702__B (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3802__B (.DIODE(net436),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3704__B (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3805__B (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3706__B (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3807__B (.DIODE(net532),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3708__B (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3809__B (.DIODE(net519),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3711__B (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3811__B (.DIODE(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3713__B (.DIODE(net461),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3813__B (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3715__B (.DIODE(net482),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3815__B (.DIODE(net398),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3717__B (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3817__B (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3720__B (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3822__C1 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3722__B (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3828__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3724__B (.DIODE(net594),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3828__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3726__B (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3832__A (.DIODE(_0983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3729__B (.DIODE(net486),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3833__A (.DIODE(_1952_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3731__B (.DIODE(net469),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3835__B (.DIODE(_0149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3733__B (.DIODE(net441),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3841__C (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3735__B (.DIODE(net401),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3856__A (.DIODE(_1952_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3738__B (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3892__B (.DIODE(_0172_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3740__B (.DIODE(net404),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3894__A (.DIODE(_1952_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3742__B (.DIODE(net423),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3896__B (.DIODE(_0173_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3744__B (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3898__B (.DIODE(_0174_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3746__B (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3900__B (.DIODE(_0175_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3748__B (.DIODE(net533),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3902__B (.DIODE(_0176_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3750__B (.DIODE(net547),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3905__B (.DIODE(_0177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3755__C1 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3907__B (.DIODE(_0178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3761__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3909__B (.DIODE(_0179_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3761__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3913__B (.DIODE(_0180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3761__A3 (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3918__B (.DIODE(_0182_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3768__B (.DIODE(_0142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3920__B (.DIODE(_0183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3770__B (.DIODE(_0143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3922__B (.DIODE(_0184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3772__B (.DIODE(_0144_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3925__B (.DIODE(_0185_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3789__B (.DIODE(_0150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3935__A (.DIODE(_1952_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3822__C (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3942__B (.DIODE(_0192_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3824__B (.DIODE(_0164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3944__B (.DIODE(_0193_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3829__A (.DIODE(_1946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3962__A (.DIODE(_1089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3830__B (.DIODE(_0166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3964__B (.DIODE(net118),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3832__B (.DIODE(_0167_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3968__A (.DIODE(_1009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3834__B (.DIODE(_0168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4175__A (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3836__B (.DIODE(_0169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4176__A (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3838__A (.DIODE(_1946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4177__A (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3839__B (.DIODE(_0170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4178__A (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3841__B (.DIODE(_0171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4179__A (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3843__B (.DIODE(_0172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4180__A (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3845__B (.DIODE(_0173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4181__A (.DIODE(net105),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3848__A (.DIODE(_1946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4182__A (.DIODE(net106),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3849__B (.DIODE(_0174_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4183__A (.DIODE(net107),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3851__B (.DIODE(_0175_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4185__A (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3853__B (.DIODE(_0176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4186__A0 (.DIODE(\u_async_wb.m_resp_rd_data[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3857__B (.DIODE(_0177_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4186__A1 (.DIODE(\reg_rdata[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3859__A (.DIODE(_1946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4186__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3860__B (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4187__A0 (.DIODE(\u_async_wb.m_resp_rd_data[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3862__B (.DIODE(_0179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4187__S (.DIODE(net823),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3864__B (.DIODE(_0180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4188__A0 (.DIODE(\u_async_wb.m_resp_rd_data[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3866__B (.DIODE(_0181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4188__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3869__A_N (.DIODE(_1968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4189__A0 (.DIODE(\u_async_wb.m_resp_rd_data[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3869__B (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4189__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3871__A_N (.DIODE(_1968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4190__A0 (.DIODE(\u_async_wb.m_resp_rd_data[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3871__B (.DIODE(_0183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4190__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3873__A_N (.DIODE(_1968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4191__A0 (.DIODE(\u_async_wb.m_resp_rd_data[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3873__B (.DIODE(_0184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4191__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3875__A_N (.DIODE(_1968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4192__A0 (.DIODE(\u_async_wb.m_resp_rd_data[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3875__B (.DIODE(_0185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4192__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3877__B (.DIODE(_0186_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4193__A0 (.DIODE(\u_async_wb.m_resp_rd_data[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3881__A2 (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4193__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3882__A (.DIODE(_1057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4194__A0 (.DIODE(\u_async_wb.m_resp_rd_data[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3884__A (.DIODE(_0187_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4194__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3884__B (.DIODE(net118),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4195__A0 (.DIODE(\u_async_wb.m_resp_rd_data[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4095__A (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4195__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4096__A (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4196__A0 (.DIODE(\u_async_wb.m_resp_rd_data[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4097__A (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4196__S (.DIODE(net823),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4098__A (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4197__A0 (.DIODE(\u_async_wb.m_resp_rd_data[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4099__A (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4197__S (.DIODE(net823),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4100__A (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4198__A0 (.DIODE(\u_async_wb.m_resp_rd_data[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4101__A (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4198__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4102__A (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4199__A0 (.DIODE(\u_async_wb.m_resp_rd_data[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4103__A (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4199__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4106__A0 (.DIODE(\u_async_wb.m_resp_rd_data[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4200__A0 (.DIODE(\u_async_wb.m_resp_rd_data[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4106__A1 (.DIODE(\reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4200__S (.DIODE(net823),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4107__A0 (.DIODE(\u_async_wb.m_resp_rd_data[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4201__A0 (.DIODE(\u_async_wb.m_resp_rd_data[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4108__A0 (.DIODE(\u_async_wb.m_resp_rd_data[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4201__S (.DIODE(net823),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4108__S (.DIODE(net732),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4202__A0 (.DIODE(\u_async_wb.m_resp_rd_data[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4109__A0 (.DIODE(\u_async_wb.m_resp_rd_data[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4202__A1 (.DIODE(\reg_rdata[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4109__S (.DIODE(net732),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4202__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4110__A0 (.DIODE(\u_async_wb.m_resp_rd_data[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4203__A0 (.DIODE(\u_async_wb.m_resp_rd_data[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4111__A0 (.DIODE(\u_async_wb.m_resp_rd_data[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4203__A1 (.DIODE(\reg_rdata[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4111__S (.DIODE(net732),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4203__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4112__A0 (.DIODE(\u_async_wb.m_resp_rd_data[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4204__A0 (.DIODE(\u_async_wb.m_resp_rd_data[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4112__S (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4204__A1 (.DIODE(\reg_rdata[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4113__A0 (.DIODE(\u_async_wb.m_resp_rd_data[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4205__A0 (.DIODE(\u_async_wb.m_resp_rd_data[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4114__A0 (.DIODE(\u_async_wb.m_resp_rd_data[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4205__A1 (.DIODE(\reg_rdata[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4114__S (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4205__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4115__A0 (.DIODE(\u_async_wb.m_resp_rd_data[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4206__A0 (.DIODE(\u_async_wb.m_resp_rd_data[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4116__A0 (.DIODE(\u_async_wb.m_resp_rd_data[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4206__A1 (.DIODE(\reg_rdata[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4116__S (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4207__A0 (.DIODE(\u_async_wb.m_resp_rd_data[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4117__A0 (.DIODE(\u_async_wb.m_resp_rd_data[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4207__A1 (.DIODE(\reg_rdata[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4118__A0 (.DIODE(\u_async_wb.m_resp_rd_data[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4207__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4119__A0 (.DIODE(\u_async_wb.m_resp_rd_data[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4208__A0 (.DIODE(\u_async_wb.m_resp_rd_data[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4119__S (.DIODE(net732),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4208__A1 (.DIODE(\reg_rdata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4120__A0 (.DIODE(\u_async_wb.m_resp_rd_data[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4208__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4121__A0 (.DIODE(\u_async_wb.m_resp_rd_data[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4209__A0 (.DIODE(\u_async_wb.m_resp_rd_data[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4122__A0 (.DIODE(\u_async_wb.m_resp_rd_data[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4209__A1 (.DIODE(\reg_rdata[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4123__A0 (.DIODE(\u_async_wb.m_resp_rd_data[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4210__A0 (.DIODE(\u_async_wb.m_resp_rd_data[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4124__A0 (.DIODE(\u_async_wb.m_resp_rd_data[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4210__A1 (.DIODE(\reg_rdata[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4124__A1 (.DIODE(\reg_rdata[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4210__S (.DIODE(net823),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4125__A0 (.DIODE(\u_async_wb.m_resp_rd_data[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4211__A0 (.DIODE(\u_async_wb.m_resp_rd_data[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4126__A0 (.DIODE(\u_async_wb.m_resp_rd_data[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4211__A1 (.DIODE(\reg_rdata[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4126__A1 (.DIODE(\reg_rdata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4212__A0 (.DIODE(\u_async_wb.m_resp_rd_data[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4127__A0 (.DIODE(\u_async_wb.m_resp_rd_data[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4212__A1 (.DIODE(\reg_rdata[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4127__A1 (.DIODE(\reg_rdata[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4213__A0 (.DIODE(\u_async_wb.m_resp_rd_data[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4128__A0 (.DIODE(\u_async_wb.m_resp_rd_data[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4213__A1 (.DIODE(\reg_rdata[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4128__A1 (.DIODE(\reg_rdata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4214__A0 (.DIODE(\u_async_wb.m_resp_rd_data[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4129__A0 (.DIODE(\u_async_wb.m_resp_rd_data[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4214__A1 (.DIODE(\reg_rdata[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4129__A1 (.DIODE(\reg_rdata[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4214__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4130__A0 (.DIODE(\u_async_wb.m_resp_rd_data[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4215__A0 (.DIODE(\u_async_wb.m_resp_rd_data[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4130__A1 (.DIODE(\reg_rdata[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4215__A1 (.DIODE(\reg_rdata[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4131__A0 (.DIODE(\u_async_wb.m_resp_rd_data[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4216__A0 (.DIODE(\u_async_wb.m_resp_rd_data[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4131__A1 (.DIODE(\reg_rdata[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4216__S (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4131__S (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4217__A0 (.DIODE(\u_async_wb.m_resp_rd_data[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4132__A0 (.DIODE(\u_async_wb.m_resp_rd_data[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4218__A0 (.DIODE(_0219_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4132__A1 (.DIODE(\reg_rdata[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4218__S (.DIODE(net719),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4132__S (.DIODE(net732),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4219__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4133__A0 (.DIODE(\u_async_wb.m_resp_rd_data[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4220__A1 (.DIODE(\u_ser_intf.reg_rdata[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4133__A1 (.DIODE(\reg_rdata[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4220__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4133__S (.DIODE(net732),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4221__A1 (.DIODE(\u_ser_intf.reg_rdata[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4134__A0 (.DIODE(\u_async_wb.m_resp_rd_data[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4221__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4134__S (.DIODE(net732),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4222__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4135__A0 (.DIODE(\u_async_wb.m_resp_rd_data[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4223__A1 (.DIODE(\u_ser_intf.reg_rdata[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4135__S (.DIODE(net732),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4223__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4136__A0 (.DIODE(\u_async_wb.m_resp_rd_data[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4224__A1 (.DIODE(\u_ser_intf.reg_rdata[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4136__S (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4224__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4137__A0 (.DIODE(\u_async_wb.m_resp_rd_data[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4225__A1 (.DIODE(\u_ser_intf.reg_rdata[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4137__S (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4225__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4138__A0 (.DIODE(_0198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4226__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4139__A1 (.DIODE(\u_ser_intf.reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4227__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4139__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4228__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4140__A1 (.DIODE(\u_ser_intf.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4229__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4140__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4230__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4141__A1 (.DIODE(\u_ser_intf.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4231__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4141__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4232__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4142__A1 (.DIODE(\u_ser_intf.reg_rdata[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4233__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4142__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4234__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4143__A1 (.DIODE(\u_ser_intf.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4235__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4143__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4236__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4144__A1 (.DIODE(\u_ser_intf.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4237__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4144__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4238__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4145__A1 (.DIODE(\u_ser_intf.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4239__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4145__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4240__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4146__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4241__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4147__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4242__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4148__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4243__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4149__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4244__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4150__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4245__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4151__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4246__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4152__A1 (.DIODE(\u_ser_intf.reg_rdata[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4247__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4152__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4248__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4153__A1 (.DIODE(\u_ser_intf.reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4249__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4153__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4250__S (.DIODE(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4154__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4251__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4155__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4252__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4156__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4253__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4157__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4254__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4158__A1 (.DIODE(\u_ser_intf.reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4255__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4158__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4256__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4159__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4257__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4160__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4258__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4161__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4259__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4162__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4260__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4163__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4261__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4164__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4262__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4165__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4263__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4166__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4264__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4167__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4265__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4168__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4266__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4169__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4267__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4170__A1 (.DIODE(\u_ser_intf.reg_rdata[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4268__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4170__S (.DIODE(_0199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4269__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4171__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4273__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4173__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4286__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4174__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4287__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4175__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4288__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4178__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4289__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4179__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4290__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4180__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4291__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4181__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4292__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4182__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4293__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4183__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4294__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4184__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4295__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4189__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4296__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4190__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4297__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4191__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4298__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4192__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4299__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4193__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4300__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4194__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4301__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4195__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4302__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4196__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4303__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4197__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4304__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4198__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4305__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4199__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4306__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4200__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4307__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4201__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4308__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4202__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4309__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4203__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4310__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4204__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4311__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4205__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4312__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4206__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4313__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4207__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4314__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4208__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4315__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4209__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4316__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4210__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4317__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4211__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4319__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4212__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4320__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4213__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4321__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4214__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4322__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4215__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4323__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4216__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4324__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4217__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4325__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4218__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4326__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4219__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4327__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4220__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4328__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4221__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4329__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4222__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4330__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4223__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4331__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4224__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4331__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4225__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4332__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4226__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4332__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4227__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4333__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4228__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4333__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4229__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4334__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4230__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4334__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4231__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4335__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4232__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4335__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4233__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4336__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4234__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4336__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4235__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4337__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4236__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4337__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4237__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4338__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4238__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4338__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4239__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4339__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4240__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4339__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4241__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4340__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4243__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4340__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4243__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4341__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4244__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4341__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4244__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4342__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4245__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4342__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4245__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4343__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4246__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4343__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4246__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4344__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4247__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4344__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4247__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4345__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4248__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4345__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4248__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4346__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4249__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4346__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4249__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4347__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4250__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4347__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4250__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4348__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4251__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4348__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4251__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4349__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4252__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4349__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4252__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4350__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4253__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4350__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4253__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4351__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4254__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4351__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4254__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4352__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4255__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4352__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4255__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4353__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4256__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4353__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4256__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4354__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4257__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4354__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4257__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4355__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4258__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4355__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4258__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4356__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4259__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4356__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4259__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4357__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4260__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4357__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4260__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4358__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4261__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4358__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4261__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4359__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4262__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4359__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4262__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4360__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4263__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4360__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4263__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4361__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4264__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4361__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4264__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4362__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4265__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4362__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4265__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4363__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4266__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4363__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4266__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4364__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4267__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4364__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4267__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4365__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4268__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4365__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4268__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4366__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4269__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4366__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4269__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4367__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4270__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4367__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4270__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4368__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4271__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4368__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4271__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4369__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4272__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4369__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4272__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4370__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4273__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4370__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4273__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4371__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4274__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4371__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4274__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4372__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4275__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4372__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4275__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4373__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4276__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4373__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4276__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4374__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4277__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4374__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4277__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4375__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4278__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4375__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4278__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4376__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4279__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4376__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4279__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4501__CLK (.DIODE(clknet_3_3_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4280__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4522__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4280__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4523__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4281__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4524__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4281__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4529__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4282__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4532__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4282__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4555__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4283__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4556__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4283__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4561__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4284__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4562__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4284__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4563__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4285__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4564__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4285__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4657__CLK (.DIODE(clknet_3_2_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4286__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4657__D (.DIODE(net117),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4286__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4671__CLK (.DIODE(clknet_3_2_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4287__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4672__CLK (.DIODE(clknet_3_2_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4287__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4673__CLK (.DIODE(clknet_3_2_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4288__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4674__CLK (.DIODE(clknet_3_3_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4288__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4675__CLK (.DIODE(clknet_3_3_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4313__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4676__CLK (.DIODE(clknet_3_3_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4314__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4683__CLK (.DIODE(clknet_3_3_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4569__D (.DIODE(net117),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4863__CLK (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4583__D (.DIODE(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4872__CLK (.DIODE(clknet_3_3_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4584__D (.DIODE(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4873__CLK (.DIODE(clknet_3_3_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4591__D (.DIODE(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4874__CLK (.DIODE(clknet_3_3_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4720__RESET_B (.DIODE(_0359_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4875__CLK (.DIODE(clknet_3_2_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4740__RESET_B (.DIODE(_0379_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4876__CLK (.DIODE(clknet_3_2_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4820__RESET_B (.DIODE(_0459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4912__RESET_B (.DIODE(_0487_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8873,6 +8816,46 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_0_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_1_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_2_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_3_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_4_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_5_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_6_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_7_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_0_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
@@ -8898,17 +8881,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8933,17 +8916,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_20_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_20_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_21_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_21_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8963,22 +8946,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8998,22 +8981,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_32_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_32_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9023,17 +9006,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_39_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_39_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9043,7 +9026,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9058,112 +9041,137 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_53_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_53_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_6_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_60_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_63_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_64_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_65_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9173,307 +9181,402 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold100_A (.DIODE(wbm_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold101_A (.DIODE(net498),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold106_A (.DIODE(wbm_adr_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold102_A (.DIODE(wbm_dat_i[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold112_A (.DIODE(wbm_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold107_A (.DIODE(wbm_dat_i[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold116_A (.DIODE(wbm_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold111_A (.DIODE(wbm_dat_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold119_A (.DIODE(wbm_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold115_A (.DIODE(wbm_dat_i[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold121_A (.DIODE(net518),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold119_A (.DIODE(wbm_dat_i[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold122_A (.DIODE(wbm_sel_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold128_A (.DIODE(wbm_dat_i[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold126_A (.DIODE(wbm_sel_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold133_A (.DIODE(wbm_dat_i[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold131_A (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold137_A (.DIODE(wbm_dat_i[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold134_A (.DIODE(wbm_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold144_A (.DIODE(wbm_dat_i[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold138_A (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold153_A (.DIODE(wbm_adr_i[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold13_A (.DIODE(net410),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold156_A (.DIODE(wbm_sel_i[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold142_A (.DIODE(wbm_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold165_A (.DIODE(wbm_adr_i[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold148_A (.DIODE(wbm_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold16_A (.DIODE(wbm_adr_i[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold14_A (.DIODE(wbm_sel_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold172_A (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold152_A (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold176_A (.DIODE(wbm_adr_i[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold155_A (.DIODE(net552),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold179_A (.DIODE(net49),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold156_A (.DIODE(wbm_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold181_A (.DIODE(wbm_adr_i[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold161_A (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold186_A (.DIODE(wbm_adr_i[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold166_A (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold190_A (.DIODE(wbm_sel_i[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold169_A (.DIODE(net566),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold196_A (.DIODE(wbm_sel_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold170_A (.DIODE(wbm_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold19_A (.DIODE(wbm_dat_i[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold18_A (.DIODE(wbm_adr_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold204_A (.DIODE(wbm_adr_i[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold192_A (.DIODE(net45),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold214_A (.DIODE(wbm_adr_i[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold193_A (.DIODE(net590),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold221_A (.DIODE(wbm_dat_i[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold194_A (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold226_A (.DIODE(_0487_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold199_A (.DIODE(wbm_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold22_A (.DIODE(wbm_dat_i[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold215_A (.DIODE(wbm_adr_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold230_A (.DIODE(_0976_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold217_A (.DIODE(net48),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold236_A (.DIODE(wbm_dat_i[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold219_A (.DIODE(wbm_adr_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold263_A (.DIODE(_1235_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold249_A (.DIODE(_0971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold284_A (.DIODE(_1237_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold282_A (.DIODE(_1163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold29_A (.DIODE(wbm_dat_i[30]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold28_A (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold312_A (.DIODE(net766),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold306_A (.DIODE(net453),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold313_A (.DIODE(net757),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold307_A (.DIODE(_1007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold320_A (.DIODE(net454),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold321_A (.DIODE(_1020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold323_A (.DIODE(net491),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold324_A (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold326_A (.DIODE(net523),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold326_A (.DIODE(wbm_adr_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold329_A (.DIODE(net510),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold327_A (.DIODE(net547),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold331_A (.DIODE(net440),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold329_A (.DIODE(net521),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold334_A (.DIODE(net417),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold33_A (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold337_A (.DIODE(net403),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold37_A (.DIODE(wbm_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold339_A (.DIODE(net468),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold3_A (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold342_A (.DIODE(net414),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold41_A (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold347_A (.DIODE(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold46_A (.DIODE(wbm_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold349_A (.DIODE(net463),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold49_A (.DIODE(net446),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold34_A (.DIODE(wbm_dat_i[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold50_A (.DIODE(wbm_sel_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold353_A (.DIODE(net436),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold53_A (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold358_A (.DIODE(net488),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold54_A (.DIODE(wbm_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold366_A (.DIODE(net497),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold58_A (.DIODE(wbm_adr_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold368_A (.DIODE(net506),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold62_A (.DIODE(wbm_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold373_A (.DIODE(net560),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold66_A (.DIODE(wbm_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold37_A (.DIODE(wbm_dat_i[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold6_A (.DIODE(wbm_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold380_A (.DIODE(net398),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold70_A (.DIODE(wbm_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold384_A (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold74_A (.DIODE(wbm_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold390_A (.DIODE(wbm_dat_i[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold77_A (.DIODE(wbm_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold392_A (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold82_A (.DIODE(wbm_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold394_A (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold87_A (.DIODE(wbm_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold395_A (.DIODE(wbm_dat_i[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold91_A (.DIODE(wbm_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold396_A (.DIODE(wbm_dat_i[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold96_A (.DIODE(wbm_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold397_A (.DIODE(wbm_dat_i[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold9_A (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold398_A (.DIODE(net432),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold3_A (.DIODE(wbm_dat_i[29]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold407_A (.DIODE(net576),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold409_A (.DIODE(net424),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold412_A (.DIODE(net532),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold418_A (.DIODE(net514),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold41_A (.DIODE(wbm_dat_i[23]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold420_A (.DIODE(net450),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold44_A (.DIODE(net734),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold45_A (.DIODE(wbm_dat_i[0]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold50_A (.DIODE(wbm_dat_i[19]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold55_A (.DIODE(wbm_dat_i[21]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold59_A (.DIODE(wbm_dat_i[7]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold68_A (.DIODE(wbm_dat_i[15]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold73_A (.DIODE(wbm_dat_i[2]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold80_A (.DIODE(wbm_sel_i[1]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold84_A (.DIODE(wbm_dat_i[20]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold8_A (.DIODE(wbm_dat_i[31]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold93_A (.DIODE(wbm_dat_i[14]),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold96_A (.DIODE(wbm_dat_i[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9663,31 +9766,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input45_A (.DIODE(net591),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input48_A (.DIODE(net616),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input49_A (.DIODE(net612),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input4_A (.DIODE(bist_error_cnt[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input50_A (.DIODE(wbm_adr_i[7]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input5_A (.DIODE(bist_error_cnt[1]),
.VGND(vssd1),
.VNB(vssd1),
@@ -9778,16 +9861,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output159_A (.DIODE(net159),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output160_A (.DIODE(net160),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_output94_A (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
@@ -9813,7 +9886,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer325_A (.DIODE(_0931_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer319_A (.DIODE(_0965_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_split421_A (.DIODE(net717),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9823,21 +9901,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_u_buf_wb_rst_A (.DIODE(\u_glb_ctrl.gen_bit_reg[0].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_clkbuf_mem_A (.DIODE(\u_mem_clk_sel.X ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_u_cpu_clk_sel.u_mux_S (.DIODE(\u_cpu_clk_sel.S ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_u_cpu_ref_sel.u_mux_A0 (.DIODE(net41),
.VGND(vssd1),
.VNB(vssd1),
@@ -9858,10 +9926,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_mem_ref_sel.u_mux_S (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_11 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9882,15 +9959,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_178 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_191 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_195 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_206 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9910,7 +9991,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_247 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9930,10 +10015,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_3 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_0_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9942,23 +10023,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_31 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_341 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_352 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9966,43 +10047,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_379 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_396 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_409 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_431 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10010,19 +10091,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_451 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_464 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10030,47 +10111,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_495 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_513 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_500 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_507 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_513 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_519 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_525 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_526 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10086,10 +10147,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_55 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_0_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10126,6 +10183,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_60 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10158,15 +10219,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_653 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_665 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_671 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10178,11 +10243,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10214,6 +10279,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_76 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_0_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10230,14 +10299,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_8 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_80 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_801 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10270,19 +10331,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_114 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_120 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_126 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10290,31 +10359,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_143 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_155 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_191 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10330,7 +10399,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_233 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10338,39 +10411,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_244 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_257 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_299 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10378,31 +10451,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_323 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_360 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_365 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_369 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10430,15 +10515,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_432 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10450,19 +10535,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10482,11 +10567,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_506 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10498,27 +10583,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_537 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_544 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_551 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_558 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_570 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_582 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10534,6 +10619,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_62 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_100_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10638,11 +10727,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_93 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_101_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10650,35 +10743,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_116 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_128 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_143 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10686,19 +10779,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_183 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10746,11 +10835,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_29 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_293 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10762,10 +10855,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_32 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10790,11 +10879,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10814,11 +10903,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_397 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10826,6 +10911,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_41 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_101_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10858,10 +10947,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_46 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_101_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10966,7 +11051,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11002,6 +11087,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_101_75 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_753 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11026,7 +11115,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11042,6 +11131,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_83 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_837 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11050,19 +11143,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_97 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_11 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11070,7 +11167,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_149 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_155 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11082,11 +11183,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_188 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11094,19 +11191,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_236 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_24 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_241 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11114,23 +11203,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_289 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11138,99 +11223,103 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_342 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_390 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_408 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_415 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_431 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_492 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_496 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11238,47 +11327,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_504 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_508 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_514 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_520 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_546 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_553 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_559 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_562 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11286,63 +11367,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_582 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_593 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_599 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_605 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_611 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_623 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_629 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_635 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_659 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_671 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_683 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_695 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11382,11 +11471,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_78 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_781 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11410,10 +11499,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_83 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_831 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11422,39 +11507,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_101 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_105 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_151 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_155 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_152 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11462,71 +11531,91 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_180 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_184 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_193 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_217 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_288 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_30 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_301 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_309 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_315 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_323 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11538,43 +11627,59 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_366 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_401 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_408 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_412 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_420 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_427 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11582,19 +11687,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_487 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_493 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_499 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11602,11 +11715,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_510 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_516 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11614,59 +11731,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_529 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_535 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_539 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_556 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_571 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_582 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_600 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_606 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_609 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11682,27 +11795,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_637 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_649 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_661 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_66 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_667 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_669 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11718,10 +11823,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_7 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_11_709 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11738,6 +11839,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_73 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_11_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11754,10 +11859,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_78 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_11_783 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11794,51 +11895,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_97 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_107 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_132 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_157 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_190 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_200 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_192 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_214 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11846,119 +11943,107 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_263 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_283 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_390 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_404 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_411 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_402 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_409 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_416 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11966,31 +12051,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_466 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11998,27 +12079,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_483 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_493 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_501 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_509 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_520 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_519 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12026,71 +12103,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_547 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_557 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_563 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_571 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_591 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_621 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_627 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_633 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_639 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_628 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_634 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12106,6 +12175,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_68 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_12_681 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12134,10 +12207,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_74 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_12_749 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12190,99 +12259,91 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_162 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_177 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_216 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_247 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_28 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_290 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12290,7 +12351,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_303 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12298,7 +12363,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12306,83 +12371,99 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_355 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_362 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_369 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_396 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_427 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_455 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_468 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_474 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_478 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_482 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_496 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_505 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_513 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_517 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12390,67 +12471,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_526 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_532 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_543 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_550 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_556 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_572 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_578 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_586 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_598 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_581 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_589 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_593 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_599 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_605 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_607 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12474,19 +12535,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_649 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_661 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_13_669 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12498,18 +12563,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_69 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_13_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_7 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_13_709 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12538,6 +12595,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_77 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_13_777 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12558,10 +12619,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_81 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_821 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12582,15 +12639,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_85 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_103 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12598,51 +12647,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_216 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_224 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_223 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12650,31 +12695,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_246 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_259 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_282 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_275 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12690,23 +12731,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_330 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_342 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_327 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_335 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12714,51 +12747,79 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_369 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_380 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_398 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_411 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_431 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_438 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_445 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_452 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_463 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_14_470 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_480 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_508 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_522 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12766,47 +12827,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_543 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_570 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_582 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_592 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_587 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_589 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_594 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_600 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_606 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_596 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12818,10 +12859,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_63 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_630 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12830,23 +12867,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_653 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_659 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_671 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_14_683 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_695 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12882,10 +12927,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_14_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12894,6 +12935,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_79 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_14_793 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12914,6 +12959,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_83 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_831 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12922,7 +12971,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12930,31 +12979,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_140 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_132 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_14 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_146 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_152 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12962,15 +12999,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_176 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_201 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_209 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_21 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12978,115 +13023,87 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_271 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_279 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_283 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_370 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_410 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_426 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_375 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_38 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_382 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_388 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_400 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_411 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_418 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_440 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13094,31 +13111,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_463 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_478 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_489 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_493 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13126,75 +13139,99 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_516 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_527 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_534 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_542 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_571 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_577 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_583 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_592 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_598 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_604 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_608 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_620 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_626 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_632 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_638 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_644 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_650 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_656 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13214,10 +13251,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_72 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_15_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13282,31 +13315,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_97 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_12 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13314,23 +13343,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_165 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_180 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13338,19 +13367,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_222 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_225 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13366,11 +13391,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_265 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13382,39 +13407,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_3 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_342 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_35 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_354 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13426,43 +13439,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_399 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_411 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_442 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_466 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_467 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13470,63 +13475,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_543 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_512 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_519 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_523 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_527 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_531 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_535 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_541 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_547 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_555 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13534,79 +13503,83 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_575 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_592 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_598 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_609 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_621 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_627 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_633 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_639 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_653 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_659 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_665 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_677 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_689 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_16_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13618,10 +13591,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_72 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_16_725 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13642,6 +13611,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_76 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_16_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13654,10 +13627,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_80 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_16_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13686,15 +13655,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_10 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_105 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13702,27 +13663,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_146 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_159 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13730,59 +13687,59 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_196 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_204 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_210 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_218 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_244 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_25 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_264 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_274 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13790,11 +13747,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13806,59 +13763,59 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_364 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_408 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_452 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13866,23 +13823,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_492 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_513 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_519 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13890,7 +13851,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_531 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13898,47 +13859,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_545 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_17_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_551 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_564 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_593 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_599 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_606 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_603 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_609 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13950,19 +13899,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_631 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_637 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_649 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_655 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_66 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_661 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13990,10 +13951,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_72 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_17_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14006,6 +13963,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_74 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_17_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14050,6 +14011,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_83 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_836 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14058,19 +14023,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_87 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_96 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_116 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_128 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14078,7 +14047,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_141 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_165 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14086,7 +14059,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14094,19 +14067,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_201 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_214 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_222 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14114,27 +14087,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_266 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_278 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_288 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_29 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_298 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14142,107 +14127,91 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_319 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_328 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_340 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_358 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_397 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_410 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_432 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_443 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_470 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_502 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_475 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_484 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_508 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_52 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_516 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14250,47 +14219,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_552 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_560 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_567 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_573 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_58 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_602 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_608 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_613 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14298,7 +14259,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_626 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_632 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14306,35 +14271,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_653 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_659 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_665 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_677 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_689 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_70 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14406,51 +14371,55 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_126 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_151 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_176 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_191 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_198 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14458,19 +14427,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_19_225 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_247 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_25 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14478,19 +14447,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_27 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_289 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_295 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14498,15 +14467,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_319 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_325 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14514,23 +14479,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_355 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_363 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14538,35 +14495,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_39 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_19_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_417 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_424 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_431 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14574,31 +14523,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_465 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_474 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_481 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_496 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14606,51 +14555,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_526 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_547 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_554 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_564 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_577 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_57 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_579 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_585 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_591 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_588 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14662,23 +14595,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_631 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_637 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_649 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_655 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_19_66 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_667 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_671 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14698,6 +14647,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_72 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_19_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14742,10 +14695,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_82 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_821 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14758,6 +14707,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_83 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_836 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14778,27 +14731,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_154 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_172 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_178 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14806,63 +14755,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_204 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_217 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_272 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_28 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_330 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_353 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_375 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_381 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14870,59 +14823,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_396 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_455 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_484 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_490 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_498 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_5 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_508 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_496 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14930,31 +14863,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_546 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_538 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_544 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_550 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_552 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14966,6 +14887,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_57 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_575 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14986,10 +14911,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_60 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_605 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15006,11 +14927,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_62 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_631 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_637 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15066,10 +14995,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_1_765 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15078,6 +15003,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_78 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_1_783 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15114,79 +15043,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_9 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_94 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_124 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_156 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_176 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_204 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_210 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_197 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_205 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_211 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_219 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_224 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15194,31 +15111,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_242 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_265 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_269 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_276 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_278 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15226,23 +15127,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_304 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_313 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_319 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15250,31 +15143,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_340 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_382 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_388 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_20_399 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_20_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15282,83 +15183,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_451 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_490 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_501 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_508 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_519 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_535 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_565 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_573 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_544 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_550 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_556 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_579 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15366,63 +15255,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_583 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_587 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_592 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_618 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_624 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_630 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_636 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_653 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_665 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_677 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_689 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_697 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15494,127 +15383,139 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_158 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_176 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_190 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_196 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_208 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_218 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_241 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_267 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_288 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_298 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_312 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_323 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_368 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_375 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_38 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_382 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15622,15 +15523,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_404 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15638,23 +15535,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_427 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_433 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_437 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_443 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15662,55 +15543,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_520 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_537 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_548 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_555 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_553 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15718,11 +15595,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_565 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_573 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15734,27 +15615,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_606 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_610 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_619 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_631 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_637 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_64 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_643 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_655 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_667 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15766,10 +15663,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_68 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_21_685 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15794,10 +15687,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_74 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_21_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15854,11 +15743,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15870,23 +15759,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_154 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_165 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_171 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_18 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15894,23 +15787,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_203 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_215 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_214 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_219 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_230 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15926,83 +15811,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_264 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_271 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_294 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_313 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_319 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_343 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_356 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_389 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_37 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_387 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_396 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_408 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_41 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_398 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16010,63 +15875,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_424 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_436 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_454 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_468 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_486 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_500 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_507 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_521 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_546 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_567 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16074,15 +15939,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_580 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_583 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16090,31 +15951,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_598 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_604 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_610 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_616 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_622 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_628 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_634 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16142,6 +16011,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_70 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_22_701 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16150,10 +16023,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_72 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_22_725 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16214,15 +16083,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_85 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_96 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16230,23 +16091,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_132 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16258,35 +16119,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_176 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_187 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_188 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_196 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_203 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_21 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_211 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_215 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16294,31 +16135,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_229 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_240 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_25 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_263 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_279 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_23_289 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_295 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16326,19 +16175,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_324 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16346,79 +16191,63 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_344 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_371 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_411 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_435 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_441 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_453 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_454 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_48 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_482 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_486 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16426,47 +16255,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_526 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_537 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_543 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_549 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_555 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_564 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_577 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_601 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_23_607 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16474,27 +16307,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_619 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_631 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_655 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_667 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16518,6 +16351,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_71 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_23_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16574,10 +16411,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_83 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_836 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16590,71 +16423,59 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_104 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_112 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_156 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_191 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_195 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_203 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_213 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_219 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_207 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_212 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_226 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_230 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16670,19 +16491,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_273 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_29 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_291 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_298 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_24_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16690,23 +16523,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_313 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_336 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16714,35 +16551,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_376 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_387 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_399 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_413 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16750,27 +16583,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_451 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_446 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_452 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_465 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_457 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16778,39 +16599,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_488 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_499 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_513 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_503 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_507 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_515 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_519 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16818,35 +16627,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_541 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_548 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_555 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_562 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_566 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_574 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_570 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16854,31 +16655,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_591 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_597 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_609 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_621 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_633 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_24_641 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16906,7 +16711,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_70 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16918,10 +16723,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_72 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_24_725 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16982,7 +16783,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_85 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_24_98 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_107 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -16990,83 +16799,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_156 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_208 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_218 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_232 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_266 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_272 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_292 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_265 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_276 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_281 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_299 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17074,23 +16871,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_331 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_335 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_341 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17098,103 +16899,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_370 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_384 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_413 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_452 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_470 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_476 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_487 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_491 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_499 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_469 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_475 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_478 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_484 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_494 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_500 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_505 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_511 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_512 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17202,83 +16971,75 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_523 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_549 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_556 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_568 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_579 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_591 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_597 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_609 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_619 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_631 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_655 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_641 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_653 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_667 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17298,6 +17059,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_70 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_25_709 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17318,10 +17083,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_75 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_25_753 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17334,6 +17095,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_78 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_25_783 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17346,11 +17111,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_809 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -17374,75 +17139,59 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_86 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_94 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_151 (.VGND(vssd1),