blob: f920ffd73d340e957c9447d492bb47897f8d9937 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "wrapped_frequency_counter"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 active
*2 io_in[0]
*3 io_in[10]
*4 io_in[11]
*5 io_in[12]
*6 io_in[13]
*7 io_in[14]
*8 io_in[15]
*9 io_in[16]
*10 io_in[17]
*11 io_in[18]
*12 io_in[19]
*13 io_in[1]
*14 io_in[20]
*15 io_in[21]
*16 io_in[22]
*17 io_in[23]
*18 io_in[24]
*19 io_in[25]
*20 io_in[26]
*21 io_in[27]
*22 io_in[28]
*23 io_in[29]
*24 io_in[2]
*25 io_in[30]
*26 io_in[31]
*27 io_in[32]
*28 io_in[33]
*29 io_in[34]
*30 io_in[35]
*31 io_in[36]
*32 io_in[37]
*33 io_in[3]
*34 io_in[4]
*35 io_in[5]
*36 io_in[6]
*37 io_in[7]
*38 io_in[8]
*39 io_in[9]
*40 io_oeb[0]
*41 io_oeb[10]
*42 io_oeb[11]
*43 io_oeb[12]
*44 io_oeb[13]
*45 io_oeb[14]
*46 io_oeb[15]
*47 io_oeb[16]
*48 io_oeb[17]
*49 io_oeb[18]
*50 io_oeb[19]
*51 io_oeb[1]
*52 io_oeb[20]
*53 io_oeb[21]
*54 io_oeb[22]
*55 io_oeb[23]
*56 io_oeb[24]
*57 io_oeb[25]
*58 io_oeb[26]
*59 io_oeb[27]
*60 io_oeb[28]
*61 io_oeb[29]
*62 io_oeb[2]
*63 io_oeb[30]
*64 io_oeb[31]
*65 io_oeb[32]
*66 io_oeb[33]
*67 io_oeb[34]
*68 io_oeb[35]
*69 io_oeb[36]
*70 io_oeb[37]
*71 io_oeb[3]
*72 io_oeb[4]
*73 io_oeb[5]
*74 io_oeb[6]
*75 io_oeb[7]
*76 io_oeb[8]
*77 io_oeb[9]
*78 io_out[0]
*79 io_out[10]
*80 io_out[11]
*81 io_out[12]
*82 io_out[13]
*83 io_out[14]
*84 io_out[15]
*85 io_out[16]
*86 io_out[17]
*87 io_out[18]
*88 io_out[19]
*89 io_out[1]
*90 io_out[20]
*91 io_out[21]
*92 io_out[22]
*93 io_out[23]
*94 io_out[24]
*95 io_out[25]
*96 io_out[26]
*97 io_out[27]
*98 io_out[28]
*99 io_out[29]
*100 io_out[2]
*101 io_out[30]
*102 io_out[31]
*103 io_out[32]
*104 io_out[33]
*105 io_out[34]
*106 io_out[35]
*107 io_out[36]
*108 io_out[37]
*109 io_out[3]
*110 io_out[4]
*111 io_out[5]
*112 io_out[6]
*113 io_out[7]
*114 io_out[8]
*115 io_out[9]
*116 la1_data_in[0]
*117 la1_data_in[10]
*118 la1_data_in[11]
*119 la1_data_in[12]
*120 la1_data_in[13]
*121 la1_data_in[14]
*122 la1_data_in[15]
*123 la1_data_in[16]
*124 la1_data_in[17]
*125 la1_data_in[18]
*126 la1_data_in[19]
*127 la1_data_in[1]
*128 la1_data_in[20]
*129 la1_data_in[21]
*130 la1_data_in[22]
*131 la1_data_in[23]
*132 la1_data_in[24]
*133 la1_data_in[25]
*134 la1_data_in[26]
*135 la1_data_in[27]
*136 la1_data_in[28]
*137 la1_data_in[29]
*138 la1_data_in[2]
*139 la1_data_in[30]
*140 la1_data_in[31]
*141 la1_data_in[3]
*142 la1_data_in[4]
*143 la1_data_in[5]
*144 la1_data_in[6]
*145 la1_data_in[7]
*146 la1_data_in[8]
*147 la1_data_in[9]
*148 la1_data_out[0]
*149 la1_data_out[10]
*150 la1_data_out[11]
*151 la1_data_out[12]
*152 la1_data_out[13]
*153 la1_data_out[14]
*154 la1_data_out[15]
*155 la1_data_out[16]
*156 la1_data_out[17]
*157 la1_data_out[18]
*158 la1_data_out[19]
*159 la1_data_out[1]
*160 la1_data_out[20]
*161 la1_data_out[21]
*162 la1_data_out[22]
*163 la1_data_out[23]
*164 la1_data_out[24]
*165 la1_data_out[25]
*166 la1_data_out[26]
*167 la1_data_out[27]
*168 la1_data_out[28]
*169 la1_data_out[29]
*170 la1_data_out[2]
*171 la1_data_out[30]
*172 la1_data_out[31]
*173 la1_data_out[3]
*174 la1_data_out[4]
*175 la1_data_out[5]
*176 la1_data_out[6]
*177 la1_data_out[7]
*178 la1_data_out[8]
*179 la1_data_out[9]
*180 la1_oenb[0]
*181 la1_oenb[10]
*182 la1_oenb[11]
*183 la1_oenb[12]
*184 la1_oenb[13]
*185 la1_oenb[14]
*186 la1_oenb[15]
*187 la1_oenb[16]
*188 la1_oenb[17]
*189 la1_oenb[18]
*190 la1_oenb[19]
*191 la1_oenb[1]
*192 la1_oenb[20]
*193 la1_oenb[21]
*194 la1_oenb[22]
*195 la1_oenb[23]
*196 la1_oenb[24]
*197 la1_oenb[25]
*198 la1_oenb[26]
*199 la1_oenb[27]
*200 la1_oenb[28]
*201 la1_oenb[29]
*202 la1_oenb[2]
*203 la1_oenb[30]
*204 la1_oenb[31]
*205 la1_oenb[3]
*206 la1_oenb[4]
*207 la1_oenb[5]
*208 la1_oenb[6]
*209 la1_oenb[7]
*210 la1_oenb[8]
*211 la1_oenb[9]
*214 wb_clk_i
*215 _0000_
*216 _0001_
*217 _0002_
*218 _0003_
*219 _0004_
*220 _0005_
*221 _0006_
*222 _0007_
*223 _0008_
*224 _0009_
*225 _0010_
*226 _0011_
*227 _0012_
*228 _0013_
*229 _0014_
*230 _0015_
*231 _0016_
*232 _0017_
*233 _0018_
*234 _0019_
*235 _0020_
*236 _0021_
*237 _0022_
*238 _0023_
*239 _0024_
*240 _0025_
*241 _0026_
*242 _0027_
*243 _0028_
*244 _0029_
*245 _0030_
*246 _0031_
*247 _0032_
*248 _0033_
*249 _0034_
*250 _0035_
*251 _0036_
*252 _0037_
*253 _0038_
*254 _0039_
*255 _0040_
*256 _0041_
*257 _0042_
*258 _0043_
*259 _0044_
*260 _0045_
*261 _0046_
*262 _0047_
*263 _0048_
*264 _0049_
*265 _0050_
*266 _0051_
*267 _0052_
*268 _0053_
*269 _0054_
*270 _0055_
*271 _0056_
*272 _0057_
*273 _0058_
*274 _0059_
*275 _0060_
*276 _0061_
*277 _0062_
*278 _0063_
*279 _0064_
*280 _0065_
*281 _0066_
*282 _0067_
*283 _0068_
*284 _0069_
*285 _0070_
*286 _0071_
*287 _0072_
*288 _0073_
*289 _0074_
*290 _0075_
*291 _0076_
*292 _0077_
*293 _0078_
*294 _0079_
*295 _0080_
*296 _0081_
*297 _0082_
*298 _0083_
*299 _0084_
*300 _0085_
*301 _0086_
*302 _0087_
*303 _0088_
*304 _0089_
*305 _0090_
*306 _0091_
*307 _0092_
*308 _0093_
*309 _0094_
*310 _0095_
*311 _0096_
*312 _0097_
*313 _0098_
*314 _0099_
*315 _0100_
*316 _0101_
*317 _0102_
*318 _0103_
*319 _0104_
*320 _0105_
*321 _0106_
*322 _0107_
*323 _0108_
*324 _0109_
*325 _0110_
*326 _0111_
*327 _0112_
*328 _0113_
*329 _0114_
*330 _0115_
*331 _0116_
*332 _0117_
*333 _0118_
*334 _0119_
*335 _0120_
*336 _0121_
*337 _0122_
*338 _0123_
*339 _0124_
*340 _0125_
*341 _0126_
*342 _0127_
*343 _0128_
*344 _0129_
*345 _0130_
*346 _0131_
*347 _0132_
*348 _0133_
*349 _0134_
*350 _0135_
*351 _0136_
*352 _0137_
*353 _0138_
*354 _0139_
*355 _0140_
*356 _0141_
*357 _0142_
*358 _0143_
*359 _0144_
*360 _0145_
*361 _0146_
*362 _0147_
*363 _0148_
*364 _0149_
*365 _0150_
*366 _0151_
*367 _0152_
*368 _0153_
*369 _0154_
*370 _0155_
*371 _0156_
*372 _0157_
*373 _0158_
*374 _0159_
*375 _0160_
*376 _0161_
*377 _0162_
*378 _0163_
*379 _0164_
*380 _0165_
*381 _0166_
*382 _0167_
*383 _0168_
*384 _0169_
*385 _0170_
*386 _0171_
*387 _0172_
*388 _0173_
*389 _0174_
*390 _0175_
*391 _0176_
*392 _0177_
*393 _0178_
*394 _0179_
*395 _0180_
*396 _0181_
*397 _0182_
*398 _0183_
*399 _0184_
*400 _0185_
*401 _0186_
*402 _0187_
*403 _0188_
*404 _0189_
*405 _0190_
*406 _0191_
*407 _0192_
*408 _0193_
*409 _0194_
*410 _0195_
*411 _0196_
*412 _0197_
*413 _0198_
*414 _0199_
*415 _0200_
*416 _0201_
*417 _0202_
*418 _0203_
*419 _0204_
*420 _0205_
*421 _0206_
*422 _0207_
*423 _0208_
*424 _0209_
*425 _0210_
*426 _0211_
*427 _0212_
*428 _0213_
*429 _0214_
*430 _0215_
*431 _0216_
*432 _0217_
*433 _0218_
*434 _0219_
*435 _0220_
*436 _0221_
*437 _0222_
*438 _0223_
*439 _0224_
*440 _0225_
*441 _0226_
*442 _0227_
*443 _0228_
*444 _0229_
*445 _0230_
*446 _0231_
*447 _0232_
*448 _0233_
*449 _0234_
*450 _0235_
*451 _0236_
*452 _0237_
*453 _0238_
*454 _0239_
*455 _0240_
*456 _0241_
*457 _0242_
*458 _0243_
*459 _0244_
*460 _0245_
*461 _0246_
*462 _0247_
*463 _0248_
*464 _0249_
*465 _0250_
*466 _0251_
*467 _0252_
*468 _0253_
*469 _0254_
*470 _0255_
*471 _0256_
*472 _0257_
*473 _0258_
*474 _0259_
*475 _0260_
*476 _0261_
*477 _0262_
*478 _0263_
*479 _0264_
*480 _0265_
*481 _0266_
*482 _0267_
*483 _0268_
*484 _0269_
*485 _0270_
*486 _0271_
*487 _0272_
*488 _0273_
*489 _0274_
*490 _0275_
*491 _0276_
*492 _0277_
*493 _0278_
*494 _0279_
*495 _0280_
*496 _0281_
*497 _0282_
*498 _0283_
*499 _0284_
*500 _0285_
*501 _0286_
*502 _0287_
*503 _0288_
*504 _0289_
*505 _0290_
*506 _0291_
*507 _0292_
*508 _0293_
*509 _0294_
*510 _0295_
*511 _0296_
*512 _0297_
*513 _0298_
*514 _0299_
*515 _0300_
*516 _0301_
*517 _0302_
*518 _0303_
*519 _0304_
*520 _0305_
*521 _0306_
*522 _0307_
*523 _0308_
*524 _0309_
*525 _0310_
*526 _0311_
*527 _0312_
*528 _0313_
*529 _0314_
*530 _0315_
*531 _0316_
*532 _0317_
*533 _0318_
*534 _0319_
*535 _0320_
*536 _0321_
*537 _0322_
*538 _0323_
*539 _0324_
*540 _0325_
*541 _0326_
*542 _0327_
*543 _0328_
*544 _0329_
*545 _0330_
*546 _0331_
*547 _0332_
*548 _0333_
*549 _0334_
*550 _0335_
*551 _0336_
*552 _0337_
*553 _0338_
*554 _0339_
*555 _0340_
*556 _0341_
*557 _0342_
*558 _0343_
*559 _0344_
*560 _0345_
*561 _0346_
*562 _0347_
*563 _0348_
*564 _0349_
*565 _0350_
*566 _0351_
*567 _0352_
*568 _0353_
*569 _0354_
*570 _0355_
*571 _0356_
*572 _0357_
*573 _0358_
*574 _0359_
*575 _0360_
*576 _0361_
*577 _0362_
*578 _0363_
*579 _0364_
*580 _0365_
*581 _0366_
*582 _0367_
*583 _0368_
*584 _0369_
*585 _0370_
*586 _0371_
*587 _0372_
*588 _0373_
*589 _0374_
*590 _0375_
*591 _0376_
*592 _0377_
*593 _0378_
*594 _0379_
*595 _0380_
*596 _0381_
*597 _0382_
*598 _0383_
*599 _0384_
*600 _0385_
*601 _0386_
*602 _0387_
*603 _0388_
*604 _0389_
*605 _0390_
*606 _0391_
*607 _0392_
*608 _0393_
*609 _0394_
*610 _0395_
*611 _0396_
*612 _0397_
*613 _0398_
*614 _0399_
*615 _0400_
*616 _0401_
*617 _0402_
*618 _0403_
*619 _0404_
*620 _0405_
*621 _0406_
*622 _0407_
*623 _0408_
*624 _0409_
*625 _0410_
*626 _0411_
*627 _0412_
*628 _0413_
*629 _0414_
*630 _0415_
*631 _0416_
*632 _0417_
*633 _0418_
*634 _0419_
*635 _0420_
*636 _0421_
*637 _0422_
*638 _0423_
*639 _0424_
*640 _0425_
*641 _0426_
*642 _0427_
*643 _0428_
*644 _0429_
*645 _0430_
*646 _0431_
*647 _0432_
*648 _0433_
*649 _0434_
*650 _0435_
*651 _0436_
*652 _0437_
*653 _0438_
*654 _0439_
*655 _0440_
*656 _0441_
*657 _0442_
*658 _0443_
*659 _0444_
*660 _0445_
*661 _0446_
*662 _0447_
*663 _0448_
*664 _0449_
*665 _0450_
*666 _0451_
*667 _0452_
*668 _0453_
*669 _0454_
*670 _0455_
*671 _0456_
*672 _0457_
*673 _0458_
*674 _0459_
*675 _0460_
*676 _0461_
*677 _0462_
*678 _0463_
*679 _0464_
*680 _0465_
*681 _0466_
*682 _0467_
*683 _0468_
*684 _0469_
*685 _0470_
*686 _0471_
*687 _0472_
*688 _0473_
*689 _0474_
*690 _0475_
*691 _0476_
*692 _0477_
*693 _0478_
*694 _0479_
*695 _0480_
*696 _0481_
*697 _0482_
*698 _0483_
*699 _0484_
*700 _0485_
*701 _0486_
*702 _0487_
*703 _0488_
*704 _0489_
*705 _0490_
*706 _0491_
*707 _0492_
*708 _0493_
*709 _0494_
*710 _0495_
*711 _0496_
*712 _0497_
*713 _0498_
*714 _0499_
*715 _0500_
*716 _0501_
*717 _0502_
*718 _0503_
*719 _0504_
*720 _0505_
*721 _0506_
*722 _0507_
*723 _0508_
*724 _0509_
*725 _0510_
*726 _0511_
*727 _0512_
*728 _0513_
*729 _0514_
*730 _0515_
*731 _0516_
*732 _0517_
*733 _0518_
*734 _0519_
*735 _0520_
*736 _0521_
*737 _0522_
*738 _0523_
*739 _0524_
*740 _0525_
*741 _0526_
*742 _0527_
*743 _0528_
*744 _0529_
*745 _0530_
*746 _0531_
*747 _0532_
*748 _0533_
*749 _0534_
*750 _0535_
*751 _0536_
*752 _0537_
*753 _0538_
*754 _0539_
*755 _0540_
*756 _0541_
*757 _0542_
*758 _0543_
*759 _0544_
*760 _0545_
*761 _0546_
*762 _0547_
*763 _0548_
*764 _0549_
*765 _0550_
*766 _0551_
*767 _0552_
*768 _0553_
*769 _0554_
*770 _0555_
*771 _0556_
*772 _0557_
*773 _0558_
*774 _0559_
*775 _0560_
*776 _0561_
*777 _0562_
*778 _0563_
*779 _0564_
*780 _0565_
*781 _0566_
*782 _0567_
*783 _0568_
*784 _0569_
*785 _0570_
*786 _0571_
*787 _0572_
*788 _0573_
*789 _0574_
*790 _0575_
*791 _0576_
*792 _0577_
*793 _0578_
*794 _0579_
*795 _0580_
*796 _0581_
*797 _0582_
*798 _0583_
*799 _0584_
*800 _0585_
*801 _0586_
*802 _0587_
*803 clknet_0_wb_clk_i
*804 clknet_1_0_0_wb_clk_i
*805 clknet_1_1_0_wb_clk_i
*806 clknet_2_0_0_wb_clk_i
*807 clknet_2_1_0_wb_clk_i
*808 clknet_2_2_0_wb_clk_i
*809 clknet_2_3_0_wb_clk_i
*810 frequency_counter_0\.clk_counter\[0\]
*811 frequency_counter_0\.clk_counter\[10\]
*812 frequency_counter_0\.clk_counter\[11\]
*813 frequency_counter_0\.clk_counter\[1\]
*814 frequency_counter_0\.clk_counter\[2\]
*815 frequency_counter_0\.clk_counter\[3\]
*816 frequency_counter_0\.clk_counter\[4\]
*817 frequency_counter_0\.clk_counter\[5\]
*818 frequency_counter_0\.clk_counter\[6\]
*819 frequency_counter_0\.clk_counter\[7\]
*820 frequency_counter_0\.clk_counter\[8\]
*821 frequency_counter_0\.clk_counter\[9\]
*822 frequency_counter_0\.digit
*823 frequency_counter_0\.edge_counter\[0\]
*824 frequency_counter_0\.edge_counter\[1\]
*825 frequency_counter_0\.edge_counter\[2\]
*826 frequency_counter_0\.edge_counter\[3\]
*827 frequency_counter_0\.edge_counter\[4\]
*828 frequency_counter_0\.edge_counter\[5\]
*829 frequency_counter_0\.edge_counter\[6\]
*830 frequency_counter_0\.edge_detect0\.q0
*831 frequency_counter_0\.edge_detect0\.q1
*832 frequency_counter_0\.edge_detect0\.q2
*833 frequency_counter_0\.segments\[0\]
*834 frequency_counter_0\.segments\[1\]
*835 frequency_counter_0\.segments\[2\]
*836 frequency_counter_0\.segments\[3\]
*837 frequency_counter_0\.segments\[4\]
*838 frequency_counter_0\.segments\[5\]
*839 frequency_counter_0\.segments\[6\]
*840 frequency_counter_0\.seven_segment0\.load
*841 frequency_counter_0\.seven_segment0\.ten_count\[0\]
*842 frequency_counter_0\.seven_segment0\.ten_count\[1\]
*843 frequency_counter_0\.seven_segment0\.ten_count\[2\]
*844 frequency_counter_0\.seven_segment0\.ten_count\[3\]
*845 frequency_counter_0\.seven_segment0\.ten_count_reg\[0\]
*846 frequency_counter_0\.seven_segment0\.ten_count_reg\[1\]
*847 frequency_counter_0\.seven_segment0\.ten_count_reg\[2\]
*848 frequency_counter_0\.seven_segment0\.ten_count_reg\[3\]
*849 frequency_counter_0\.seven_segment0\.unit_count\[0\]
*850 frequency_counter_0\.seven_segment0\.unit_count\[1\]
*851 frequency_counter_0\.seven_segment0\.unit_count\[2\]
*852 frequency_counter_0\.seven_segment0\.unit_count\[3\]
*853 frequency_counter_0\.seven_segment0\.unit_count_reg\[0\]
*854 frequency_counter_0\.seven_segment0\.unit_count_reg\[1\]
*855 frequency_counter_0\.seven_segment0\.unit_count_reg\[2\]
*856 frequency_counter_0\.seven_segment0\.unit_count_reg\[3\]
*857 frequency_counter_0\.state\[0\]
*858 frequency_counter_0\.state\[1\]
*859 frequency_counter_0\.state\[2\]
*860 frequency_counter_0\.update_period\[0\]
*861 frequency_counter_0\.update_period\[10\]
*862 frequency_counter_0\.update_period\[11\]
*863 frequency_counter_0\.update_period\[1\]
*864 frequency_counter_0\.update_period\[2\]
*865 frequency_counter_0\.update_period\[3\]
*866 frequency_counter_0\.update_period\[4\]
*867 frequency_counter_0\.update_period\[5\]
*868 frequency_counter_0\.update_period\[6\]
*869 frequency_counter_0\.update_period\[7\]
*870 frequency_counter_0\.update_period\[8\]
*871 frequency_counter_0\.update_period\[9\]
*872 net1
*873 net10
*874 net11
*875 net12
*876 net13
*877 net14
*878 net15
*879 net16
*880 net17
*881 net18
*882 net2
*883 net3
*884 net4
*885 net5
*886 net6
*887 net7
*888 net8
*889 net9
*890 ANTENNA_0
*891 FILLER_0_106
*892 FILLER_0_134
*893 FILLER_0_141
*894 FILLER_0_164
*895 FILLER_0_190
*896 FILLER_0_200
*897 FILLER_0_208
*898 FILLER_0_219
*899 FILLER_0_223
*900 FILLER_0_225
*901 FILLER_0_24
*902 FILLER_0_248
*903 FILLER_0_253
*904 FILLER_0_276
*905 FILLER_0_284
*906 FILLER_0_291
*907 FILLER_0_50
*908 FILLER_0_60
*909 FILLER_0_64
*910 FILLER_0_69
*911 FILLER_0_76
*912 FILLER_10_109
*913 FILLER_10_121
*914 FILLER_10_133
*915 FILLER_10_139
*916 FILLER_10_141
*917 FILLER_10_153
*918 FILLER_10_165
*919 FILLER_10_177
*920 FILLER_10_189
*921 FILLER_10_195
*922 FILLER_10_197
*923 FILLER_10_209
*924 FILLER_10_221
*925 FILLER_10_233
*926 FILLER_10_24
*927 FILLER_10_245
*928 FILLER_10_251
*929 FILLER_10_253
*930 FILLER_10_265
*931 FILLER_10_277
*932 FILLER_10_285
*933 FILLER_10_29
*934 FILLER_10_290
*935 FILLER_10_298
*936 FILLER_10_41
*937 FILLER_10_53
*938 FILLER_10_65
*939 FILLER_10_77
*940 FILLER_10_83
*941 FILLER_10_85
*942 FILLER_10_97
*943 FILLER_11_105
*944 FILLER_11_111
*945 FILLER_11_113
*946 FILLER_11_125
*947 FILLER_11_137
*948 FILLER_11_149
*949 FILLER_11_161
*950 FILLER_11_167
*951 FILLER_11_169
*952 FILLER_11_181
*953 FILLER_11_193
*954 FILLER_11_205
*955 FILLER_11_217
*956 FILLER_11_223
*957 FILLER_11_225
*958 FILLER_11_237
*959 FILLER_11_249
*960 FILLER_11_261
*961 FILLER_11_27
*962 FILLER_11_273
*963 FILLER_11_279
*964 FILLER_11_281
*965 FILLER_11_289
*966 FILLER_11_293
*967 FILLER_11_3
*968 FILLER_11_39
*969 FILLER_11_51
*970 FILLER_11_55
*971 FILLER_11_57
*972 FILLER_11_69
*973 FILLER_11_81
*974 FILLER_11_93
*975 FILLER_12_109
*976 FILLER_12_12
*977 FILLER_12_121
*978 FILLER_12_133
*979 FILLER_12_139
*980 FILLER_12_141
*981 FILLER_12_153
*982 FILLER_12_165
*983 FILLER_12_177
*984 FILLER_12_189
*985 FILLER_12_19
*986 FILLER_12_195
*987 FILLER_12_197
*988 FILLER_12_209
*989 FILLER_12_221
*990 FILLER_12_233
*991 FILLER_12_245
*992 FILLER_12_251
*993 FILLER_12_253
*994 FILLER_12_265
*995 FILLER_12_27
*996 FILLER_12_273
*997 FILLER_12_29
*998 FILLER_12_295
*999 FILLER_12_3
*1000 FILLER_12_41
*1001 FILLER_12_53
*1002 FILLER_12_65
*1003 FILLER_12_77
*1004 FILLER_12_83
*1005 FILLER_12_85
*1006 FILLER_12_97
*1007 FILLER_13_105
*1008 FILLER_13_111
*1009 FILLER_13_113
*1010 FILLER_13_125
*1011 FILLER_13_137
*1012 FILLER_13_149
*1013 FILLER_13_15
*1014 FILLER_13_161
*1015 FILLER_13_167
*1016 FILLER_13_169
*1017 FILLER_13_181
*1018 FILLER_13_193
*1019 FILLER_13_205
*1020 FILLER_13_217
*1021 FILLER_13_223
*1022 FILLER_13_225
*1023 FILLER_13_237
*1024 FILLER_13_249
*1025 FILLER_13_261
*1026 FILLER_13_27
*1027 FILLER_13_273
*1028 FILLER_13_279
*1029 FILLER_13_281
*1030 FILLER_13_288
*1031 FILLER_13_295
*1032 FILLER_13_3
*1033 FILLER_13_39
*1034 FILLER_13_51
*1035 FILLER_13_55
*1036 FILLER_13_57
*1037 FILLER_13_69
*1038 FILLER_13_8
*1039 FILLER_13_81
*1040 FILLER_13_93
*1041 FILLER_14_109
*1042 FILLER_14_121
*1043 FILLER_14_133
*1044 FILLER_14_139
*1045 FILLER_14_141
*1046 FILLER_14_153
*1047 FILLER_14_165
*1048 FILLER_14_177
*1049 FILLER_14_189
*1050 FILLER_14_195
*1051 FILLER_14_197
*1052 FILLER_14_209
*1053 FILLER_14_221
*1054 FILLER_14_233
*1055 FILLER_14_24
*1056 FILLER_14_245
*1057 FILLER_14_251
*1058 FILLER_14_253
*1059 FILLER_14_265
*1060 FILLER_14_270
*1061 FILLER_14_29
*1062 FILLER_14_295
*1063 FILLER_14_41
*1064 FILLER_14_53
*1065 FILLER_14_65
*1066 FILLER_14_77
*1067 FILLER_14_83
*1068 FILLER_14_85
*1069 FILLER_14_97
*1070 FILLER_15_105
*1071 FILLER_15_111
*1072 FILLER_15_113
*1073 FILLER_15_125
*1074 FILLER_15_137
*1075 FILLER_15_149
*1076 FILLER_15_15
*1077 FILLER_15_161
*1078 FILLER_15_167
*1079 FILLER_15_169
*1080 FILLER_15_181
*1081 FILLER_15_193
*1082 FILLER_15_205
*1083 FILLER_15_217
*1084 FILLER_15_223
*1085 FILLER_15_225
*1086 FILLER_15_237
*1087 FILLER_15_249
*1088 FILLER_15_261
*1089 FILLER_15_265
*1090 FILLER_15_269
*1091 FILLER_15_27
*1092 FILLER_15_276
*1093 FILLER_15_281
*1094 FILLER_15_285
*1095 FILLER_15_292
*1096 FILLER_15_298
*1097 FILLER_15_3
*1098 FILLER_15_39
*1099 FILLER_15_51
*1100 FILLER_15_55
*1101 FILLER_15_57
*1102 FILLER_15_69
*1103 FILLER_15_8
*1104 FILLER_15_81
*1105 FILLER_15_93
*1106 FILLER_16_109
*1107 FILLER_16_121
*1108 FILLER_16_133
*1109 FILLER_16_139
*1110 FILLER_16_141
*1111 FILLER_16_15
*1112 FILLER_16_153
*1113 FILLER_16_165
*1114 FILLER_16_177
*1115 FILLER_16_189
*1116 FILLER_16_195
*1117 FILLER_16_197
*1118 FILLER_16_209
*1119 FILLER_16_22
*1120 FILLER_16_221
*1121 FILLER_16_233
*1122 FILLER_16_245
*1123 FILLER_16_251
*1124 FILLER_16_253
*1125 FILLER_16_265
*1126 FILLER_16_273
*1127 FILLER_16_29
*1128 FILLER_16_295
*1129 FILLER_16_3
*1130 FILLER_16_41
*1131 FILLER_16_53
*1132 FILLER_16_65
*1133 FILLER_16_77
*1134 FILLER_16_8
*1135 FILLER_16_83
*1136 FILLER_16_85
*1137 FILLER_16_97
*1138 FILLER_17_105
*1139 FILLER_17_111
*1140 FILLER_17_113
*1141 FILLER_17_125
*1142 FILLER_17_137
*1143 FILLER_17_14
*1144 FILLER_17_149
*1145 FILLER_17_161
*1146 FILLER_17_167
*1147 FILLER_17_169
*1148 FILLER_17_181
*1149 FILLER_17_193
*1150 FILLER_17_205
*1151 FILLER_17_217
*1152 FILLER_17_223
*1153 FILLER_17_225
*1154 FILLER_17_237
*1155 FILLER_17_249
*1156 FILLER_17_261
*1157 FILLER_17_265
*1158 FILLER_17_269
*1159 FILLER_17_276
*1160 FILLER_17_281
*1161 FILLER_17_285
*1162 FILLER_17_292
*1163 FILLER_17_298
*1164 FILLER_17_3
*1165 FILLER_17_36
*1166 FILLER_17_48
*1167 FILLER_17_57
*1168 FILLER_17_69
*1169 FILLER_17_8
*1170 FILLER_17_81
*1171 FILLER_17_93
*1172 FILLER_18_109
*1173 FILLER_18_121
*1174 FILLER_18_133
*1175 FILLER_18_139
*1176 FILLER_18_141
*1177 FILLER_18_153
*1178 FILLER_18_165
*1179 FILLER_18_177
*1180 FILLER_18_189
*1181 FILLER_18_195
*1182 FILLER_18_197
*1183 FILLER_18_209
*1184 FILLER_18_221
*1185 FILLER_18_233
*1186 FILLER_18_24
*1187 FILLER_18_245
*1188 FILLER_18_251
*1189 FILLER_18_253
*1190 FILLER_18_265
*1191 FILLER_18_270
*1192 FILLER_18_295
*1193 FILLER_18_32
*1194 FILLER_18_44
*1195 FILLER_18_56
*1196 FILLER_18_68
*1197 FILLER_18_80
*1198 FILLER_18_85
*1199 FILLER_18_97
*1200 FILLER_19_105
*1201 FILLER_19_111
*1202 FILLER_19_113
*1203 FILLER_19_125
*1204 FILLER_19_137
*1205 FILLER_19_14
*1206 FILLER_19_149
*1207 FILLER_19_161
*1208 FILLER_19_167
*1209 FILLER_19_169
*1210 FILLER_19_181
*1211 FILLER_19_193
*1212 FILLER_19_205
*1213 FILLER_19_21
*1214 FILLER_19_217
*1215 FILLER_19_223
*1216 FILLER_19_225
*1217 FILLER_19_237
*1218 FILLER_19_259
*1219 FILLER_19_271
*1220 FILLER_19_276
*1221 FILLER_19_281
*1222 FILLER_19_288
*1223 FILLER_19_295
*1224 FILLER_19_3
*1225 FILLER_19_33
*1226 FILLER_19_45
*1227 FILLER_19_53
*1228 FILLER_19_57
*1229 FILLER_19_69
*1230 FILLER_19_81
*1231 FILLER_19_93
*1232 FILLER_1_101
*1233 FILLER_1_108
*1234 FILLER_1_113
*1235 FILLER_1_117
*1236 FILLER_1_139
*1237 FILLER_1_164
*1238 FILLER_1_172
*1239 FILLER_1_180
*1240 FILLER_1_185
*1241 FILLER_1_210
*1242 FILLER_1_216
*1243 FILLER_1_220
*1244 FILLER_1_225
*1245 FILLER_1_229
*1246 FILLER_1_251
*1247 FILLER_1_27
*1248 FILLER_1_276
*1249 FILLER_1_284
*1250 FILLER_1_291
*1251 FILLER_1_3
*1252 FILLER_1_52
*1253 FILLER_1_57
*1254 FILLER_1_84
*1255 FILLER_1_90
*1256 FILLER_1_94
*1257 FILLER_20_109
*1258 FILLER_20_121
*1259 FILLER_20_133
*1260 FILLER_20_139
*1261 FILLER_20_141
*1262 FILLER_20_153
*1263 FILLER_20_165
*1264 FILLER_20_177
*1265 FILLER_20_189
*1266 FILLER_20_195
*1267 FILLER_20_197
*1268 FILLER_20_209
*1269 FILLER_20_233
*1270 FILLER_20_24
*1271 FILLER_20_245
*1272 FILLER_20_251
*1273 FILLER_20_253
*1274 FILLER_20_265
*1275 FILLER_20_273
*1276 FILLER_20_29
*1277 FILLER_20_295
*1278 FILLER_20_41
*1279 FILLER_20_53
*1280 FILLER_20_65
*1281 FILLER_20_77
*1282 FILLER_20_83
*1283 FILLER_20_85
*1284 FILLER_20_97
*1285 FILLER_21_105
*1286 FILLER_21_111
*1287 FILLER_21_113
*1288 FILLER_21_125
*1289 FILLER_21_137
*1290 FILLER_21_14
*1291 FILLER_21_149
*1292 FILLER_21_161
*1293 FILLER_21_167
*1294 FILLER_21_169
*1295 FILLER_21_18
*1296 FILLER_21_181
*1297 FILLER_21_193
*1298 FILLER_21_201
*1299 FILLER_21_205
*1300 FILLER_21_212
*1301 FILLER_21_219
*1302 FILLER_21_223
*1303 FILLER_21_228
*1304 FILLER_21_234
*1305 FILLER_21_238
*1306 FILLER_21_245
*1307 FILLER_21_257
*1308 FILLER_21_269
*1309 FILLER_21_276
*1310 FILLER_21_281
*1311 FILLER_21_285
*1312 FILLER_21_289
*1313 FILLER_21_297
*1314 FILLER_21_3
*1315 FILLER_21_30
*1316 FILLER_21_42
*1317 FILLER_21_54
*1318 FILLER_21_57
*1319 FILLER_21_69
*1320 FILLER_21_8
*1321 FILLER_21_81
*1322 FILLER_21_93
*1323 FILLER_22_109
*1324 FILLER_22_121
*1325 FILLER_22_133
*1326 FILLER_22_139
*1327 FILLER_22_141
*1328 FILLER_22_169
*1329 FILLER_22_181
*1330 FILLER_22_193
*1331 FILLER_22_197
*1332 FILLER_22_206
*1333 FILLER_22_231
*1334 FILLER_22_24
*1335 FILLER_22_243
*1336 FILLER_22_251
*1337 FILLER_22_256
*1338 FILLER_22_263
*1339 FILLER_22_270
*1340 FILLER_22_295
*1341 FILLER_22_32
*1342 FILLER_22_44
*1343 FILLER_22_56
*1344 FILLER_22_68
*1345 FILLER_22_80
*1346 FILLER_22_85
*1347 FILLER_22_97
*1348 FILLER_23_105
*1349 FILLER_23_111
*1350 FILLER_23_113
*1351 FILLER_23_119
*1352 FILLER_23_12
*1353 FILLER_23_129
*1354 FILLER_23_149
*1355 FILLER_23_16
*1356 FILLER_23_164
*1357 FILLER_23_178
*1358 FILLER_23_190
*1359 FILLER_23_198
*1360 FILLER_23_204
*1361 FILLER_23_211
*1362 FILLER_23_220
*1363 FILLER_23_225
*1364 FILLER_23_229
*1365 FILLER_23_23
*1366 FILLER_23_238
*1367 FILLER_23_247
*1368 FILLER_23_276
*1369 FILLER_23_284
*1370 FILLER_23_288
*1371 FILLER_23_292
*1372 FILLER_23_298
*1373 FILLER_23_3
*1374 FILLER_23_35
*1375 FILLER_23_47
*1376 FILLER_23_55
*1377 FILLER_23_57
*1378 FILLER_23_69
*1379 FILLER_23_8
*1380 FILLER_23_81
*1381 FILLER_23_93
*1382 FILLER_24_109
*1383 FILLER_24_121
*1384 FILLER_24_127
*1385 FILLER_24_131
*1386 FILLER_24_139
*1387 FILLER_24_141
*1388 FILLER_24_150
*1389 FILLER_24_158
*1390 FILLER_24_175
*1391 FILLER_24_187
*1392 FILLER_24_195
*1393 FILLER_24_197
*1394 FILLER_24_206
*1395 FILLER_24_231
*1396 FILLER_24_235
*1397 FILLER_24_24
*1398 FILLER_24_246
*1399 FILLER_24_258
*1400 FILLER_24_265
*1401 FILLER_24_273
*1402 FILLER_24_29
*1403 FILLER_24_295
*1404 FILLER_24_41
*1405 FILLER_24_53
*1406 FILLER_24_65
*1407 FILLER_24_77
*1408 FILLER_24_83
*1409 FILLER_24_85
*1410 FILLER_24_97
*1411 FILLER_25_105
*1412 FILLER_25_111
*1413 FILLER_25_113
*1414 FILLER_25_125
*1415 FILLER_25_130
*1416 FILLER_25_137
*1417 FILLER_25_15
*1418 FILLER_25_157
*1419 FILLER_25_164
*1420 FILLER_25_172
*1421 FILLER_25_184
*1422 FILLER_25_190
*1423 FILLER_25_194
*1424 FILLER_25_201
*1425 FILLER_25_208
*1426 FILLER_25_22
*1427 FILLER_25_220
*1428 FILLER_25_233
*1429 FILLER_25_247
*1430 FILLER_25_258
*1431 FILLER_25_265
*1432 FILLER_25_272
*1433 FILLER_25_281
*1434 FILLER_25_287
*1435 FILLER_25_294
*1436 FILLER_25_298
*1437 FILLER_25_3
*1438 FILLER_25_34
*1439 FILLER_25_46
*1440 FILLER_25_54
*1441 FILLER_25_57
*1442 FILLER_25_69
*1443 FILLER_25_8
*1444 FILLER_25_81
*1445 FILLER_25_93
*1446 FILLER_26_109
*1447 FILLER_26_11
*1448 FILLER_26_117
*1449 FILLER_26_136
*1450 FILLER_26_146
*1451 FILLER_26_152
*1452 FILLER_26_156
*1453 FILLER_26_173
*1454 FILLER_26_18
*1455 FILLER_26_180
*1456 FILLER_26_187
*1457 FILLER_26_195
*1458 FILLER_26_201
*1459 FILLER_26_208
*1460 FILLER_26_214
*1461 FILLER_26_220
*1462 FILLER_26_231
*1463 FILLER_26_243
*1464 FILLER_26_251
*1465 FILLER_26_258
*1466 FILLER_26_26
*1467 FILLER_26_265
*1468 FILLER_26_273
*1469 FILLER_26_29
*1470 FILLER_26_295
*1471 FILLER_26_3
*1472 FILLER_26_41
*1473 FILLER_26_53
*1474 FILLER_26_65
*1475 FILLER_26_7
*1476 FILLER_26_77
*1477 FILLER_26_83
*1478 FILLER_26_85
*1479 FILLER_26_97
*1480 FILLER_27_105
*1481 FILLER_27_111
*1482 FILLER_27_113
*1483 FILLER_27_118
*1484 FILLER_27_125
*1485 FILLER_27_132
*1486 FILLER_27_144
*1487 FILLER_27_156
*1488 FILLER_27_160
*1489 FILLER_27_164
*1490 FILLER_27_185
*1491 FILLER_27_193
*1492 FILLER_27_199
*1493 FILLER_27_219
*1494 FILLER_27_223
*1495 FILLER_27_225
*1496 FILLER_27_239
*1497 FILLER_27_248
*1498 FILLER_27_26
*1499 FILLER_27_262
*1500 FILLER_27_270
*1501 FILLER_27_276
*1502 FILLER_27_284
*1503 FILLER_27_293
*1504 FILLER_27_3
*1505 FILLER_27_38
*1506 FILLER_27_50
*1507 FILLER_27_57
*1508 FILLER_27_69
*1509 FILLER_27_81
*1510 FILLER_27_93
*1511 FILLER_28_105
*1512 FILLER_28_110
*1513 FILLER_28_130
*1514 FILLER_28_138
*1515 FILLER_28_141
*1516 FILLER_28_145
*1517 FILLER_28_15
*1518 FILLER_28_154
*1519 FILLER_28_165
*1520 FILLER_28_175
*1521 FILLER_28_183
*1522 FILLER_28_191
*1523 FILLER_28_195
*1524 FILLER_28_197
*1525 FILLER_28_209
*1526 FILLER_28_218
*1527 FILLER_28_225
*1528 FILLER_28_238
*1529 FILLER_28_245
*1530 FILLER_28_251
*1531 FILLER_28_256
*1532 FILLER_28_268
*1533 FILLER_28_27
*1534 FILLER_28_29
*1535 FILLER_28_295
*1536 FILLER_28_3
*1537 FILLER_28_41
*1538 FILLER_28_53
*1539 FILLER_28_65
*1540 FILLER_28_77
*1541 FILLER_28_8
*1542 FILLER_28_83
*1543 FILLER_28_85
*1544 FILLER_28_97
*1545 FILLER_29_101
*1546 FILLER_29_108
*1547 FILLER_29_113
*1548 FILLER_29_124
*1549 FILLER_29_136
*1550 FILLER_29_143
*1551 FILLER_29_147
*1552 FILLER_29_155
*1553 FILLER_29_164
*1554 FILLER_29_175
*1555 FILLER_29_181
*1556 FILLER_29_198
*1557 FILLER_29_210
*1558 FILLER_29_220
*1559 FILLER_29_225
*1560 FILLER_29_24
*1561 FILLER_29_247
*1562 FILLER_29_259
*1563 FILLER_29_265
*1564 FILLER_29_269
*1565 FILLER_29_276
*1566 FILLER_29_281
*1567 FILLER_29_285
*1568 FILLER_29_289
*1569 FILLER_29_297
*1570 FILLER_29_36
*1571 FILLER_29_48
*1572 FILLER_29_57
*1573 FILLER_29_69
*1574 FILLER_29_81
*1575 FILLER_29_93
*1576 FILLER_29_97
*1577 FILLER_2_102
*1578 FILLER_2_127
*1579 FILLER_2_136
*1580 FILLER_2_144
*1581 FILLER_2_15
*1582 FILLER_2_151
*1583 FILLER_2_159
*1584 FILLER_2_182
*1585 FILLER_2_188
*1586 FILLER_2_192
*1587 FILLER_2_197
*1588 FILLER_2_209
*1589 FILLER_2_216
*1590 FILLER_2_22
*1591 FILLER_2_223
*1592 FILLER_2_248
*1593 FILLER_2_256
*1594 FILLER_2_263
*1595 FILLER_2_270
*1596 FILLER_2_295
*1597 FILLER_2_3
*1598 FILLER_2_50
*1599 FILLER_2_75
*1600 FILLER_2_8
*1601 FILLER_2_83
*1602 FILLER_2_88
*1603 FILLER_2_95
*1604 FILLER_30_101
*1605 FILLER_30_108
*1606 FILLER_30_128
*1607 FILLER_30_136
*1608 FILLER_30_141
*1609 FILLER_30_152
*1610 FILLER_30_163
*1611 FILLER_30_183
*1612 FILLER_30_192
*1613 FILLER_30_20
*1614 FILLER_30_200
*1615 FILLER_30_220
*1616 FILLER_30_240
*1617 FILLER_30_253
*1618 FILLER_30_265
*1619 FILLER_30_273
*1620 FILLER_30_29
*1621 FILLER_30_295
*1622 FILLER_30_3
*1623 FILLER_30_41
*1624 FILLER_30_53
*1625 FILLER_30_65
*1626 FILLER_30_77
*1627 FILLER_30_8
*1628 FILLER_30_83
*1629 FILLER_30_85
*1630 FILLER_30_97
*1631 FILLER_31_101
*1632 FILLER_31_108
*1633 FILLER_31_113
*1634 FILLER_31_122
*1635 FILLER_31_134
*1636 FILLER_31_143
*1637 FILLER_31_15
*1638 FILLER_31_159
*1639 FILLER_31_167
*1640 FILLER_31_174
*1641 FILLER_31_181
*1642 FILLER_31_194
*1643 FILLER_31_207
*1644 FILLER_31_220
*1645 FILLER_31_241
*1646 FILLER_31_254
*1647 FILLER_31_266
*1648 FILLER_31_27
*1649 FILLER_31_272
*1650 FILLER_31_276
*1651 FILLER_31_281
*1652 FILLER_31_288
*1653 FILLER_31_295
*1654 FILLER_31_3
*1655 FILLER_31_39
*1656 FILLER_31_51
*1657 FILLER_31_55
*1658 FILLER_31_57
*1659 FILLER_31_69
*1660 FILLER_31_8
*1661 FILLER_31_81
*1662 FILLER_31_93
*1663 FILLER_31_97
*1664 FILLER_32_10
*1665 FILLER_32_100
*1666 FILLER_32_120
*1667 FILLER_32_128
*1668 FILLER_32_136
*1669 FILLER_32_148
*1670 FILLER_32_156
*1671 FILLER_32_164
*1672 FILLER_32_17
*1673 FILLER_32_175
*1674 FILLER_32_183
*1675 FILLER_32_192
*1676 FILLER_32_213
*1677 FILLER_32_221
*1678 FILLER_32_234
*1679 FILLER_32_247
*1680 FILLER_32_25
*1681 FILLER_32_251
*1682 FILLER_32_262
*1683 FILLER_32_277
*1684 FILLER_32_284
*1685 FILLER_32_29
*1686 FILLER_32_291
*1687 FILLER_32_3
*1688 FILLER_32_41
*1689 FILLER_32_53
*1690 FILLER_32_65
*1691 FILLER_32_77
*1692 FILLER_32_83
*1693 FILLER_32_85
*1694 FILLER_32_91
*1695 FILLER_33_108
*1696 FILLER_33_113
*1697 FILLER_33_117
*1698 FILLER_33_123
*1699 FILLER_33_134
*1700 FILLER_33_147
*1701 FILLER_33_157
*1702 FILLER_33_164
*1703 FILLER_33_169
*1704 FILLER_33_176
*1705 FILLER_33_182
*1706 FILLER_33_192
*1707 FILLER_33_200
*1708 FILLER_33_218
*1709 FILLER_33_229
*1710 FILLER_33_249
*1711 FILLER_33_25
*1712 FILLER_33_257
*1713 FILLER_33_264
*1714 FILLER_33_271
*1715 FILLER_33_279
*1716 FILLER_33_281
*1717 FILLER_33_295
*1718 FILLER_33_3
*1719 FILLER_33_37
*1720 FILLER_33_49
*1721 FILLER_33_55
*1722 FILLER_33_57
*1723 FILLER_33_69
*1724 FILLER_33_81
*1725 FILLER_33_96
*1726 FILLER_34_119
*1727 FILLER_34_131
*1728 FILLER_34_139
*1729 FILLER_34_141
*1730 FILLER_34_161
*1731 FILLER_34_188
*1732 FILLER_34_197
*1733 FILLER_34_209
*1734 FILLER_34_229
*1735 FILLER_34_24
*1736 FILLER_34_242
*1737 FILLER_34_250
*1738 FILLER_34_262
*1739 FILLER_34_269
*1740 FILLER_34_273
*1741 FILLER_34_295
*1742 FILLER_34_32
*1743 FILLER_34_44
*1744 FILLER_34_56
*1745 FILLER_34_68
*1746 FILLER_34_80
*1747 FILLER_34_85
*1748 FILLER_34_93
*1749 FILLER_34_99
*1750 FILLER_35_101
*1751 FILLER_35_108
*1752 FILLER_35_129
*1753 FILLER_35_14
*1754 FILLER_35_140
*1755 FILLER_35_146
*1756 FILLER_35_155
*1757 FILLER_35_164
*1758 FILLER_35_178
*1759 FILLER_35_18
*1760 FILLER_35_186
*1761 FILLER_35_194
*1762 FILLER_35_200
*1763 FILLER_35_208
*1764 FILLER_35_219
*1765 FILLER_35_223
*1766 FILLER_35_225
*1767 FILLER_35_247
*1768 FILLER_35_268
*1769 FILLER_35_275
*1770 FILLER_35_279
*1771 FILLER_35_281
*1772 FILLER_35_285
*1773 FILLER_35_289
*1774 FILLER_35_297
*1775 FILLER_35_3
*1776 FILLER_35_30
*1777 FILLER_35_42
*1778 FILLER_35_54
*1779 FILLER_35_57
*1780 FILLER_35_69
*1781 FILLER_35_8
*1782 FILLER_35_81
*1783 FILLER_35_93
*1784 FILLER_35_97
*1785 FILLER_36_106
*1786 FILLER_36_113
*1787 FILLER_36_121
*1788 FILLER_36_132
*1789 FILLER_36_141
*1790 FILLER_36_15
*1791 FILLER_36_152
*1792 FILLER_36_156
*1793 FILLER_36_173
*1794 FILLER_36_182
*1795 FILLER_36_186
*1796 FILLER_36_192
*1797 FILLER_36_197
*1798 FILLER_36_220
*1799 FILLER_36_234
*1800 FILLER_36_247
*1801 FILLER_36_251
*1802 FILLER_36_256
*1803 FILLER_36_263
*1804 FILLER_36_27
*1805 FILLER_36_288
*1806 FILLER_36_29
*1807 FILLER_36_295
*1808 FILLER_36_3
*1809 FILLER_36_41
*1810 FILLER_36_53
*1811 FILLER_36_65
*1812 FILLER_36_77
*1813 FILLER_36_83
*1814 FILLER_36_85
*1815 FILLER_36_92
*1816 FILLER_36_99
*1817 FILLER_37_108
*1818 FILLER_37_113
*1819 FILLER_37_131
*1820 FILLER_37_145
*1821 FILLER_37_15
*1822 FILLER_37_156
*1823 FILLER_37_164
*1824 FILLER_37_169
*1825 FILLER_37_191
*1826 FILLER_37_215
*1827 FILLER_37_223
*1828 FILLER_37_225
*1829 FILLER_37_247
*1830 FILLER_37_255
*1831 FILLER_37_262
*1832 FILLER_37_269
*1833 FILLER_37_27
*1834 FILLER_37_276
*1835 FILLER_37_284
*1836 FILLER_37_291
*1837 FILLER_37_3
*1838 FILLER_37_39
*1839 FILLER_37_51
*1840 FILLER_37_55
*1841 FILLER_37_57
*1842 FILLER_37_69
*1843 FILLER_37_81
*1844 FILLER_37_96
*1845 FILLER_38_119
*1846 FILLER_38_133
*1847 FILLER_38_139
*1848 FILLER_38_141
*1849 FILLER_38_15
*1850 FILLER_38_153
*1851 FILLER_38_173
*1852 FILLER_38_184
*1853 FILLER_38_192
*1854 FILLER_38_197
*1855 FILLER_38_215
*1856 FILLER_38_235
*1857 FILLER_38_248
*1858 FILLER_38_27
*1859 FILLER_38_274
*1860 FILLER_38_281
*1861 FILLER_38_287
*1862 FILLER_38_29
*1863 FILLER_38_291
*1864 FILLER_38_3
*1865 FILLER_38_41
*1866 FILLER_38_53
*1867 FILLER_38_65
*1868 FILLER_38_77
*1869 FILLER_38_83
*1870 FILLER_38_85
*1871 FILLER_38_92
*1872 FILLER_38_99
*1873 FILLER_39_100
*1874 FILLER_39_108
*1875 FILLER_39_113
*1876 FILLER_39_121
*1877 FILLER_39_129
*1878 FILLER_39_146
*1879 FILLER_39_15
*1880 FILLER_39_158
*1881 FILLER_39_166
*1882 FILLER_39_177
*1883 FILLER_39_185
*1884 FILLER_39_196
*1885 FILLER_39_206
*1886 FILLER_39_210
*1887 FILLER_39_220
*1888 FILLER_39_229
*1889 FILLER_39_249
*1890 FILLER_39_27
*1891 FILLER_39_276
*1892 FILLER_39_284
*1893 FILLER_39_293
*1894 FILLER_39_3
*1895 FILLER_39_39
*1896 FILLER_39_51
*1897 FILLER_39_55
*1898 FILLER_39_57
*1899 FILLER_39_69
*1900 FILLER_39_81
*1901 FILLER_39_86
*1902 FILLER_39_93
*1903 FILLER_3_100
*1904 FILLER_3_107
*1905 FILLER_3_111
*1906 FILLER_3_116
*1907 FILLER_3_123
*1908 FILLER_3_148
*1909 FILLER_3_155
*1910 FILLER_3_162
*1911 FILLER_3_172
*1912 FILLER_3_184
*1913 FILLER_3_196
*1914 FILLER_3_208
*1915 FILLER_3_220
*1916 FILLER_3_225
*1917 FILLER_3_230
*1918 FILLER_3_237
*1919 FILLER_3_244
*1920 FILLER_3_25
*1921 FILLER_3_251
*1922 FILLER_3_276
*1923 FILLER_3_281
*1924 FILLER_3_285
*1925 FILLER_3_292
*1926 FILLER_3_298
*1927 FILLER_3_3
*1928 FILLER_3_36
*1929 FILLER_3_43
*1930 FILLER_3_50
*1931 FILLER_3_78
*1932 FILLER_3_85
*1933 FILLER_3_92
*1934 FILLER_3_96
*1935 FILLER_40_101
*1936 FILLER_40_121
*1937 FILLER_40_128
*1938 FILLER_40_136
*1939 FILLER_40_141
*1940 FILLER_40_145
*1941 FILLER_40_15
*1942 FILLER_40_154
*1943 FILLER_40_164
*1944 FILLER_40_180
*1945 FILLER_40_189
*1946 FILLER_40_195
*1947 FILLER_40_197
*1948 FILLER_40_209
*1949 FILLER_40_213
*1950 FILLER_40_223
*1951 FILLER_40_236
*1952 FILLER_40_243
*1953 FILLER_40_251
*1954 FILLER_40_256
*1955 FILLER_40_263
*1956 FILLER_40_27
*1957 FILLER_40_270
*1958 FILLER_40_29
*1959 FILLER_40_295
*1960 FILLER_40_3
*1961 FILLER_40_41
*1962 FILLER_40_53
*1963 FILLER_40_65
*1964 FILLER_40_77
*1965 FILLER_40_83
*1966 FILLER_40_85
*1967 FILLER_41_105
*1968 FILLER_41_111
*1969 FILLER_41_113
*1970 FILLER_41_128
*1971 FILLER_41_136
*1972 FILLER_41_143
*1973 FILLER_41_15
*1974 FILLER_41_154
*1975 FILLER_41_158
*1976 FILLER_41_164
*1977 FILLER_41_169
*1978 FILLER_41_177
*1979 FILLER_41_190
*1980 FILLER_41_198
*1981 FILLER_41_207
*1982 FILLER_41_220
*1983 FILLER_41_241
*1984 FILLER_41_249
*1985 FILLER_41_256
*1986 FILLER_41_263
*1987 FILLER_41_27
*1988 FILLER_41_271
*1989 FILLER_41_276
*1990 FILLER_41_281
*1991 FILLER_41_295
*1992 FILLER_41_3
*1993 FILLER_41_39
*1994 FILLER_41_51
*1995 FILLER_41_55
*1996 FILLER_41_57
*1997 FILLER_41_69
*1998 FILLER_41_81
*1999 FILLER_41_93
*2000 FILLER_42_109
*2001 FILLER_42_116
*2002 FILLER_42_136
*2003 FILLER_42_15
*2004 FILLER_42_157
*2005 FILLER_42_167
*2006 FILLER_42_179
*2007 FILLER_42_192
*2008 FILLER_42_202
*2009 FILLER_42_206
*2010 FILLER_42_216
*2011 FILLER_42_236
*2012 FILLER_42_243
*2013 FILLER_42_251
*2014 FILLER_42_256
*2015 FILLER_42_267
*2016 FILLER_42_27
*2017 FILLER_42_274
*2018 FILLER_42_29
*2019 FILLER_42_294
*2020 FILLER_42_298
*2021 FILLER_42_3
*2022 FILLER_42_41
*2023 FILLER_42_53
*2024 FILLER_42_65
*2025 FILLER_42_77
*2026 FILLER_42_83
*2027 FILLER_42_85
*2028 FILLER_42_97
*2029 FILLER_43_108
*2030 FILLER_43_116
*2031 FILLER_43_136
*2032 FILLER_43_140
*2033 FILLER_43_144
*2034 FILLER_43_15
*2035 FILLER_43_164
*2036 FILLER_43_185
*2037 FILLER_43_198
*2038 FILLER_43_211
*2039 FILLER_43_219
*2040 FILLER_43_223
*2041 FILLER_43_241
*2042 FILLER_43_248
*2043 FILLER_43_255
*2044 FILLER_43_259
*2045 FILLER_43_27
*2046 FILLER_43_276
*2047 FILLER_43_281
*2048 FILLER_43_285
*2049 FILLER_43_294
*2050 FILLER_43_298
*2051 FILLER_43_3
*2052 FILLER_43_39
*2053 FILLER_43_51
*2054 FILLER_43_55
*2055 FILLER_43_57
*2056 FILLER_43_69
*2057 FILLER_43_81
*2058 FILLER_43_93
*2059 FILLER_43_99
*2060 FILLER_44_105
*2061 FILLER_44_123
*2062 FILLER_44_136
*2063 FILLER_44_144
*2064 FILLER_44_156
*2065 FILLER_44_160
*2066 FILLER_44_170
*2067 FILLER_44_18
*2068 FILLER_44_192
*2069 FILLER_44_206
*2070 FILLER_44_216
*2071 FILLER_44_224
*2072 FILLER_44_232
*2073 FILLER_44_239
*2074 FILLER_44_246
*2075 FILLER_44_253
*2076 FILLER_44_26
*2077 FILLER_44_265
*2078 FILLER_44_287
*2079 FILLER_44_29
*2080 FILLER_44_294
*2081 FILLER_44_298
*2082 FILLER_44_41
*2083 FILLER_44_53
*2084 FILLER_44_6
*2085 FILLER_44_65
*2086 FILLER_44_77
*2087 FILLER_44_83
*2088 FILLER_44_85
*2089 FILLER_44_97
*2090 FILLER_45_105
*2091 FILLER_45_111
*2092 FILLER_45_113
*2093 FILLER_45_125
*2094 FILLER_45_143
*2095 FILLER_45_15
*2096 FILLER_45_158
*2097 FILLER_45_166
*2098 FILLER_45_178
*2099 FILLER_45_188
*2100 FILLER_45_196
*2101 FILLER_45_202
*2102 FILLER_45_220
*2103 FILLER_45_228
*2104 FILLER_45_235
*2105 FILLER_45_241
*2106 FILLER_45_245
*2107 FILLER_45_257
*2108 FILLER_45_269
*2109 FILLER_45_27
*2110 FILLER_45_276
*2111 FILLER_45_281
*2112 FILLER_45_291
*2113 FILLER_45_3
*2114 FILLER_45_39
*2115 FILLER_45_51
*2116 FILLER_45_55
*2117 FILLER_45_57
*2118 FILLER_45_69
*2119 FILLER_45_8
*2120 FILLER_45_81
*2121 FILLER_45_93
*2122 FILLER_46_109
*2123 FILLER_46_121
*2124 FILLER_46_133
*2125 FILLER_46_139
*2126 FILLER_46_141
*2127 FILLER_46_158
*2128 FILLER_46_171
*2129 FILLER_46_186
*2130 FILLER_46_194
*2131 FILLER_46_197
*2132 FILLER_46_207
*2133 FILLER_46_215
*2134 FILLER_46_222
*2135 FILLER_46_229
*2136 FILLER_46_24
*2137 FILLER_46_241
*2138 FILLER_46_249
*2139 FILLER_46_253
*2140 FILLER_46_265
*2141 FILLER_46_273
*2142 FILLER_46_29
*2143 FILLER_46_295
*2144 FILLER_46_41
*2145 FILLER_46_53
*2146 FILLER_46_65
*2147 FILLER_46_77
*2148 FILLER_46_83
*2149 FILLER_46_85
*2150 FILLER_46_97
*2151 FILLER_47_105
*2152 FILLER_47_111
*2153 FILLER_47_113
*2154 FILLER_47_125
*2155 FILLER_47_137
*2156 FILLER_47_145
*2157 FILLER_47_156
*2158 FILLER_47_164
*2159 FILLER_47_185
*2160 FILLER_47_192
*2161 FILLER_47_20
*2162 FILLER_47_205
*2163 FILLER_47_217
*2164 FILLER_47_223
*2165 FILLER_47_228
*2166 FILLER_47_240
*2167 FILLER_47_252
*2168 FILLER_47_264
*2169 FILLER_47_276
*2170 FILLER_47_281
*2171 FILLER_47_289
*2172 FILLER_47_293
*2173 FILLER_47_3
*2174 FILLER_47_32
*2175 FILLER_47_44
*2176 FILLER_47_57
*2177 FILLER_47_69
*2178 FILLER_47_8
*2179 FILLER_47_81
*2180 FILLER_47_93
*2181 FILLER_48_109
*2182 FILLER_48_121
*2183 FILLER_48_133
*2184 FILLER_48_139
*2185 FILLER_48_141
*2186 FILLER_48_149
*2187 FILLER_48_15
*2188 FILLER_48_161
*2189 FILLER_48_165
*2190 FILLER_48_174
*2191 FILLER_48_187
*2192 FILLER_48_195
*2193 FILLER_48_197
*2194 FILLER_48_219
*2195 FILLER_48_231
*2196 FILLER_48_243
*2197 FILLER_48_251
*2198 FILLER_48_253
*2199 FILLER_48_265
*2200 FILLER_48_27
*2201 FILLER_48_277
*2202 FILLER_48_289
*2203 FILLER_48_29
*2204 FILLER_48_295
*2205 FILLER_48_3
*2206 FILLER_48_41
*2207 FILLER_48_53
*2208 FILLER_48_65
*2209 FILLER_48_77
*2210 FILLER_48_83
*2211 FILLER_48_85
*2212 FILLER_48_97
*2213 FILLER_49_105
*2214 FILLER_49_111
*2215 FILLER_49_113
*2216 FILLER_49_125
*2217 FILLER_49_137
*2218 FILLER_49_149
*2219 FILLER_49_15
*2220 FILLER_49_161
*2221 FILLER_49_167
*2222 FILLER_49_172
*2223 FILLER_49_180
*2224 FILLER_49_188
*2225 FILLER_49_197
*2226 FILLER_49_209
*2227 FILLER_49_216
*2228 FILLER_49_225
*2229 FILLER_49_237
*2230 FILLER_49_249
*2231 FILLER_49_261
*2232 FILLER_49_27
*2233 FILLER_49_273
*2234 FILLER_49_279
*2235 FILLER_49_281
*2236 FILLER_49_292
*2237 FILLER_49_298
*2238 FILLER_49_3
*2239 FILLER_49_39
*2240 FILLER_49_51
*2241 FILLER_49_55
*2242 FILLER_49_57
*2243 FILLER_49_69
*2244 FILLER_49_81
*2245 FILLER_49_93
*2246 FILLER_4_108
*2247 FILLER_4_116
*2248 FILLER_4_12
*2249 FILLER_4_130
*2250 FILLER_4_138
*2251 FILLER_4_141
*2252 FILLER_4_153
*2253 FILLER_4_162
*2254 FILLER_4_169
*2255 FILLER_4_181
*2256 FILLER_4_19
*2257 FILLER_4_193
*2258 FILLER_4_197
*2259 FILLER_4_209
*2260 FILLER_4_221
*2261 FILLER_4_229
*2262 FILLER_4_234
*2263 FILLER_4_241
*2264 FILLER_4_248
*2265 FILLER_4_253
*2266 FILLER_4_259
*2267 FILLER_4_27
*2268 FILLER_4_270
*2269 FILLER_4_295
*2270 FILLER_4_3
*2271 FILLER_4_50
*2272 FILLER_4_57
*2273 FILLER_4_64
*2274 FILLER_4_71
*2275 FILLER_4_78
*2276 FILLER_4_85
*2277 FILLER_4_97
*2278 FILLER_50_109
*2279 FILLER_50_121
*2280 FILLER_50_133
*2281 FILLER_50_139
*2282 FILLER_50_141
*2283 FILLER_50_153
*2284 FILLER_50_161
*2285 FILLER_50_166
*2286 FILLER_50_173
*2287 FILLER_50_18
*2288 FILLER_50_186
*2289 FILLER_50_194
*2290 FILLER_50_206
*2291 FILLER_50_216
*2292 FILLER_50_228
*2293 FILLER_50_240
*2294 FILLER_50_253
*2295 FILLER_50_26
*2296 FILLER_50_265
*2297 FILLER_50_273
*2298 FILLER_50_29
*2299 FILLER_50_295
*2300 FILLER_50_41
*2301 FILLER_50_53
*2302 FILLER_50_6
*2303 FILLER_50_65
*2304 FILLER_50_77
*2305 FILLER_50_83
*2306 FILLER_50_85
*2307 FILLER_50_97
*2308 FILLER_51_105
*2309 FILLER_51_111
*2310 FILLER_51_113
*2311 FILLER_51_125
*2312 FILLER_51_137
*2313 FILLER_51_149
*2314 FILLER_51_15
*2315 FILLER_51_164
*2316 FILLER_51_185
*2317 FILLER_51_197
*2318 FILLER_51_217
*2319 FILLER_51_223
*2320 FILLER_51_228
*2321 FILLER_51_240
*2322 FILLER_51_252
*2323 FILLER_51_264
*2324 FILLER_51_27
*2325 FILLER_51_272
*2326 FILLER_51_276
*2327 FILLER_51_281
*2328 FILLER_51_285
*2329 FILLER_51_289
*2330 FILLER_51_297
*2331 FILLER_51_3
*2332 FILLER_51_39
*2333 FILLER_51_51
*2334 FILLER_51_55
*2335 FILLER_51_57
*2336 FILLER_51_69
*2337 FILLER_51_81
*2338 FILLER_51_93
*2339 FILLER_52_109
*2340 FILLER_52_121
*2341 FILLER_52_133
*2342 FILLER_52_139
*2343 FILLER_52_141
*2344 FILLER_52_15
*2345 FILLER_52_153
*2346 FILLER_52_165
*2347 FILLER_52_171
*2348 FILLER_52_176
*2349 FILLER_52_189
*2350 FILLER_52_195
*2351 FILLER_52_206
*2352 FILLER_52_213
*2353 FILLER_52_225
*2354 FILLER_52_237
*2355 FILLER_52_249
*2356 FILLER_52_253
*2357 FILLER_52_265
*2358 FILLER_52_27
*2359 FILLER_52_273
*2360 FILLER_52_29
*2361 FILLER_52_295
*2362 FILLER_52_3
*2363 FILLER_52_41
*2364 FILLER_52_53
*2365 FILLER_52_65
*2366 FILLER_52_77
*2367 FILLER_52_83
*2368 FILLER_52_85
*2369 FILLER_52_97
*2370 FILLER_53_105
*2371 FILLER_53_111
*2372 FILLER_53_113
*2373 FILLER_53_125
*2374 FILLER_53_137
*2375 FILLER_53_149
*2376 FILLER_53_15
*2377 FILLER_53_161
*2378 FILLER_53_167
*2379 FILLER_53_169
*2380 FILLER_53_181
*2381 FILLER_53_189
*2382 FILLER_53_198
*2383 FILLER_53_205
*2384 FILLER_53_212
*2385 FILLER_53_225
*2386 FILLER_53_237
*2387 FILLER_53_249
*2388 FILLER_53_261
*2389 FILLER_53_27
*2390 FILLER_53_276
*2391 FILLER_53_281
*2392 FILLER_53_288
*2393 FILLER_53_295
*2394 FILLER_53_3
*2395 FILLER_53_39
*2396 FILLER_53_51
*2397 FILLER_53_55
*2398 FILLER_53_57
*2399 FILLER_53_69
*2400 FILLER_53_81
*2401 FILLER_53_93
*2402 FILLER_54_109
*2403 FILLER_54_11
*2404 FILLER_54_127
*2405 FILLER_54_139
*2406 FILLER_54_141
*2407 FILLER_54_153
*2408 FILLER_54_165
*2409 FILLER_54_177
*2410 FILLER_54_183
*2411 FILLER_54_187
*2412 FILLER_54_195
*2413 FILLER_54_200
*2414 FILLER_54_212
*2415 FILLER_54_224
*2416 FILLER_54_23
*2417 FILLER_54_236
*2418 FILLER_54_248
*2419 FILLER_54_253
*2420 FILLER_54_265
*2421 FILLER_54_27
*2422 FILLER_54_270
*2423 FILLER_54_29
*2424 FILLER_54_295
*2425 FILLER_54_3
*2426 FILLER_54_41
*2427 FILLER_54_53
*2428 FILLER_54_65
*2429 FILLER_54_77
*2430 FILLER_54_83
*2431 FILLER_54_85
*2432 FILLER_54_97
*2433 FILLER_55_105
*2434 FILLER_55_111
*2435 FILLER_55_113
*2436 FILLER_55_119
*2437 FILLER_55_130
*2438 FILLER_55_142
*2439 FILLER_55_15
*2440 FILLER_55_154
*2441 FILLER_55_166
*2442 FILLER_55_169
*2443 FILLER_55_181
*2444 FILLER_55_193
*2445 FILLER_55_205
*2446 FILLER_55_217
*2447 FILLER_55_223
*2448 FILLER_55_225
*2449 FILLER_55_237
*2450 FILLER_55_249
*2451 FILLER_55_261
*2452 FILLER_55_265
*2453 FILLER_55_269
*2454 FILLER_55_27
*2455 FILLER_55_276
*2456 FILLER_55_281
*2457 FILLER_55_286
*2458 FILLER_55_293
*2459 FILLER_55_3
*2460 FILLER_55_39
*2461 FILLER_55_51
*2462 FILLER_55_55
*2463 FILLER_55_57
*2464 FILLER_55_69
*2465 FILLER_55_81
*2466 FILLER_55_93
*2467 FILLER_56_109
*2468 FILLER_56_117
*2469 FILLER_56_132
*2470 FILLER_56_141
*2471 FILLER_56_153
*2472 FILLER_56_16
*2473 FILLER_56_165
*2474 FILLER_56_177
*2475 FILLER_56_189
*2476 FILLER_56_195
*2477 FILLER_56_197
*2478 FILLER_56_209
*2479 FILLER_56_221
*2480 FILLER_56_233
*2481 FILLER_56_245
*2482 FILLER_56_251
*2483 FILLER_56_253
*2484 FILLER_56_260
*2485 FILLER_56_266
*2486 FILLER_56_270
*2487 FILLER_56_29
*2488 FILLER_56_295
*2489 FILLER_56_3
*2490 FILLER_56_41
*2491 FILLER_56_53
*2492 FILLER_56_65
*2493 FILLER_56_77
*2494 FILLER_56_83
*2495 FILLER_56_85
*2496 FILLER_56_9
*2497 FILLER_56_97
*2498 FILLER_57_10
*2499 FILLER_57_105
*2500 FILLER_57_111
*2501 FILLER_57_113
*2502 FILLER_57_130
*2503 FILLER_57_142
*2504 FILLER_57_154
*2505 FILLER_57_166
*2506 FILLER_57_169
*2507 FILLER_57_17
*2508 FILLER_57_181
*2509 FILLER_57_193
*2510 FILLER_57_205
*2511 FILLER_57_217
*2512 FILLER_57_223
*2513 FILLER_57_225
*2514 FILLER_57_237
*2515 FILLER_57_249
*2516 FILLER_57_276
*2517 FILLER_57_287
*2518 FILLER_57_29
*2519 FILLER_57_294
*2520 FILLER_57_298
*2521 FILLER_57_3
*2522 FILLER_57_41
*2523 FILLER_57_53
*2524 FILLER_57_57
*2525 FILLER_57_69
*2526 FILLER_57_81
*2527 FILLER_57_93
*2528 FILLER_58_109
*2529 FILLER_58_127
*2530 FILLER_58_139
*2531 FILLER_58_141
*2532 FILLER_58_153
*2533 FILLER_58_165
*2534 FILLER_58_177
*2535 FILLER_58_189
*2536 FILLER_58_195
*2537 FILLER_58_197
*2538 FILLER_58_209
*2539 FILLER_58_221
*2540 FILLER_58_226
*2541 FILLER_58_233
*2542 FILLER_58_24
*2543 FILLER_58_245
*2544 FILLER_58_251
*2545 FILLER_58_253
*2546 FILLER_58_262
*2547 FILLER_58_266
*2548 FILLER_58_270
*2549 FILLER_58_29
*2550 FILLER_58_295
*2551 FILLER_58_41
*2552 FILLER_58_53
*2553 FILLER_58_65
*2554 FILLER_58_77
*2555 FILLER_58_83
*2556 FILLER_58_85
*2557 FILLER_58_97
*2558 FILLER_59_105
*2559 FILLER_59_111
*2560 FILLER_59_113
*2561 FILLER_59_125
*2562 FILLER_59_137
*2563 FILLER_59_149
*2564 FILLER_59_15
*2565 FILLER_59_161
*2566 FILLER_59_167
*2567 FILLER_59_169
*2568 FILLER_59_181
*2569 FILLER_59_19
*2570 FILLER_59_193
*2571 FILLER_59_205
*2572 FILLER_59_217
*2573 FILLER_59_223
*2574 FILLER_59_246
*2575 FILLER_59_258
*2576 FILLER_59_26
*2577 FILLER_59_262
*2578 FILLER_59_269
*2579 FILLER_59_276
*2580 FILLER_59_284
*2581 FILLER_59_291
*2582 FILLER_59_3
*2583 FILLER_59_38
*2584 FILLER_59_50
*2585 FILLER_59_57
*2586 FILLER_59_69
*2587 FILLER_59_81
*2588 FILLER_59_9
*2589 FILLER_59_93
*2590 FILLER_5_10
*2591 FILLER_5_103
*2592 FILLER_5_111
*2593 FILLER_5_113
*2594 FILLER_5_125
*2595 FILLER_5_129
*2596 FILLER_5_138
*2597 FILLER_5_149
*2598 FILLER_5_156
*2599 FILLER_5_16
*2600 FILLER_5_169
*2601 FILLER_5_181
*2602 FILLER_5_193
*2603 FILLER_5_20
*2604 FILLER_5_205
*2605 FILLER_5_217
*2606 FILLER_5_223
*2607 FILLER_5_225
*2608 FILLER_5_237
*2609 FILLER_5_244
*2610 FILLER_5_251
*2611 FILLER_5_27
*2612 FILLER_5_276
*2613 FILLER_5_281
*2614 FILLER_5_286
*2615 FILLER_5_290
*2616 FILLER_5_295
*2617 FILLER_5_3
*2618 FILLER_5_34
*2619 FILLER_5_38
*2620 FILLER_5_42
*2621 FILLER_5_49
*2622 FILLER_5_55
*2623 FILLER_5_57
*2624 FILLER_5_61
*2625 FILLER_5_65
*2626 FILLER_5_72
*2627 FILLER_5_79
*2628 FILLER_5_91
*2629 FILLER_60_109
*2630 FILLER_60_121
*2631 FILLER_60_133
*2632 FILLER_60_139
*2633 FILLER_60_141
*2634 FILLER_60_153
*2635 FILLER_60_165
*2636 FILLER_60_177
*2637 FILLER_60_189
*2638 FILLER_60_195
*2639 FILLER_60_197
*2640 FILLER_60_209
*2641 FILLER_60_221
*2642 FILLER_60_233
*2643 FILLER_60_24
*2644 FILLER_60_245
*2645 FILLER_60_251
*2646 FILLER_60_253
*2647 FILLER_60_259
*2648 FILLER_60_263
*2649 FILLER_60_270
*2650 FILLER_60_29
*2651 FILLER_60_295
*2652 FILLER_60_41
*2653 FILLER_60_53
*2654 FILLER_60_65
*2655 FILLER_60_77
*2656 FILLER_60_83
*2657 FILLER_60_85
*2658 FILLER_60_97
*2659 FILLER_61_105
*2660 FILLER_61_111
*2661 FILLER_61_113
*2662 FILLER_61_125
*2663 FILLER_61_137
*2664 FILLER_61_149
*2665 FILLER_61_15
*2666 FILLER_61_161
*2667 FILLER_61_167
*2668 FILLER_61_169
*2669 FILLER_61_181
*2670 FILLER_61_193
*2671 FILLER_61_205
*2672 FILLER_61_217
*2673 FILLER_61_22
*2674 FILLER_61_223
*2675 FILLER_61_225
*2676 FILLER_61_233
*2677 FILLER_61_238
*2678 FILLER_61_244
*2679 FILLER_61_248
*2680 FILLER_61_255
*2681 FILLER_61_262
*2682 FILLER_61_269
*2683 FILLER_61_276
*2684 FILLER_61_284
*2685 FILLER_61_29
*2686 FILLER_61_291
*2687 FILLER_61_3
*2688 FILLER_61_36
*2689 FILLER_61_48
*2690 FILLER_61_57
*2691 FILLER_61_69
*2692 FILLER_61_8
*2693 FILLER_61_81
*2694 FILLER_61_93
*2695 FILLER_62_109
*2696 FILLER_62_121
*2697 FILLER_62_133
*2698 FILLER_62_139
*2699 FILLER_62_141
*2700 FILLER_62_153
*2701 FILLER_62_165
*2702 FILLER_62_177
*2703 FILLER_62_189
*2704 FILLER_62_195
*2705 FILLER_62_197
*2706 FILLER_62_209
*2707 FILLER_62_221
*2708 FILLER_62_227
*2709 FILLER_62_238
*2710 FILLER_62_24
*2711 FILLER_62_242
*2712 FILLER_62_246
*2713 FILLER_62_253
*2714 FILLER_62_258
*2715 FILLER_62_266
*2716 FILLER_62_270
*2717 FILLER_62_295
*2718 FILLER_62_32
*2719 FILLER_62_44
*2720 FILLER_62_56
*2721 FILLER_62_68
*2722 FILLER_62_80
*2723 FILLER_62_85
*2724 FILLER_62_97
*2725 FILLER_63_104
*2726 FILLER_63_113
*2727 FILLER_63_121
*2728 FILLER_63_130
*2729 FILLER_63_143
*2730 FILLER_63_155
*2731 FILLER_63_167
*2732 FILLER_63_169
*2733 FILLER_63_181
*2734 FILLER_63_193
*2735 FILLER_63_205
*2736 FILLER_63_217
*2737 FILLER_63_223
*2738 FILLER_63_225
*2739 FILLER_63_234
*2740 FILLER_63_241
*2741 FILLER_63_248
*2742 FILLER_63_254
*2743 FILLER_63_276
*2744 FILLER_63_281
*2745 FILLER_63_286
*2746 FILLER_63_293
*2747 FILLER_63_31
*2748 FILLER_63_38
*2749 FILLER_63_50
*2750 FILLER_63_57
*2751 FILLER_63_6
*2752 FILLER_63_69
*2753 FILLER_63_73
*2754 FILLER_63_80
*2755 FILLER_63_92
*2756 FILLER_64_100
*2757 FILLER_64_11
*2758 FILLER_64_112
*2759 FILLER_64_126
*2760 FILLER_64_136
*2761 FILLER_64_151
*2762 FILLER_64_158
*2763 FILLER_64_170
*2764 FILLER_64_178
*2765 FILLER_64_184
*2766 FILLER_64_191
*2767 FILLER_64_195
*2768 FILLER_64_200
*2769 FILLER_64_207
*2770 FILLER_64_214
*2771 FILLER_64_22
*2772 FILLER_64_239
*2773 FILLER_64_248
*2774 FILLER_64_256
*2775 FILLER_64_263
*2776 FILLER_64_270
*2777 FILLER_64_295
*2778 FILLER_64_3
*2779 FILLER_64_32
*2780 FILLER_64_39
*2781 FILLER_64_46
*2782 FILLER_64_61
*2783 FILLER_64_68
*2784 FILLER_64_7
*2785 FILLER_64_75
*2786 FILLER_64_83
*2787 FILLER_64_88
*2788 FILLER_65_106
*2789 FILLER_65_113
*2790 FILLER_65_125
*2791 FILLER_65_133
*2792 FILLER_65_143
*2793 FILLER_65_150
*2794 FILLER_65_157
*2795 FILLER_65_164
*2796 FILLER_65_169
*2797 FILLER_65_178
*2798 FILLER_65_203
*2799 FILLER_65_210
*2800 FILLER_65_216
*2801 FILLER_65_220
*2802 FILLER_65_225
*2803 FILLER_65_229
*2804 FILLER_65_24
*2805 FILLER_65_251
*2806 FILLER_65_276
*2807 FILLER_65_281
*2808 FILLER_65_285
*2809 FILLER_65_289
*2810 FILLER_65_297
*2811 FILLER_65_35
*2812 FILLER_65_42
*2813 FILLER_65_49
*2814 FILLER_65_55
*2815 FILLER_65_57
*2816 FILLER_65_62
*2817 FILLER_65_69
*2818 FILLER_65_94
*2819 FILLER_66_106
*2820 FILLER_66_118
*2821 FILLER_66_129
*2822 FILLER_66_136
*2823 FILLER_66_141
*2824 FILLER_66_148
*2825 FILLER_66_155
*2826 FILLER_66_180
*2827 FILLER_66_187
*2828 FILLER_66_195
*2829 FILLER_66_197
*2830 FILLER_66_221
*2831 FILLER_66_24
*2832 FILLER_66_246
*2833 FILLER_66_256
*2834 FILLER_66_263
*2835 FILLER_66_270
*2836 FILLER_66_295
*2837 FILLER_66_50
*2838 FILLER_66_58
*2839 FILLER_66_80
*2840 FILLER_67_107
*2841 FILLER_67_111
*2842 FILLER_67_116
*2843 FILLER_67_120
*2844 FILLER_67_13
*2845 FILLER_67_131
*2846 FILLER_67_156
*2847 FILLER_67_163
*2848 FILLER_67_167
*2849 FILLER_67_172
*2850 FILLER_67_179
*2851 FILLER_67_204
*2852 FILLER_67_21
*2853 FILLER_67_211
*2854 FILLER_67_220
*2855 FILLER_67_225
*2856 FILLER_67_229
*2857 FILLER_67_251
*2858 FILLER_67_276
*2859 FILLER_67_281
*2860 FILLER_67_285
*2861 FILLER_67_292
*2862 FILLER_67_298
*2863 FILLER_67_43
*2864 FILLER_67_50
*2865 FILLER_67_57
*2866 FILLER_67_82
*2867 FILLER_68_102
*2868 FILLER_68_110
*2869 FILLER_68_113
*2870 FILLER_68_127
*2871 FILLER_68_136
*2872 FILLER_68_141
*2873 FILLER_68_164
*2874 FILLER_68_190
*2875 FILLER_68_200
*2876 FILLER_68_208
*2877 FILLER_68_212
*2878 FILLER_68_219
*2879 FILLER_68_223
*2880 FILLER_68_225
*2881 FILLER_68_24
*2882 FILLER_68_248
*2883 FILLER_68_253
*2884 FILLER_68_276
*2885 FILLER_68_281
*2886 FILLER_68_289
*2887 FILLER_68_297
*2888 FILLER_68_32
*2889 FILLER_68_39
*2890 FILLER_68_46
*2891 FILLER_68_54
*2892 FILLER_68_57
*2893 FILLER_68_62
*2894 FILLER_68_69
*2895 FILLER_68_80
*2896 FILLER_68_88
*2897 FILLER_68_95
*2898 FILLER_6_109
*2899 FILLER_6_121
*2900 FILLER_6_129
*2901 FILLER_6_134
*2902 FILLER_6_141
*2903 FILLER_6_153
*2904 FILLER_6_165
*2905 FILLER_6_177
*2906 FILLER_6_189
*2907 FILLER_6_195
*2908 FILLER_6_197
*2909 FILLER_6_209
*2910 FILLER_6_221
*2911 FILLER_6_233
*2912 FILLER_6_24
*2913 FILLER_6_245
*2914 FILLER_6_251
*2915 FILLER_6_256
*2916 FILLER_6_263
*2917 FILLER_6_270
*2918 FILLER_6_295
*2919 FILLER_6_50
*2920 FILLER_6_57
*2921 FILLER_6_69
*2922 FILLER_6_81
*2923 FILLER_6_85
*2924 FILLER_6_97
*2925 FILLER_7_105
*2926 FILLER_7_111
*2927 FILLER_7_113
*2928 FILLER_7_125
*2929 FILLER_7_137
*2930 FILLER_7_149
*2931 FILLER_7_15
*2932 FILLER_7_161
*2933 FILLER_7_167
*2934 FILLER_7_169
*2935 FILLER_7_181
*2936 FILLER_7_193
*2937 FILLER_7_205
*2938 FILLER_7_217
*2939 FILLER_7_22
*2940 FILLER_7_223
*2941 FILLER_7_225
*2942 FILLER_7_237
*2943 FILLER_7_249
*2944 FILLER_7_26
*2945 FILLER_7_261
*2946 FILLER_7_265
*2947 FILLER_7_269
*2948 FILLER_7_276
*2949 FILLER_7_281
*2950 FILLER_7_286
*2951 FILLER_7_293
*2952 FILLER_7_3
*2953 FILLER_7_30
*2954 FILLER_7_37
*2955 FILLER_7_44
*2956 FILLER_7_57
*2957 FILLER_7_69
*2958 FILLER_7_8
*2959 FILLER_7_81
*2960 FILLER_7_93
*2961 FILLER_8_109
*2962 FILLER_8_121
*2963 FILLER_8_133
*2964 FILLER_8_139
*2965 FILLER_8_141
*2966 FILLER_8_15
*2967 FILLER_8_153
*2968 FILLER_8_165
*2969 FILLER_8_177
*2970 FILLER_8_189
*2971 FILLER_8_195
*2972 FILLER_8_197
*2973 FILLER_8_209
*2974 FILLER_8_22
*2975 FILLER_8_221
*2976 FILLER_8_233
*2977 FILLER_8_245
*2978 FILLER_8_251
*2979 FILLER_8_253
*2980 FILLER_8_265
*2981 FILLER_8_273
*2982 FILLER_8_295
*2983 FILLER_8_3
*2984 FILLER_8_32
*2985 FILLER_8_39
*2986 FILLER_8_51
*2987 FILLER_8_63
*2988 FILLER_8_75
*2989 FILLER_8_8
*2990 FILLER_8_83
*2991 FILLER_8_85
*2992 FILLER_8_97
*2993 FILLER_9_10
*2994 FILLER_9_105
*2995 FILLER_9_111
*2996 FILLER_9_113
*2997 FILLER_9_125
*2998 FILLER_9_137
*2999 FILLER_9_149
*3000 FILLER_9_161
*3001 FILLER_9_167
*3002 FILLER_9_169
*3003 FILLER_9_17
*3004 FILLER_9_181
*3005 FILLER_9_193
*3006 FILLER_9_205
*3007 FILLER_9_217
*3008 FILLER_9_223
*3009 FILLER_9_225
*3010 FILLER_9_237
*3011 FILLER_9_24
*3012 FILLER_9_249
*3013 FILLER_9_261
*3014 FILLER_9_273
*3015 FILLER_9_279
*3016 FILLER_9_281
*3017 FILLER_9_295
*3018 FILLER_9_3
*3019 FILLER_9_36
*3020 FILLER_9_48
*3021 FILLER_9_57
*3022 FILLER_9_69
*3023 FILLER_9_81
*3024 FILLER_9_93
*3025 PHY_0
*3026 PHY_1
*3027 PHY_10
*3028 PHY_100
*3029 PHY_101
*3030 PHY_102
*3031 PHY_103
*3032 PHY_104
*3033 PHY_105
*3034 PHY_106
*3035 PHY_107
*3036 PHY_108
*3037 PHY_109
*3038 PHY_11
*3039 PHY_110
*3040 PHY_111
*3041 PHY_112
*3042 PHY_113
*3043 PHY_114
*3044 PHY_115
*3045 PHY_116
*3046 PHY_117
*3047 PHY_118
*3048 PHY_119
*3049 PHY_12
*3050 PHY_120
*3051 PHY_121
*3052 PHY_122
*3053 PHY_123
*3054 PHY_124
*3055 PHY_125
*3056 PHY_126
*3057 PHY_127
*3058 PHY_128
*3059 PHY_129
*3060 PHY_13
*3061 PHY_130
*3062 PHY_131
*3063 PHY_132
*3064 PHY_133
*3065 PHY_134
*3066 PHY_135
*3067 PHY_136
*3068 PHY_137
*3069 PHY_14
*3070 PHY_15
*3071 PHY_16
*3072 PHY_17
*3073 PHY_18
*3074 PHY_19
*3075 PHY_2
*3076 PHY_20
*3077 PHY_21
*3078 PHY_22
*3079 PHY_23
*3080 PHY_24
*3081 PHY_25
*3082 PHY_26
*3083 PHY_27
*3084 PHY_28
*3085 PHY_29
*3086 PHY_3
*3087 PHY_30
*3088 PHY_31
*3089 PHY_32
*3090 PHY_33
*3091 PHY_34
*3092 PHY_35
*3093 PHY_36
*3094 PHY_37
*3095 PHY_38
*3096 PHY_39
*3097 PHY_4
*3098 PHY_40
*3099 PHY_41
*3100 PHY_42
*3101 PHY_43
*3102 PHY_44
*3103 PHY_45
*3104 PHY_46
*3105 PHY_47
*3106 PHY_48
*3107 PHY_49
*3108 PHY_5
*3109 PHY_50
*3110 PHY_51
*3111 PHY_52
*3112 PHY_53
*3113 PHY_54
*3114 PHY_55
*3115 PHY_56
*3116 PHY_57
*3117 PHY_58
*3118 PHY_59
*3119 PHY_6
*3120 PHY_60
*3121 PHY_61
*3122 PHY_62
*3123 PHY_63
*3124 PHY_64
*3125 PHY_65
*3126 PHY_66
*3127 PHY_67
*3128 PHY_68
*3129 PHY_69
*3130 PHY_7
*3131 PHY_70
*3132 PHY_71
*3133 PHY_72
*3134 PHY_73
*3135 PHY_74
*3136 PHY_75
*3137 PHY_76
*3138 PHY_77
*3139 PHY_78
*3140 PHY_79
*3141 PHY_8
*3142 PHY_80
*3143 PHY_81
*3144 PHY_82
*3145 PHY_83
*3146 PHY_84
*3147 PHY_85
*3148 PHY_86
*3149 PHY_87
*3150 PHY_88
*3151 PHY_89
*3152 PHY_9
*3153 PHY_90
*3154 PHY_91
*3155 PHY_92
*3156 PHY_93
*3157 PHY_94
*3158 PHY_95
*3159 PHY_96
*3160 PHY_97
*3161 PHY_98
*3162 PHY_99
*3163 TAP_138
*3164 TAP_139
*3165 TAP_140
*3166 TAP_141
*3167 TAP_142
*3168 TAP_143
*3169 TAP_144
*3170 TAP_145
*3171 TAP_146
*3172 TAP_147
*3173 TAP_148
*3174 TAP_149
*3175 TAP_150
*3176 TAP_151
*3177 TAP_152
*3178 TAP_153
*3179 TAP_154
*3180 TAP_155
*3181 TAP_156
*3182 TAP_157
*3183 TAP_158
*3184 TAP_159
*3185 TAP_160
*3186 TAP_161
*3187 TAP_162
*3188 TAP_163
*3189 TAP_164
*3190 TAP_165
*3191 TAP_166
*3192 TAP_167
*3193 TAP_168
*3194 TAP_169
*3195 TAP_170
*3196 TAP_171
*3197 TAP_172
*3198 TAP_173
*3199 TAP_174
*3200 TAP_175
*3201 TAP_176
*3202 TAP_177
*3203 TAP_178
*3204 TAP_179
*3205 TAP_180
*3206 TAP_181
*3207 TAP_182
*3208 TAP_183
*3209 TAP_184
*3210 TAP_185
*3211 TAP_186
*3212 TAP_187
*3213 TAP_188
*3214 TAP_189
*3215 TAP_190
*3216 TAP_191
*3217 TAP_192
*3218 TAP_193
*3219 TAP_194
*3220 TAP_195
*3221 TAP_196
*3222 TAP_197
*3223 TAP_198
*3224 TAP_199
*3225 TAP_200
*3226 TAP_201
*3227 TAP_202
*3228 TAP_203
*3229 TAP_204
*3230 TAP_205
*3231 TAP_206
*3232 TAP_207
*3233 TAP_208
*3234 TAP_209
*3235 TAP_210
*3236 TAP_211
*3237 TAP_212
*3238 TAP_213
*3239 TAP_214
*3240 TAP_215
*3241 TAP_216
*3242 TAP_217
*3243 TAP_218
*3244 TAP_219
*3245 TAP_220
*3246 TAP_221
*3247 TAP_222
*3248 TAP_223
*3249 TAP_224
*3250 TAP_225
*3251 TAP_226
*3252 TAP_227
*3253 TAP_228
*3254 TAP_229
*3255 TAP_230
*3256 TAP_231
*3257 TAP_232
*3258 TAP_233
*3259 TAP_234
*3260 TAP_235
*3261 TAP_236
*3262 TAP_237
*3263 TAP_238
*3264 TAP_239
*3265 TAP_240
*3266 TAP_241
*3267 TAP_242
*3268 TAP_243
*3269 TAP_244
*3270 TAP_245
*3271 TAP_246
*3272 TAP_247
*3273 TAP_248
*3274 TAP_249
*3275 TAP_250
*3276 TAP_251
*3277 TAP_252
*3278 TAP_253
*3279 TAP_254
*3280 TAP_255
*3281 TAP_256
*3282 TAP_257
*3283 TAP_258
*3284 TAP_259
*3285 TAP_260
*3286 TAP_261
*3287 TAP_262
*3288 TAP_263
*3289 TAP_264
*3290 TAP_265
*3291 TAP_266
*3292 TAP_267
*3293 TAP_268
*3294 TAP_269
*3295 TAP_270
*3296 TAP_271
*3297 TAP_272
*3298 TAP_273
*3299 TAP_274
*3300 TAP_275
*3301 TAP_276
*3302 TAP_277
*3303 TAP_278
*3304 TAP_279
*3305 TAP_280
*3306 TAP_281
*3307 TAP_282
*3308 TAP_283
*3309 TAP_284
*3310 TAP_285
*3311 TAP_286
*3312 TAP_287
*3313 TAP_288
*3314 TAP_289
*3315 TAP_290
*3316 TAP_291
*3317 TAP_292
*3318 TAP_293
*3319 TAP_294
*3320 TAP_295
*3321 TAP_296
*3322 TAP_297
*3323 TAP_298
*3324 TAP_299
*3325 TAP_300
*3326 TAP_301
*3327 TAP_302
*3328 TAP_303
*3329 TAP_304
*3330 TAP_305
*3331 TAP_306
*3332 TAP_307
*3333 TAP_308
*3334 TAP_309
*3335 TAP_310
*3336 TAP_311
*3337 TAP_312
*3338 TAP_313
*3339 TAP_314
*3340 TAP_315
*3341 TAP_316
*3342 TAP_317
*3343 TAP_318
*3344 TAP_319
*3345 TAP_320
*3346 TAP_321
*3347 TAP_322
*3348 TAP_323
*3349 TAP_324
*3350 TAP_325
*3351 TAP_326
*3352 TAP_327
*3353 TAP_328
*3354 TAP_329
*3355 TAP_330
*3356 TAP_331
*3357 TAP_332
*3358 TAP_333
*3359 TAP_334
*3360 TAP_335
*3361 TAP_336
*3362 TAP_337
*3363 TAP_338
*3364 TAP_339
*3365 TAP_340
*3366 TAP_341
*3367 TAP_342
*3368 TAP_343
*3369 TAP_344
*3370 TAP_345
*3371 TAP_346
*3372 TAP_347
*3373 TAP_348
*3374 TAP_349
*3375 TAP_350
*3376 TAP_351
*3377 TAP_352
*3378 TAP_353
*3379 TAP_354
*3380 TAP_355
*3381 TAP_356
*3382 TAP_357
*3383 TAP_358
*3384 TAP_359
*3385 TAP_360
*3386 TAP_361
*3387 TAP_362
*3388 TAP_363
*3389 TAP_364
*3390 TAP_365
*3391 TAP_366
*3392 TAP_367
*3393 TAP_368
*3394 TAP_369
*3395 TAP_370
*3396 TAP_371
*3397 TAP_372
*3398 TAP_373
*3399 TAP_374
*3400 TAP_375
*3401 TAP_376
*3402 TAP_377
*3403 TAP_378
*3404 TAP_379
*3405 TAP_380
*3406 TAP_381
*3407 TAP_382
*3408 TAP_383
*3409 TAP_384
*3410 TAP_385
*3411 TAP_386
*3412 TAP_387
*3413 TAP_388
*3414 TAP_389
*3415 TAP_390
*3416 TAP_391
*3417 TAP_392
*3418 TAP_393
*3419 TAP_394
*3420 TAP_395
*3421 TAP_396
*3422 TAP_397
*3423 TAP_398
*3424 TAP_399
*3425 TAP_400
*3426 TAP_401
*3427 TAP_402
*3428 TAP_403
*3429 TAP_404
*3430 TAP_405
*3431 TAP_406
*3432 TAP_407
*3433 TAP_408
*3434 TAP_409
*3435 TAP_410
*3436 TAP_411
*3437 TAP_412
*3438 TAP_413
*3439 TAP_414
*3440 TAP_415
*3441 TAP_416
*3442 TAP_417
*3443 TAP_418
*3444 TAP_419
*3445 TAP_420
*3446 TAP_421
*3447 TAP_422
*3448 TAP_423
*3449 TAP_424
*3450 TAP_425
*3451 TAP_426
*3452 TAP_427
*3453 TAP_428
*3454 TAP_429
*3455 TAP_430
*3456 TAP_431
*3457 TAP_432
*3458 TAP_433
*3459 TAP_434
*3460 TAP_435
*3461 TAP_436
*3462 TAP_437
*3463 TAP_438
*3464 TAP_439
*3465 TAP_440
*3466 TAP_441
*3467 TAP_442
*3468 TAP_443
*3469 TAP_444
*3470 TAP_445
*3471 TAP_446
*3472 TAP_447
*3473 TAP_448
*3474 TAP_449
*3475 TAP_450
*3476 TAP_451
*3477 TAP_452
*3478 TAP_453
*3479 TAP_454
*3480 TAP_455
*3481 TAP_456
*3482 TAP_457
*3483 TAP_458
*3484 TAP_459
*3485 TAP_460
*3486 TAP_461
*3487 TAP_462
*3488 TAP_463
*3489 TAP_464
*3490 TAP_465
*3491 TAP_466
*3492 TAP_467
*3493 TAP_468
*3494 TAP_469
*3495 TAP_470
*3496 TAP_471
*3497 TAP_472
*3498 TAP_473
*3499 TAP_474
*3500 TAP_475
*3501 TAP_476
*3502 TAP_477
*3503 TAP_478
*3504 TAP_479
*3505 TAP_480
*3506 TAP_481
*3507 TAP_482
*3508 TAP_483
*3509 TAP_484
*3510 TAP_485
*3511 TAP_486
*3512 TAP_487
*3513 TAP_488
*3514 TAP_489
*3515 TAP_490
*3516 TAP_491
*3517 TAP_492
*3518 _0588_
*3519 _0589_
*3520 _0590_
*3521 _0591_
*3522 _0592_
*3523 _0593_
*3524 _0594_
*3525 _0595_
*3526 _0596_
*3527 _0597_
*3528 _0598_
*3529 _0599_
*3530 _0600_
*3531 _0601_
*3532 _0602_
*3533 _0603_
*3534 _0604_
*3535 _0605_
*3536 _0606_
*3537 _0607_
*3538 _0608_
*3539 _0609_
*3540 _0610_
*3541 _0611_
*3542 _0612_
*3543 _0613_
*3544 _0614_
*3545 _0615_
*3546 _0616_
*3547 _0617_
*3548 _0618_
*3549 _0619_
*3550 _0620_
*3551 _0621_
*3552 _0622_
*3553 _0623_
*3554 _0624_
*3555 _0625_
*3556 _0626_
*3557 _0627_
*3558 _0628_
*3559 _0629_
*3560 _0630_
*3561 _0631_
*3562 _0632_
*3563 _0633_
*3564 _0634_
*3565 _0635_
*3566 _0636_
*3567 _0637_
*3568 _0638_
*3569 _0639_
*3570 _0640_
*3571 _0641_
*3572 _0642_
*3573 _0643_
*3574 _0644_
*3575 _0645_
*3576 _0646_
*3577 _0647_
*3578 _0648_
*3579 _0649_
*3580 _0650_
*3581 _0651_
*3582 _0652_
*3583 _0653_
*3584 _0654_
*3585 _0655_
*3586 _0656_
*3587 _0657_
*3588 _0658_
*3589 _0659_
*3590 _0660_
*3591 _0661_
*3592 _0662_
*3593 _0663_
*3594 _0664_
*3595 _0665_
*3596 _0666_
*3597 _0667_
*3598 _0668_
*3599 _0669_
*3600 _0670_
*3601 _0671_
*3602 _0672_
*3603 _0673_
*3604 _0674_
*3605 _0675_
*3606 _0676_
*3607 _0677_
*3608 _0678_
*3609 _0679_
*3610 _0680_
*3611 _0681_
*3612 _0682_
*3613 _0683_
*3614 _0684_
*3615 _0685_
*3616 _0686_
*3617 _0687_
*3618 _0688_
*3619 _0689_
*3620 _0690_
*3621 _0691_
*3622 _0692_
*3623 _0693_
*3624 _0694_
*3625 _0695_
*3626 _0696_
*3627 _0697_
*3628 _0698_
*3629 _0699_
*3630 _0700_
*3631 _0701_
*3632 _0702_
*3633 _0703_
*3634 _0704_
*3635 _0705_
*3636 _0706_
*3637 _0707_
*3638 _0708_
*3639 _0709_
*3640 _0710_
*3641 _0711_
*3642 _0712_
*3643 _0713_
*3644 _0714_
*3645 _0715_
*3646 _0716_
*3647 _0717_
*3648 _0718_
*3649 _0719_
*3650 _0720_
*3651 _0721_
*3652 _0722_
*3653 _0723_
*3654 _0724_
*3655 _0725_
*3656 _0726_
*3657 _0727_
*3658 _0728_
*3659 _0729_
*3660 _0730_
*3661 _0731_
*3662 _0732_
*3663 _0733_
*3664 _0734_
*3665 _0735_
*3666 _0736_
*3667 _0737_
*3668 _0738_
*3669 _0739_
*3670 _0740_
*3671 _0741_
*3672 _0742_
*3673 _0743_
*3674 _0744_
*3675 _0745_
*3676 _0746_
*3677 _0747_
*3678 _0748_
*3679 _0749_
*3680 _0750_
*3681 _0751_
*3682 _0752_
*3683 _0753_
*3684 _0754_
*3685 _0755_
*3686 _0756_
*3687 _0757_
*3688 _0758_
*3689 _0759_
*3690 _0760_
*3691 _0761_
*3692 _0762_
*3693 _0763_
*3694 _0764_
*3695 _0765_
*3696 _0766_
*3697 _0767_
*3698 _0768_
*3699 _0769_
*3700 _0770_
*3701 _0771_
*3702 _0772_
*3703 _0773_
*3704 _0774_
*3705 _0775_
*3706 _0776_
*3707 _0777_
*3708 _0778_
*3709 _0779_
*3710 _0780_
*3711 _0781_
*3712 _0782_
*3713 _0783_
*3714 _0784_
*3715 _0785_
*3716 _0786_
*3717 _0787_
*3718 _0788_
*3719 _0789_
*3720 _0790_
*3721 _0791_
*3722 _0792_
*3723 _0793_
*3724 _0794_
*3725 _0795_
*3726 _0796_
*3727 _0797_
*3728 _0798_
*3729 _0799_
*3730 _0800_
*3731 _0801_
*3732 _0802_
*3733 _0803_
*3734 _0804_
*3735 _0805_
*3736 _0806_
*3737 _0807_
*3738 _0808_
*3739 _0809_
*3740 _0810_
*3741 _0811_
*3742 _0812_
*3743 _0813_
*3744 _0814_
*3745 _0815_
*3746 _0816_
*3747 _0817_
*3748 _0818_
*3749 _0819_
*3750 _0820_
*3751 _0821_
*3752 _0822_
*3753 _0823_
*3754 _0824_
*3755 _0825_
*3756 _0826_
*3757 _0827_
*3758 _0828_
*3759 _0829_
*3760 _0830_
*3761 _0831_
*3762 _0832_
*3763 _0833_
*3764 _0834_
*3765 _0835_
*3766 _0836_
*3767 _0837_
*3768 _0838_
*3769 _0839_
*3770 _0840_
*3771 _0841_
*3772 _0842_
*3773 _0843_
*3774 _0844_
*3775 _0845_
*3776 _0846_
*3777 _0847_
*3778 _0848_
*3779 _0849_
*3780 _0850_
*3781 _0851_
*3782 _0852_
*3783 _0853_
*3784 _0854_
*3785 _0855_
*3786 _0856_
*3787 _0857_
*3788 _0858_
*3789 _0859_
*3790 _0860_
*3791 _0861_
*3792 _0862_
*3793 _0863_
*3794 _0864_
*3795 _0865_
*3796 _0866_
*3797 _0867_
*3798 _0868_
*3799 _0869_
*3800 _0870_
*3801 _0871_
*3802 _0872_
*3803 _0873_
*3804 _0874_
*3805 _0875_
*3806 _0876_
*3807 _0877_
*3808 _0878_
*3809 _0879_
*3810 _0880_
*3811 _0881_
*3812 _0882_
*3813 _0883_
*3814 _0884_
*3815 _0885_
*3816 _0886_
*3817 _0887_
*3818 _0888_
*3819 _0889_
*3820 _0890_
*3821 _0891_
*3822 _0892_
*3823 _0893_
*3824 _0894_
*3825 _0895_
*3826 _0896_
*3827 _0897_
*3828 _0898_
*3829 _0899_
*3830 _0900_
*3831 _0901_
*3832 _0902_
*3833 _0903_
*3834 _0904_
*3835 _0905_
*3836 _0906_
*3837 _0907_
*3838 _0908_
*3839 _0909_
*3840 _0910_
*3841 _0911_
*3842 _0912_
*3843 _0913_
*3844 _0914_
*3845 _0915_
*3846 _0916_
*3847 _0917_
*3848 _0918_
*3849 _0919_
*3850 _0920_
*3851 _0921_
*3852 _0922_
*3853 _0923_
*3854 _0924_
*3855 _0925_
*3856 _0926_
*3857 _0927_
*3858 _0928_
*3859 _0929_
*3860 _0930_
*3861 _0931_
*3862 _0932_
*3863 _0933_
*3864 _0934_
*3865 _0935_
*3866 _0936_
*3867 _0937_
*3868 _0938_
*3869 _0939_
*3870 _0940_
*3871 _0941_
*3872 _0942_
*3873 _0943_
*3874 _0944_
*3875 _0945_
*3876 _0946_
*3877 _0947_
*3878 _0948_
*3879 _0949_
*3880 _0950_
*3881 _0951_
*3882 _0952_
*3883 _0953_
*3884 _0954_
*3885 _0955_
*3886 _0956_
*3887 _0957_
*3888 _0958_
*3889 _0959_
*3890 _0960_
*3891 _0961_
*3892 _0962_
*3893 _0963_
*3894 _0964_
*3895 _0965_
*3896 _0966_
*3897 _0967_
*3898 _0968_
*3899 _0969_
*3900 _0970_
*3901 _0971_
*3902 _0972_
*3903 _0973_
*3904 _0974_
*3905 _0975_
*3906 _0976_
*3907 _0977_
*3908 _0978_
*3909 _0979_
*3910 _0980_
*3911 _0981_
*3912 _0982_
*3913 _0983_
*3914 _0984_
*3915 _0985_
*3916 _0986_
*3917 _0987_
*3918 _0988_
*3919 _0989_
*3920 _0990_
*3921 _0991_
*3922 _0992_
*3923 _0993_
*3924 _0994_
*3925 _0995_
*3926 _0996_
*3927 _0997_
*3928 _0998_
*3929 _0999_
*3930 _1000_
*3931 _1001_
*3932 _1002_
*3933 _1003_
*3934 _1004_
*3935 _1005_
*3936 _1006_
*3937 _1007_
*3938 _1008_
*3939 _1009_
*3940 _1010_
*3941 _1011_
*3942 _1012_
*3943 _1013_
*3944 _1014_
*3945 _1015_
*3946 _1016_
*3947 _1017_
*3948 _1018_
*3949 _1019_
*3950 _1020_
*3951 _1021_
*3952 _1022_
*3953 _1023_
*3954 _1024_
*3955 _1025_
*3956 _1026_
*3957 _1027_
*3958 _1028_
*3959 _1029_
*3960 _1030_
*3961 _1031_
*3962 _1032_
*3963 _1033_
*3964 _1034_
*3965 _1035_
*3966 _1036_
*3967 _1037_
*3968 _1038_
*3969 _1039_
*3970 _1040_
*3971 _1041_
*3972 _1042_
*3973 _1043_
*3974 _1044_
*3975 _1045_
*3976 _1046_
*3977 _1047_
*3978 _1048_
*3979 _1049_
*3980 _1050_
*3981 _1051_
*3982 _1052_
*3983 _1053_
*3984 _1054_
*3985 _1055_
*3986 _1056_
*3987 _1057_
*3988 _1058_
*3989 _1059_
*3990 _1060_
*3991 _1061_
*3992 _1062_
*3993 _1063_
*3994 _1064_
*3995 _1065_
*3996 _1066_
*3997 _1067_
*3998 _1068_
*3999 _1069_
*4000 _1070_
*4001 _1071_
*4002 _1072_
*4003 _1073_
*4004 _1074_
*4005 _1075_
*4006 _1076_
*4007 _1077_
*4008 _1078_
*4009 _1079_
*4010 _1080_
*4011 _1081_
*4012 _1082_
*4013 _1083_
*4014 _1084_
*4015 _1085_
*4016 _1086_
*4017 _1087_
*4018 _1088_
*4019 _1089_
*4020 _1090_
*4021 _1091_
*4022 _1092_
*4023 _1093_
*4024 _1094_
*4025 _1095_
*4026 _1096_
*4027 _1097_
*4028 _1098_
*4029 _1099_
*4030 _1100_
*4031 _1101_
*4032 _1102_
*4033 _1103_
*4034 _1104_
*4035 _1105_
*4036 _1106_
*4037 _1107_
*4038 _1108_
*4039 _1109_
*4040 _1110_
*4041 _1111_
*4042 _1112_
*4043 _1113_
*4044 _1114_
*4045 _1115_
*4046 _1116_
*4047 _1117_
*4048 _1118_
*4049 _1119_
*4050 _1120_
*4051 _1121_
*4052 _1122_
*4053 _1123_
*4054 _1124_
*4055 _1125_
*4056 _1126_
*4057 _1127_
*4058 _1128_
*4059 _1129_
*4060 _1130_
*4061 _1131_
*4062 _1132_
*4063 _1133_
*4064 _1134_
*4065 _1135_
*4066 _1136_
*4067 _1137_
*4068 _1138_
*4069 _1139_
*4070 _1140_
*4071 _1141_
*4072 _1142_
*4073 _1143_
*4074 _1144_
*4075 _1145_
*4076 _1146_
*4077 _1147_
*4078 _1148_
*4079 _1149_
*4080 _1150_
*4081 _1151_
*4082 _1152_
*4083 _1153_
*4084 _1154_
*4085 _1155_
*4086 _1156_
*4087 _1157_
*4088 _1158_
*4089 _1159_
*4090 _1160_
*4091 _1161_
*4092 _1162_
*4093 _1163_
*4094 _1164_
*4095 _1165_
*4096 _1166_
*4097 _1167_
*4098 _1168_
*4099 _1169_
*4100 _1170_
*4101 _1171_
*4102 _1172_
*4103 _1173_
*4104 _1174_
*4105 _1175_
*4106 _1176_
*4107 _1177_
*4108 _1178_
*4109 _1179_
*4110 _1180_
*4111 _1181_
*4112 _1182_
*4113 _1183_
*4114 _1184_
*4115 _1185_
*4116 _1186_
*4117 _1187_
*4118 _1188_
*4119 _1189_
*4120 _1190_
*4121 _1191_
*4122 _1192_
*4123 _1193_
*4124 _1194_
*4125 _1195_
*4126 _1196_
*4127 _1197_
*4128 _1198_
*4129 _1199_
*4130 _1200_
*4131 _1201_
*4132 _1202_
*4133 _1203_
*4134 _1204_
*4135 _1205_
*4136 _1206_
*4137 _1207_
*4138 _1208_
*4139 _1209_
*4140 _1210_
*4141 _1211_
*4142 _1212_
*4143 _1213_
*4144 _1214_
*4145 _1215_
*4146 _1216_
*4147 _1217_
*4148 _1218_
*4149 _1219_
*4150 _1220_
*4151 _1221_
*4152 _1222_
*4153 _1223_
*4154 _1224_
*4155 _1225_
*4156 _1226_
*4157 _1227_
*4158 _1228_
*4159 _1229_
*4160 _1230_
*4161 _1231_
*4162 _1232_
*4163 _1233_
*4164 _1234_
*4165 _1235_
*4166 _1236_
*4167 _1237_
*4168 _1238_
*4169 _1239_
*4170 _1240_
*4171 _1241_
*4172 _1242_
*4173 _1243_
*4174 _1244_
*4175 _1245_
*4176 _1246_
*4177 _1247_
*4178 _1248_
*4179 _1249_
*4180 _1250_
*4181 _1251_
*4182 _1252_
*4183 _1253_
*4184 _1254_
*4185 _1255_
*4186 _1256_
*4187 _1257_
*4188 _1258_
*4189 _1259_
*4190 _1260_
*4191 _1261_
*4192 _1262_
*4193 _1263_
*4194 _1264_
*4195 _1265_
*4196 _1266_
*4197 _1267_
*4198 _1268_
*4199 _1269_
*4200 _1270_
*4201 _1271_
*4202 _1272_
*4203 _1273_
*4204 _1274_
*4205 _1275_
*4206 _1276_
*4207 _1277_
*4208 _1278_
*4209 _1279_
*4210 _1280_
*4211 _1281_
*4212 _1282_
*4213 _1283_
*4214 _1284_
*4215 _1285_
*4216 _1286_
*4217 _1287_
*4218 _1288_
*4219 _1289_
*4220 _1290_
*4221 _1291_
*4222 _1292_
*4223 _1293_
*4224 _1294_
*4225 _1295_
*4226 _1296_
*4227 _1297_
*4228 _1298_
*4229 _1299_
*4230 _1300_
*4231 _1301_
*4232 _1302_
*4233 _1303_
*4234 _1304_
*4235 _1305_
*4236 _1306_
*4237 _1307_
*4238 _1308_
*4239 _1309_
*4240 _1310_
*4241 _1311_
*4242 _1312_
*4243 _1313_
*4244 _1314_
*4245 _1315_
*4246 _1316_
*4247 _1317_
*4248 _1318_
*4249 _1319_
*4250 _1320_
*4251 _1321_
*4252 _1322_
*4253 _1323_
*4254 _1324_
*4255 _1325_
*4256 _1326_
*4257 _1327_
*4258 _1328_
*4259 _1329_
*4260 _1330_
*4261 _1331_
*4262 _1332_
*4263 _1333_
*4264 _1334_
*4265 _1335_
*4266 _1336_
*4267 _1337_
*4268 _1338_
*4269 _1339_
*4270 _1340_
*4271 _1341_
*4272 _1342_
*4273 _1343_
*4274 _1344_
*4275 _1345_
*4276 clkbuf_0_wb_clk_i
*4277 clkbuf_1_0_0_wb_clk_i
*4278 clkbuf_1_1_0_wb_clk_i
*4279 clkbuf_2_0_0_wb_clk_i
*4280 clkbuf_2_1_0_wb_clk_i
*4281 clkbuf_2_2_0_wb_clk_i
*4282 clkbuf_2_3_0_wb_clk_i
*4283 hold1
*4284 hold2
*4285 input1
*4286 input10
*4287 input11
*4288 input12
*4289 input13
*4290 input14
*4291 input15
*4292 input16
*4293 input2
*4294 input3
*4295 input4
*4296 input5
*4297 input6
*4298 input7
*4299 input8
*4300 input9
*PORTS
active I
io_in[0] I
io_in[10] I
io_in[11] I
io_in[12] I
io_in[13] I
io_in[14] I
io_in[15] I
io_in[16] I
io_in[17] I
io_in[18] I
io_in[19] I
io_in[1] I
io_in[20] I
io_in[21] I
io_in[22] I
io_in[23] I
io_in[24] I
io_in[25] I
io_in[26] I
io_in[27] I
io_in[28] I
io_in[29] I
io_in[2] I
io_in[30] I
io_in[31] I
io_in[32] I
io_in[33] I
io_in[34] I
io_in[35] I
io_in[36] I
io_in[37] I
io_in[3] I
io_in[4] I
io_in[5] I
io_in[6] I
io_in[7] I
io_in[8] I
io_in[9] I
io_oeb[0] O
io_oeb[10] O
io_oeb[11] O
io_oeb[12] O
io_oeb[13] O
io_oeb[14] O
io_oeb[15] O
io_oeb[16] O
io_oeb[17] O
io_oeb[18] O
io_oeb[19] O
io_oeb[1] O
io_oeb[20] O
io_oeb[21] O
io_oeb[22] O
io_oeb[23] O
io_oeb[24] O
io_oeb[25] O
io_oeb[26] O
io_oeb[27] O
io_oeb[28] O
io_oeb[29] O
io_oeb[2] O
io_oeb[30] O
io_oeb[31] O
io_oeb[32] O
io_oeb[33] O
io_oeb[34] O
io_oeb[35] O
io_oeb[36] O
io_oeb[37] O
io_oeb[3] O
io_oeb[4] O
io_oeb[5] O
io_oeb[6] O
io_oeb[7] O
io_oeb[8] O
io_oeb[9] O
io_out[0] O
io_out[10] O
io_out[11] O
io_out[12] O
io_out[13] O
io_out[14] O
io_out[15] O
io_out[16] O
io_out[17] O
io_out[18] O
io_out[19] O
io_out[1] O
io_out[20] O
io_out[21] O
io_out[22] O
io_out[23] O
io_out[24] O
io_out[25] O
io_out[26] O
io_out[27] O
io_out[28] O
io_out[29] O
io_out[2] O
io_out[30] O
io_out[31] O
io_out[32] O
io_out[33] O
io_out[34] O
io_out[35] O
io_out[36] O
io_out[37] O
io_out[3] O
io_out[4] O
io_out[5] O
io_out[6] O
io_out[7] O
io_out[8] O
io_out[9] O
la1_data_in[0] I
la1_data_in[10] I
la1_data_in[11] I
la1_data_in[12] I
la1_data_in[13] I
la1_data_in[14] I
la1_data_in[15] I
la1_data_in[16] I
la1_data_in[17] I
la1_data_in[18] I
la1_data_in[19] I
la1_data_in[1] I
la1_data_in[20] I
la1_data_in[21] I
la1_data_in[22] I
la1_data_in[23] I
la1_data_in[24] I
la1_data_in[25] I
la1_data_in[26] I
la1_data_in[27] I
la1_data_in[28] I
la1_data_in[29] I
la1_data_in[2] I
la1_data_in[30] I
la1_data_in[31] I
la1_data_in[3] I
la1_data_in[4] I
la1_data_in[5] I
la1_data_in[6] I
la1_data_in[7] I
la1_data_in[8] I
la1_data_in[9] I
la1_data_out[0] O
la1_data_out[10] O
la1_data_out[11] O
la1_data_out[12] O
la1_data_out[13] O
la1_data_out[14] O
la1_data_out[15] O
la1_data_out[16] O
la1_data_out[17] O
la1_data_out[18] O
la1_data_out[19] O
la1_data_out[1] O
la1_data_out[20] O
la1_data_out[21] O
la1_data_out[22] O
la1_data_out[23] O
la1_data_out[24] O
la1_data_out[25] O
la1_data_out[26] O
la1_data_out[27] O
la1_data_out[28] O
la1_data_out[29] O
la1_data_out[2] O
la1_data_out[30] O
la1_data_out[31] O
la1_data_out[3] O
la1_data_out[4] O
la1_data_out[5] O
la1_data_out[6] O
la1_data_out[7] O
la1_data_out[8] O
la1_data_out[9] O
la1_oenb[0] I
la1_oenb[10] I
la1_oenb[11] I
la1_oenb[12] I
la1_oenb[13] I
la1_oenb[14] I
la1_oenb[15] I
la1_oenb[16] I
la1_oenb[17] I
la1_oenb[18] I
la1_oenb[19] I
la1_oenb[1] I
la1_oenb[20] I
la1_oenb[21] I
la1_oenb[22] I
la1_oenb[23] I
la1_oenb[24] I
la1_oenb[25] I
la1_oenb[26] I
la1_oenb[27] I
la1_oenb[28] I
la1_oenb[29] I
la1_oenb[2] I
la1_oenb[30] I
la1_oenb[31] I
la1_oenb[3] I
la1_oenb[4] I
la1_oenb[5] I
la1_oenb[6] I
la1_oenb[7] I
la1_oenb[8] I
la1_oenb[9] I
wb_clk_i I
*D_NET *1 0.000419883
*CONN
*P active I
*I *4285:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 active 0.000209941
2 *4285:A 0.000209941
3 *4285:A io_out[32] 0
4 *4285:A la1_data_out[1] 0
*RES
1 active *4285:A 18.1717
*END
*D_NET *38 0.000799766
*CONN
*P io_in[8] I
*I *4293:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[8] 0.000374123
2 *4293:A 0.000374123
3 *4293:A io_oeb[29] 0
4 *4293:A *450:28 0
5 *4293:A *882:13 5.15213e-05
*RES
1 io_in[8] *4293:A 20.9691
*END
*D_NET *40 0.00198343
*CONN
*P io_oeb[0] O
*I *4183:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[0] 0.000526617
2 *4183:Z 0.000526617
3 io_oeb[0] io_oeb[3] 0
4 io_oeb[0] io_out[24] 0
5 io_oeb[0] *4186:TE_B 0
6 io_oeb[0] *665:15 0.000187618
7 io_oeb[0] *887:9 0.000742581
*RES
1 *4183:Z io_oeb[0] 32.3568
*END
*D_NET *41 0.001337
*CONN
*P io_oeb[10] O
*I *4193:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[10] 0.000658937
2 *4193:Z 0.000658937
3 io_oeb[10] io_oeb[37] 0
4 io_oeb[10] *518:22 1.91246e-05
*RES
1 *4193:Z io_oeb[10] 19.319
*END
*D_NET *42 0.00197375
*CONN
*P io_oeb[11] O
*I *4194:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[11] 0.000790025
2 *4194:Z 0.000790025
3 io_oeb[11] io_out[10] 0
4 io_oeb[11] io_out[4] 0
5 io_oeb[11] *3798:A 3.98267e-05
6 io_oeb[11] *410:12 0.000330703
7 io_oeb[11] *462:47 2.31746e-05
*RES
1 *4194:Z io_oeb[11] 21.1767
*END
*D_NET *43 0.000800682
*CONN
*P io_oeb[12] O
*I *4195:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[12] 0.00037581
2 *4195:Z 0.00037581
3 io_oeb[12] io_oeb[13] 0
4 io_oeb[12] *4195:TE_B 4.90613e-05
*RES
1 *4195:Z io_oeb[12] 20.1386
*END
*D_NET *44 0.00149868
*CONN
*P io_oeb[13] O
*I *4196:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[13] 0.000105192
2 *4196:Z 0.000227131
3 *44:8 0.000300968
4 *44:7 0.000422907
5 io_oeb[13] la1_data_out[30] 0
6 *44:7 *3741:A 0
7 *44:8 *493:82 0.000442485
8 io_oeb[12] io_oeb[13] 0
*RES
1 *4196:Z *44:7 23.6585
2 *44:7 *44:8 498.326
3 *44:8 io_oeb[13] 17.7892
*END
*D_NET *45 0.00652123
*CONN
*P io_oeb[14] O
*I *4197:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[14] 0.00245972
2 *4197:Z 0.00245972
3 io_oeb[14] io_out[26] 0
4 io_oeb[14] io_out[2] 2.33103e-06
5 io_oeb[14] la1_data_out[25] 0
6 io_oeb[14] *3696:A 0.000456779
7 io_oeb[14] *4142:TE_B 1.91391e-05
8 io_oeb[14] *4197:A 0.00101818
9 io_oeb[14] *456:29 8.46529e-05
10 io_oeb[14] *520:15 2.07023e-05
*RES
1 *4197:Z io_oeb[14] 47.9995
*END
*D_NET *46 0.00198418
*CONN
*P io_oeb[15] O
*I *4198:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[15] 0.000692753
2 *4198:Z 0.000692753
3 io_oeb[15] io_oeb[19] 0
4 io_oeb[15] io_oeb[7] 0
5 io_oeb[15] *3841:A 0
6 io_oeb[15] *3899:A 0.000539457
7 io_oeb[15] *4181:A 0
8 io_oeb[15] *530:16 5.92192e-05
*RES
1 *4198:Z io_oeb[15] 35.2201
*END
*D_NET *47 0.00392426
*CONN
*P io_oeb[16] O
*I *4199:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[16] 0.00177322
2 *4199:Z 0.00177322
3 io_oeb[16] io_oeb[28] 0
4 io_oeb[16] io_oeb[4] 0.000157863
5 io_oeb[16] io_out[29] 0
6 io_oeb[16] *3763:A 0
7 io_oeb[16] *3767:A 0
8 io_oeb[16] *4117:A 0.000219967
*RES
1 *4199:Z io_oeb[16] 39.568
*END
*D_NET *48 0.00185995
*CONN
*P io_oeb[17] O
*I *4200:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[17] 0.000730891
2 *4200:Z 0.000730891
3 io_oeb[17] la1_data_out[23] 0
4 io_oeb[17] *4200:A 0.000398164
*RES
1 *4200:Z io_oeb[17] 33.3508
*END
*D_NET *49 0.00238986
*CONN
*P io_oeb[18] O
*I *4201:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[18] 0.000818951
2 *4201:Z 0.000818951
3 io_oeb[18] io_out[25] 0
4 io_oeb[18] *3706:A 0
5 io_oeb[18] *530:85 0
6 io_oeb[18] *754:10 0.000751955
*RES
1 *4201:Z io_oeb[18] 39.0307
*END
*D_NET *50 0.00245163
*CONN
*P io_oeb[19] O
*I *4202:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[19] 0.000887465
2 *4202:Z 0.000887465
3 io_oeb[19] io_out[36] 0
4 io_oeb[19] *3841:A 6.14273e-05
5 io_oeb[19] *4181:A 0.000190013
6 io_oeb[19] *4181:TE_B 0.000101118
7 io_oeb[19] *4202:A 0.000324137
8 io_oeb[19] *4202:TE_B 0
9 io_oeb[15] io_oeb[19] 0
*RES
1 *4202:Z io_oeb[19] 36.5958
*END
*D_NET *51 0.00114888
*CONN
*P io_oeb[1] O
*I *4184:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[1] 0.000565227
2 *4184:Z 0.000565227
3 io_oeb[1] io_out[13] 0
4 io_oeb[1] *82:6 0
5 io_oeb[1] *87:9 1.84293e-05
6 io_oeb[1] *756:11 0
*RES
1 *4184:Z io_oeb[1] 28.2172
*END
*D_NET *52 0.000846306
*CONN
*P io_oeb[20] O
*I *4203:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[20] 0.000423153
2 *4203:Z 0.000423153
3 io_oeb[20] io_out[23] 0
4 io_oeb[20] la1_data_out[6] 0
5 io_oeb[20] *3712:A 0
6 io_oeb[20] *450:38 0
*RES
1 *4203:Z io_oeb[20] 21.7996
*END
*D_NET *53 0.00126453
*CONN
*P io_oeb[21] O
*I *4204:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[21] 0.000546381
2 *4204:Z 0.000546381
3 io_oeb[21] io_oeb[30] 7.08723e-06
4 io_oeb[21] *4204:A 5.0715e-05
5 io_oeb[21] *4204:TE_B 0.000113968
*RES
1 *4204:Z io_oeb[21] 28.706
*END
*D_NET *54 0.00776015
*CONN
*P io_oeb[22] O
*I *4205:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[22] 0.000144627
2 *4205:Z 0
3 *54:6 0.00176657
4 *54:5 0.00162194
5 io_oeb[22] io_oeb[8] 0
6 *54:6 io_out[11] 5.63359e-05
7 *54:6 io_out[12] 0
8 *54:6 la1_data_out[15] 0
9 *54:6 la1_data_out[29] 6.00676e-05
10 *54:6 *3769:A 0.000182993
11 *54:6 *3779:A 5.19466e-05
12 *54:6 *4142:TE_B 0
13 *54:6 *4147:TE_B 0
14 *54:6 *80:18 0.00318056
15 *54:6 *456:28 0.000145521
16 *54:6 *462:16 0.000549591
17 *54:6 *645:29 0
*RES
1 *4205:Z *54:5 13.7491
2 *54:5 *54:6 66.819
3 *54:6 io_oeb[22] 5.06852
*END
*D_NET *55 0.000881387
*CONN
*P io_oeb[23] O
*I *4206:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[23] 0.000440693
2 *4206:Z 0.000440693
3 io_oeb[23] io_oeb[24] 0
4 io_oeb[23] *874:16 0
*RES
1 *4206:Z io_oeb[23] 25.7426
*END
*D_NET *56 0.00281783
*CONN
*P io_oeb[24] O
*I *4207:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[24] 0.000590846
2 *4207:Z 0.000485039
3 *56:11 0.00107588
4 io_oeb[24] la1_data_out[14] 0
5 io_oeb[24] *3731:A 0
6 io_oeb[24] *4206:A 0
7 io_oeb[24] *4206:TE_B 0
8 *56:11 io_oeb[27] 0
9 *56:11 la1_data_out[14] 0
10 *56:11 *3733:A 0.000574099
11 *56:11 *4127:TE_B 6.50586e-05
12 *56:11 *4207:A 0
13 *56:11 *4207:TE_B 2.69064e-05
14 io_oeb[23] io_oeb[24] 0
*RES
1 *4207:Z *56:11 36.1151
2 *56:11 io_oeb[24] 15.2422
*END
*D_NET *57 0.00541989
*CONN
*P io_oeb[25] O
*I *4208:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[25] 0.000843523
2 *4208:Z 0.000273942
3 *57:8 0.00153598
4 *57:7 0.000966396
5 io_oeb[25] io_oeb[33] 2.18129e-05
6 io_oeb[25] io_out[18] 0
7 io_oeb[25] *3854:A 0
8 *57:8 *493:82 0.000316163
9 *57:8 *698:8 0.00102038
10 *57:8 *883:8 0.000441695
*RES
1 *4208:Z *57:7 24.7677
2 *57:7 *57:8 1842.65
3 *57:8 io_oeb[25] 34.7034
*END
*D_NET *58 0.000590068
*CONN
*P io_oeb[26] O
*I *4209:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[26] 0.000295034
2 *4209:Z 0.000295034
3 io_oeb[26] io_out[14] 0
4 io_oeb[26] io_out[35] 0
5 io_oeb[26] *450:28 0
*RES
1 *4209:Z io_oeb[26] 18.4776
*END
*D_NET *59 0.00220775
*CONN
*P io_oeb[27] O
*I *4210:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[27] 0.000918245
2 *4210:Z 0.000918245
3 io_oeb[27] la1_data_out[22] 0
4 io_oeb[27] *3755:A 0
5 io_oeb[27] *4127:A 0
6 io_oeb[27] *4135:A 4.80148e-05
7 io_oeb[27] *4135:TE_B 3.90891e-05
8 io_oeb[27] *4210:TE_B 2.40518e-05
9 io_oeb[27] *462:32 0.000215704
10 io_oeb[27] *469:67 4.43961e-05
11 *56:11 io_oeb[27] 0
*RES
1 *4210:Z io_oeb[27] 39.5051
*END
*D_NET *60 0.00227031
*CONN
*P io_oeb[28] O
*I *4211:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[28] 0.000526637
2 *4211:Z 0.000526637
3 io_oeb[28] io_oeb[31] 0
4 io_oeb[28] io_oeb[4] 0
5 io_oeb[28] *4174:TE_B 0.00012316
6 io_oeb[28] *693:11 0.000778386
7 io_oeb[28] *756:11 0.000315487
8 io_oeb[16] io_oeb[28] 0
*RES
1 *4211:Z io_oeb[28] 32.9114
*END
*D_NET *61 0.000668226
*CONN
*P io_oeb[29] O
*I *4212:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[29] 0.000309733
2 *4212:Z 0.000309733
3 io_oeb[29] *3829:A 4.87595e-05
4 *4293:A io_oeb[29] 0
*RES
1 *4212:Z io_oeb[29] 18.8929
*END
*D_NET *62 0.0026485
*CONN
*P io_oeb[2] O
*I *4185:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[2] 0.000853853
2 *4185:Z 0.000853853
3 io_oeb[2] io_out[20] 0
4 io_oeb[2] *3705:A 2.77625e-06
5 io_oeb[2] *3788:A 0.000469092
6 io_oeb[2] *4151:TE_B 0.000294241
7 io_oeb[2] *4185:TE_B 9.46208e-05
8 io_oeb[2] *4287:A 0
9 io_oeb[2] *741:14 3.56809e-05
10 io_oeb[2] *744:11 4.43826e-05
*RES
1 *4185:Z io_oeb[2] 40.4536
*END
*D_NET *63 0.00356643
*CONN
*P io_oeb[30] O
*I *4213:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[30] 0.00100149
2 *4213:Z 0.000616844
3 *63:11 0.00161834
4 io_oeb[30] la1_data_out[27] 0
5 io_oeb[30] *4140:TE_B 0
6 io_oeb[30] *4177:TE_B 0
7 *63:11 la1_data_out[1] 5.66868e-06
8 *63:11 *3746:A 3.81056e-05
9 *63:11 *3766:A 4.30017e-06
10 *63:11 *3781:A 0
11 *63:11 *4148:A 0
12 *63:11 *444:33 0.000113968
13 *63:11 *444:38 0.00016062
14 io_oeb[21] io_oeb[30] 7.08723e-06
*RES
1 *4213:Z *63:11 38.4673
2 *63:11 io_oeb[30] 24.3777
*END
*D_NET *64 0.00226165
*CONN
*P io_oeb[31] O
*I *4214:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[31] 0.000623584
2 *4214:Z 0.000623584
3 io_oeb[31] *3768:A 6.08467e-05
4 io_oeb[31] *4141:TE_B 0.000252968
5 io_oeb[31] *82:6 0.000388207
6 io_oeb[31] *425:59 0.000169441
7 io_oeb[31] *731:14 0.000143017
8 io_oeb[28] io_oeb[31] 0
*RES
1 *4214:Z io_oeb[31] 35.3973
*END
*D_NET *65 0.00139235
*CONN
*P io_oeb[32] O
*I *4215:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[32] 0.000692241
2 *4215:Z 0.000692241
3 io_oeb[32] io_out[19] 0
4 io_oeb[32] *469:25 7.86825e-06
*RES
1 *4215:Z io_oeb[32] 19.319
*END
*D_NET *66 0.00385138
*CONN
*P io_oeb[33] O
*I *4216:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[33] 0.000682269
2 *4216:Z 0.000158131
3 *66:8 0.00113814
4 *66:7 0.000613997
5 *66:7 *4216:TE_B 0.00022207
6 *66:8 *698:8 0.00101497
7 io_oeb[25] io_oeb[33] 2.18129e-05
*RES
1 *4216:Z *66:7 23.6585
2 *66:7 *66:8 1147.31
3 *66:8 io_oeb[33] 30.5452
*END
*D_NET *67 0.00182194
*CONN
*P io_oeb[34] O
*I *4217:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[34] 0.000639887
2 *4217:Z 0.000639887
3 io_oeb[34] *3858:A 0
4 io_oeb[34] *4190:A 0.000182869
5 io_oeb[34] *4217:TE_B 0.0003593
*RES
1 *4217:Z io_oeb[34] 32.9243
*END
*D_NET *68 0.00148237
*CONN
*P io_oeb[35] O
*I *4218:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[35] 0.000679446
2 *4218:Z 0.000679446
3 io_oeb[35] *4290:A 0
4 io_oeb[35] *469:25 6.17372e-05
5 io_oeb[35] *536:34 6.17372e-05
*RES
1 *4218:Z io_oeb[35] 21.3953
*END
*D_NET *69 0.00156827
*CONN
*P io_oeb[36] O
*I *4219:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[36] 0.000688528
2 *4219:Z 0.000688528
3 io_oeb[36] la1_data_out[20] 0
4 io_oeb[36] *456:12 0
5 io_oeb[36] *518:22 0.000191215
*RES
1 *4219:Z io_oeb[36] 22.2258
*END
*D_NET *70 0.000875566
*CONN
*P io_oeb[37] O
*I *4220:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[37] 0.000341638
2 *4220:Z 0.000341638
3 io_oeb[37] *3871:A 9.61451e-05
4 io_oeb[37] *645:14 9.61451e-05
5 io_oeb[10] io_oeb[37] 0
*RES
1 *4220:Z io_oeb[37] 19.7999
*END
*D_NET *71 0.000817383
*CONN
*P io_oeb[3] O
*I *4186:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[3] 0.000395114
2 *4186:Z 0.000395114
3 io_oeb[3] *4186:TE_B 2.71542e-05
4 io_oeb[0] io_oeb[3] 0
*RES
1 *4186:Z io_oeb[3] 24.4236
*END
*D_NET *72 0.00372349
*CONN
*P io_oeb[4] O
*I *4187:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[4] 0.00141641
2 *4187:Z 0.00141641
3 io_oeb[4] io_out[29] 0.000495599
4 io_oeb[4] la1_data_out[28] 0
5 io_oeb[4] *4117:A 0.000163997
6 io_oeb[4] *4216:A 5.8261e-05
7 io_oeb[4] *425:45 1.4948e-05
8 io_oeb[4] *488:11 0
9 io_oeb[16] io_oeb[4] 0.000157863
10 io_oeb[28] io_oeb[4] 0
*RES
1 *4187:Z io_oeb[4] 42.6605
*END
*D_NET *73 0.0012906
*CONN
*P io_oeb[5] O
*I *4188:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[5] 0.000625097
2 *4188:Z 0.000625097
3 io_oeb[5] *4286:A 0
4 io_oeb[5] *493:24 2.02035e-05
5 io_oeb[5] *500:12 2.02035e-05
*RES
1 *4188:Z io_oeb[5] 19.319
*END
*D_NET *74 0.00201181
*CONN
*P io_oeb[6] O
*I *4189:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[6] 0.00100321
2 *4189:Z 0.00100321
3 io_oeb[6] la1_data_out[16] 0
4 io_oeb[6] la1_data_out[24] 0
5 io_oeb[6] *462:47 0
6 io_oeb[6] *512:32 5.39635e-06
*RES
1 *4189:Z io_oeb[6] 20.5756
*END
*D_NET *75 0.00110317
*CONN
*P io_oeb[7] O
*I *4190:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[7] 0.000549986
2 *4190:Z 0.000549986
3 io_oeb[7] *3858:A 0
4 io_oeb[7] *3899:A 0
5 io_oeb[7] *4190:TE_B 3.20069e-06
6 io_oeb[15] io_oeb[7] 0
*RES
1 *4190:Z io_oeb[7] 28.5761
*END
*D_NET *76 0.00400813
*CONN
*P io_oeb[8] O
*I *4191:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[8] 0.000597047
2 *4191:Z 0.00105922
3 *76:13 0.00165627
4 io_oeb[8] la1_data_out[15] 0
5 io_oeb[8] la1_data_out[5] 0
6 io_oeb[8] *4118:TE_B 4.86688e-06
7 io_oeb[8] *708:11 0
8 io_oeb[8] *710:10 0
9 *76:13 io_out[2] 9.75356e-05
10 *76:13 *4113:TE_B 6.92705e-05
11 *76:13 *4118:TE_B 0.000167076
12 *76:13 *4142:TE_B 2.69064e-05
13 *76:13 *4191:TE_B 0.000286135
14 *76:13 *703:13 1.62206e-05
15 *76:13 *773:13 2.75805e-05
16 io_oeb[22] io_oeb[8] 0
*RES
1 *4191:Z *76:13 43.2206
2 *76:13 io_oeb[8] 14.8269
*END
*D_NET *77 0.00172429
*CONN
*P io_oeb[9] O
*I *4192:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[9] 0.000557284
2 *4192:Z 0.000557284
3 io_oeb[9] *3878:A 0.000419375
4 io_oeb[9] *4192:A 6.08467e-05
5 io_oeb[9] *4192:TE_B 0.000129499
6 io_oeb[9] *4300:A 0
*RES
1 *4192:Z io_oeb[9] 31.791
*END
*D_NET *78 0.00237162
*CONN
*P io_out[0] O
*I *4145:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[0] 9.24888e-05
2 *4145:Z 0.000212734
3 *78:8 0.0009612
4 *78:7 0.00108145
5 io_out[0] io_out[6] 2.37478e-05
6 io_out[0] la1_data_out[12] 0
*RES
1 *4145:Z *78:7 23.6585
2 *78:7 *78:8 1494.98
3 *78:8 io_out[0] 17.7892
*END
*D_NET *79 0.00195218
*CONN
*P io_out[10] O
*I *4155:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[10] 0.000966528
2 *4155:Z 0.000966528
3 io_out[10] io_out[16] 0
4 io_out[10] *3798:A 1.91246e-05
5 io_out[10] *410:12 0
6 io_out[10] *858:56 0
7 io_oeb[11] io_out[10] 0
*RES
1 *4155:Z io_out[10] 20.1604
*END
*D_NET *80 0.0214376
*CONN
*P io_out[11] O
*I *4156:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[11] 0.000996371
2 *4156:Z 0.00135052
3 *80:18 0.00282057
4 *80:17 0.0018242
5 *80:15 0.00325632
6 *80:13 0.00460684
7 io_out[11] la1_data_out[15] 0.000193483
8 io_out[11] la1_data_out[25] 0
9 *80:13 *3615:A1 4.97911e-05
10 *80:13 *3800:A 6.22212e-05
11 *80:13 *3961:C 1.21461e-06
12 *80:13 *4156:A 0.000224381
13 *80:13 *4262:D 7.02539e-05
14 *80:13 *806:82 7.66853e-05
15 *80:13 *862:5 2.32967e-05
16 *80:15 *3579:A 0.000111708
17 *80:15 *3587:B 6.92705e-05
18 *80:15 *806:82 1.71154e-05
19 *80:15 *806:84 8.26312e-05
20 *80:15 *806:88 0.000122834
21 *80:15 *883:245 0.000381471
22 *80:15 *883:256 0.000167002
23 *80:18 la1_data_out[15] 0.00029392
24 *80:18 *3906:A 0
25 *80:18 *154:10 0.00123868
26 *80:18 *444:40 0.000159938
27 *80:18 *462:16 0
28 *54:6 io_out[11] 5.63359e-05
29 *54:6 *80:18 0.00318056
*RES
1 *4156:Z *80:13 41.6814
2 *80:13 *80:15 75.0403
3 *80:15 *80:17 4.5
4 *80:17 *80:18 77.3713
5 *80:18 io_out[11] 10.2668
*END
*D_NET *81 0.0148627
*CONN
*P io_out[12] O
*I *4157:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[12] 0.00105888
2 *4157:Z 0
3 *81:9 0.00580986
4 *81:8 0.00628502
5 *81:5 0.00153405
6 io_out[12] io_out[30] 0
7 io_out[12] *3779:A 3.01656e-05
8 io_out[12] *462:16 1.44611e-05
9 io_out[12] *645:29 8.2238e-05
10 *81:8 *4158:A 4.80148e-05
11 *54:6 io_out[12] 0
*RES
1 *4157:Z *81:5 13.7491
2 *81:5 *81:8 43.7047
3 *81:8 *81:9 111.09
4 *81:9 io_out[12] 14.0475
*END
*D_NET *82 0.00679495
*CONN
*P io_out[13] O
*I *4158:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[13] 0.00011588
2 *4158:Z 0
3 *82:6 0.00272086
4 *82:5 0.00260498
5 *82:6 *4187:A 0.000543573
6 *82:6 *669:10 8.92568e-06
7 *82:6 *731:14 0.00041252
8 io_oeb[1] io_out[13] 0
9 io_oeb[1] *82:6 0
10 io_oeb[31] *82:6 0.000388207
*RES
1 *4158:Z *82:5 13.7491
2 *82:5 *82:6 73.463
3 *82:6 io_out[13] 4.50671
*END
*D_NET *83 0.00228593
*CONN
*P io_out[14] O
*I *4159:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[14] 0.00111455
2 *4159:Z 0.00111455
3 io_out[14] io_out[34] 0
4 io_out[14] *3931:A 0
5 io_out[14] *425:16 5.68318e-05
6 io_out[14] *500:77 0
7 io_oeb[26] io_out[14] 0
*RES
1 *4159:Z io_out[14] 22.2367
*END
*D_NET *84 0.0126279
*CONN
*P io_out[15] O
*I *4160:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[15] 0.000907113
2 *4160:Z 2.06324e-05
3 *84:7 0.00612736
4 *84:5 0.00524088
5 io_out[15] io_out[21] 0
6 io_out[15] *444:40 4.67082e-05
7 io_out[15] *462:16 0
8 *84:7 *4160:A 0.000113968
9 *84:7 *4160:TE_B 0.000171273
*RES
1 *4160:Z *84:5 9.82786
2 *84:5 *84:7 113.863
3 *84:7 io_out[15] 13.1733
*END
*D_NET *85 0.00120147
*CONN
*P io_out[16] O
*I *4161:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[16] 0.000517883
2 *4161:Z 0.000517883
3 io_out[16] la1_data_out[19] 0
4 io_out[16] *4161:TE_B 0.000113188
5 io_out[16] *450:38 5.25197e-05
6 io_out[10] io_out[16] 0
*RES
1 *4161:Z io_out[16] 20.6741
*END
*D_NET *86 0.00248696
*CONN
*P io_out[17] O
*I *4162:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[17] 0.00118083
2 *4162:Z 0.00118083
3 io_out[17] la1_data_out[12] 0
4 io_out[17] la1_data_out[9] 0
5 io_out[17] *3774:A 0
6 io_out[17] *4122:TE_B 9.39527e-05
7 io_out[17] *4125:TE_B 3.1355e-05
*RES
1 *4162:Z io_out[17] 42.8775
*END
*D_NET *87 0.0143577
*CONN
*P io_out[18] O
*I *4163:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[18] 0.000985182
2 *4163:Z 0.0001732
3 *87:9 0.00550415
4 *87:8 0.00469217
5 io_out[18] la1_data_out[28] 0.000115615
6 io_out[18] la1_data_out[4] 0
7 io_out[18] *3854:A 0.000113939
8 io_out[18] *490:11 0.000319954
9 *87:8 *3723:A 0
10 *87:8 *4124:A 0
11 *87:8 *4124:TE_B 2.99929e-05
12 *87:8 *4186:A 0.000304998
13 *87:9 la1_data_out[11] 1.43848e-05
14 *87:9 *3847:A 0.000795674
15 *87:9 *3917:A 0.0012141
16 *87:9 *3918:A 4.12696e-05
17 *87:9 *4184:TE_B 2.01874e-05
18 *87:9 *4186:TE_B 1.44742e-05
19 io_oeb[1] *87:9 1.84293e-05
20 io_oeb[25] io_out[18] 0
*RES
1 *4163:Z *87:8 23.8184
2 *87:8 *87:9 69.7715
3 *87:9 io_out[18] 30.474
*END
*D_NET *88 0.00143199
*CONN
*P io_out[19] O
*I *4164:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[19] 0.000705507
2 *4164:Z 0.000705507
3 io_out[19] *4290:A 0
4 io_out[19] *444:40 0
5 io_out[19] *469:25 2.09735e-05
6 io_oeb[32] io_out[19] 0
*RES
1 *4164:Z io_out[19] 20.226
*END
*D_NET *89 0.00137669
*CONN
*P io_out[1] O
*I *4146:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[1] 0.000640013
2 *4146:Z 0.000640013
3 io_out[1] *4201:TE_B 9.66658e-05
*RES
1 *4146:Z io_out[1] 31.4829
*END
*D_NET *90 0.00140457
*CONN
*P io_out[20] O
*I *4165:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[20] 0.000575891
2 *4165:Z 0.000575891
3 io_out[20] *734:11 9.95519e-05
4 io_out[20] *741:14 0.00015324
5 io_oeb[2] io_out[20] 0
*RES
1 *4165:Z io_out[20] 30.1328
*END
*D_NET *91 0.00109442
*CONN
*P io_out[21] O
*I *4166:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[21] 0.00053473
2 *4166:Z 0.00053473
3 io_out[21] *487:18 4.75721e-06
4 io_out[21] *493:24 2.02035e-05
5 io_out[15] io_out[21] 0
*RES
1 *4166:Z io_out[21] 19.319
*END
*D_NET *92 0.00112378
*CONN
*P io_out[22] O
*I *4167:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[22] 0.000490382
2 *4167:Z 0.000490382
3 io_out[22] *3918:A 0.000143017
4 io_out[22] *4167:TE_B 0
*RES
1 *4167:Z io_out[22] 27.3303
*END
*D_NET *93 0.00076521
*CONN
*P io_out[23] O
*I *4168:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[23] 0.000356345
2 *4168:Z 0.000356345
3 io_out[23] *4168:TE_B 5.25197e-05
4 io_out[23] *214:10 0
5 io_oeb[20] io_out[23] 0
*RES
1 *4168:Z io_out[23] 20.1386
*END
*D_NET *94 0.00215624
*CONN
*P io_out[24] O
*I *4169:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[24] 0.000831317
2 *4169:Z 0.000831317
3 io_out[24] *3855:A 3.20069e-06
4 io_out[24] *3856:A 0.000266846
5 io_out[24] *4186:TE_B 0
6 io_out[24] *425:61 0.000135372
7 io_out[24] *765:10 8.81898e-05
8 io_out[24] *887:10 0
9 io_oeb[0] io_out[24] 0
*RES
1 *4169:Z io_out[24] 36.9406
*END
*D_NET *95 0.00143021
*CONN
*P io_out[25] O
*I *4170:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[25] 0.000657523
2 *4170:Z 0.000657523
3 io_out[25] *4165:TE_B 7.93468e-05
4 io_out[25] *4170:TE_B 3.58185e-05
5 io_out[25] *754:10 0
6 io_oeb[18] io_out[25] 0
*RES
1 *4170:Z io_out[25] 31.4829
*END
*D_NET *96 0.00358645
*CONN
*P io_out[26] O
*I *4171:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[26] 0.00125057
2 *4171:Z 0.00125057
3 io_out[26] io_out[30] 0
4 io_out[26] *3877:A 1.41291e-05
5 io_out[26] *4142:TE_B 1.91391e-05
6 io_out[26] *4171:A 0.000311249
7 io_out[26] *4171:TE_B 0.000736094
8 io_out[26] *645:29 4.69495e-06
9 io_oeb[14] io_out[26] 0
*RES
1 *4171:Z io_out[26] 29.4564
*END
*D_NET *97 0.000940977
*CONN
*P io_out[27] O
*I *4172:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[27] 0.000435428
2 *4172:Z 0.000435428
3 io_out[27] *654:11 5.04829e-06
4 io_out[27] *874:13 6.50727e-05
*RES
1 *4172:Z io_out[27] 25.5622
*END
*D_NET *98 0.00191652
*CONN
*P io_out[28] O
*I *4173:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[28] 0.000902397
2 *4173:Z 0.000902397
3 io_out[28] io_out[8] 0
4 io_out[28] *4173:A 0.000111722
*RES
1 *4173:Z io_out[28] 37.9358
*END
*D_NET *99 0.00241134
*CONN
*P io_out[29] O
*I *4174:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[29] 0.000957871
2 *4174:Z 0.000957871
3 io_out[29] la1_data_out[28] 0
4 io_out[29] *488:11 0
5 io_oeb[16] io_out[29] 0
6 io_oeb[4] io_out[29] 0.000495599
*RES
1 *4174:Z io_out[29] 28.0502
*END
*D_NET *100 0.00369543
*CONN
*P io_out[2] O
*I *4147:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[2] 0.000817398
2 *4147:Z 0.000817398
3 io_out[2] la1_data_out[0] 0
4 io_out[2] la1_data_out[29] 0.000312987
5 io_out[2] *4142:TE_B 2.36116e-05
6 io_out[2] *645:29 0.000571163
7 io_out[2] *732:11 0.00105301
8 io_oeb[14] io_out[2] 2.33103e-06
9 *76:13 io_out[2] 9.75356e-05
*RES
1 *4147:Z io_out[2] 45.2595
*END
*D_NET *101 0.00139498
*CONN
*P io_out[30] O
*I *4175:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[30] 0.000649416
2 *4175:Z 0.000649416
3 io_out[30] *456:12 0
4 io_out[30] *518:22 9.61451e-05
5 io_out[12] io_out[30] 0
6 io_out[26] io_out[30] 0
*RES
1 *4175:Z io_out[30] 20.5648
*END
*D_NET *102 0.000839962
*CONN
*P io_out[31] O
*I *4176:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[31] 0.000365885
2 *4176:Z 0.000365885
3 io_out[31] io_out[9] 0
4 io_out[31] *4176:A 0
5 io_out[31] *4176:TE_B 1.20478e-05
6 io_out[31] *645:14 9.61451e-05
*RES
1 *4176:Z io_out[31] 19.7999
*END
*D_NET *103 0.00273533
*CONN
*P io_out[32] O
*I *4177:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[32] 0.00105344
2 *4177:Z 0.00105344
3 io_out[32] la1_data_out[13] 0
4 io_out[32] la1_data_out[18] 0
5 io_out[32] la1_data_out[1] 0.000139234
6 io_out[32] la1_data_out[27] 0.000489211
7 *4285:A io_out[32] 0
*RES
1 *4177:Z io_out[32] 31.4378
*END
*D_NET *104 0.000750879
*CONN
*P io_out[33] O
*I *4178:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[33] 0.000364211
2 *4178:Z 0.000364211
3 io_out[33] *4294:A 0
4 io_out[33] *4296:A 0
5 io_out[33] *760:13 2.24571e-05
*RES
1 *4178:Z io_out[33] 20.1386
*END
*D_NET *105 0.00102613
*CONN
*P io_out[34] O
*I *4179:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[34] 0.000402618
2 *4179:Z 0.000402618
3 io_out[34] *3833:A 0.000220888
4 io_out[14] io_out[34] 0
*RES
1 *4179:Z io_out[34] 21.7996
*END
*D_NET *106 0.000826102
*CONN
*P io_out[35] O
*I *4180:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[35] 0.000413051
2 *4180:Z 0.000413051
3 io_out[35] la1_data_out[8] 0
4 io_out[35] *450:28 0
5 io_oeb[26] io_out[35] 0
*RES
1 *4180:Z io_out[35] 20.1386
*END
*D_NET *107 0.00128692
*CONN
*P io_out[36] O
*I *4181:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[36] 0.00056505
2 *4181:Z 0.00056505
3 io_out[36] *4202:TE_B 0.000156823
4 io_oeb[19] io_out[36] 0
*RES
1 *4181:Z io_out[36] 29.4799
*END
*D_NET *108 0.0026807
*CONN
*P io_out[37] O
*I *4182:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[37] 0.00115448
2 *4182:Z 0.00115448
3 io_out[37] la1_data_out[12] 0
4 io_out[37] *4125:A 2.54248e-05
5 io_out[37] *425:16 0.000346318
6 io_out[37] *744:11 0
*RES
1 *4182:Z io_out[37] 27.2197
*END
*D_NET *109 0.00149856
*CONN
*P io_out[3] O
*I *4148:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[3] 0.000737791
2 *4148:Z 0.000737791
3 io_out[3] la1_data_out[1] 2.2979e-05
4 io_out[3] *4177:TE_B 0
*RES
1 *4148:Z io_out[3] 20.1495
*END
*D_NET *110 0.000878449
*CONN
*P io_out[4] O
*I *4149:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[4] 0.000411535
2 *4149:Z 0.000411535
3 io_out[4] *3785:A 5.53789e-05
4 io_out[4] *410:12 0
5 io_oeb[11] io_out[4] 0
*RES
1 *4149:Z io_out[4] 21.7996
*END
*D_NET *111 0.00109816
*CONN
*P io_out[5] O
*I *4150:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[5] 0.00054724
2 *4150:Z 0.00054724
3 io_out[5] *4150:A 0
4 io_out[5] *4150:TE_B 3.67528e-06
5 io_out[5] *874:16 0
*RES
1 *4150:Z io_out[5] 28.5761
*END
*D_NET *112 0.00340702
*CONN
*P io_out[6] O
*I *4151:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[6] 0.0014358
2 *4151:Z 0.0014358
3 io_out[6] la1_data_out[9] 0
4 io_out[6] *874:11 0.000511668
5 io_out[0] io_out[6] 2.37478e-05
*RES
1 *4151:Z io_out[6] 41.8064
*END
*D_NET *113 0.00135075
*CONN
*P io_out[7] O
*I *4152:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[7] 0.000644036
2 *4152:Z 0.000644036
3 io_out[7] *469:25 3.13394e-05
4 io_out[7] *536:34 3.13394e-05
*RES
1 *4152:Z io_out[7] 20.1495
*END
*D_NET *114 0.00158699
*CONN
*P io_out[8] O
*I *4153:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[8] 0.00058602
2 *4153:Z 0.00058602
3 io_out[8] *4163:TE_B 0
4 io_out[8] *4173:A 0.000378054
5 io_out[8] *4173:TE_B 3.69003e-05
6 io_out[8] *4299:A 0
7 io_out[28] io_out[8] 0
*RES
1 *4153:Z io_out[8] 31.0676
*END
*D_NET *115 0.0161138
*CONN
*P io_out[9] O
*I *4154:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[9] 0.00121044
2 *4154:Z 0.00122916
3 *115:13 0.00651532
4 *115:12 0.00653404
5 io_out[9] *462:16 0.000202816
6 *115:12 *3796:A 9.40969e-05
7 *115:12 *4154:TE_B 4.60139e-05
8 *115:12 *4260:D 0.000175601
9 *115:12 *524:8 0
10 *115:12 *871:8 6.50727e-05
11 *115:12 *885:8 4.12119e-05
12 io_out[31] io_out[9] 0
*RES
1 *4154:Z *115:12 47.5862
2 *115:12 *115:13 76.1495
3 *115:13 io_out[9] 18.2
*END
*D_NET *116 0.00159939
*CONN
*P la1_data_in[0] I
*I *4294:A I *D sky130_fd_sc_hd__buf_8
*CAP
1 la1_data_in[0] 0.000754895
2 *4294:A 0.000754895
3 *4294:A *425:32 8.96003e-05
4 *4294:A *760:13 0
5 io_out[33] *4294:A 0
*RES
1 la1_data_in[0] *4294:A 22.0728
*END
*D_NET *117 0.00267139
*CONN
*P la1_data_in[10] I
*I *4295:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[10] 0.0012299
2 *4295:A 0.0012299
3 *4295:A la1_data_out[11] 0
4 *4295:A la1_data_out[2] 0
5 *4295:A *3700:A 0
6 *4295:A *4115:TE_B 0
7 *4295:A *4163:A 0.00014076
8 *4295:A *542:8 0
9 *4295:A *665:15 0
10 *4295:A *888:6 7.08276e-05
*RES
1 la1_data_in[10] *4295:A 45.773
*END
*D_NET *118 0.000712701
*CONN
*P la1_data_in[11] I
*I *4296:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 la1_data_in[11] 0.000356351
2 *4296:A 0.000356351
3 *4296:A la1_data_out[26] 0
4 *4296:A *3859:A 0
5 *4296:A *425:32 0
6 io_out[33] *4296:A 0
*RES
1 la1_data_in[11] *4296:A 18.6306
*END
*D_NET *119 0.000769609
*CONN
*P la1_data_in[12] I
*I *4297:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 la1_data_in[12] 0.000349755
2 *4297:A 0.000349755
3 *4297:A *645:14 7.00991e-05
*RES
1 la1_data_in[12] *4297:A 19.3846
*END
*D_NET *120 0.00083807
*CONN
*P la1_data_in[13] I
*I *4298:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 la1_data_in[13] 0.000405743
2 *4298:A 0.000405743
3 *4298:A *887:9 2.65831e-05
*RES
1 la1_data_in[13] *4298:A 24.7317
*END
*D_NET *127 0.00213041
*CONN
*P la1_data_in[1] I
*I *4299:A I *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 la1_data_in[1] 0.000877777
2 *4299:A 0.000877777
3 *4299:A la1_data_out[2] 0
4 *4299:A *3700:A 0
5 *4299:A *4115:TE_B 0.000128052
6 *4299:A *4163:A 0
7 *4299:A *4163:TE_B 0.000141572
8 *4299:A *4173:TE_B 4.01573e-05
9 *4299:A *424:17 6.50727e-05
10 io_out[8] *4299:A 0
*RES
1 la1_data_in[1] *4299:A 37.6045
*END
*D_NET *138 0.000857602
*CONN
*P la1_data_in[2] I
*I *4300:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 la1_data_in[2] 0.000414538
2 *4300:A 0.000414538
3 *4300:A la1_data_out[23] 0
4 *4300:A *703:13 2.85274e-05
5 io_oeb[9] *4300:A 0
*RES
1 la1_data_in[2] *4300:A 24.8711
*END
*D_NET *141 0.000710968
*CONN
*P la1_data_in[3] I
*I *4286:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[3] 0.000334971
2 *4286:A 0.000334971
3 *4286:A *512:57 4.1026e-05
4 io_oeb[5] *4286:A 0
*RES
1 la1_data_in[3] *4286:A 20.4009
*END
*D_NET *142 0.00241104
*CONN
*P la1_data_in[4] I
*I *4287:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 la1_data_in[4] 0.000786519
2 *4287:A 0.000786519
3 *4287:A la1_data_out[31] 0
4 *4287:A *874:11 0.000837997
5 io_oeb[2] *4287:A 0
*RES
1 la1_data_in[4] *4287:A 36.6731
*END
*D_NET *143 0.00143105
*CONN
*P la1_data_in[5] I
*I *4288:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 la1_data_in[5] 0.000569161
2 *4288:A 0.000569161
3 *4288:A la1_data_out[19] 0
4 *4288:A *214:10 0
5 *4288:A *438:45 0.000111134
6 *4288:A *450:38 0.000106568
7 *4288:A *875:17 7.50228e-05
*RES
1 la1_data_in[5] *4288:A 20.827
*END
*D_NET *144 0.000882214
*CONN
*P la1_data_in[6] I
*I *4289:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 la1_data_in[6] 0.000441107
2 *4289:A 0.000441107
3 *4289:A *4291:A 0
*RES
1 la1_data_in[6] *4289:A 25.5622
*END
*D_NET *145 0.0010569
*CONN
*P la1_data_in[7] I
*I *4290:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[7] 0.000518346
2 *4290:A 0.000518346
3 *4290:A *518:12 2.02035e-05
4 io_oeb[35] *4290:A 0
5 io_out[19] *4290:A 0
*RES
1 la1_data_in[7] *4290:A 19.013
*END
*D_NET *146 0.00114802
*CONN
*P la1_data_in[8] I
*I *4291:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 la1_data_in[8] 0.00057401
2 *4291:A 0.00057401
3 *4291:A *876:8 0
4 *4289:A *4291:A 0
*RES
1 la1_data_in[8] *4291:A 28.8842
*END
*D_NET *147 0.000706569
*CONN
*P la1_data_in[9] I
*I *4292:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[9] 0.000353285
2 *4292:A 0.000353285
3 *4292:A *645:14 0
*RES
1 la1_data_in[9] *4292:A 20.8161
*END
*D_NET *148 0.00103718
*CONN
*P la1_data_out[0] O
*I *4113:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[0] 0.000518591
2 *4113:Z 0.000518591
3 la1_data_out[0] *732:11 0
4 io_out[2] la1_data_out[0] 0
*RES
1 *4113:Z la1_data_out[0] 27.3303
*END
*D_NET *149 0.000571829
*CONN
*P la1_data_out[10] O
*I *4123:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[10] 0.000281846
2 *4123:Z 0.000281846
3 la1_data_out[10] la1_data_out[30] 0
4 la1_data_out[10] *3721:A 8.13812e-06
*RES
1 *4123:Z la1_data_out[10] 18.4776
*END
*D_NET *150 0.00166768
*CONN
*P la1_data_out[11] O
*I *4124:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[11] 0.00079201
2 *4124:Z 0.00079201
3 la1_data_out[11] *4124:A 6.92705e-05
4 la1_data_out[11] *665:15 0
5 *4295:A la1_data_out[11] 0
6 *87:9 la1_data_out[11] 1.43848e-05
*RES
1 *4124:Z la1_data_out[11] 34.1544
*END
*D_NET *151 0.000802684
*CONN
*P la1_data_out[12] O
*I *4125:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[12] 0.00037682
2 *4125:Z 0.00037682
3 la1_data_out[12] la1_data_out[9] 4.90442e-05
4 io_out[0] la1_data_out[12] 0
5 io_out[17] la1_data_out[12] 0
6 io_out[37] la1_data_out[12] 0
*RES
1 *4125:Z la1_data_out[12] 20.1386
*END
*D_NET *152 0.00178771
*CONN
*P la1_data_out[13] O
*I *4126:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[13] 0.000624812
2 *4126:Z 0.000624812
3 la1_data_out[13] la1_data_out[18] 0.000264318
4 la1_data_out[13] la1_data_out[27] 0
5 la1_data_out[13] *4126:A 0.000169041
6 la1_data_out[13] *4126:TE_B 0.000104731
7 io_out[32] la1_data_out[13] 0
*RES
1 *4126:Z la1_data_out[13] 35.7581
*END
*D_NET *153 0.00155275
*CONN
*P la1_data_out[14] O
*I *4127:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[14] 0.000621329
2 *4127:Z 0.000621329
3 la1_data_out[14] *4206:A 0.000310094
4 la1_data_out[14] *4207:A 0
5 io_oeb[24] la1_data_out[14] 0
6 *56:11 la1_data_out[14] 0
*RES
1 *4127:Z la1_data_out[14] 31.4829
*END
*D_NET *154 0.00874326
*CONN
*P la1_data_out[15] O
*I *4128:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[15] 0.00124475
2 *4128:Z 3.80166e-05
3 *154:10 0.00258163
4 *154:7 0.0013749
5 la1_data_out[15] *710:10 0.00119202
6 *154:10 *3906:A 3.98142e-05
7 *154:10 *4175:A 0.000489765
8 *154:10 *4205:A 3.1218e-05
9 *154:10 *518:22 0
10 *154:10 *710:10 2.5059e-05
11 io_oeb[8] la1_data_out[15] 0
12 io_out[11] la1_data_out[15] 0.000193483
13 *54:6 la1_data_out[15] 0
14 *80:18 la1_data_out[15] 0.00029392
15 *80:18 *154:10 0.00123868
*RES
1 *4128:Z *154:7 14.4725
2 *154:7 *154:10 47.2044
3 *154:10 la1_data_out[15] 31.4903
*END
*D_NET *155 0.000720302
*CONN
*P la1_data_out[16] O
*I *4129:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[16] 0.000360151
2 *4129:Z 0.000360151
3 la1_data_out[16] *3742:A 0
4 io_oeb[6] la1_data_out[16] 0
*RES
1 *4129:Z la1_data_out[16] 18.8929
*END
*D_NET *156 0.00111093
*CONN
*P la1_data_out[17] O
*I *4130:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[17] 0.000537555
2 *4130:Z 0.000537555
3 la1_data_out[17] la1_data_out[8] 0
4 la1_data_out[17] *3744:A 3.58185e-05
5 la1_data_out[17] *450:28 0
*RES
1 *4130:Z la1_data_out[17] 23.4606
*END
*D_NET *157 0.00275236
*CONN
*P la1_data_out[18] O
*I *4131:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[18] 0.000694653
2 *4131:Z 0.000694653
3 la1_data_out[18] *3729:A 0
4 la1_data_out[18] *4126:TE_B 4.26859e-05
5 la1_data_out[18] *4131:A 0.000423908
6 la1_data_out[18] *730:13 0.00063214
7 io_out[32] la1_data_out[18] 0
8 la1_data_out[13] la1_data_out[18] 0.000264318
*RES
1 *4131:Z la1_data_out[18] 38.4704
*END
*D_NET *158 0.00102692
*CONN
*P la1_data_out[19] O
*I *4132:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[19] 0.000465996
2 *4132:Z 0.000465996
3 la1_data_out[19] *4132:TE_B 9.49279e-05
4 io_out[16] la1_data_out[19] 0
5 *4288:A la1_data_out[19] 0
*RES
1 *4132:Z la1_data_out[19] 21.7996
*END
*D_NET *159 0.00348992
*CONN
*P la1_data_out[1] O
*I *4114:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[1] 0.00132998
2 *4114:Z 0.00132998
3 la1_data_out[1] *3835:A 0
4 la1_data_out[1] *4114:A 3.20069e-06
5 la1_data_out[1] *4131:TE_B 0
6 la1_data_out[1] *4177:TE_B 0
7 la1_data_out[1] *4213:TE_B 0.000101133
8 la1_data_out[1] *444:38 0.000474091
9 la1_data_out[1] *444:40 8.36443e-05
10 la1_data_out[1] *456:12 0
11 io_out[32] la1_data_out[1] 0.000139234
12 io_out[3] la1_data_out[1] 2.2979e-05
13 *4285:A la1_data_out[1] 0
14 *63:11 la1_data_out[1] 5.66868e-06
*RES
1 *4114:Z la1_data_out[1] 37.7431
*END
*D_NET *160 0.00148526
*CONN
*P la1_data_out[20] O
*I *4133:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[20] 0.000673013
2 *4133:Z 0.000673013
3 la1_data_out[20] la1_data_out[3] 0
4 la1_data_out[20] *456:12 0
5 la1_data_out[20] *518:22 0.000139234
6 io_oeb[36] la1_data_out[20] 0
*RES
1 *4133:Z la1_data_out[20] 21.3953
*END
*D_NET *161 0.000567332
*CONN
*P la1_data_out[21] O
*I *4134:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[21] 0.000283666
2 *4134:Z 0.000283666
3 la1_data_out[21] *3725:A 0
*RES
1 *4134:Z la1_data_out[21] 18.4776
*END
*D_NET *162 0.00113465
*CONN
*P la1_data_out[22] O
*I *4135:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[22] 0.00054473
2 *4135:Z 0.00054473
3 la1_data_out[22] *4135:A 4.15143e-05
4 la1_data_out[22] *4135:TE_B 3.67528e-06
5 io_oeb[27] la1_data_out[22] 0
*RES
1 *4135:Z la1_data_out[22] 28.5761
*END
*D_NET *163 0.00103457
*CONN
*P la1_data_out[23] O
*I *4136:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[23] 0.00049774
2 *4136:Z 0.00049774
3 la1_data_out[23] *4200:A 0
4 la1_data_out[23] *889:6 3.90891e-05
5 io_oeb[17] la1_data_out[23] 0
6 *4300:A la1_data_out[23] 0
*RES
1 *4136:Z la1_data_out[23] 27.3303
*END
*D_NET *164 0.000786523
*CONN
*P la1_data_out[24] O
*I *4137:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[24] 0.00037757
2 *4137:Z 0.00037757
3 la1_data_out[24] *4137:TE_B 3.13828e-05
4 io_oeb[6] la1_data_out[24] 0
*RES
1 *4137:Z la1_data_out[24] 20.1386
*END
*D_NET *165 0.00198869
*CONN
*P la1_data_out[25] O
*I *4138:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[25] 0.000869062
2 *4138:Z 0.000869062
3 la1_data_out[25] *3714:A 0
4 la1_data_out[25] *4118:TE_B 9.34404e-05
5 la1_data_out[25] *710:10 0.000123934
6 la1_data_out[25] *728:11 3.31882e-05
7 io_oeb[14] la1_data_out[25] 0
8 io_out[11] la1_data_out[25] 0
*RES
1 *4138:Z la1_data_out[25] 25.2855
*END
*D_NET *166 0.000644262
*CONN
*P la1_data_out[26] O
*I *4139:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[26] 0.000322131
2 *4139:Z 0.000322131
3 la1_data_out[26] *3859:A 0
4 *4296:A la1_data_out[26] 0
*RES
1 *4139:Z la1_data_out[26] 18.8929
*END
*D_NET *167 0.00247369
*CONN
*P la1_data_out[27] O
*I *4140:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[27] 0.000992238
2 *4140:Z 0.000992238
3 io_oeb[30] la1_data_out[27] 0
4 io_out[32] la1_data_out[27] 0.000489211
5 la1_data_out[13] la1_data_out[27] 0
*RES
1 *4140:Z la1_data_out[27] 32.6071
*END
*D_NET *168 0.00142513
*CONN
*P la1_data_out[28] O
*I *4141:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[28] 0.000612204
2 *4141:Z 0.000612204
3 la1_data_out[28] la1_data_out[4] 0
4 la1_data_out[28] *488:11 8.51085e-05
5 io_oeb[4] la1_data_out[28] 0
6 io_out[18] la1_data_out[28] 0.000115615
7 io_out[29] la1_data_out[28] 0
*RES
1 *4141:Z la1_data_out[28] 30.2721
*END
*D_NET *169 0.00132163
*CONN
*P la1_data_out[29] O
*I *4142:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[29] 0.000411944
2 *4142:Z 0.000411944
3 la1_data_out[29] *4142:TE_B 1.07248e-05
4 la1_data_out[29] *732:11 0.000113968
5 io_out[2] la1_data_out[29] 0.000312987
6 *54:6 la1_data_out[29] 6.00676e-05
*RES
1 *4142:Z la1_data_out[29] 30.2938
*END
*D_NET *170 0.00102155
*CONN
*P la1_data_out[2] O
*I *4115:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[2] 0.000510776
2 *4115:Z 0.000510776
3 *4295:A la1_data_out[2] 0
4 *4299:A la1_data_out[2] 0
*RES
1 *4115:Z la1_data_out[2] 27.3303
*END
*D_NET *171 0.000625181
*CONN
*P la1_data_out[30] O
*I *4143:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[30] 0.000304527
2 *4143:Z 0.000304527
3 la1_data_out[30] *3773:A 1.61274e-05
4 io_oeb[13] la1_data_out[30] 0
5 la1_data_out[10] la1_data_out[30] 0
*RES
1 *4143:Z la1_data_out[30] 18.8929
*END
*D_NET *172 0.00261959
*CONN
*P la1_data_out[31] O
*I *4144:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[31] 0.0013098
2 *4144:Z 0.0013098
3 *4287:A la1_data_out[31] 0
*RES
1 *4144:Z la1_data_out[31] 33.9402
*END
*D_NET *173 0.0011306
*CONN
*P la1_data_out[3] O
*I *4116:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[3] 0.0005552
2 *4116:Z 0.0005552
3 la1_data_out[3] *518:22 2.02035e-05
4 la1_data_out[20] la1_data_out[3] 0
*RES
1 *4116:Z la1_data_out[3] 19.319
*END
*D_NET *174 0.00143538
*CONN
*P la1_data_out[4] O
*I *4117:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[4] 0.00065205
2 *4117:Z 0.00065205
3 la1_data_out[4] *3854:A 0
4 la1_data_out[4] *488:11 0
5 la1_data_out[4] *490:11 8.8567e-05
6 la1_data_out[4] *693:11 4.27148e-05
7 io_out[18] la1_data_out[4] 0
8 la1_data_out[28] la1_data_out[4] 0
*RES
1 *4117:Z la1_data_out[4] 31.0676
*END
*D_NET *175 0.00115958
*CONN
*P la1_data_out[5] O
*I *4118:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[5] 0.000564897
2 *4118:Z 0.000564897
3 la1_data_out[5] *4118:TE_B 2.65831e-05
4 la1_data_out[5] *4120:TE_B 0
5 la1_data_out[5] *708:11 3.20069e-06
6 io_oeb[8] la1_data_out[5] 0
*RES
1 *4118:Z la1_data_out[5] 28.0537
*END
*D_NET *176 0.00114343
*CONN
*P la1_data_out[6] O
*I *4119:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[6] 0.00011548
2 *4119:Z 0.000212734
3 *176:8 0.000230692
4 *176:7 0.000327946
5 *176:8 *493:82 0.000256576
6 io_oeb[20] la1_data_out[6] 0
*RES
1 *4119:Z *176:7 23.6585
2 *176:7 *176:8 289.725
3 *176:8 la1_data_out[6] 17.7892
*END
*D_NET *177 0.00149616
*CONN
*P la1_data_out[7] O
*I *4120:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[7] 0.000710605
2 *4120:Z 0.000710605
3 la1_data_out[7] *4120:A 7.49459e-05
*RES
1 *4120:Z la1_data_out[7] 33.466
*END
*D_NET *178 0.000980598
*CONN
*P la1_data_out[8] O
*I *4121:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[8] 0.000462595
2 *4121:Z 0.000462595
3 la1_data_out[8] *4121:TE_B 5.54078e-05
4 la1_data_out[8] *450:28 0
5 io_out[35] la1_data_out[8] 0
6 la1_data_out[17] la1_data_out[8] 0
*RES
1 *4121:Z la1_data_out[8] 21.7996
*END
*D_NET *179 0.00206379
*CONN
*P la1_data_out[9] O
*I *4122:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[9] 0.00100737
2 *4122:Z 0.00100737
3 la1_data_out[9] *3719:A 0
4 io_out[17] la1_data_out[9] 0
5 io_out[6] la1_data_out[9] 0
6 la1_data_out[12] la1_data_out[9] 4.90442e-05
*RES
1 *4122:Z la1_data_out[9] 39.4049
*END
*D_NET *214 0.0106284
*CONN
*P wb_clk_i I
*I *4276:A I *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 wb_clk_i 0.00187512
2 *4276:A 0.00106865
3 *214:10 0.00294376
4 *4276:A *4249:D 1.87611e-05
5 *4276:A *4249:CLK 0.000364356
6 *4276:A *4278:A 0.000709703
7 *4276:A *4281:A 4.81452e-05
8 *4276:A *4282:A 0.000360626
9 *4276:A *481:21 0.00138437
10 *4276:A *803:21 5.93367e-05
11 *214:10 *3619:A 1.62054e-05
12 *214:10 *3691:B 0.000227695
13 *214:10 *219:49 0
14 *214:10 *379:11 5.79399e-05
15 *214:10 *594:11 0.000417742
16 *214:10 *822:21 5.90539e-05
17 *214:10 *822:25 0.000111304
18 *214:10 *838:8 0.000905615
19 io_out[23] *214:10 0
20 *4288:A *214:10 0
*RES
1 wb_clk_i *214:10 28.5922
2 *214:10 *4276:A 44.358
*END
*D_NET *215 0.00611162
*CONN
*I *4222:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3998:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4222:D 0.00030455
2 *3998:X 0.00203795
3 *215:15 0.0023425
4 *4222:D *858:5 0.000106304
5 *4222:D *858:56 1.00937e-05
6 *215:15 *3632:A2 5.73228e-05
7 *215:15 *3632:B1 6.08467e-05
8 *215:15 *3632:C1 0.000432783
9 *215:15 *3633:A1 6.50586e-05
10 *215:15 *4155:TE_B 9.08145e-05
11 *215:15 *4194:TE_B 0.000133148
12 *215:15 *4241:CLK 0.00027103
13 *215:15 *834:21 2.51844e-05
14 *215:15 *840:75 9.32983e-05
15 *215:15 *858:56 8.07497e-05
*RES
1 *3998:X *215:15 46.4317
2 *215:15 *4222:D 16.682
*END
*D_NET *216 0.00728947
*CONN
*I *4221:D I *D sky130_fd_sc_hd__dfxtp_4
*I *3943:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4221:D 0.000388573
2 *3943:X 0.00198646
3 *216:11 0.00237504
4 *4221:D *3604:A2 3.01499e-05
5 *4221:D *3607:A2 0.000166951
6 *4221:D *3607:B1 0.000154145
7 *4221:D *3607:B2 6.64392e-05
8 *4221:D *4221:CLK 0.000171288
9 *4221:D *354:7 0.000222649
10 *4221:D *857:34 0.000383878
11 *4221:D *857:50 6.92705e-05
12 *216:11 *3600:A 0.000566692
13 *216:11 *3604:A2 0.000317458
14 *216:11 *3629:A2 5.61259e-05
15 *216:11 *3629:B2 9.76563e-05
16 *216:11 *4267:D 2.94869e-05
17 *216:11 *4267:CLK 1.00937e-05
18 *216:11 *354:7 1.41976e-05
19 *216:11 *816:5 3.65289e-05
20 *216:11 *857:56 0.000146388
*RES
1 *3943:X *216:11 41.2474
2 *216:11 *4221:D 24.3922
*END
*D_NET *217 0.000489723
*CONN
*I *4223:D I *D sky130_fd_sc_hd__dfxtp_4
*I *3941:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4223:D 0.000131998
2 *3941:X 0.000131998
3 *4223:D *3567:A 0.000127179
4 *4223:D *3612:C1 4.31539e-05
5 *4223:D *347:39 5.53934e-05
*RES
1 *3941:X *4223:D 31.0235
*END
*D_NET *218 0.00545076
*CONN
*I *4111:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3642:A I *D sky130_fd_sc_hd__nand2_2
*I *3519:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4111:A0 0.000186683
2 *3642:A 0
3 *3519:X 0.000566786
4 *218:12 0.000713435
5 *218:11 0.00109354
6 *4111:A0 *3689:A 7.92757e-06
7 *4111:A0 *3996:A1 5.10848e-05
8 *4111:A0 *4111:A1 1.41976e-05
9 *4111:A0 *409:19 0.000216112
10 *218:11 *3612:C1 6.73022e-05
11 *218:11 *3941:A2 0.00032301
12 *218:11 *294:11 8.8837e-05
13 *218:11 *342:24 6.08467e-05
14 *218:12 *3518:B 0.000111358
15 *218:12 *3660:B 0.000153048
16 *218:12 *3996:A1 3.84726e-05
17 *218:12 *4112:A0 0.000741681
18 *218:12 *314:24 7.45017e-05
19 *218:12 *314:26 1.12605e-05
20 *218:12 *314:35 2.35586e-05
21 *218:12 *342:24 2.43314e-05
22 *218:12 *345:26 0.0002212
23 *218:12 *346:6 1.23804e-05
24 *218:12 *409:19 0.000649207
*RES
1 *3519:X *218:11 28.9405
2 *218:11 *218:12 23.0099
3 *218:12 *3642:A 13.7491
4 *218:12 *4111:A0 18.7961
*END
*D_NET *219 0.01504
*CONN
*I *3939:A I *D sky130_fd_sc_hd__inv_2
*I *4112:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4111:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3938:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3939:A 0
2 *4112:A1 0.0010149
3 *4111:A1 0.000204522
4 *3938:X 0
5 *219:49 0.00122064
6 *219:23 0.00239261
7 *219:4 0.00239382
8 *4111:A1 *3676:B1 0
9 *4111:A1 *3689:A 4.33819e-05
10 *4111:A1 *4111:S 0.000211478
11 *4111:A1 *859:75 0.000213725
12 *4112:A1 *3641:C1 0
13 *4112:A1 *3656:B1 0
14 *4112:A1 *3673:C1 0.000111594
15 *4112:A1 *4222:CLK 0.000165839
16 *4112:A1 *342:24 0.000404547
17 *4112:A1 *350:8 0.00112176
18 *4112:A1 *350:19 4.42142e-05
19 *4112:A1 *350:44 0
20 *4112:A1 *411:10 6.89449e-05
21 *4112:A1 *518:78 0
22 *4112:A1 *808:74 0.000159159
23 *219:23 *3647:A1 0.000427628
24 *219:23 *3647:B1 3.8122e-05
25 *219:23 *3647:B2 0.000125893
26 *219:23 *3656:C1 0.000220183
27 *219:23 *3976:A1 6.87743e-05
28 *219:23 *3976:B1 4.20257e-05
29 *219:23 *3976:B2 2.58599e-05
30 *219:23 *3999:B1 0.00111303
31 *219:23 *4106:A0 8.98458e-05
32 *219:23 *4242:D 7.76221e-05
33 *219:23 *387:21 6.08467e-05
34 *219:23 *395:14 0.000610561
35 *219:23 *397:60 1.22756e-05
36 *219:23 *481:31 4.4486e-06
37 *219:23 *493:56 0.000287791
38 *219:23 *581:10 0.000566603
39 *219:23 *859:75 6.32364e-05
40 *219:49 *3619:A 6.19155e-05
41 *219:49 *3649:B 0
42 *219:49 *3652:A 7.08348e-05
43 *219:49 *3798:A 0
44 *219:49 *3881:A 0.000106245
45 *219:49 *4161:A 0.000104056
46 *219:49 *4194:A 0.000187055
47 *219:49 *4225:CLK 6.06688e-05
48 *219:49 *387:12 0.000502305
49 *219:49 *556:10 2.85274e-05
50 *219:49 *557:10 3.51113e-05
51 *219:49 *838:8 0
52 *219:49 *858:56 9.91788e-05
53 *219:49 *883:24 0.000164007
54 *4111:A0 *4111:A1 1.41976e-05
55 *214:10 *219:49 0
*RES
1 *3938:X *219:4 9.24915
2 *219:4 *219:23 49.9439
3 *219:23 *4111:A1 15.5427
4 *219:23 *4112:A1 48.7714
5 *219:4 *219:49 42.4641
6 *219:49 *3939:A 9.24915
*END
*D_NET *220 0.000369369
*CONN
*I *3676:B1 I *D sky130_fd_sc_hd__o21ai_2
*I *4111:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3676:B1 0.000124411
2 *4111:X 0.000124411
3 *3676:B1 *3689:A 0.000120546
4 *4111:A1 *3676:B1 0
*RES
1 *4111:X *3676:B1 22.4287
*END
*D_NET *221 0.00295124
*CONN
*I *3660:A I *D sky130_fd_sc_hd__nand2_1
*I *4112:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3660:A 0
2 *4112:X 0.000586064
3 *221:11 0.000586064
4 *221:11 *3660:B 0.00126655
5 *221:11 *4235:CLK 6.4628e-05
6 *221:11 *4236:CLK 0.000165989
7 *221:11 *314:47 6.92705e-05
8 *221:11 *399:7 4.30017e-06
9 *221:11 *487:36 0.000208378
*RES
1 *4112:X *221:11 42.8398
2 *221:11 *3660:A 9.24915
*END
*D_NET *222 0.0138444
*CONN
*I *3950:A I *D sky130_fd_sc_hd__nor2_1
*I *3948:C I *D sky130_fd_sc_hd__and4_1
*I *3958:A I *D sky130_fd_sc_hd__inv_2
*I *3955:B1 I *D sky130_fd_sc_hd__a32o_1
*I *3961:C I *D sky130_fd_sc_hd__or4_1
*I *4104:X O *D sky130_fd_sc_hd__mux2_2
*CAP
1 *3950:A 0.000171029
2 *3948:C 4.37261e-05
3 *3958:A 0
4 *3955:B1 0.000156085
5 *3961:C 0.000943272
6 *4104:X 0.000345472
7 *222:25 0.00131555
8 *222:24 0.000652158
9 *222:17 0.000479688
10 *222:15 0.000823392
11 *222:10 0.000997835
12 *3948:C *3968:A 9.60366e-05
13 *3948:C *562:10 8.03393e-06
14 *3950:A *3950:B 8.95812e-05
15 *3950:A *559:11 0.000477015
16 *3950:A *565:8 0.000781462
17 *3955:B1 *3955:A1 2.7381e-05
18 *3955:B1 *3955:A3 4.20662e-05
19 *3955:B1 *3957:A 9.73599e-06
20 *3955:B1 *3965:A1 4.42847e-05
21 *3955:B1 *475:20 2.38842e-05
22 *3961:C *3799:A 0
23 *3961:C *3952:A 0.000179026
24 *3961:C *3956:A 5.05707e-05
25 *3961:C *3961:B 6.28168e-05
26 *3961:C *3964:A 6.48712e-05
27 *3961:C *3965:B1 0.000475684
28 *3961:C *4156:A 0.00017419
29 *3961:C *4156:TE_B 1.2601e-05
30 *3961:C *475:20 5.68225e-06
31 *3961:C *574:12 8.78277e-05
32 *222:10 *3610:A 0.000145456
33 *222:10 *3635:A2 6.77164e-05
34 *222:10 *4102:A0 4.98596e-05
35 *222:10 *4103:A0 2.85689e-05
36 *222:10 *4104:A0 0.000391099
37 *222:10 *556:10 0.000357911
38 *222:15 *3968:B 0.00037859
39 *222:15 *4102:A0 0.000176995
40 *222:15 *4103:A0 6.04912e-06
41 *222:15 *223:8 0.000752865
42 *222:15 *223:10 0.000217587
43 *222:15 *223:12 0.000364249
44 *222:15 *223:14 5.41227e-05
45 *222:15 *559:11 0.000315549
46 *222:15 *565:8 0.000318331
47 *222:15 *834:21 0
48 *222:15 *848:12 0.000297437
49 *222:24 *3954:A 2.67922e-05
50 *222:24 *3965:A3 0
51 *222:24 *3968:A 9.24241e-05
52 *222:24 *4189:A 0.000130777
53 *222:24 *223:14 0
54 *222:25 *3955:A3 5.22164e-05
55 *222:25 *3959:B1 6.50586e-05
56 *222:25 *3965:A2 0.000118166
57 *222:25 *3965:A3 0.00011581
58 *222:25 *3965:B1 0.00011818
59 *222:25 *572:5 0.000560444
60 *80:13 *3961:C 1.21461e-06
*RES
1 *4104:X *222:10 24.7251
2 *222:10 *222:15 33.2902
3 *222:15 *222:17 4.5
4 *222:17 *222:24 15.1256
5 *222:24 *222:25 10.1517
6 *222:25 *3961:C 48.9868
7 *222:25 *3955:B1 22.4829
8 *222:24 *3958:A 9.24915
9 *222:17 *3948:C 15.5811
10 *222:15 *3950:A 19.8348
*END
*D_NET *223 0.0130663
*CONN
*I *3970:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3966:A1 I *D sky130_fd_sc_hd__o311a_1
*I *3960:A1 I *D sky130_fd_sc_hd__o22ai_1
*I *3953:C I *D sky130_fd_sc_hd__or3_1
*I *3944:A I *D sky130_fd_sc_hd__inv_2
*I *3948:D I *D sky130_fd_sc_hd__and4_1
*I *3955:A3 I *D sky130_fd_sc_hd__a32o_1
*I *3965:A3 I *D sky130_fd_sc_hd__a41o_1
*I *4103:X O *D sky130_fd_sc_hd__mux2_2
*CAP
1 *3970:A3 0.000287207
2 *3966:A1 0
3 *3960:A1 0.000391474
4 *3953:C 0
5 *3944:A 3.41759e-05
6 *3948:D 0
7 *3955:A3 0.000593054
8 *3965:A3 0.000301398
9 *4103:X 0.000361838
10 *223:64 0.000536927
11 *223:54 0.000189293
12 *223:41 9.87381e-05
13 *223:14 0.00123073
14 *223:12 0.000625277
15 *223:10 0.000354918
16 *223:8 0.000735689
17 *3944:A *3950:B 0.000377259
18 *3944:A *559:11 0.000363061
19 *3955:A3 *3957:A 6.08467e-05
20 *3955:A3 *3959:B1 2.16355e-05
21 *3955:A3 *3963:A 9.51132e-06
22 *3955:A3 *3965:B1 9.63981e-05
23 *3955:A3 *475:20 0.000116971
24 *3955:A3 *572:5 0.000118245
25 *3960:A1 *3671:B 0
26 *3960:A1 *3949:A3 4.88955e-05
27 *3960:A1 *3959:C1 5.04829e-06
28 *3960:A1 *3960:B2 1.9101e-05
29 *3960:A1 *3967:A 4.0752e-05
30 *3960:A1 *225:25 0.000163702
31 *3960:A1 *225:30 0.0002817
32 *3960:A1 *397:17 9.40969e-05
33 *3960:A1 *564:5 2.65667e-05
34 *3965:A3 *3965:A2 6.92705e-05
35 *3970:A3 *3970:A1 2.41483e-05
36 *3970:A3 *3970:A2 1.41291e-05
37 *3970:A3 *3970:B1 0.000583244
38 *223:8 *4103:A0 0.000376584
39 *223:8 *4103:A1 7.14746e-05
40 *223:8 *224:10 0
41 *223:8 *225:6 0.000214837
42 *223:8 *287:11 0.000362123
43 *223:10 *225:6 8.96665e-05
44 *223:12 *3947:A 3.92275e-05
45 *223:12 *225:6 3.14544e-05
46 *223:12 *560:13 0
47 *223:12 *566:52 0
48 *223:14 *3952:B 8.92568e-06
49 *223:14 *3965:A2 0
50 *223:14 *565:8 1.29348e-05
51 *223:14 *566:52 0
52 *223:14 *567:9 0.000281352
53 *223:41 *3950:B 0.000196668
54 *223:41 *224:23 0.00021218
55 *223:41 *559:11 0.000408847
56 *223:54 *3953:A 6.92705e-05
57 *223:54 *3966:B1 5.99691e-05
58 *223:54 *3967:A 8.41174e-05
59 *223:64 *3953:A 1.92336e-05
60 *223:64 *3966:A3 1.41291e-05
61 *223:64 *3966:B1 0.00010658
62 *223:64 *3967:A 0.00052146
63 *223:64 *225:25 1.10258e-05
64 *3955:B1 *3955:A3 4.20662e-05
65 *222:15 *223:8 0.000752865
66 *222:15 *223:10 0.000217587
67 *222:15 *223:12 0.000364249
68 *222:15 *223:14 5.41227e-05
69 *222:24 *3965:A3 0
70 *222:24 *223:14 0
71 *222:25 *3955:A3 5.22164e-05
72 *222:25 *3965:A3 0.00011581
*RES
1 *4103:X *223:8 31.2592
2 *223:8 *223:10 3.90826
3 *223:10 *223:12 6.81502
4 *223:12 *223:14 9.30653
5 *223:14 *3965:A3 19.4928
6 *223:14 *3955:A3 34.2318
7 *223:12 *223:41 9.10562
8 *223:41 *3948:D 9.24915
9 *223:41 *3944:A 13.3002
10 *223:10 *223:54 6.88721
11 *223:54 *3953:C 9.24915
12 *223:54 *223:64 6.87226
13 *223:64 *3960:A1 30.2594
14 *223:64 *3966:A1 9.24915
15 *223:8 *3970:A3 22.2611
*END
*D_NET *224 0.00871721
*CONN
*I *3945:A I *D sky130_fd_sc_hd__inv_2
*I *3966:A2 I *D sky130_fd_sc_hd__o311a_1
*I *3960:A2 I *D sky130_fd_sc_hd__o22ai_1
*I *3950:B I *D sky130_fd_sc_hd__nor2_1
*I *3948:A I *D sky130_fd_sc_hd__and4_1
*I *4101:X O *D sky130_fd_sc_hd__mux2_2
*CAP
1 *3945:A 1.74603e-05
2 *3966:A2 0
3 *3960:A2 0.00051253
4 *3950:B 0.000336593
5 *3948:A 0
6 *4101:X 0.00060086
7 *224:23 0.000636355
8 *224:18 0.000895329
9 *224:16 0.000434461
10 *224:10 0.000969745
11 *3945:A *3970:B1 6.50727e-05
12 *3945:A *560:8 5.04829e-06
13 *3950:B *559:11 2.41483e-05
14 *3950:B *562:13 0.000117376
15 *3960:A2 *3949:A2 0.000370815
16 *3960:A2 *3959:C1 2.52287e-06
17 *3960:A2 *3960:B2 0.000423894
18 *224:10 *3637:A2 0.000113968
19 *224:10 *4101:A0 1.09738e-05
20 *224:10 *4246:D 0
21 *224:10 *225:6 0
22 *224:10 *287:11 0.000113968
23 *224:10 *475:20 0
24 *224:10 *542:60 2.53624e-06
25 *224:10 *840:54 1.87469e-05
26 *224:10 *846:17 9.24241e-05
27 *224:10 *847:6 8.04319e-05
28 *224:16 *3970:A1 2.65904e-05
29 *224:16 *3970:A2 0.000319954
30 *224:16 *3970:B1 7.68538e-06
31 *224:16 *475:20 4.72872e-05
32 *224:18 *3970:A1 4.01437e-05
33 *224:18 *475:20 5.64929e-05
34 *224:23 *3959:B2 3.42931e-05
35 *224:23 *3961:A 0.000164815
36 *224:23 *3966:C1 0.000211492
37 *224:23 *3970:A1 4.3116e-06
38 *224:23 *475:20 8.64857e-05
39 *224:23 *559:11 0.000103217
40 *224:23 *560:13 0.000260388
41 *224:23 *561:28 7.58217e-06
42 *224:23 *561:39 1.42919e-05
43 *224:23 *562:13 0.000611234
44 *3944:A *3950:B 0.000377259
45 *3950:A *3950:B 8.95812e-05
46 *223:8 *224:10 0
47 *223:41 *3950:B 0.000196668
48 *223:41 *224:23 0.00021218
*RES
1 *4101:X *224:10 33.8167
2 *224:10 *224:16 11.7869
3 *224:16 *224:18 2.6625
4 *224:18 *224:23 18.0053
5 *224:23 *3948:A 9.24915
6 *224:23 *3950:B 19.9795
7 *224:18 *3960:A2 22.7916
8 *224:16 *3966:A2 13.7491
9 *224:10 *3945:A 9.97254
*END
*D_NET *225 0.00720526
*CONN
*I *3953:B I *D sky130_fd_sc_hd__or3_1
*I *3949:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3961:B I *D sky130_fd_sc_hd__or4_1
*I *3960:B1 I *D sky130_fd_sc_hd__o22ai_1
*I *3947:A I *D sky130_fd_sc_hd__inv_2
*I *4102:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3953:B 0
2 *3949:A3 3.86229e-05
3 *3961:B 0.000148048
4 *3960:B1 3.73114e-05
5 *3947:A 0.000406975
6 *4102:X 0.000455797
7 *225:30 0.000269935
8 *225:25 0.00091012
9 *225:19 0.000786922
10 *225:6 0.000862772
11 *3947:A *3949:B1 0.000610474
12 *3947:A *3969:A 0.000162583
13 *3947:A *560:13 2.68928e-05
14 *3947:A *562:10 4.83591e-05
15 *3949:A3 *3949:A1 1.17376e-05
16 *3949:A3 *3949:A2 1.03126e-05
17 *3949:A3 *3959:C1 1.19856e-05
18 *3949:A3 *3960:B2 0.000111722
19 *3960:B1 *4156:A 1.03403e-05
20 *3961:B *3671:B 0.000149643
21 *3961:B *3956:A 0
22 *3961:B *4156:A 1.37925e-05
23 *225:6 *560:8 3.22726e-05
24 *225:6 *560:13 8.62321e-06
25 *225:25 *3671:B 0
26 *225:25 *3966:A3 0.000211464
27 *225:25 *3966:B1 0.000278385
28 *225:25 *397:17 0.000271044
29 *225:30 *3960:B2 0.000365699
30 *225:30 *4156:A 1.00981e-05
31 *3960:A1 *3949:A3 4.88955e-05
32 *3960:A1 *225:25 0.000163702
33 *3960:A1 *225:30 0.0002817
34 *3961:C *3961:B 6.28168e-05
35 *223:8 *225:6 0.000214837
36 *223:10 *225:6 8.96665e-05
37 *223:12 *3947:A 3.92275e-05
38 *223:12 *225:6 3.14544e-05
39 *223:64 *225:25 1.10258e-05
40 *224:10 *225:6 0
*RES
1 *4102:X *225:6 27.2082
2 *225:6 *3947:A 26.1668
3 *225:6 *225:19 4.5
4 *225:19 *225:25 23.0627
5 *225:25 *225:30 5.35313
6 *225:30 *3960:B1 9.97254
7 *225:30 *3961:B 22.5727
8 *225:25 *3949:A3 11.1059
9 *225:19 *3953:B 9.24915
*END
*D_NET *226 0.0118755
*CONN
*I *4108:S I *D sky130_fd_sc_hd__mux2_1
*I *4109:S I *D sky130_fd_sc_hd__mux2_1
*I *4110:S I *D sky130_fd_sc_hd__mux2_1
*I *4107:S I *D sky130_fd_sc_hd__mux2_1
*I *4106:S I *D sky130_fd_sc_hd__mux2_1
*I *4105:S I *D sky130_fd_sc_hd__mux2_1
*I *3688:A2 I *D sky130_fd_sc_hd__a32o_1
*I *3686:Y O *D sky130_fd_sc_hd__nor2_4
*CAP
1 *4108:S 0.00033384
2 *4109:S 0
3 *4110:S 3.0591e-05
4 *4107:S 0.000105975
5 *4106:S 1.47608e-05
6 *4105:S 0
7 *3688:A2 0.000593555
8 *3686:Y 0.000283041
9 *226:75 0.000774025
10 *226:61 0.00115696
11 *226:43 0.00104878
12 *226:34 0.000946982
13 *226:19 0.000700715
14 *226:6 0.000901711
15 *3688:A2 *3784:A 0.000162194
16 *3688:A2 *807:100 0
17 *4106:S *412:25 6.08467e-05
18 *4106:S *493:42 6.08467e-05
19 *4107:S *4107:A1 2.16355e-05
20 *4107:S *394:23 2.77625e-06
21 *4107:S *824:39 6.08467e-05
22 *4108:S *3682:B1 7.92757e-06
23 *4108:S *3989:A2 0.000124869
24 *4108:S *4108:A0 0.00016553
25 *4108:S *4108:A1 1.78942e-05
26 *4110:S *500:68 2.65667e-05
27 *4110:S *829:20 6.92705e-05
28 *226:6 *3688:A3 2.33103e-06
29 *226:6 *3996:A1 0.000447721
30 *226:6 *350:19 0
31 *226:6 *859:65 0.000111361
32 *226:19 *3688:A3 5.7269e-06
33 *226:19 *3996:A1 3.20977e-05
34 *226:34 *3685:B1 8.62625e-06
35 *226:34 *3688:A3 5.41227e-05
36 *226:34 *3976:A2 4.55535e-05
37 *226:34 *3996:A1 8.20492e-06
38 *226:34 *4105:A1 0.000756835
39 *226:34 *228:10 0.000113374
40 *226:43 *3976:A2 9.90599e-06
41 *226:43 *4106:A0 1.91391e-05
42 *226:43 *4107:A1 9.22013e-06
43 *226:43 *4230:D 0.000149628
44 *226:43 *228:10 0.000527282
45 *226:43 *506:61 2.96862e-05
46 *226:43 *824:12 7.31014e-05
47 *226:43 *824:39 0.000131467
48 *226:61 *3979:A2 7.50722e-05
49 *226:61 *3980:A1 0
50 *226:61 *4107:A1 7.6626e-05
51 *226:61 *4231:D 9.02357e-05
52 *226:61 *4231:CLK 9.77936e-05
53 *226:61 *414:31 2.61857e-05
54 *226:61 *500:68 0.000345881
55 *226:61 *500:76 4.30908e-05
56 *226:61 *506:61 3.20069e-06
57 *226:61 *826:8 0
58 *226:61 *829:20 4.88955e-05
59 *226:75 *3681:C1 4.66891e-05
60 *226:75 *3989:A2 2.65831e-05
61 *226:75 *3992:A1 0.000146507
62 *226:75 *3994:A1 0.000208263
63 *226:75 *3994:B2 6.24919e-05
64 *226:75 *3995:A 1.66626e-05
65 *226:75 *4233:D 0.000190028
66 *226:75 *587:12 0.000163792
67 *226:75 *859:121 0
*RES
1 *3686:Y *226:6 22.4328
2 *226:6 *3688:A2 22.1391
3 *226:6 *226:19 0.793864
4 *226:19 *4105:S 13.7491
5 *226:19 *226:34 22.0312
6 *226:34 *4106:S 14.4725
7 *226:34 *226:43 10.9675
8 *226:43 *4107:S 16.1605
9 *226:43 *226:61 22.6269
10 *226:61 *4110:S 10.5513
11 *226:61 *226:75 23.5977
12 *226:75 *4109:S 9.24915
13 *226:75 *4108:S 18.2916
*END
*D_NET *227 0.00461716
*CONN
*I *4105:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3972:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4105:A1 0.000386755
2 *3972:Y 0.000737077
3 *227:16 0.00112383
4 *4105:A1 *3656:A2 0.000111722
5 *4105:A1 *3656:B1 3.02534e-05
6 *4105:A1 *3656:B2 7.97098e-06
7 *4105:A1 *3685:B1 0.000169041
8 *4105:A1 *3688:B2 0.000271565
9 *4105:A1 *4105:A0 3.22654e-05
10 *227:16 *3672:A 3.60037e-05
11 *227:16 *3677:A 0.000248423
12 *227:16 *3975:A2 0.00011818
13 *227:16 *3975:B1 8.62625e-06
14 *227:16 *4106:A1 1.62054e-05
15 *227:16 *397:60 0
16 *227:16 *411:30 0.00043038
17 *227:16 *481:31 0
18 *227:16 *579:9 1.61631e-05
19 *227:16 *581:10 7.98326e-05
20 *227:16 *809:70 3.60268e-05
21 *226:34 *4105:A1 0.000756835
*RES
1 *3972:Y *227:16 42.8918
2 *227:16 *4105:A1 23.8617
*END
*D_NET *228 0.00568568
*CONN
*I *4105:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3973:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4105:A0 0.000717291
2 *3973:Y 0.000581046
3 *228:10 0.00129834
4 *4105:A0 *3656:B1 0.000107496
5 *4105:A0 *3687:A 6.3657e-05
6 *4105:A0 *3688:A3 0.000321905
7 *4105:A0 *3688:B2 7.42285e-05
8 *4105:A0 *411:14 0.000164829
9 *228:10 *3645:A 0.000113197
10 *228:10 *3656:C1 5.41377e-05
11 *228:10 *3973:B 3.14978e-05
12 *228:10 *3976:A2 1.71306e-05
13 *228:10 *4106:A1 3.00073e-05
14 *228:10 *394:23 0.00097584
15 *228:10 *414:31 9.45864e-05
16 *228:10 *481:31 0
17 *228:10 *506:61 9.24241e-05
18 *228:10 *506:64 8.9913e-05
19 *228:10 *581:10 6.90881e-05
20 *228:10 *824:39 7.13972e-05
21 *228:10 *825:8 1.32509e-05
22 *228:10 *826:13 3.14978e-05
23 *4105:A1 *4105:A0 3.22654e-05
24 *226:34 *228:10 0.000113374
25 *226:43 *228:10 0.000527282
*RES
1 *3973:Y *228:10 42.8297
2 *228:10 *4105:A0 21.0646
*END
*D_NET *229 0.000443704
*CONN
*I *4106:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3975:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4106:A1 0.000165783
2 *3975:X 0.000165783
3 *4106:A1 *412:25 5.72995e-05
4 *4106:A1 *581:10 8.62625e-06
5 *227:16 *4106:A1 1.62054e-05
6 *228:10 *4106:A1 3.00073e-05
*RES
1 *3975:X *4106:A1 30.7476
*END
*D_NET *230 0.0039662
*CONN
*I *4106:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3977:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4106:A0 0.00182141
2 *3977:Y 0.00182141
3 *4106:A0 *3784:A 0
4 *4106:A0 *3976:A2 1.9101e-05
5 *4106:A0 *3976:B1 0
6 *4106:A0 *3977:A 2.02035e-05
7 *4106:A0 *3999:B1 7.63176e-05
8 *4106:A0 *493:56 9.01469e-05
9 *4106:A0 *824:12 8.62625e-06
10 *219:23 *4106:A0 8.98458e-05
11 *226:43 *4106:A0 1.91391e-05
*RES
1 *3977:Y *4106:A0 38.638
*END
*D_NET *231 0.00145407
*CONN
*I *4107:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3979:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4107:A1 0.000301486
2 *3979:Y 0.000301486
3 *4107:A1 *3979:A1 1.79196e-05
4 *4107:A1 *3979:A2 3.75195e-05
5 *4107:A1 *4231:D 9.32927e-05
6 *4107:A1 *4232:CLK 0.000183732
7 *4107:A1 *394:23 4.2372e-05
8 *4107:A1 *809:79 0.000335596
9 *4107:A1 *824:39 3.31882e-05
10 *4107:S *4107:A1 2.16355e-05
11 *226:43 *4107:A1 9.22013e-06
12 *226:61 *4107:A1 7.6626e-05
*RES
1 *3979:Y *4107:A1 38.4981
*END
*D_NET *232 0.00110615
*CONN
*I *4107:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3980:X O *D sky130_fd_sc_hd__o311a_1
*CAP
1 *4107:A0 0.000307226
2 *3980:X 0.000307226
3 *4107:A0 *3680:B2 6.65546e-05
4 *4107:A0 *414:31 0.000362204
5 *4107:A0 *809:79 6.29349e-05
*RES
1 *3980:X *4107:A0 34.2118
*END
*D_NET *233 0.00129335
*CONN
*I *4108:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3982:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4108:A1 0.000441881
2 *3982:X 0.000441881
3 *4108:A1 *3933:A 0.000194529
4 *4108:A1 *3936:B 0
5 *4108:A1 *3985:A2 0.000118485
6 *4108:A1 *827:8 7.86847e-05
7 *4108:S *4108:A1 1.78942e-05
*RES
1 *3982:X *4108:A1 36.8427
*END
*D_NET *234 0.00129639
*CONN
*I *4108:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3985:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4108:A0 0.000226347
2 *3985:X 0.000226347
3 *4108:A0 *3682:B1 9.74724e-05
4 *4108:A0 *3936:B 0
5 *4108:A0 *3985:A2 0.000115934
6 *4108:A0 *3985:B1 0.000122378
7 *4108:A0 *3991:B 1.10258e-05
8 *4108:A0 *444:65 0.000160617
9 *4108:A0 *584:12 0.000170742
10 *4108:S *4108:A0 0.00016553
*RES
1 *3985:X *4108:A0 36.3936
*END
*D_NET *235 0.000782494
*CONN
*I *4109:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3989:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4109:A1 0.000313921
2 *3989:Y 0.000313921
3 *4109:A1 *3989:A1 1.92926e-05
4 *4109:A1 *3989:A2 0.000135359
*RES
1 *3989:Y *4109:A1 26.4556
*END
*D_NET *236 0.000543865
*CONN
*I *4109:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3992:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4109:A0 9.33805e-05
2 *3992:X 9.33805e-05
3 *4109:A0 *3986:A 0.000148129
4 *4109:A0 *3992:A2 6.08467e-05
5 *4109:A0 *587:12 0.000148129
*RES
1 *3992:X *4109:A0 30.8842
*END
*D_NET *237 0.000459757
*CONN
*I *4110:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3994:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4110:A1 0.00012476
2 *3994:X 0.00012476
3 *4110:A1 *3681:C1 4.55535e-05
4 *4110:A1 *500:68 0.000113968
5 *4110:A1 *591:11 0
6 *4110:A1 *829:20 5.0715e-05
*RES
1 *3994:X *4110:A1 31.0235
*END
*D_NET *238 0.000906452
*CONN
*I *4110:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3996:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4110:A0 0.000270477
2 *3996:X 0.000270477
3 *4110:A0 *3654:A 0
4 *4110:A0 *3680:B1 9.31199e-05
5 *4110:A0 *3681:C1 0.000266696
6 *4110:A0 *4234:D 5.68225e-06
7 *4110:A0 *394:8 0
*RES
1 *3996:X *4110:A0 35.5591
*END
*D_NET *239 0.000752127
*CONN
*I *4227:D I *D sky130_fd_sc_hd__dfxtp_2
*I *3691:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4227:D 0.000280911
2 *3691:Y 0.000280911
3 *4227:D *4227:CLK 5.59587e-06
4 *4227:D *379:11 9.0819e-05
5 *4227:D *822:8 3.072e-06
6 *4227:D *883:24 9.0819e-05
*RES
1 *3691:Y *4227:D 33.4356
*END
*D_NET *240 0.00247076
*CONN
*I *4228:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3690:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4228:D 0.000639744
2 *3690:X 0.000639744
3 *4228:D *3680:B1 0
4 *4228:D *3688:A1 0
5 *4228:D *3690:A 2.16355e-05
6 *4228:D *3937:B 4.12119e-05
7 *4228:D *4233:CLK 0.000331044
8 *4228:D *394:8 0
9 *4228:D *807:100 1.07248e-05
10 *4228:D *807:131 0.00017419
11 *4228:D *807:140 0.000612468
*RES
1 *3690:X *4228:D 48.6517
*END
*D_NET *241 0.00151951
*CONN
*I *4229:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3685:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4229:D 0.000530437
2 *3685:X 0.000530437
3 *4229:D *3684:C1 0.000156868
4 *4229:D *3685:A1 6.50586e-05
5 *4229:D *350:19 1.07248e-05
6 *4229:D *411:30 0.000107496
7 *4229:D *824:10 2.60597e-05
8 *4229:D *859:97 9.24241e-05
*RES
1 *3685:X *4229:D 39.705
*END
*D_NET *242 0.000575595
*CONN
*I *4230:D I *D sky130_fd_sc_hd__dfxtp_2
*I *3684:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4230:D 9.44543e-05
2 *3684:X 9.44543e-05
3 *4230:D *3684:B2 6.50727e-05
4 *4230:D *809:70 1.87611e-05
5 *4230:D *824:39 0.000153225
6 *226:43 *4230:D 0.000149628
*RES
1 *3684:X *4230:D 31.1072
*END
*D_NET *243 0.000585941
*CONN
*I *4231:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3683:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4231:D 0.000132997
2 *3683:X 0.000132997
3 *4231:D *3979:A2 5.93547e-06
4 *4231:D *3980:B1 0.000111722
5 *4231:D *500:76 1.87611e-05
6 *4107:A1 *4231:D 9.32927e-05
7 *226:61 *4231:D 9.02357e-05
*RES
1 *3683:X *4231:D 30.8313
*END
*D_NET *244 0.000559805
*CONN
*I *4232:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3682:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4232:D 8.50252e-05
2 *3682:X 8.50252e-05
3 *4232:D *3936:B 0.000174175
4 *4232:D *3985:A2 7.02539e-05
5 *4232:D *4232:CLK 7.50722e-05
6 *4232:D *444:65 7.02539e-05
*RES
1 *3682:X *4232:D 31.3537
*END
*D_NET *245 0.00126179
*CONN
*I *4233:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3681:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4233:D 0.000354209
2 *3681:X 0.000354209
3 *4233:D *3991:B 0.000151747
4 *4233:D *3994:B2 8.62625e-06
5 *4233:D *587:12 0.000181333
6 *4233:D *828:7 2.16355e-05
7 *226:75 *4233:D 0.000190028
*RES
1 *3681:X *4233:D 38.4242
*END
*D_NET *246 0.00110226
*CONN
*I *4234:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3680:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4234:D 0.000368216
2 *3680:X 0.000368216
3 *4234:D *3654:A 0.000169078
4 *4234:D *3681:C1 5.96936e-05
5 *4234:D *394:8 0.000104809
6 *4234:D *829:7 2.65667e-05
7 *4110:A0 *4234:D 5.68225e-06
*RES
1 *3680:X *4234:D 38.0089
*END
*D_NET *247 0.000651379
*CONN
*I *4235:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3674:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *4235:D 0.000172464
2 *3674:Y 0.000172464
3 *4235:D *3673:B2 0.000153225
4 *4235:D *399:31 0.000153225
*RES
1 *3674:Y *4235:D 32.6023
*END
*D_NET *248 0.00121719
*CONN
*I *4236:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3673:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4236:D 0.000242744
2 *3673:X 0.000242744
3 *4236:D *3659:A 3.42037e-06
4 *4236:D *3663:A 9.74302e-05
5 *4236:D *3673:A2 7.65861e-05
6 *4236:D *3673:B1 0.000284622
7 *4236:D *3673:C1 0
8 *4236:D *340:30 0.000269642
*RES
1 *3673:X *4236:D 35.0242
*END
*D_NET *249 0.00135383
*CONN
*I *4237:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3668:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4237:D 0.000370916
2 *3668:X 0.000370916
3 *4237:D *3566:B 7.85158e-06
4 *4237:D *3665:B1 6.93155e-05
5 *4237:D *3668:B1 0.000194894
6 *4237:D *3671:A 2.99287e-05
7 *4237:D *4238:CLK 0.000218233
8 *4237:D *843:11 9.17771e-05
*RES
1 *3668:X *4237:D 45.6486
*END
*D_NET *250 0.00169377
*CONN
*I *4238:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3666:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4238:D 0.000405844
2 *3666:Y 0.000405844
3 *4238:D *3566:B 2.53624e-06
4 *4238:D *3671:B 6.50727e-05
5 *4238:D *314:47 1.87469e-05
6 *4238:D *341:14 0.000144531
7 *4238:D *397:17 3.18826e-06
8 *4238:D *399:11 0.000320502
9 *4238:D *400:8 0.000320836
10 *4238:D *401:8 6.67095e-06
*RES
1 *3666:Y *4238:D 37.7569
*END
*D_NET *251 0.000753864
*CONN
*I *4239:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3656:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4239:D 0.000120852
2 *3656:X 0.000120852
3 *4239:D *3656:A1 0.000111722
4 *4239:D *3656:B2 0.000384617
5 *4239:D *4239:CLK 1.58226e-05
*RES
1 *3656:X *4239:D 22.917
*END
*D_NET *252 0.00107302
*CONN
*I *4240:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3653:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4240:D 0.000342368
2 *3653:X 0.000342368
3 *4240:D *313:5 0.000321905
4 *4240:D *386:13 3.18826e-06
5 *4240:D *397:60 4.44267e-05
6 *4240:D *809:9 1.87611e-05
7 *4240:D *809:14 0
*RES
1 *3653:X *4240:D 33.8803
*END
*D_NET *253 0.000656147
*CONN
*I *4241:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3650:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4241:D 0.00014029
2 *3650:X 0.00014029
3 *4241:D *3794:A 6.50586e-05
4 *4241:D *4241:CLK 0.000110833
5 *4241:D *380:32 5.02479e-05
6 *4241:D *481:21 7.44124e-05
7 *4241:D *481:31 1.44467e-05
8 *4241:D *839:8 3.51113e-05
9 *4241:D *858:56 2.54559e-05
*RES
1 *3650:X *4241:D 32.8721
*END
*D_NET *254 0.00171042
*CONN
*I *4242:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3647:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4242:D 0.000248845
2 *3647:X 0.000248845
3 *4242:D *3647:A1 6.84784e-06
4 *4242:D *3977:B 0.000459135
5 *4242:D *412:25 0.000310696
6 *4242:D *481:31 0.00033864
7 *4242:D *493:42 1.97947e-05
8 *4242:D *839:8 0
9 *219:23 *4242:D 7.76221e-05
*RES
1 *3647:X *4242:D 38.8714
*END
*D_NET *255 0.000696764
*CONN
*I *4243:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3641:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4243:D 0.000104045
2 *3641:X 0.000104045
3 *4243:D *3641:A2 0.000393111
4 *4243:D *4243:CLK 1.92172e-05
5 *4243:D *808:74 7.63448e-05
*RES
1 *3641:X *4243:D 22.917
*END
*D_NET *256 0.00253884
*CONN
*I *4244:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3639:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4244:D 0
2 *3639:X 0.000880228
3 *256:19 0.000880228
4 *256:19 *3566:B 1.07248e-05
5 *256:19 *3637:B2 6.27614e-05
6 *256:19 *3639:A1 6.50727e-05
7 *256:19 *3639:C1 1.00846e-05
8 *256:19 *4245:D 7.14746e-05
9 *256:19 *4245:CLK 2.63704e-05
10 *256:19 *341:14 2.47141e-05
11 *256:19 *383:29 0
12 *256:19 *808:31 0.000103827
13 *256:19 *808:38 0.000213725
14 *256:19 *839:8 1.8202e-05
15 *256:19 *840:25 9.97706e-05
16 *256:19 *842:53 4.5539e-05
17 *256:19 *843:5 1.77955e-05
18 *256:19 *843:11 8.3247e-06
*RES
1 *3639:X *256:19 44.9242
2 *256:19 *4244:D 9.24915
*END
*D_NET *257 0.00122414
*CONN
*I *4245:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3638:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4245:D 0.000312945
2 *3638:X 0.000312945
3 *4245:D *3637:B2 2.64881e-05
4 *4245:D *4245:CLK 0.000100285
5 *4245:D *808:38 0.000324151
6 *4245:D *839:8 7.5845e-05
7 *256:19 *4245:D 7.14746e-05
*RES
1 *3638:X *4245:D 35.1636
*END
*D_NET *258 0.00104166
*CONN
*I *4246:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3637:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4246:D 0.00034959
2 *3637:X 0.00034959
3 *4246:D *475:20 0.000189211
4 *4246:D *542:60 0
5 *4246:D *557:19 9.24241e-05
6 *4246:D *846:17 6.08467e-05
7 *4246:D *847:6 0
8 *224:10 *4246:D 0
*RES
1 *3637:X *4246:D 37.336
*END
*D_NET *259 0.000989702
*CONN
*I *4247:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3636:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4247:D 0.000438417
2 *3636:X 0.000438417
3 *4247:D *3610:A 2.8599e-05
4 *4247:D *3636:A2 8.42687e-05
*RES
1 *3636:X *4247:D 36.2086
*END
*D_NET *260 0.000872342
*CONN
*I *4248:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3635:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4248:D 0.000105927
2 *3635:X 0.000105927
3 *4248:D *381:19 8.60109e-05
4 *4248:D *396:15 0.000273993
5 *4248:D *808:5 0.000269781
6 *4248:D *840:54 3.07029e-05
*RES
1 *3635:X *4248:D 32.3264
*END
*D_NET *261 0.00119248
*CONN
*I *4249:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3633:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4249:D 0.000351106
2 *3633:X 0.000351106
3 *4249:D *3633:A1 6.50727e-05
4 *4249:D *3633:A2 5.51478e-05
5 *4249:D *3635:B2 0.000184388
6 *4249:D *3653:A1 1.07248e-05
7 *4249:D *4278:A 5.68237e-06
8 *4249:D *475:8 0.000150493
9 *4276:A *4249:D 1.87611e-05
*RES
1 *3633:X *4249:D 37.336
*END
*D_NET *262 0.00136091
*CONN
*I *4250:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3632:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4250:D 0.000209409
2 *3632:X 0.000209409
3 *4250:D *3610:A 0.000145521
4 *4250:D *3633:A2 0.000464479
5 *4250:D *3881:A 7.63284e-05
6 *4250:D *4282:A 0.000145521
7 *4250:D *391:11 3.31745e-05
8 *4250:D *518:78 7.70707e-05
*RES
1 *3632:X *4250:D 36.4845
*END
*D_NET *263 0.000438137
*CONN
*I *4251:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3629:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4251:D 5.76367e-05
2 *3629:X 5.76367e-05
3 *4251:D *3601:A1 9.60216e-05
4 *4251:D *3602:B 6.54102e-05
5 *4251:D *4267:D 6.54102e-05
6 *4251:D *378:8 9.60216e-05
*RES
1 *3629:X *4251:D 30.1079
*END
*D_NET *264 0.000741642
*CONN
*I *4252:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3628:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4252:D 0.000168578
2 *3628:X 0.000168578
3 *4252:D *3628:A2 0.000404487
4 *4252:D *888:79 0
*RES
1 *3628:X *4252:D 23.4958
*END
*D_NET *265 0.000625846
*CONN
*I *4253:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3627:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4253:D 0.000142091
2 *3627:X 0.000142091
3 *4253:D *3627:A2 0.000122098
4 *4253:D *378:58 0
5 *4253:D *807:97 0.000217153
6 *4253:D *864:7 2.41274e-06
*RES
1 *3627:X *4253:D 32.187
*END
*D_NET *266 0.00101062
*CONN
*I *4254:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3626:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4254:D 0.000194467
2 *3626:X 0.000194467
3 *4254:D *3552:A2 6.38532e-05
4 *4254:D *4223:CLK 0.000319045
5 *4254:D *328:21 0.000169093
6 *4254:D *865:27 6.96979e-05
*RES
1 *3626:X *4254:D 34.1267
*END
*D_NET *267 0.00113415
*CONN
*I *4255:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3625:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4255:D 0.000200265
2 *3625:X 0.000200265
3 *4255:D *3605:B 0.000216674
4 *4255:D *278:11 4.57648e-05
5 *4255:D *530:47 0.00014014
6 *4255:D *869:25 0.000331044
*RES
1 *3625:X *4255:D 35.9243
*END
*D_NET *268 0.000443883
*CONN
*I *4256:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3624:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4256:D 6.70078e-05
2 *3624:X 6.70078e-05
3 *4256:D *4256:CLK 6.54102e-05
4 *4256:D *4261:CLK 8.95237e-05
5 *4256:D *4268:D 6.54102e-05
6 *4256:D *378:8 8.95237e-05
*RES
1 *3624:X *4256:D 30.1079
*END
*D_NET *269 0.00192037
*CONN
*I *4257:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3623:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4257:D 0.000603255
2 *3623:X 0.000603255
3 *4257:D *3623:A2 2.65667e-05
4 *4257:D *3623:B1 0.000348127
5 *4257:D *3627:B1 2.85274e-05
6 *4257:D *493:19 7.44658e-05
7 *4257:D *807:51 7.02539e-05
8 *4257:D *869:8 2.33193e-05
9 *4257:D *869:25 0.000116186
10 *4257:D *883:117 2.64085e-05
*RES
1 *3623:X *4257:D 40.9451
*END
*D_NET *270 0.000452697
*CONN
*I *4258:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3622:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4258:D 0.000167567
2 *3622:X 0.000167567
3 *4258:D *3622:A2 2.65831e-05
4 *4258:D *4258:CLK 7.50872e-05
5 *4258:D *378:42 1.58914e-05
6 *4258:D *424:8 0
*RES
1 *3622:X *4258:D 31.1448
*END
*D_NET *271 0.000579311
*CONN
*I *4259:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3621:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4259:D 8.616e-05
2 *3621:X 8.616e-05
3 *4259:D *3621:A2 0.000167185
4 *4259:D *3621:B2 7.48633e-05
5 *4259:D *4259:CLK 0.000143128
6 *4259:D *377:29 2.18145e-05
*RES
1 *3621:X *4259:D 23.7309
*END
*D_NET *272 0.00195076
*CONN
*I *4260:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3618:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4260:D 0.000368158
2 *3618:X 0.000368158
3 *4260:D *487:36 4.01708e-05
4 *4260:D *512:20 0.000981016
5 *4260:D *871:8 1.19721e-05
6 *4260:D *888:163 5.68237e-06
7 *115:12 *4260:D 0.000175601
*RES
1 *3618:X *4260:D 42.0305
*END
*D_NET *273 0.000742277
*CONN
*I *4261:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3617:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4261:D 0.000169981
2 *3617:X 0.000169981
3 *4261:D *3617:A2 0.000402316
4 *4261:D *3617:C1 0
*RES
1 *3617:X *4261:D 23.4958
*END
*D_NET *274 0.000361273
*CONN
*I *4262:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3615:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4262:D 9.49431e-05
2 *3615:X 9.49431e-05
3 *4262:D *506:12 0.000101133
4 *80:13 *4262:D 7.02539e-05
*RES
1 *3615:X *4262:D 30.1079
*END
*D_NET *275 0.0018074
*CONN
*I *4263:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3612:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *4263:D 0.000682611
2 *3612:X 0.000682611
3 *4263:D *3529:B 6.92613e-05
4 *4263:D *3553:A 2.16355e-05
5 *4263:D *3612:A1 5.56461e-05
6 *4263:D *4263:CLK 1.87611e-05
7 *4263:D *345:14 8.98169e-05
8 *4263:D *378:58 9.32927e-05
9 *4263:D *803:21 1.87611e-05
10 *4263:D *810:25 7.5004e-05
*RES
1 *3612:X *4263:D 39.1504
*END
*D_NET *276 0.00130262
*CONN
*I *4264:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3611:X O *D sky130_fd_sc_hd__o311a_1
*CAP
1 *4264:D 0.000268822
2 *3611:X 0.000268822
3 *4264:D *4264:CLK 0.000429103
4 *4264:D *294:11 6.50727e-05
5 *4264:D *302:30 6.08467e-05
6 *4264:D *524:8 4.44689e-05
7 *4264:D *807:100 0.000135905
8 *4264:D *807:102 2.95757e-05
*RES
1 *3611:X *4264:D 35.9593
*END
*D_NET *277 0.00103156
*CONN
*I *4265:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3608:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4265:D 0.000159926
2 *3608:Y 0.000159926
3 *4265:D *306:38 8.01837e-05
4 *4265:D *493:19 7.77309e-06
5 *4265:D *512:11 7.37957e-05
6 *4265:D *807:88 9.17771e-05
7 *4265:D *814:7 9.5989e-05
8 *4265:D *883:81 0.000362186
*RES
1 *3608:Y *4265:D 34.9892
*END
*D_NET *278 0.00355538
*CONN
*I *4266:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3605:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4266:D 0
2 *3605:Y 0.00100205
3 *278:11 0.00100205
4 *278:11 *3542:A 2.32988e-05
5 *278:11 *3605:B 4.62051e-05
6 *278:11 *3623:A2 0.000177804
7 *278:11 *4258:CLK 0.000100688
8 *278:11 *4266:CLK 0.000100177
9 *278:11 *530:47 0.000133466
10 *278:11 *866:18 0.000336689
11 *278:11 *868:8 0.000468996
12 *278:11 *883:122 0.00011818
13 *4255:D *278:11 4.57648e-05
*RES
1 *3605:Y *278:11 41.7715
2 *278:11 *4266:D 9.24915
*END
*D_NET *279 0.00322576
*CONN
*I *4267:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3602:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4267:D 0.00098651
2 *3602:Y 0.00098651
3 *4267:D *3532:A 7.14746e-05
4 *4267:D *3601:A1 0.000406794
5 *4267:D *3602:B 0.000616768
6 *4267:D *3629:A2 1.55462e-05
7 *4267:D *317:10 1.77537e-06
8 *4267:D *377:14 0
9 *4267:D *816:5 4.54818e-05
10 *4251:D *4267:D 6.54102e-05
11 *216:11 *4267:D 2.94869e-05
*RES
1 *3602:Y *4267:D 45.4915
*END
*D_NET *280 0.00254073
*CONN
*I *4268:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3599:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4268:D 0.000631955
2 *3599:Y 0.000631955
3 *4268:D *3551:B 0.000346353
4 *4268:D *3599:A 2.57986e-05
5 *4268:D *3599:B 1.5478e-05
6 *4268:D *4256:CLK 7.98648e-05
7 *4268:D *4261:CLK 3.31882e-05
8 *4268:D *4268:CLK 0.0004124
9 *4268:D *324:28 0.000249561
10 *4268:D *326:11 2.69262e-05
11 *4268:D *378:8 5.2504e-06
12 *4268:D *806:15 1.65872e-05
13 *4256:D *4268:D 6.54102e-05
*RES
1 *3599:Y *4268:D 42.9915
*END
*D_NET *281 0.00164091
*CONN
*I *4269:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3595:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4269:D 0.000315675
2 *3595:Y 0.000315675
3 *4269:D *3560:A 0.000221434
4 *4269:D *3595:B 0.000636976
5 *4269:D *4269:CLK 0.000151146
*RES
1 *3595:Y *4269:D 29.4758
*END
*D_NET *282 0.000446904
*CONN
*I *4270:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3591:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4270:D 0.000111306
2 *3591:Y 0.000111306
3 *4270:D *3584:A 1.87611e-05
4 *4270:D *3595:A 3.20069e-06
5 *4270:D *4270:CLK 1.87611e-05
6 *4270:D *335:19 6.92705e-05
7 *4270:D *377:19 9.24241e-05
8 *4270:D *424:12 2.18741e-05
*RES
1 *3591:Y *4270:D 30.2767
*END
*D_NET *283 0.00114076
*CONN
*I *4271:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3587:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4271:D 0.000194705
2 *3587:Y 0.000194705
3 *4271:D *3585:B 0.000148114
4 *4271:D *3587:B 0.000289115
5 *4271:D *806:112 0.000269781
6 *4271:D *888:21 4.43395e-05
*RES
1 *3587:Y *4271:D 35.6484
*END
*D_NET *284 0.000768028
*CONN
*I *4272:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3583:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4272:D 0.000227605
2 *3583:Y 0.000227605
3 *4272:D *3583:A 6.08467e-05
4 *4272:D *3583:B 0.000158357
5 *4272:D *3585:A 7.34948e-06
6 *4272:D *3586:A2 3.9448e-05
7 *4272:D *883:241 4.68176e-05
*RES
1 *3583:Y *4272:D 24.6291
*END
*D_NET *285 0.000672078
*CONN
*I *4273:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3578:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4273:D 0.000128159
2 *3578:X 0.000128159
3 *4273:D *3569:A 9.73548e-05
4 *4273:D *296:41 6.08467e-05
5 *4273:D *312:8 0.000118485
6 *4273:D *811:7 2.0589e-05
7 *4273:D *862:25 0.000118485
*RES
1 *3578:X *4273:D 31.6264
*END
*D_NET *286 0.00186458
*CONN
*I *4274:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3568:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4274:D 0.000323762
2 *3568:Y 0.000323762
3 *4274:D *3520:A 0.000143047
4 *4274:D *3559:B1 3.18826e-06
5 *4274:D *3568:A1 7.50722e-05
6 *4274:D *303:12 0.000106916
7 *4274:D *347:39 0
8 *4274:D *487:36 0.00040723
9 *4274:D *806:155 0.000481607
*RES
1 *3568:Y *4274:D 40.3583
*END
*D_NET *287 0.0095207
*CONN
*I *4275:D I *D sky130_fd_sc_hd__dfxtp_2
*I *4000:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4275:D 0.000647684
2 *4000:Y 0.00154416
3 *287:11 0.00219184
4 *4275:D *3620:A 0.000452156
5 *4275:D *3637:C1 0.000163928
6 *4275:D *379:16 0.00033898
7 *4275:D *379:39 1.5714e-05
8 *4275:D *380:12 0.000381471
9 *4275:D *381:26 3.84749e-05
10 *4275:D *381:28 0.000108466
11 *4275:D *383:29 0.000346684
12 *4275:D *594:11 1.23455e-05
13 *4275:D *808:70 0.000208462
14 *4275:D *840:8 0.000200794
15 *4275:D *846:17 4.42081e-05
16 *4275:D *883:47 0.000110766
17 *287:11 *3637:A2 0.000253783
18 *287:11 *4103:A0 0.000131053
19 *287:11 *4132:A 0.000474783
20 *287:11 *822:26 2.42138e-05
21 *287:11 *846:17 4.77168e-06
22 *287:11 *883:24 0.00134987
23 *223:8 *287:11 0.000362123
24 *224:10 *287:11 0.000113968
*RES
1 *4000:Y *287:11 44.2977
2 *287:11 *4275:D 42.7783
*END
*D_NET *288 0.00111735
*CONN
*I *3685:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4105:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3685:B1 0.000361751
2 *4105:X 0.000361751
3 *3685:B1 *3688:A3 2.01186e-05
4 *3685:B1 *3688:B2 5.7637e-05
5 *3685:B1 *3996:A1 5.82465e-05
6 *3685:B1 *409:32 8.01837e-05
7 *4105:A1 *3685:B1 0.000169041
8 *226:34 *3685:B1 8.62625e-06
*RES
1 *4105:X *3685:B1 34.7664
*END
*D_NET *289 0.000889453
*CONN
*I *3684:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4106:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3684:B1 0.000233687
2 *4106:X 0.000233687
3 *3684:B1 *3684:A2 2.57986e-05
4 *3684:B1 *3685:A1 0.000219249
5 *3684:B1 *411:30 6.3657e-05
6 *3684:B1 *859:97 0.000113374
*RES
1 *4106:X *3684:B1 32.8561
*END
*D_NET *290 0.00039084
*CONN
*I *3683:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4107:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3683:B1 4.94221e-05
2 *4107:X 4.94221e-05
3 *3683:B1 *413:30 0.000140255
4 *3683:B1 *592:15 0.000151741
*RES
1 *4107:X *3683:B1 30.1608
*END
*D_NET *291 0.000924543
*CONN
*I *3682:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4108:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3682:B1 0.000311184
2 *4108:X 0.000311184
3 *3682:B1 *3681:A2 1.55025e-05
4 *3682:B1 *3682:A2 6.64392e-05
5 *3682:B1 *3682:B2 9.58134e-05
6 *3682:B1 *444:65 0
7 *3682:B1 *584:12 1.90191e-05
8 *4108:A0 *3682:B1 9.74724e-05
9 *4108:S *3682:B1 7.92757e-06
*RES
1 *4108:X *3682:B1 34.9296
*END
*D_NET *292 0.000704481
*CONN
*I *3681:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4109:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3681:B1 0.000319704
2 *4109:X 0.000319704
3 *3681:B1 *3936:A 0
4 *3681:B1 *3986:A 0
5 *3681:B1 *3989:A2 6.50727e-05
6 *3681:B1 *3989:B1 0
7 *3681:B1 *828:8 0
*RES
1 *4109:X *3681:B1 34.2062
*END
*D_NET *293 0.000676014
*CONN
*I *3680:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4110:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3680:B1 0.000236546
2 *4110:X 0.000236546
3 *3680:B1 *394:8 4.47442e-05
4 *3680:B1 *829:20 6.50586e-05
5 *4110:A0 *3680:B1 9.31199e-05
6 *4228:D *3680:B1 0
*RES
1 *4110:X *3680:B1 34.2062
*END
*D_NET *294 0.00341973
*CONN
*I *3519:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3518:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3519:A 0
2 *3518:X 0.00113653
3 *294:11 0.00113653
4 *294:11 *3518:B 0.000211478
5 *294:11 *3612:C1 0.000159877
6 *294:11 *3942:C 0
7 *294:11 *4263:CLK 0.00011522
8 *294:11 *4264:CLK 1.92172e-05
9 *294:11 *303:48 9.08435e-05
10 *294:11 *524:8 0.000351752
11 *294:11 *807:102 4.0007e-05
12 *294:11 *810:10 0
13 *294:11 *813:10 1.75637e-06
14 *294:11 *883:70 2.60879e-06
15 *4264:D *294:11 6.50727e-05
16 *218:11 *294:11 8.8837e-05
*RES
1 *3518:X *294:11 45.2141
2 *294:11 *3519:A 9.24915
*END
*D_NET *295 0.00396053
*CONN
*I *3568:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3563:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3559:A1 I *D sky130_fd_sc_hd__o22a_1
*I *3561:A1 I *D sky130_fd_sc_hd__a221oi_1
*I *3520:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3568:A1 0.000168216
2 *3563:A1 4.87482e-05
3 *3559:A1 0
4 *3561:A1 0.000162888
5 *3520:Y 3.08493e-05
6 *295:10 0.000262031
7 *295:9 0.00036366
8 *295:5 0.000414835
9 *3561:A1 *3561:B2 8.92568e-06
10 *3561:A1 *506:12 0.000164108
11 *3561:A1 *862:30 0.000352038
12 *3563:A1 *3563:A2 8.89094e-05
13 *3563:A1 *506:12 3.77804e-05
14 *3568:A1 *3673:C1 0
15 *3568:A1 *303:12 7.86847e-05
16 *3568:A1 *342:5 6.08467e-05
17 *3568:A1 *342:24 9.32983e-05
18 *295:5 *3540:C 1.65872e-05
19 *295:9 *3540:C 0.000404373
20 *295:9 *3565:C1 0.000313495
21 *295:9 *340:30 0.000472818
22 *295:10 *3563:A2 0.000218163
23 *295:10 *506:12 0.000100904
24 *295:10 *862:30 2.3302e-05
25 *4274:D *3568:A1 7.50722e-05
*RES
1 *3520:Y *295:5 9.97254
2 *295:5 *295:9 13.5424
3 *295:9 *295:10 4.32351
4 *295:10 *3561:A1 20.5642
5 *295:10 *3559:A1 13.7491
6 *295:9 *3563:A1 15.5811
7 *295:5 *3568:A1 22.0772
*END
*D_NET *296 0.00571255
*CONN
*I *3540:A I *D sky130_fd_sc_hd__or3_1
*I *3559:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3561:B1 I *D sky130_fd_sc_hd__a221oi_1
*I *3569:B I *D sky130_fd_sc_hd__nor2_1
*I *3574:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3521:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3540:A 4.54437e-05
2 *3559:B1 0.000551768
3 *3561:B1 0.000105322
4 *3569:B 0.000144618
5 *3574:A1 0
6 *3521:Y 0.000205009
7 *296:41 0.000846383
8 *296:29 0.00039186
9 *296:15 0.000299501
10 *296:7 0.00039726
11 *3540:A *3540:B 6.64609e-05
12 *3540:A *3565:C1 2.5386e-05
13 *3540:A *340:30 6.28168e-05
14 *3540:A *351:18 2.47808e-05
15 *3559:B1 *806:68 5.21301e-05
16 *3559:B1 *806:72 1.03403e-05
17 *3559:B1 *806:155 6.37669e-06
18 *3561:B1 *3564:A1 0.000264572
19 *3561:B1 *862:25 8.55661e-05
20 *3561:B1 *862:30 5.56461e-05
21 *3569:B *347:39 0.000211478
22 *296:7 *3569:A 0.000311235
23 *296:15 *3574:A2 6.50586e-05
24 *296:15 *312:8 0.000216073
25 *296:15 *347:39 1.41853e-05
26 *296:15 *806:75 5.05252e-05
27 *296:15 *806:82 0.000174175
28 *296:29 *312:8 9.75356e-05
29 *296:29 *806:75 8.89094e-05
30 *296:41 *3540:B 0.000188875
31 *296:41 *3561:A2 0
32 *296:41 *3564:C1 0.000103518
33 *296:41 *3578:B1 3.75603e-05
34 *296:41 *312:8 0.000252891
35 *296:41 *351:18 9.43473e-05
36 *296:41 *862:25 0.000100906
37 *4273:D *296:41 6.08467e-05
38 *4274:D *3559:B1 3.18826e-06
*RES
1 *3521:Y *296:7 17.2456
2 *296:7 *296:15 10.8437
3 *296:15 *3574:A1 9.24915
4 *296:15 *3569:B 13.3002
5 *296:7 *296:29 6.332
6 *296:29 *3561:B1 13.3002
7 *296:29 *296:41 14.4175
8 *296:41 *3559:B1 21.9717
9 *296:41 *3540:A 15.9964
*END
*D_NET *297 0.00219782
*CONN
*I *3537:A I *D sky130_fd_sc_hd__or2_1
*I *3558:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3582:B2 I *D sky130_fd_sc_hd__o32a_1
*I *3522:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3537:A 0.00017206
2 *3558:A1 4.51982e-05
3 *3582:B2 0
4 *3522:Y 0.000162396
5 *297:17 0.000511954
6 *297:8 0.000457092
7 *3537:A *3537:B 1.65872e-05
8 *3537:A *3558:A2 0.000252635
9 *3537:A *3564:B1 3.4252e-05
10 *3558:A1 *3537:B 2.29454e-05
11 *3558:A1 *3558:A2 6.36477e-05
12 *297:8 *3522:A 1.41181e-05
13 *297:8 *3582:A2 3.5534e-06
14 *297:8 *298:29 0
15 *297:8 *331:8 0.000141782
16 *297:17 *3562:A 1.48017e-05
17 *297:17 *3564:A2 0.000109592
18 *297:17 *3582:A2 3.57291e-06
19 *297:17 *298:29 0
20 *297:17 *331:8 0.000171628
*RES
1 *3522:Y *297:8 17.135
2 *297:8 *3582:B2 13.7491
3 *297:8 *297:17 11.7303
4 *297:17 *3558:A1 10.5271
5 *297:17 *3537:A 13.8548
*END
*D_NET *298 0.00381705
*CONN
*I *3558:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3557:A1_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *3536:A I *D sky130_fd_sc_hd__or2_1
*I *3586:B2 I *D sky130_fd_sc_hd__o32a_1
*I *3523:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3558:B1 0.000177779
2 *3557:A1_N 0
3 *3536:A 0.000286192
4 *3586:B2 0
5 *3523:Y 0.00014922
6 *298:29 0.000353673
7 *298:19 0.000552282
8 *298:11 0.000239415
9 *3536:A *870:18 0.000191526
10 *3558:B1 *3537:B 6.36477e-05
11 *3558:B1 *3562:A 1.64983e-05
12 *3558:B1 *332:11 9.75356e-05
13 *3558:B1 *450:59 3.57057e-05
14 *298:11 *3523:A 0.000271044
15 *298:11 *3580:B 1.5613e-05
16 *298:11 *3583:B 2.71504e-05
17 *298:11 *3586:A3 3.65057e-05
18 *298:11 *3587:B 0.000324137
19 *298:11 *857:76 0.00016432
20 *298:19 *3582:A3 0.000200794
21 *298:19 *3586:A3 0.000154145
22 *298:19 *857:76 2.81262e-05
23 *298:19 *857:88 0.000247443
24 *298:29 *3582:A2 2.87136e-06
25 *298:29 *450:59 1.12605e-05
26 *298:29 *870:18 0.000170162
27 *297:8 *298:29 0
28 *297:17 *298:29 0
*RES
1 *3523:Y *298:11 16.8448
2 *298:11 *3586:B2 9.24915
3 *298:11 *298:19 8.55102
4 *298:19 *3536:A 20.184
5 *298:19 *298:29 3.90826
6 *298:29 *3557:A1_N 13.7491
7 *298:29 *3558:B1 18.6983
*END
*D_NET *299 0.00663804
*CONN
*I *3547:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3549:A1 I *D sky130_fd_sc_hd__o22a_1
*I *3554:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3535:A I *D sky130_fd_sc_hd__or2_1
*I *3590:B2 I *D sky130_fd_sc_hd__o32a_1
*I *3524:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3547:A1 0.000278861
2 *3549:A1 0
3 *3554:A1 0.000326587
4 *3535:A 0.00023624
5 *3590:B2 8.18446e-05
6 *3524:Y 0.00021004
7 *299:45 0.000301359
8 *299:34 0.000509681
9 *299:19 0.000461506
10 *299:7 0.000356554
11 *3535:A *3589:A 8.62321e-06
12 *3535:A *3590:A1 8.62625e-06
13 *3535:A *3590:A2 0.000271058
14 *3535:A *4279:A 0.000383717
15 *3535:A *309:11 0.000266832
16 *3535:A *806:5 4.33655e-05
17 *3535:A *806:68 5.04829e-06
18 *3535:A *818:10 2.65831e-05
19 *3535:A *857:69 0.000118166
20 *3535:A *888:21 0.000179834
21 *3547:A1 *3547:B2 2.71226e-06
22 *3547:A1 *3626:B1 6.4036e-06
23 *3547:A1 *542:8 0.000522178
24 *3547:A1 *857:59 2.79471e-05
25 *3547:A1 *869:30 0.000454233
26 *3554:A1 *3554:B1 0.000406794
27 *3554:A1 *328:21 2.15348e-05
28 *3590:B2 *309:11 6.92705e-05
29 *3590:B2 *354:19 6.92705e-05
30 *3590:B2 *542:8 5.05252e-05
31 *299:7 *309:11 0.000304791
32 *299:19 *542:8 0.000136812
33 *299:34 *542:8 0.000295074
34 *299:34 *857:59 3.20069e-06
35 *299:34 *857:61 5.04734e-05
36 *299:34 *857:69 6.91241e-05
37 *299:34 *888:21 0
38 *299:45 *542:8 5.41377e-05
39 *299:45 *857:59 1.90335e-05
*RES
1 *3524:Y *299:7 17.2456
2 *299:7 *3590:B2 16.2068
3 *299:7 *299:19 2.6625
4 *299:19 *3535:A 34.3442
5 *299:19 *299:34 5.56926
6 *299:34 *3554:A1 19.4881
7 *299:34 *299:45 1.00149
8 *299:45 *3549:A1 13.7491
9 *299:45 *3547:A1 25.183
*END
*D_NET *300 0.00271206
*CONN
*I *3548:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3549:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3534:A I *D sky130_fd_sc_hd__or2_1
*I *3594:B2 I *D sky130_fd_sc_hd__o32a_1
*I *3525:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3548:A1 0.000111873
2 *3549:B1 0
3 *3534:A 0.00015176
4 *3594:B2 0
5 *3525:Y 0.000260485
6 *300:29 0.000210621
7 *300:14 0.00015176
8 *300:12 0.000359233
9 *3534:A *3534:B 0.000271464
10 *3534:A *3594:A2 0
11 *3534:A *3594:A3 0.000112332
12 *3534:A *340:13 4.0752e-05
13 *3548:A1 *3534:B 0.000137404
14 *3548:A1 *3549:B2 0.000216088
15 *3548:A1 *326:11 1.44611e-05
16 *3548:A1 *530:60 0
17 *300:12 *3594:A1 0.000136314
18 *300:12 *3594:A2 2.3835e-05
19 *300:12 *530:60 2.01653e-05
20 *300:12 *857:69 8.10016e-06
21 *300:12 *888:33 0.000258128
22 *300:29 *3534:B 0.000143047
23 *300:29 *3549:B2 1.07248e-05
24 *300:29 *530:60 0
25 *300:29 *857:59 1.3807e-05
26 *300:29 *857:61 4.52469e-05
27 *300:29 *857:69 1.44611e-05
*RES
1 *3525:Y *300:12 20.9684
2 *300:12 *300:14 4.5
3 *300:14 *3594:B2 9.24915
4 *300:14 *3534:A 15.1569
5 *300:12 *300:29 3.493
6 *300:29 *3549:B1 13.7491
7 *300:29 *3548:A1 18.3902
*END
*D_NET *301 0.00494685
*CONN
*I *3550:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3598:B2 I *D sky130_fd_sc_hd__o32a_1
*I *3548:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3533:A I *D sky130_fd_sc_hd__or2_1
*I *3526:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3550:B1 3.19869e-05
2 *3598:B2 8.93717e-05
3 *3548:B1 0.000189248
4 *3533:A 5.09777e-05
5 *3526:Y 0.000478119
6 *301:22 0.000316151
7 *301:18 0.000479948
8 *301:10 0.000824757
9 *3533:A *3624:A2 5.04829e-06
10 *3533:A *340:13 2.82583e-05
11 *3548:B1 *3548:B2 6.08467e-05
12 *3548:B1 *326:11 5.04829e-06
13 *3548:B1 *340:13 5.54262e-05
14 *3550:B1 *4277:A 9.55447e-05
15 *3550:B1 *321:5 3.82228e-05
16 *3598:B2 *3598:A1 0.000154145
17 *3598:B2 *3598:A3 6.50586e-05
18 *3598:B2 *806:46 3.07726e-05
19 *3598:B2 *867:21 0.000300565
20 *301:10 *4280:A 7.39749e-05
21 *301:10 *321:5 0.00073191
22 *301:10 *869:25 7.39749e-05
23 *301:18 *4277:A 0.000111722
24 *301:18 *4279:A 0.000255959
25 *301:18 *4280:A 3.5577e-05
26 *301:18 *321:5 3.82228e-05
27 *301:18 *377:14 5.87458e-05
28 *301:18 *377:19 0
29 *301:18 *806:10 4.7918e-05
30 *301:18 *817:15 7.59712e-05
31 *301:22 *3624:A2 3.25371e-05
32 *301:22 *377:19 0
33 *301:22 *806:10 0.000110844
*RES
1 *3526:Y *301:10 27.5991
2 *301:10 *301:18 13.9121
3 *301:18 *301:22 6.74725
4 *301:22 *3533:A 10.5271
5 *301:22 *3548:B1 13.3002
6 *301:18 *3598:B2 17.8243
7 *301:10 *3550:B1 10.5271
*END
*D_NET *302 0.0113619
*CONN
*I *3544:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3543:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3609:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3611:A3 I *D sky130_fd_sc_hd__o311a_1
*I *3529:A I *D sky130_fd_sc_hd__or2_1
*I *3527:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3544:B1 6.67725e-05
2 *3543:A1 0
3 *3609:B1 0.000240995
4 *3611:A3 0
5 *3529:A 0.000604804
6 *3527:Y 0.00015598
7 *302:55 0.000125583
8 *302:54 0.000220523
9 *302:30 0.000661477
10 *302:26 0.000761384
11 *302:8 0.00101296
12 *302:5 0.000384943
13 *3529:A *3529:B 0.000118792
14 *3529:A *3545:B2 1.37189e-05
15 *3529:A *3552:A1 2.91402e-05
16 *3529:A *3604:A1 6.08467e-05
17 *3529:A *3604:A2 7.19343e-06
18 *3529:A *4277:A 6.36477e-05
19 *3529:A *317:14 8.8927e-06
20 *3529:A *354:14 0.000163414
21 *3529:A *371:8 0.000326878
22 *3529:A *450:59 0.000246428
23 *3529:A *512:11 0.000319683
24 *3529:A *512:12 3.2071e-05
25 *3529:A *803:21 1.41853e-05
26 *3529:A *857:50 6.90431e-05
27 *3529:A *857:56 3.55126e-05
28 *3544:B1 *3543:A2 4.61421e-05
29 *3544:B1 *3603:B1 0.000200794
30 *3544:B1 *316:13 7.92757e-06
31 *3544:B1 *863:21 4.57019e-05
32 *3609:B1 *3611:A1 4.30017e-06
33 *3609:B1 *3611:B1 0.000822948
34 *3609:B1 *3686:A 0.000109011
35 *3609:B1 *3996:A1 9.99386e-06
36 *3609:B1 *314:24 9.82896e-06
37 *3609:B1 *380:32 0.000953191
38 *3609:B1 *468:23 5.51483e-06
39 *3609:B1 *857:167 0.000139435
40 *3609:B1 *858:5 1.92172e-05
41 *3609:B1 *858:13 1.61631e-05
42 *3609:B1 *859:60 5.04829e-06
43 *302:5 *807:7 0.000406794
44 *302:5 *863:21 0.000599863
45 *302:8 *371:8 0.000210445
46 *302:8 *512:11 0.000217587
47 *302:26 *3542:A 1.82679e-05
48 *302:26 *3543:A2 0.000263126
49 *302:26 *3544:C1 4.29635e-05
50 *302:26 *815:5 4.82656e-05
51 *302:30 *3543:A2 1.84381e-05
52 *302:30 *3543:B1 0.000769902
53 *302:30 *3611:A1 1.41291e-05
54 *302:30 *3611:A2 9.75243e-05
55 *302:30 *380:32 0.00011818
56 *302:54 *863:21 0.000335437
57 *4264:D *302:30 6.08467e-05
*RES
1 *3527:Y *302:5 16.0732
2 *302:5 *302:8 8.40826
3 *302:8 *3529:A 39.9106
4 *302:8 *302:26 12.9878
5 *302:26 *302:30 12.2495
6 *302:30 *3611:A3 9.24915
7 *302:30 *3609:B1 32.3413
8 *302:26 *3543:A1 9.24915
9 *302:5 *302:54 13.3002
10 *302:54 *302:55 81.1229
11 *302:55 *3544:B1 20.8855
*END
*D_NET *303 0.0120171
*CONN
*I *3609:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3611:A2 I *D sky130_fd_sc_hd__o311a_1
*I *3543:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3612:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3529:B I *D sky130_fd_sc_hd__or2_1
*I *3528:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3609:A2 0.000161462
2 *3611:A2 2.88883e-05
3 *3543:B1 0.000234423
4 *3612:A2 2.51134e-05
5 *3529:B 0.000533875
6 *3528:Y 0.000907866
7 *303:48 0.000592211
8 *303:42 0.000866333
9 *303:13 0.000587374
10 *303:12 0.00131222
11 *3529:B *3545:B2 3.63086e-05
12 *3529:B *3553:A 6.08467e-05
13 *3529:B *3553:B 1.41291e-05
14 *3529:B *3555:A1 0.000147448
15 *3529:B *304:16 8.94847e-05
16 *3529:B *328:21 0.000146306
17 *3529:B *378:58 6.73186e-05
18 *3529:B *803:21 0.000419522
19 *3529:B *810:25 5.07314e-05
20 *3529:B *865:27 5.47232e-06
21 *3529:B *875:17 0.000217937
22 *3529:B *883:53 0.00011818
23 *3543:B1 *3543:A2 1.09551e-05
24 *3543:B1 *468:23 0.000108827
25 *3609:A2 *3518:A 4.66492e-05
26 *3609:A2 *858:13 0.000300565
27 *3611:A2 *3611:A1 2.65831e-05
28 *3611:A2 *468:23 7.92757e-06
29 *3612:A2 *810:25 1.03286e-05
30 *303:12 *3520:A 0.000355877
31 *303:12 *3659:A 0.000553763
32 *303:12 *3659:B 0.000205006
33 *303:12 *3941:A1 4.37976e-05
34 *303:12 *3942:B 1.09738e-05
35 *303:12 *347:39 0.000197479
36 *303:12 *487:36 0.000121076
37 *303:12 *806:155 1.80122e-05
38 *303:13 *810:25 0.000188594
39 *303:13 *883:53 0.000201774
40 *303:42 *3642:B 7.77309e-06
41 *303:42 *3660:B 1.87469e-05
42 *303:42 *3942:A 0.000372135
43 *303:42 *3943:A 4.40272e-05
44 *303:42 *345:26 8.21849e-06
45 *303:42 *346:6 5.99658e-05
46 *303:42 *487:36 0.000241646
47 *303:42 *810:25 0.000260388
48 *303:42 *883:49 0.000164829
49 *303:42 *883:53 9.97706e-05
50 *303:48 *3518:A 6.50586e-05
51 *303:48 *3518:B 2.20702e-05
52 *303:48 *380:38 3.91685e-05
53 *303:48 *487:36 0
54 *303:48 *807:100 0.000131599
55 *303:48 *813:10 0.00011818
56 *3529:A *3529:B 0.000118792
57 *3568:A1 *303:12 7.86847e-05
58 *4263:D *3529:B 6.92613e-05
59 *4274:D *303:12 0.000106916
60 *294:11 *303:48 9.08435e-05
61 *302:30 *3543:B1 0.000769902
62 *302:30 *3611:A2 9.75243e-05
*RES
1 *3528:Y *303:12 45.7634
2 *303:12 *303:13 2.38721
3 *303:13 *3529:B 36.8317
4 *303:13 *3612:A2 9.82786
5 *303:12 *303:42 23.3246
6 *303:42 *303:48 18.4865
7 *303:48 *3543:B1 18.3157
8 *303:48 *3611:A2 10.5271
9 *303:42 *3609:A2 13.3002
*END
*D_NET *304 0.0101044
*CONN
*I *3603:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3606:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3530:A I *D sky130_fd_sc_hd__inv_2
*I *3529:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3603:A2 0.000216636
2 *3606:B2 0
3 *3530:A 0
4 *3529:X 0.000501418
5 *304:35 0.000720334
6 *304:23 0.00110609
7 *304:16 0.00110381
8 *3603:A2 *3603:A1 0.000122239
9 *304:16 *3543:C1 0.000156578
10 *304:16 *3545:A2 0.000386496
11 *304:16 *3545:B2 2.57847e-05
12 *304:16 *3555:A1 0.000271296
13 *304:16 *3604:A2 0.000210225
14 *304:16 *4263:CLK 1.61631e-05
15 *304:16 *378:58 0.000107682
16 *304:16 *803:21 1.5613e-05
17 *304:16 *864:19 7.65861e-05
18 *304:23 *3603:A1 0.000122031
19 *304:23 *3606:A2 4.66492e-05
20 *304:23 *3606:B1 6.08467e-05
21 *304:23 *316:13 6.36477e-05
22 *304:23 *316:46 6.3657e-05
23 *304:23 *450:55 0.000148129
24 *304:35 *3531:C 2.23105e-05
25 *304:35 *3542:A 0.00136726
26 *304:35 *3606:A1 0.000143651
27 *304:35 *3606:A2 0.000491754
28 *304:35 *3606:B1 6.08467e-05
29 *304:35 *3614:A 0.000680783
30 *304:35 *3625:A2 0.000260374
31 *304:35 *4280:A 0.000149643
32 *304:35 *305:9 0.000557999
33 *304:35 *376:5 7.34948e-06
34 *304:35 *376:15 0.000150534
35 *304:35 *376:27 1.41853e-05
36 *304:35 *377:47 0
37 *304:35 *866:9 0.000243944
38 *304:35 *869:25 0.00032235
39 *3529:B *304:16 8.94847e-05
*RES
1 *3529:X *304:16 37.1024
2 *304:16 *304:23 16.9915
3 *304:23 *304:35 44.2097
4 *304:35 *3530:A 9.24915
5 *304:23 *3606:B2 9.24915
6 *304:16 *3603:A2 12.7456
*END
*D_NET *305 0.00565275
*CONN
*I *3531:B I *D sky130_fd_sc_hd__and3_1
*I *3606:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3530:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3531:B 0.000101493
2 *3606:A2 0.00025496
3 *3530:Y 0.0015597
4 *305:12 0.000298692
5 *305:11 4.37314e-05
6 *305:9 0.0016612
7 *3606:A2 *3606:A1 1.37189e-05
8 *3606:A2 *3606:B1 2.07503e-05
9 *3606:A2 *306:13 0.000111708
10 *305:9 *3625:A2 4.56667e-05
11 *305:9 *3625:B2 9.63981e-05
12 *305:9 *3628:A1 0.000101133
13 *305:9 *307:8 8.53663e-05
14 *305:9 *380:51 8.24968e-05
15 *305:9 *888:97 7.93367e-05
16 *304:23 *3606:A2 4.66492e-05
17 *304:35 *3606:A2 0.000491754
18 *304:35 *305:9 0.000557999
*RES
1 *3530:Y *305:9 45.2015
2 *305:9 *305:11 9.24915
3 *305:11 *305:12 57.9449
4 *305:12 *3606:A2 27.0103
5 *305:9 *3531:B 11.0817
*END
*D_NET *306 0.00924218
*CONN
*I *3532:B I *D sky130_fd_sc_hd__nand2_1
*I *3600:B I *D sky130_fd_sc_hd__nor2_1
*I *3604:A1 I *D sky130_fd_sc_hd__o32a_1
*I *3531:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3532:B 0
2 *3600:B 0.00051138
3 *3604:A1 0.000358559
4 *3531:X 0.000214001
5 *306:38 0.00178145
6 *306:13 0.00184263
7 *3600:B *3601:A2 2.41274e-06
8 *3600:B *3602:B 6.50586e-05
9 *3600:B *3622:B1 0
10 *3600:B *3628:B2 0.00039844
11 *3600:B *307:8 0.000727438
12 *3600:B *493:21 0.00093995
13 *3600:B *883:129 2.01595e-05
14 *3600:B *888:63 0.000247231
15 *3600:B *888:79 9.24241e-05
16 *3604:A1 *3531:C 0.000408326
17 *3604:A1 *3601:A3 7.33231e-05
18 *3604:A1 *3603:B1 0.000317994
19 *3604:A1 *346:12 4.00504e-05
20 *3604:A1 *500:33 7.30946e-05
21 *3604:A1 *512:11 0.000212668
22 *3604:A1 *813:10 6.76857e-06
23 *3604:A1 *857:50 0
24 *3604:A1 *857:56 2.16355e-05
25 *306:13 *373:12 1.5714e-05
26 *306:13 *380:38 0
27 *306:13 *500:33 3.50799e-05
28 *306:13 *883:81 2.56662e-05
29 *306:38 *3531:C 5.23737e-05
30 *306:38 *493:19 0.000137433
31 *306:38 *493:21 4.71925e-05
32 *306:38 *500:33 2.78356e-05
33 *306:38 *512:11 3.71118e-05
34 *306:38 *807:51 0.00014446
35 *306:38 *807:77 7.78606e-05
36 *306:38 *807:88 5.04829e-06
37 *306:38 *883:81 2.86673e-05
38 *3529:A *3604:A1 6.08467e-05
39 *3606:A2 *306:13 0.000111708
40 *4265:D *306:38 8.01837e-05
*RES
1 *3531:X *306:13 22.4227
2 *306:13 *3604:A1 28.376
3 *306:13 *306:38 26.8749
4 *306:38 *3600:B 42.5833
5 *306:38 *3532:B 9.24915
*END
*D_NET *307 0.00838708
*CONN
*I *3596:A I *D sky130_fd_sc_hd__inv_2
*I *3533:B I *D sky130_fd_sc_hd__or2_1
*I *3532:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3596:A 0
2 *3533:B 0.00104005
3 *3532:Y 0.001101
4 *307:8 0.00214105
5 *3533:B *3598:A1 0.000166548
6 *3533:B *3624:A2 6.50586e-05
7 *3533:B *3943:A 1.91391e-05
8 *3533:B *366:11 0.00107791
9 *3533:B *377:14 6.41765e-05
10 *3533:B *377:19 0.000181293
11 *3533:B *424:12 5.36536e-06
12 *3533:B *817:15 4.0752e-05
13 *3533:B *857:131 6.08467e-05
14 *3533:B *883:137 4.76283e-05
15 *307:8 *3600:A 4.66386e-05
16 *307:8 *3622:B1 0
17 *307:8 *3628:A1 9.60366e-05
18 *307:8 *3943:A 0.000202748
19 *307:8 *4267:CLK 0
20 *307:8 *493:21 0.000937717
21 *307:8 *807:12 0
22 *307:8 *883:134 5.68225e-06
23 *307:8 *883:137 0.00023432
24 *307:8 *888:63 4.0327e-05
25 *307:8 *888:92 0
26 *3600:B *307:8 0.000727438
27 *305:9 *307:8 8.53663e-05
*RES
1 *3532:Y *307:8 43.1734
2 *307:8 *3533:B 45.1808
3 *307:8 *3596:A 13.7491
*END
*D_NET *308 0.00483487
*CONN
*I *3534:B I *D sky130_fd_sc_hd__or2_1
*I *3592:A I *D sky130_fd_sc_hd__inv_2
*I *3533:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3534:B 0.000566375
2 *3592:A 0.000752205
3 *3533:X 0
4 *308:4 0.00131858
5 *3534:B *3594:A3 1.43712e-05
6 *3534:B *340:13 0.000476064
7 *3534:B *530:60 7.1998e-05
8 *3592:A *3593:B 1.36556e-05
9 *3592:A *3617:A1 0.00012568
10 *3592:A *4261:CLK 1.47102e-05
11 *3592:A *340:13 0.00086964
12 *3592:A *380:39 0
13 *3592:A *888:33 5.96796e-05
14 *3534:A *3534:B 0.000271464
15 *3548:A1 *3534:B 0.000137404
16 *300:29 *3534:B 0.000143047
*RES
1 *3533:X *308:4 9.24915
2 *308:4 *3592:A 33.4155
3 *308:4 *3534:B 32.6144
*END
*D_NET *309 0.00410844
*CONN
*I *3535:B I *D sky130_fd_sc_hd__or2_1
*I *3588:A I *D sky130_fd_sc_hd__inv_2
*I *3534:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3535:B 0
2 *3588:A 0.000103364
3 *3534:X 0.000434475
4 *309:11 0.000537839
5 *3588:A *3589:A 0.000307898
6 *3588:A *3590:A2 0.00073389
7 *309:11 *3524:A 3.18857e-05
8 *309:11 *3589:A 0.000523679
9 *309:11 *3590:A1 0.000164843
10 *309:11 *3590:A2 7.66344e-05
11 *309:11 *3590:B1 0.000107496
12 *309:11 *354:19 5.26197e-05
13 *309:11 *500:34 0.000111545
14 *309:11 *512:12 0.000281382
15 *3535:A *309:11 0.000266832
16 *3590:B2 *309:11 6.92705e-05
17 *299:7 *309:11 0.000304791
*RES
1 *3534:X *309:11 36.9066
2 *309:11 *3588:A 17.1824
3 *309:11 *3535:B 9.24915
*END
*D_NET *310 0.00410816
*CONN
*I *3536:B I *D sky130_fd_sc_hd__or2_1
*I *3584:A I *D sky130_fd_sc_hd__inv_2
*I *3535:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3536:B 0.000465338
2 *3584:A 0.000483527
3 *3535:X 9.59748e-05
4 *310:8 0.00104484
5 *3536:B *3561:C1 0.00090657
6 *3536:B *352:11 0.000243139
7 *3536:B *356:7 4.65531e-05
8 *3536:B *500:34 0.000352695
9 *3536:B *512:12 3.88655e-05
10 *3584:A *3561:C1 4.27168e-05
11 *3584:A *4270:CLK 0.000188066
12 *3584:A *335:19 3.43152e-05
13 *3584:A *356:7 8.18442e-05
14 *310:8 *4270:CLK 1.77537e-06
15 *310:8 *377:19 0
16 *310:8 *888:21 6.31809e-05
17 *4270:D *3584:A 1.87611e-05
*RES
1 *3535:X *310:8 20.9116
2 *310:8 *3584:A 20.7753
3 *310:8 *3536:B 35.2158
*END
*D_NET *311 0.00399628
*CONN
*I *3537:B I *D sky130_fd_sc_hd__or2_1
*I *3579:A I *D sky130_fd_sc_hd__inv_2
*I *3536:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3537:B 0.000391397
2 *3579:A 0.000198386
3 *3536:X 0
4 *311:5 0.000589782
5 *3537:B *3558:A2 0.000316282
6 *3537:B *3558:B2 0.000365949
7 *3537:B *3564:B1 0.000472978
8 *3537:B *332:11 0.000158451
9 *3537:B *354:30 1.5714e-05
10 *3537:B *450:59 0.000480242
11 *3537:B *512:12 9.05245e-05
12 *3579:A *450:59 0.000461289
13 *3579:A *512:12 0.000202172
14 *3579:A *806:88 3.82228e-05
15 *3537:A *3537:B 1.65872e-05
16 *3558:A1 *3537:B 2.29454e-05
17 *3558:B1 *3537:B 6.36477e-05
18 *80:15 *3579:A 0.000111708
*RES
1 *3536:X *311:5 13.7491
2 *311:5 *3579:A 23.0879
3 *311:5 *3537:B 31.1524
*END
*D_NET *312 0.00328785
*CONN
*I *3540:B I *D sky130_fd_sc_hd__or3_1
*I *3574:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3570:A I *D sky130_fd_sc_hd__inv_2
*I *3537:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3540:B 9.81299e-05
2 *3574:A2 9.36721e-06
3 *3570:A 8.6872e-05
4 *3537:X 0.000232414
5 *312:8 0.000380114
6 *312:7 0.000614419
7 *3540:B *3565:C1 0.000241613
8 *3570:A *806:82 7.44269e-05
9 *3570:A *862:25 7.09395e-05
10 *3574:A2 *347:39 6.50586e-05
11 *312:7 *3564:A1 7.5032e-05
12 *312:7 *3564:B1 2.78272e-05
13 *312:7 *3565:C1 6.08467e-05
14 *312:8 *3565:C1 2.95757e-05
15 *312:8 *806:75 9.71065e-05
16 *312:8 *806:82 1.5254e-05
17 *312:8 *862:25 0.000103473
18 *3540:A *3540:B 6.64609e-05
19 *4273:D *312:8 0.000118485
20 *296:15 *3574:A2 6.50586e-05
21 *296:15 *312:8 0.000216073
22 *296:29 *312:8 9.75356e-05
23 *296:41 *3540:B 0.000188875
24 *296:41 *312:8 0.000252891
*RES
1 *3537:X *312:7 17.2456
2 *312:7 *312:8 11.3828
3 *312:8 *3570:A 16.8269
4 *312:8 *3574:A2 14.4725
5 *312:7 *3540:B 18.4879
*END
*D_NET *313 0.0067053
*CONN
*I *3676:A1 I *D sky130_fd_sc_hd__o21ai_2
*I *3539:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3538:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3676:A1 0.000112222
2 *3539:A 0.00011805
3 *3538:Y 0.000853127
4 *313:5 0.0010834
5 *3539:A *518:62 5.46286e-05
6 *3676:A1 *410:12 5.58294e-05
7 *3676:A1 *487:36 0
8 *313:5 *3538:A 0.000694126
9 *313:5 *3653:C1 1.19856e-05
10 *313:5 *3999:A1 1.92172e-05
11 *313:5 *3999:B1 0.000364342
12 *313:5 *385:5 7.68538e-06
13 *313:5 *385:35 1.01044e-05
14 *313:5 *385:55 0.000532066
15 *313:5 *393:11 0.0012285
16 *313:5 *518:62 0.000154599
17 *313:5 *518:78 0.000360407
18 *313:5 *857:167 0.000723103
19 *4240:D *313:5 0.000321905
*RES
1 *3538:Y *313:5 46.5764
2 *313:5 *3539:A 12.2151
3 *313:5 *3676:A1 20.9116
*END
*D_NET *314 0.0122453
*CONN
*I *3609:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3612:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3540:C I *D sky130_fd_sc_hd__or3_1
*I *3566:A I *D sky130_fd_sc_hd__nor2_1
*I *3611:A1 I *D sky130_fd_sc_hd__o311a_1
*I *3539:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3609:A1 0
2 *3612:A1 0.000263793
3 *3540:C 0.000324182
4 *3566:A 0
5 *3611:A1 4.01005e-05
6 *3539:X 0.000125482
7 *314:47 0.000848413
8 *314:35 0.0016831
9 *314:26 0.000951872
10 *314:24 0.000425422
11 *314:8 0.000413432
12 *3540:C *3673:B1 4.80635e-06
13 *3540:C *3673:C1 4.30017e-06
14 *3540:C *340:30 0.000216019
15 *3611:A1 *3611:B1 3.01683e-06
16 *3611:A1 *468:23 0.000118166
17 *3612:A1 *3572:A 6.50586e-05
18 *3612:A1 *810:25 0.000458912
19 *314:8 *487:36 0
20 *314:8 *807:100 0
21 *314:24 *3611:B1 0.00064579
22 *314:24 *3996:A1 0.000203273
23 *314:24 *468:23 0.000457589
24 *314:26 *3518:B 0.000118485
25 *314:26 *3996:A1 0.000360233
26 *314:26 *3997:C 7.59407e-05
27 *314:26 *4112:A0 0.000365704
28 *314:26 *859:47 3.74883e-05
29 *314:35 *3660:B 3.89332e-06
30 *314:35 *3663:B 2.73779e-05
31 *314:35 *3673:B1 1.63611e-05
32 *314:35 *3673:B2 6.20642e-05
33 *314:35 *3673:C1 6.24656e-06
34 *314:35 *4112:A0 0.000362201
35 *314:35 *340:30 0.000111722
36 *314:35 *342:24 8.28699e-05
37 *314:35 *351:13 1.5714e-05
38 *314:35 *402:8 0.000170577
39 *314:35 *810:25 0
40 *314:35 *859:10 5.88803e-05
41 *314:35 *859:47 0.000115067
42 *314:47 *3566:B 0.000228131
43 *314:47 *3660:B 0.000900605
44 *314:47 *3667:B 4.3116e-06
45 *314:47 *3669:A1 0.000132086
46 *314:47 *3669:A2 4.36956e-05
47 *314:47 *3674:A2 5.92342e-05
48 *314:47 *341:14 0.000111722
49 *314:47 *399:7 3.63947e-05
50 *314:47 *399:31 0
51 *314:47 *402:8 0.000489903
52 *314:47 *841:22 0.000266846
53 *3609:B1 *3611:A1 4.30017e-06
54 *3609:B1 *314:24 9.82896e-06
55 *3611:A2 *3611:A1 2.65831e-05
56 *4238:D *314:47 1.87469e-05
57 *4263:D *3612:A1 5.56461e-05
58 *218:12 *314:24 7.45017e-05
59 *218:12 *314:26 1.12605e-05
60 *218:12 *314:35 2.35586e-05
61 *221:11 *314:47 6.92705e-05
62 *295:5 *3540:C 1.65872e-05
63 *295:9 *3540:C 0.000404373
64 *302:30 *3611:A1 1.41291e-05
*RES
1 *3539:X *314:8 20.9116
2 *314:8 *3611:A1 11.1059
3 *314:8 *314:24 17.6436
4 *314:24 *314:26 9.30653
5 *314:26 *314:35 21.3866
6 *314:35 *314:47 48.1849
7 *314:47 *3566:A 9.24915
8 *314:35 *3540:C 19.6178
9 *314:26 *3612:A1 21.8753
10 *314:24 *3609:A1 13.7491
*END
*D_NET *315 0.00116643
*CONN
*I *3568:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3540:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3568:A2 0.000172413
2 *3540:X 0.000172413
3 *3568:A2 *3567:B 2.23259e-05
4 *3568:A2 *4236:CLK 6.50727e-05
5 *3568:A2 *341:15 5.97264e-05
6 *3568:A2 *342:5 0.000266846
7 *3568:A2 *342:24 0.000158371
8 *3568:A2 *347:39 0.000127179
9 *3568:A2 *351:18 0.000122083
*RES
1 *3540:X *3568:A2 34.9058
*END
*D_NET *316 0.00537632
*CONN
*I *3606:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3603:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3544:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3545:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3607:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3541:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3606:B1 3.41567e-05
2 *3603:A1 0.000169517
3 *3544:A1 1.67316e-05
4 *3545:A1 0
5 *3607:A2 0.000205591
6 *3541:Y 0.000304535
7 *316:46 0.00021724
8 *316:24 0.000607414
9 *316:13 0.000567195
10 *316:8 0.000473072
11 *3544:A1 *863:21 1.03434e-05
12 *3603:A1 *3544:A2 0.000188887
13 *3603:A1 *3603:B1 0.000123601
14 *3603:A1 *518:62 2.65831e-05
15 *3606:B1 *3606:A1 0.000161243
16 *3607:A2 *3545:B2 1.65078e-05
17 *3607:A2 *3555:A1 0
18 *3607:A2 *3607:B2 3.24807e-05
19 *3607:A2 *354:7 0.000169733
20 *3607:A2 *373:12 0.000137461
21 *316:8 *373:12 0.000260809
22 *316:8 *450:55 0.000275339
23 *316:13 *3543:A2 2.14552e-05
24 *316:13 *373:12 0.000195154
25 *316:13 *450:55 0.000191541
26 *316:13 *863:21 6.52389e-05
27 *316:24 *3543:A2 0.0001251
28 *316:24 *3607:B2 1.47882e-05
29 *316:24 *450:55 2.08942e-05
30 *316:24 *450:59 5.00191e-05
31 *316:46 *3603:B1 4.79321e-06
32 *3544:B1 *316:13 7.92757e-06
33 *3603:A2 *3603:A1 0.000122239
34 *3606:A2 *3606:B1 2.07503e-05
35 *4221:D *3607:A2 0.000166951
36 *304:23 *3603:A1 0.000122031
37 *304:23 *3606:B1 6.08467e-05
38 *304:23 *316:13 6.36477e-05
39 *304:23 *316:46 6.3657e-05
40 *304:35 *3606:B1 6.08467e-05
*RES
1 *3541:Y *316:8 21.2904
2 *316:8 *316:13 9.82561
3 *316:13 *316:24 14.5149
4 *316:24 *3607:A2 20.3938
5 *316:24 *3545:A1 13.7491
6 *316:13 *316:46 1.30211
7 *316:46 *3544:A1 9.82786
8 *316:46 *3603:A1 16.6278
9 *316:8 *3606:B1 15.5817
*END
*D_NET *317 0.0109232
*CONN
*I *3545:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3603:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3604:B2 I *D sky130_fd_sc_hd__o32a_1
*I *3552:A1 I *D sky130_fd_sc_hd__a2111o_1
*I *3542:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3545:B1 0.00014044
2 *3603:B1 0.000732777
3 *3604:B2 0
4 *3552:A1 0.000411895
5 *3542:Y 0.000706294
6 *317:14 0.000491313
7 *317:13 0.000812195
8 *317:11 0.000921826
9 *317:10 0.00148768
10 *3545:B1 *3543:C1 1.61015e-05
11 *3545:B1 *3545:A2 1.30021e-05
12 *3545:B1 *3545:C1 4.71783e-05
13 *3545:B1 *373:12 6.08467e-05
14 *3552:A1 *3553:A 1.41291e-05
15 *3552:A1 *323:9 0.000115934
16 *3552:A1 *346:12 1.07248e-05
17 *3552:A1 *346:17 0.000523087
18 *3552:A1 *500:34 6.11934e-05
19 *3552:A1 *512:12 0.000551053
20 *3603:B1 *3527:A 4.58003e-05
21 *3603:B1 *3544:A2 0.00017337
22 *3603:B1 *3545:C1 6.08467e-05
23 *3603:B1 *3601:A3 2.09495e-05
24 *3603:B1 *373:12 3.67845e-05
25 *3603:B1 *813:10 0.000103772
26 *3603:B1 *863:21 5.51483e-06
27 *317:10 *3532:A 0
28 *317:10 *3542:A 0.00142361
29 *317:10 *377:14 8.11752e-05
30 *317:11 *3543:C1 2.57919e-05
31 *317:11 *3601:A1 0.000417492
32 *317:11 *3601:A3 0.000586918
33 *317:14 *3601:A3 5.65463e-05
34 *317:14 *346:12 5.44896e-05
35 *317:14 *373:12 1.77537e-06
36 *317:14 *450:59 0
37 *317:14 *857:50 2.37478e-05
38 *3529:A *3552:A1 2.91402e-05
39 *3529:A *317:14 8.8927e-06
40 *3544:B1 *3603:B1 0.000200794
41 *3603:A1 *3603:B1 0.000123601
42 *3604:A1 *3603:B1 0.000317994
43 *4267:D *317:10 1.77537e-06
44 *316:46 *3603:B1 4.79321e-06
*RES
1 *3542:Y *317:10 37.8551
2 *317:10 *317:11 12.9247
3 *317:11 *317:13 4.5
4 *317:13 *317:14 2.24725
5 *317:14 *3552:A1 28.2103
6 *317:14 *3604:B2 13.7491
7 *317:13 *3603:B1 32.042
8 *317:11 *3545:B1 12.9626
*END
*D_NET *318 0.000781223
*CONN
*I *3544:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3543:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3544:C1 0.000195192
2 *3543:X 0.000195192
3 *3544:C1 *3543:A2 0.000110306
4 *3544:C1 *373:12 0.000120584
5 *3544:C1 *450:55 0.000116986
6 *302:26 *3544:C1 4.29635e-05
*RES
1 *3543:X *3544:C1 32.1327
*END
*D_NET *319 0.00199085
*CONN
*I *3545:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3544:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3545:C1 0.000333732
2 *3544:X 0.000333732
3 *3545:C1 *3543:C1 0.000507136
4 *3545:C1 *3545:A2 0.000415033
5 *3545:C1 *3607:B2 0.000270863
6 *3545:C1 *373:12 2.23297e-05
7 *3545:B1 *3545:C1 4.71783e-05
8 *3603:B1 *3545:C1 6.08467e-05
*RES
1 *3544:X *3545:C1 40.0845
*END
*D_NET *320 0.00242899
*CONN
*I *3555:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3545:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *3555:A1 0.000692136
2 *3545:X 0.000692136
3 *3555:A1 *3543:C1 6.11359e-06
4 *3555:A1 *3545:A2 0.00016763
5 *3555:A1 *3545:B2 0
6 *3555:A1 *3553:A 0
7 *3555:A1 *3607:B2 1.55886e-05
8 *3555:A1 *328:21 0.000307535
9 *3555:A1 *378:58 3.64798e-05
10 *3555:A1 *857:50 4.69495e-06
11 *3555:A1 *865:27 5.97205e-06
12 *3555:A1 *875:17 8.19586e-05
13 *3529:B *3555:A1 0.000147448
14 *3607:A2 *3555:A1 0
15 *304:16 *3555:A1 0.000271296
*RES
1 *3545:X *3555:A1 45.4208
*END
*D_NET *321 0.00422807
*CONN
*I *3601:B2 I *D sky130_fd_sc_hd__o32a_1
*I *3547:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3550:A1 I *D sky130_fd_sc_hd__o22a_1
*I *3546:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3601:B2 0.00013786
2 *3547:B1 0.000177147
3 *3550:A1 0
4 *3546:Y 0.000373918
5 *321:7 0.00021359
6 *321:5 0.000548221
7 *3547:B1 *4277:A 0.000211478
8 *3547:B1 *542:8 0.000151025
9 *3547:B1 *857:57 4.67559e-05
10 *3547:B1 *869:30 2.02035e-05
11 *3601:B2 *3543:C1 2.16355e-05
12 *3601:B2 *3547:B2 3.31882e-05
13 *3601:B2 *3601:A3 6.08467e-05
14 *3601:B2 *866:18 0.000304968
15 *3601:B2 *868:8 0.000332528
16 *321:5 *3597:A 0.000321919
17 *321:5 *3601:A1 0.000169041
18 *321:5 *4277:A 5.73406e-05
19 *321:5 *817:15 0.00023805
20 *3550:B1 *321:5 3.82228e-05
21 *301:10 *321:5 0.00073191
22 *301:18 *321:5 3.82228e-05
*RES
1 *3546:Y *321:5 24.3922
2 *321:5 *321:7 0.988641
3 *321:7 *3550:A1 9.24915
4 *321:7 *3547:B1 23.7141
5 *321:5 *3601:B2 24.9571
*END
*D_NET *322 0.00130533
*CONN
*I *3552:B1 I *D sky130_fd_sc_hd__a2111o_1
*I *3547:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3552:B1 0.000151707
2 *3547:X 0.000151707
3 *3552:B1 *3552:C1 6.50586e-05
4 *3552:B1 *3555:A2 1.67988e-05
5 *3552:B1 *323:9 0.000213725
6 *3552:B1 *326:11 0.000115934
7 *3552:B1 *354:14 0.000296996
8 *3552:B1 *500:34 0.000293399
*RES
1 *3547:X *3552:B1 35.4548
*END
*D_NET *323 0.00371588
*CONN
*I *3553:A I *D sky130_fd_sc_hd__or2_1
*I *3552:C1 I *D sky130_fd_sc_hd__a2111o_1
*I *3548:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3553:A 0.000446629
2 *3552:C1 1.56982e-05
3 *3548:X 0.000278709
4 *323:9 0.000741036
5 *3552:C1 *3555:A2 0.000115934
6 *3553:A *3552:A2 1.65872e-05
7 *3553:A *3555:A2 0.000554048
8 *3553:A *3555:B1 0.00031834
9 *3553:A *328:21 0
10 *3553:A *345:14 0.000303177
11 *3553:A *506:12 0
12 *3553:A *875:17 0.000308166
13 *323:9 *3552:A2 1.64789e-05
14 *323:9 *326:11 0.000109747
15 *3529:B *3553:A 6.08467e-05
16 *3552:A1 *3553:A 1.41291e-05
17 *3552:A1 *323:9 0.000115934
18 *3552:B1 *3552:C1 6.50586e-05
19 *3552:B1 *323:9 0.000213725
20 *3555:A1 *3553:A 0
21 *4263:D *3553:A 2.16355e-05
*RES
1 *3548:X *323:9 17.0859
2 *323:9 *3552:C1 10.5271
3 *323:9 *3553:A 35.2453
*END
*D_NET *324 0.00510147
*CONN
*I *3554:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3551:A I *D sky130_fd_sc_hd__nand2_1
*I *3549:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3554:B1 0.000203504
2 *3551:A 0
3 *3549:X 0
4 *324:28 0.00102562
5 *324:8 0.00110296
6 *324:7 8.86435e-05
7 *324:4 0.00021481
8 *3554:B1 *328:21 0.000119254
9 *3554:B1 *857:131 0.000195315
10 *3554:B1 *861:20 0.000119991
11 *324:7 *857:131 6.92705e-05
12 *324:7 *861:20 1.60354e-05
13 *324:28 *3546:A 0
14 *324:28 *3598:A1 0.000241652
15 *324:28 *3617:A2 4.43826e-05
16 *324:28 *378:8 4.31791e-05
17 *324:28 *380:39 0.00030182
18 *324:28 *424:12 0.00024525
19 *324:28 *806:15 9.44634e-05
20 *324:28 *861:20 0.000318977
21 *3554:A1 *3554:B1 0.000406794
22 *4268:D *324:28 0.000249561
*RES
1 *3549:X *324:4 9.24915
2 *324:4 *324:7 9.97254
3 *324:7 *324:8 104.301
4 *324:8 *324:28 46.9928
5 *324:28 *3551:A 13.7491
6 *324:4 *3554:B1 17.1824
*END
*D_NET *325 0.00646607
*CONN
*I *3553:B I *D sky130_fd_sc_hd__or2_1
*I *3551:B I *D sky130_fd_sc_hd__nand2_1
*I *3550:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3553:B 0.00107624
2 *3551:B 0.000624846
3 *3550:X 0.00014582
4 *325:5 0.0018469
5 *3551:B *3547:B2 0.000107063
6 *3551:B *3550:B2 0.000107063
7 *3551:B *3626:B1 0.000207266
8 *3551:B *4268:CLK 1.65872e-05
9 *3551:B *326:11 0.000149783
10 *3551:B *817:15 5.46928e-05
11 *3553:B *3626:B1 0.000649259
12 *3553:B *4277:A 0.000400335
13 *3553:B *354:14 7.14746e-05
14 *3553:B *378:58 0.000364991
15 *3553:B *542:8 7.14746e-05
16 *3553:B *875:17 6.78364e-06
17 *325:5 *4277:A 0.000205006
18 *3529:B *3553:B 1.41291e-05
19 *4268:D *3551:B 0.000346353
*RES
1 *3550:X *325:5 11.6364
2 *325:5 *3551:B 35.3019
3 *325:5 *3553:B 37.6302
*END
*D_NET *326 0.00397632
*CONN
*I *3552:D1 I *D sky130_fd_sc_hd__a2111o_1
*I *3551:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3552:D1 0
2 *3551:Y 0.00122965
3 *326:11 0.00122965
4 *326:11 *3548:A2 6.08467e-05
5 *326:11 *3548:B2 0.000110844
6 *326:11 *3550:B2 0.000177563
7 *326:11 *3555:A2 0.000164843
8 *326:11 *4268:CLK 0.000100493
9 *326:11 *340:13 0.000398061
10 *326:11 *530:60 1.1246e-05
11 *326:11 *868:8 7.12199e-05
12 *3548:A1 *326:11 1.44611e-05
13 *3548:B1 *326:11 5.04829e-06
14 *3551:B *326:11 0.000149783
15 *3552:B1 *326:11 0.000115934
16 *4268:D *326:11 2.69262e-05
17 *323:9 *326:11 0.000109747
*RES
1 *3551:Y *326:11 43.9824
2 *326:11 *3552:D1 9.24915
*END
*D_NET *327 0.00160036
*CONN
*I *3555:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3552:X O *D sky130_fd_sc_hd__a2111o_1
*CAP
1 *3555:A2 0.000291608
2 *3552:X 0.000291608
3 *3555:A2 *3565:A1 0.000165521
4 *3552:B1 *3555:A2 1.67988e-05
5 *3552:C1 *3555:A2 0.000115934
6 *3553:A *3555:A2 0.000554048
7 *326:11 *3555:A2 0.000164843
*RES
1 *3552:X *3555:A2 28.0953
*END
*D_NET *328 0.00326675
*CONN
*I *3554:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3553:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3554:B2 0
2 *3553:X 0.000759856
3 *328:21 0.000759856
4 *328:21 *3552:A2 2.25229e-05
5 *328:21 *3555:B1 0
6 *328:21 *3565:A1 0.000118485
7 *328:21 *450:59 0
8 *328:21 *506:12 0
9 *328:21 *861:20 0.000628581
10 *328:21 *875:17 0.000213725
11 *3529:B *328:21 0.000146306
12 *3553:A *328:21 0
13 *3554:A1 *328:21 2.15348e-05
14 *3554:B1 *328:21 0.000119254
15 *3555:A1 *328:21 0.000307535
16 *4254:D *328:21 0.000169093
*RES
1 *3553:X *328:21 40.9573
2 *328:21 *3554:B2 9.24915
*END
*D_NET *329 0.00100388
*CONN
*I *3555:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3554:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3555:B1 0.000135748
2 *3554:X 0.000135748
3 *3555:B1 *3552:A2 0.000267404
4 *3555:B1 *3565:A1 0
5 *3555:B1 *450:59 0.000146645
6 *3553:A *3555:B1 0.00031834
7 *328:21 *3555:B1 0
*RES
1 *3554:X *3555:B1 33.6572
*END
*D_NET *330 0.000937869
*CONN
*I *3565:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3555:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3565:A1 0.000309694
2 *3555:X 0.000309694
3 *3565:A1 *3565:B1 3.4475e-05
4 *3565:A1 *450:59 0
5 *3565:A1 *861:20 0
6 *3555:A2 *3565:A1 0.000165521
7 *3555:B1 *3565:A1 0
8 *328:21 *3565:A1 0.000118485
*RES
1 *3555:X *3565:A1 34.9002
*END
*D_NET *331 0.00409546
*CONN
*I *3564:A2 I *D sky130_fd_sc_hd__o211ai_1
*I *3557:B2 I *D sky130_fd_sc_hd__a2bb2o_1
*I *3556:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3564:A2 0.000637385
2 *3557:B2 4.60461e-05
3 *3556:Y 0.000566018
4 *331:8 0.00124945
5 *3557:B2 *3561:C1 0.000110297
6 *3564:A2 *3558:A2 0
7 *3564:A2 *3562:C_N 1.79672e-05
8 *3564:A2 *3564:B1 0.000494906
9 *331:8 *3558:A2 7.48797e-05
10 *331:8 *821:8 0.00013278
11 *331:8 *821:19 0.00031882
12 *331:8 *870:18 0
13 *331:8 *871:24 1.41291e-05
14 *331:8 *883:241 9.77611e-06
15 *297:8 *331:8 0.000141782
16 *297:17 *3564:A2 0.000109592
17 *297:17 *331:8 0.000171628
*RES
1 *3556:Y *331:8 27.6613
2 *331:8 *3557:B2 15.0271
3 *331:8 *3564:A2 25.0388
*END
*D_NET *332 0.0032024
*CONN
*I *3564:B1 I *D sky130_fd_sc_hd__o211ai_1
*I *3562:A I *D sky130_fd_sc_hd__or3b_1
*I *3557:X O *D sky130_fd_sc_hd__a2bb2o_1
*CAP
1 *3564:B1 0.000355245
2 *3562:A 0.000190372
3 *3557:X 0.00016449
4 *332:11 0.000710106
5 *3562:A *3562:C_N 6.36477e-05
6 *3562:A *450:59 0
7 *3562:A *806:68 6.36477e-05
8 *3564:B1 *3564:A1 0.000130555
9 *3564:B1 *3564:C1 6.50727e-05
10 *3564:B1 *3565:C1 2.81262e-05
11 *332:11 *3557:A2_N 2.41274e-06
12 *332:11 *3558:B2 1.03403e-05
13 *332:11 *450:59 0.000101133
14 *3537:A *3564:B1 3.4252e-05
15 *3537:B *3564:B1 0.000472978
16 *3537:B *332:11 0.000158451
17 *3558:B1 *3562:A 1.64983e-05
18 *3558:B1 *332:11 9.75356e-05
19 *3564:A2 *3564:B1 0.000494906
20 *297:17 *3562:A 1.48017e-05
21 *312:7 *3564:B1 2.78272e-05
*RES
1 *3557:X *332:11 22.6371
2 *332:11 *3562:A 22.8808
3 *332:11 *3564:B1 22.3667
*END
*D_NET *333 0.00089188
*CONN
*I *3562:B I *D sky130_fd_sc_hd__or3b_1
*I *3558:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3562:B 0.00023641
2 *3558:X 0.00023641
3 *3562:B *3562:C_N 0.000301685
4 *3562:B *3565:A2 0.000117376
5 *3562:B *450:59 0
*RES
1 *3558:X *3562:B 34.0966
*END
*D_NET *334 0.00713099
*CONN
*I *3563:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3560:A I *D sky130_fd_sc_hd__inv_2
*I *3559:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3563:B1 0.000266317
2 *3560:A 0.00159885
3 *3559:X 0
4 *334:4 0.00186516
5 *3560:A *3595:B 0.000242722
6 *3560:A *4269:CLK 0.000178885
7 *3560:A *806:5 0.000636338
8 *3560:A *806:68 0.00104413
9 *3563:B1 *3561:B2 5.41377e-05
10 *3563:B1 *3563:A2 0.00035004
11 *3563:B1 *806:68 0.000211478
12 *3563:B1 *861:20 0.000384067
13 *3563:B1 *862:30 7.74397e-05
14 *4269:D *3560:A 0.000221434
*RES
1 *3559:X *334:4 9.24915
2 *334:4 *3560:A 38.8602
3 *334:4 *3563:B1 28.2819
*END
*D_NET *335 0.00607018
*CONN
*I *3561:C1 I *D sky130_fd_sc_hd__a221oi_1
*I *3560:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3561:C1 0.000395312
2 *3560:Y 0.00070212
3 *335:19 0.00109743
4 *3561:C1 *3557:A2_N 9.61086e-05
5 *3561:C1 *3557:B1 2.91008e-06
6 *3561:C1 *3558:B2 0.000158357
7 *3561:C1 *3562:C_N 1.43848e-05
8 *3561:C1 *3564:A1 6.99486e-05
9 *3561:C1 *3580:A 0.00136999
10 *3561:C1 *821:20 3.82228e-05
11 *335:19 *3580:A 2.18741e-05
12 *335:19 *3582:A2 3.20069e-06
13 *335:19 *3591:A 6.50727e-05
14 *335:19 *3591:B 0.000103022
15 *335:19 *3595:A 0.000671517
16 *335:19 *4270:CLK 9.75356e-05
17 *335:19 *380:39 0
18 *3536:B *3561:C1 0.00090657
19 *3557:B2 *3561:C1 0.000110297
20 *3584:A *3561:C1 4.27168e-05
21 *3584:A *335:19 3.43152e-05
22 *4270:D *335:19 6.92705e-05
*RES
1 *3560:Y *335:19 47.8026
2 *335:19 *3561:C1 29.8539
*END
*D_NET *336 0.00367594
*CONN
*I *3564:C1 I *D sky130_fd_sc_hd__o211ai_1
*I *3562:C_N I *D sky130_fd_sc_hd__or3b_1
*I *3561:Y O *D sky130_fd_sc_hd__a221oi_1
*CAP
1 *3564:C1 0.000663801
2 *3562:C_N 0.000454595
3 *3561:Y 0
4 *336:4 0.0011184
5 *3562:C_N *3558:A2 0.000134323
6 *3562:C_N *3561:B2 6.28334e-05
7 *3562:C_N *806:68 0.00023777
8 *3562:C_N *861:20 7.36804e-06
9 *3564:C1 *3564:A1 9.00962e-06
10 *3564:C1 *3565:C1 0.000113968
11 *3564:C1 *3578:A2 2.41274e-06
12 *3564:C1 *3578:B1 2.77625e-06
13 *3564:C1 *351:18 0.000108986
14 *3564:C1 *862:25 0.000150255
15 *3564:C1 *862:30 4.31703e-05
16 *3561:C1 *3562:C_N 1.43848e-05
17 *3562:A *3562:C_N 6.36477e-05
18 *3562:B *3562:C_N 0.000301685
19 *3564:A2 *3562:C_N 1.79672e-05
20 *3564:B1 *3564:C1 6.50727e-05
21 *296:41 *3564:C1 0.000103518
*RES
1 *3561:Y *336:4 9.24915
2 *336:4 *3562:C_N 32.3598
3 *336:4 *3564:C1 31.0267
*END
*D_NET *337 0.00063129
*CONN
*I *3565:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3562:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *3565:A2 0.000241005
2 *3562:X 0.000241005
3 *3565:A2 *340:30 3.19034e-05
4 *3565:A2 *450:59 0
5 *3562:B *3565:A2 0.000117376
*RES
1 *3562:X *3565:A2 33.4047
*END
*D_NET *338 0.000406792
*CONN
*I *3565:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3563:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3565:B1 0.000144775
2 *3563:X 0.000144775
3 *3565:B1 *340:30 2.65667e-05
4 *3565:B1 *861:20 5.61994e-05
5 *3565:A1 *3565:B1 3.4475e-05
*RES
1 *3563:X *3565:B1 31.1923
*END
*D_NET *339 0.00216124
*CONN
*I *3565:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3564:Y O *D sky130_fd_sc_hd__o211ai_1
*CAP
1 *3565:C1 0.000505472
2 *3564:Y 0.000505472
3 *3565:C1 *3564:A1 6.50586e-05
4 *3565:C1 *340:30 7.69488e-05
5 *3565:C1 *524:8 5.58402e-05
6 *3565:C1 *806:75 0.000139435
7 *3540:A *3565:C1 2.5386e-05
8 *3540:B *3565:C1 0.000241613
9 *3564:B1 *3565:C1 2.81262e-05
10 *3564:C1 *3565:C1 0.000113968
11 *295:9 *3565:C1 0.000313495
12 *312:7 *3565:C1 6.08467e-05
13 *312:8 *3565:C1 2.95757e-05
*RES
1 *3564:Y *3565:C1 43.1093
*END
*D_NET *340 0.0144847
*CONN
*I *3566:B I *D sky130_fd_sc_hd__nor2_1
*I *3571:B I *D sky130_fd_sc_hd__nand2_1
*I *3565:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3566:B 0.000890488
2 *3571:B 0
3 *3565:X 0
4 *340:30 0.00269443
5 *340:13 0.00133116
6 *340:4 0.0031351
7 *3566:B *3659:A 2.57847e-05
8 *3566:B *3665:A2_N 0.000245502
9 *3566:B *3667:B 0.000181072
10 *3566:B *3669:A1 9.62395e-05
11 *3566:B *341:14 0.00016216
12 *3566:B *401:8 0.000116986
13 *3566:B *842:53 9.41583e-05
14 *3566:B *843:11 3.95649e-05
15 *340:13 *3548:B2 2.65831e-05
16 *340:13 *3624:A2 0.000741069
17 *340:13 *3624:B2 0.000369595
18 *340:13 *354:14 0.000275339
19 *340:13 *542:8 0.000278937
20 *340:13 *857:131 5.09965e-05
21 *340:30 *3659:A 6.65668e-05
22 *340:30 *3673:A2 2.99929e-05
23 *340:30 *3673:B1 0.000123484
24 *340:30 *351:18 0.000123582
25 *3533:A *340:13 2.82583e-05
26 *3534:A *340:13 4.0752e-05
27 *3534:B *340:13 0.000476064
28 *3540:A *340:30 6.28168e-05
29 *3540:C *340:30 0.000216019
30 *3548:B1 *340:13 5.54262e-05
31 *3565:A2 *340:30 3.19034e-05
32 *3565:B1 *340:30 2.65667e-05
33 *3565:C1 *340:30 7.69488e-05
34 *3592:A *340:13 0.00086964
35 *4236:D *340:30 0.000269642
36 *4237:D *3566:B 7.85158e-06
37 *4238:D *3566:B 2.53624e-06
38 *256:19 *3566:B 1.07248e-05
39 *295:9 *340:30 0.000472818
40 *314:35 *340:30 0.000111722
41 *314:47 *3566:B 0.000228131
42 *326:11 *340:13 0.000398061
*RES
1 *3565:X *340:4 9.24915
2 *340:4 *340:13 47.6472
3 *340:13 *3571:B 9.24915
4 *340:4 *340:30 48.6225
5 *340:30 *3566:B 41.5664
*END
*D_NET *341 0.00673117
*CONN
*I *3941:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3567:B I *D sky130_fd_sc_hd__or2_1
*I *3566:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3941:A1 6.94475e-05
2 *3567:B 0.000230638
3 *3566:Y 0.000651336
4 *341:15 0.00148105
5 *341:14 0.0018323
6 *3567:B *3567:A 6.08467e-05
7 *3941:A1 *347:39 0.000114456
8 *341:14 *3620:A 2.71397e-05
9 *341:14 *3638:C1 1.01315e-05
10 *341:14 *3665:A2_N 0.000239874
11 *341:14 *3667:C 3.42931e-05
12 *341:14 *3668:B1 0.000536231
13 *341:14 *4238:CLK 8.20492e-06
14 *341:14 *383:29 2.1558e-05
15 *341:14 *400:8 9.22013e-06
16 *341:14 *401:8 0.000116986
17 *341:14 *840:12 0.00023344
18 *341:14 *840:25 2.01653e-05
19 *341:15 *3663:A 0.000136512
20 *341:15 *4236:CLK 0.000328368
21 *3566:B *341:14 0.00016216
22 *3568:A2 *3567:B 2.23259e-05
23 *3568:A2 *341:15 5.97264e-05
24 *4238:D *341:14 0.000144531
25 *256:19 *341:14 2.47141e-05
26 *303:12 *3941:A1 4.37976e-05
27 *314:47 *341:14 0.000111722
*RES
1 *3566:Y *341:14 40.534
2 *341:14 *341:15 18.4707
3 *341:15 *3567:B 13.7583
4 *341:15 *3941:A1 20.4964
*END
*D_NET *342 0.0110942
*CONN
*I *3610:A I *D sky130_fd_sc_hd__inv_2
*I *3568:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3567:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3610:A 0.00112517
2 *3568:B1 0
3 *3567:X 9.01461e-05
4 *342:24 0.00274763
5 *342:5 0.00171261
6 *3610:A *3611:C1 0
7 *3610:A *3636:A2 2.23384e-05
8 *3610:A *4102:A0 0.000789003
9 *3610:A *4104:A0 3.60268e-05
10 *3610:A *4282:A 8.94007e-05
11 *3610:A *379:11 0
12 *3610:A *393:11 0.000267404
13 *3610:A *838:8 0
14 *3610:A *840:75 0.000366734
15 *3610:A *856:8 9.75548e-05
16 *3610:A *875:17 0.00107324
17 *342:24 *3577:A 0.000221352
18 *342:24 *3660:B 0.000243867
19 *342:24 *3663:A 0.000223897
20 *342:24 *3941:A2 4.60283e-05
21 *342:24 *4236:CLK 5.23916e-05
22 *342:24 *351:13 1.91391e-05
23 *342:24 *384:10 0.000154145
24 *342:24 *396:15 4.19698e-05
25 *342:24 *397:37 7.08723e-06
26 *342:24 *808:10 7.09666e-06
27 *342:24 *808:60 8.61737e-06
28 *342:24 *859:33 0.000179857
29 *3568:A1 *342:5 6.08467e-05
30 *3568:A1 *342:24 9.32983e-05
31 *3568:A2 *342:5 0.000266846
32 *3568:A2 *342:24 0.000158371
33 *4112:A1 *342:24 0.000404547
34 *4247:D *3610:A 2.8599e-05
35 *4250:D *3610:A 0.000145521
36 *218:11 *342:24 6.08467e-05
37 *218:12 *342:24 2.43314e-05
38 *222:10 *3610:A 0.000145456
39 *314:35 *342:24 8.28699e-05
*RES
1 *3567:X *342:5 12.191
2 *342:5 *3568:B1 9.24915
3 *342:5 *342:24 47.9873
4 *342:24 *3610:A 46.827
*END
*D_NET *343 0.000701371
*CONN
*I *3578:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3569:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3578:A1 0.000248482
2 *3569:Y 0.000248482
3 *3578:A1 *3569:A 7.58595e-05
4 *3578:A1 *347:39 8.88083e-05
5 *3578:A1 *487:36 3.9739e-05
*RES
1 *3569:Y *3578:A1 34.9002
*END
*D_NET *344 0.0026302
*CONN
*I *3582:A1 I *D sky130_fd_sc_hd__o32a_1
*I *3574:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3570:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3582:A1 0.000344926
2 *3574:B2 0.000110514
3 *3570:Y 0.000351633
4 *344:8 0.000807073
5 *3574:B2 *347:39 6.23875e-05
6 *3582:A1 *3521:A 0.000118166
7 *3582:A1 *3558:A2 0
8 *3582:A1 *3569:A 0.00013243
9 *3582:A1 *3582:A3 2.71303e-05
10 *3582:A1 *3582:B1 3.75603e-05
11 *3582:A1 *506:12 0.000175689
12 *3582:A1 *857:88 0.000232483
13 *344:8 *3558:A2 0
14 *344:8 *506:12 0.000149628
15 *344:8 *871:24 8.05819e-05
*RES
1 *3570:Y *344:8 20.4627
2 *344:8 *3574:B2 16.1364
3 *344:8 *3582:A1 25.9417
*END
*D_NET *345 0.0136989
*CONN
*I *3581:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3572:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3660:B I *D sky130_fd_sc_hd__nand2_1
*I *3571:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3581:A 8.85444e-05
2 *3572:A 9.36721e-06
3 *3660:B 0.000683334
4 *3571:Y 0.00254255
5 *345:26 0.00146397
6 *345:14 0.00340236
7 *3572:A *810:25 6.50586e-05
8 *3581:A *378:58 5.302e-05
9 *3581:A *506:12 3.55432e-05
10 *3660:B *399:31 0
11 *3660:B *402:8 7.41203e-05
12 *3660:B *487:36 0
13 *345:14 *3573:A 0.000261735
14 *345:14 *346:17 0.000166615
15 *345:14 *347:15 2.99851e-05
16 *345:14 *378:8 4.69495e-06
17 *345:14 *378:58 5.04198e-05
18 *345:14 *506:12 0.000126039
19 *345:14 *857:131 0.000470393
20 *345:14 *875:17 0.000154288
21 *345:14 *888:55 1.77894e-05
22 *345:26 *3942:A 0.000258128
23 *345:26 *3942:C 0.000123176
24 *345:26 *4263:CLK 7.65374e-05
25 *345:26 *346:6 0.00017419
26 *345:26 *803:21 9.28854e-05
27 *3553:A *345:14 0.000303177
28 *3612:A1 *3572:A 6.50586e-05
29 *4263:D *345:14 8.98169e-05
30 *218:12 *3660:B 0.000153048
31 *218:12 *345:26 0.0002212
32 *221:11 *3660:B 0.00126655
33 *303:42 *3660:B 1.87469e-05
34 *303:42 *345:26 8.21849e-06
35 *314:35 *3660:B 3.89332e-06
36 *314:47 *3660:B 0.000900605
37 *342:24 *3660:B 0.000243867
*RES
1 *3571:Y *345:14 40.3648
2 *345:14 *345:26 27.8678
3 *345:26 *3660:B 44.2335
4 *345:26 *3572:A 14.4725
5 *345:14 *3581:A 16.4116
*END
*D_NET *346 0.0128777
*CONN
*I *3642:B I *D sky130_fd_sc_hd__nand2_2
*I *3601:A3 I *D sky130_fd_sc_hd__o32a_1
*I *3604:A3 I *D sky130_fd_sc_hd__o32a_1
*I *3598:A3 I *D sky130_fd_sc_hd__o32a_1
*I *3573:A I *D sky130_fd_sc_hd__inv_2
*I *3572:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3642:B 0.000277316
2 *3601:A3 0.00023837
3 *3604:A3 0
4 *3598:A3 3.95147e-05
5 *3573:A 0.000865849
6 *3572:X 0.000132883
7 *346:17 0.00144278
8 *346:12 0.000563534
9 *346:11 0.00179252
10 *346:6 0.00193823
11 *3573:A *347:15 0.00056387
12 *3573:A *883:137 4.95875e-05
13 *3573:A *888:55 3.9207e-05
14 *3598:A3 *3626:B1 3.55859e-05
15 *3598:A3 *530:60 3.55859e-05
16 *3598:A3 *806:46 6.08467e-05
17 *3601:A3 *3543:C1 9.19151e-05
18 *3601:A3 *3601:A1 1.64789e-05
19 *3601:A3 *3601:B1 6.08467e-05
20 *3642:B *3942:A 0.00011741
21 *3642:B *409:19 0.000455165
22 *3642:B *487:36 0
23 *346:6 *3942:A 0.000254725
24 *346:6 *409:19 0.000198289
25 *346:11 *3611:C1 0
26 *346:11 *3943:A 0
27 *346:11 *380:38 0
28 *346:11 *500:33 1.89527e-06
29 *346:11 *857:50 0.000606159
30 *346:12 *512:11 6.01944e-06
31 *346:17 *347:15 0.000312375
32 *346:17 *500:34 0.00049099
33 *346:17 *512:11 1.5147e-05
34 *3552:A1 *346:12 1.07248e-05
35 *3552:A1 *346:17 0.000523087
36 *3598:B2 *3598:A3 6.50586e-05
37 *3601:B2 *3601:A3 6.08467e-05
38 *3603:B1 *3601:A3 2.09495e-05
39 *3604:A1 *3601:A3 7.33231e-05
40 *3604:A1 *346:12 4.00504e-05
41 *218:12 *346:6 1.23804e-05
42 *303:42 *3642:B 7.77309e-06
43 *303:42 *346:6 5.99658e-05
44 *317:11 *3601:A3 0.000586918
45 *317:14 *3601:A3 5.65463e-05
46 *317:14 *346:12 5.44896e-05
47 *345:14 *3573:A 0.000261735
48 *345:14 *346:17 0.000166615
49 *345:26 *346:6 0.00017419
*RES
1 *3572:X *346:6 20.7718
2 *346:6 *346:11 10.0765
3 *346:11 *346:12 1.20912
4 *346:12 *346:17 14.4954
5 *346:17 *3573:A 23.7199
6 *346:17 *3598:A3 18.6352
7 *346:12 *3604:A3 13.7491
8 *346:11 *3601:A3 22.7763
9 *346:6 *3642:B 22.4328
*END
*D_NET *347 0.0116324
*CONN
*I *3574:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3942:C I *D sky130_fd_sc_hd__or3_1
*I *3612:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3573:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3574:C1 0
2 *3942:C 0.000174522
3 *3612:B2 0
4 *3573:Y 0.00181208
5 *347:39 0.00124815
6 *347:16 0.000189242
7 *347:15 0.00307494
8 *3942:C *3942:B 0
9 *3942:C *803:21 0.000277502
10 *3942:C *810:10 0.000118485
11 *3942:C *883:70 5.07629e-06
12 *347:15 *3547:A2 5.94321e-05
13 *347:15 *3943:A 0.000161031
14 *347:15 *869:25 0.000173336
15 *347:15 *875:17 0
16 *347:15 *883:70 0.00137938
17 *347:15 *883:81 2.6486e-05
18 *347:15 *883:137 1.05746e-05
19 *347:16 *883:70 1.23746e-05
20 *347:39 *3567:A 4.83099e-05
21 *347:39 *3569:A 0
22 *347:39 *351:18 0.000494583
23 *347:39 *351:25 0.000362201
24 *347:39 *351:54 3.3802e-05
25 *347:39 *487:36 0
26 *347:39 *883:70 5.08731e-06
27 *3568:A2 *347:39 0.000127179
28 *3569:B *347:39 0.000211478
29 *3573:A *347:15 0.00056387
30 *3574:A2 *347:39 6.50586e-05
31 *3574:B2 *347:39 6.23875e-05
32 *3578:A1 *347:39 8.88083e-05
33 *3941:A1 *347:39 0.000114456
34 *4223:D *347:39 5.53934e-05
35 *4274:D *347:39 0
36 *294:11 *3942:C 0
37 *296:15 *347:39 1.41853e-05
38 *303:12 *347:39 0.000197479
39 *345:14 *347:15 2.99851e-05
40 *345:26 *3942:C 0.000123176
41 *346:17 *347:15 0.000312375
*RES
1 *3573:Y *347:15 26.7118
2 *347:15 *347:16 0.378612
3 *347:16 *3612:B2 13.7491
4 *347:16 *3942:C 20.184
5 *347:15 *347:39 42.3649
6 *347:39 *3574:C1 9.24915
*END
*D_NET *348 0.000941026
*CONN
*I *3578:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3574:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *3578:A2 0.000132079
2 *3574:X 0.000132079
3 *3578:A2 *3578:B1 6.08467e-05
4 *3578:A2 *351:18 4.33979e-05
5 *3578:A2 *351:25 0.0002616
6 *3578:A2 *862:25 0.00030861
7 *3564:C1 *3578:A2 2.41274e-06
*RES
1 *3574:X *3578:A2 33.791
*END
*D_NET *349 0.00812134
*CONN
*I *3619:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3576:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3575:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3619:A 0.000327797
2 *3576:A 0.000771902
3 *3575:Y 0
4 *349:4 0.0010997
5 *3576:A *3940:A 1.98448e-05
6 *3576:A *3997:A 0.000260374
7 *3576:A *3998:A 0.00138087
8 *3576:A *4281:A 1.5714e-05
9 *3576:A *379:11 3.34633e-05
10 *3576:A *379:39 6.08467e-05
11 *3576:A *380:12 9.84343e-05
12 *3576:A *383:8 0.000313495
13 *3576:A *556:19 0.000342488
14 *3576:A *593:14 3.07561e-05
15 *3576:A *808:70 0.000678803
16 *3576:A *839:8 3.9207e-05
17 *3576:A *883:33 7.92757e-06
18 *3576:A *883:47 0.00222248
19 *3619:A *3691:B 6.08467e-05
20 *3619:A *379:11 0.000144531
21 *3619:A *883:24 1.07248e-05
22 *3619:A *883:33 0.000123004
23 *214:10 *3619:A 1.62054e-05
24 *219:49 *3619:A 6.19155e-05
*RES
1 *3575:Y *349:4 9.24915
2 *349:4 *3576:A 46.0177
3 *349:4 *3619:A 27.3659
*END
*D_NET *350 0.0131871
*CONN
*I *3577:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3668:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3684:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3685:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3689:A I *D sky130_fd_sc_hd__and2_1
*I *3576:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3577:A 6.33065e-05
2 *3668:B1 0.000497023
3 *3684:C1 9.13323e-05
4 *3685:C1 0.000193286
5 *3689:A 0.000579049
6 *3576:X 0
7 *350:44 0.00102157
8 *350:19 0.000580139
9 *350:8 0.00142754
10 *350:4 0.00101421
11 *3577:A *859:33 0.000361645
12 *3668:B1 *4238:CLK 6.28334e-05
13 *3668:B1 *808:38 7.09251e-05
14 *3668:B1 *840:12 0.000361627
15 *3668:B1 *842:15 7.77309e-06
16 *3668:B1 *846:17 0.000113374
17 *3684:C1 *3678:A 2.04806e-05
18 *3684:C1 *411:30 9.00839e-05
19 *3684:C1 *824:10 2.44829e-05
20 *3684:C1 *859:97 7.77309e-06
21 *3685:C1 *3685:A1 2.51527e-05
22 *3685:C1 *3685:B2 0.000107496
23 *3685:C1 *412:25 1.15389e-05
24 *3685:C1 *493:42 2.99978e-05
25 *3689:A *3689:B 0.000100721
26 *3689:A *4111:S 0.000211478
27 *3689:A *411:10 0.00103541
28 *3689:A *417:10 0.000268798
29 *3689:A *859:75 0.000152878
30 *350:8 *3673:C1 0.000269935
31 *350:8 *556:19 0.000160617
32 *350:8 *857:167 8.2456e-05
33 *350:8 *859:47 0
34 *350:8 *859:60 0.000533192
35 *350:8 *859:65 1.73782e-05
36 *350:19 *411:10 5.64929e-05
37 *350:19 *411:14 0.000223232
38 *350:19 *411:30 5.41377e-05
39 *350:19 *859:65 2.55661e-06
40 *350:19 *859:97 9.08838e-05
41 *350:44 *556:19 0.000421764
42 *350:44 *840:8 0.000125697
43 *350:44 *840:12 0.000158932
44 *3676:B1 *3689:A 0.000120546
45 *4111:A0 *3689:A 7.92757e-06
46 *4111:A1 *3689:A 4.33819e-05
47 *4112:A1 *350:8 0.00112176
48 *4112:A1 *350:19 4.42142e-05
49 *4112:A1 *350:44 0
50 *4229:D *3684:C1 0.000156868
51 *4229:D *350:19 1.07248e-05
52 *4237:D *3668:B1 0.000194894
53 *226:6 *350:19 0
54 *341:14 *3668:B1 0.000536231
55 *342:24 *3577:A 0.000221352
*RES
1 *3576:X *350:4 9.24915
2 *350:4 *350:8 26.8509
3 *350:8 *3689:A 34.4624
4 *350:8 *350:19 8.47603
5 *350:19 *3685:C1 18.403
6 *350:19 *3684:C1 17.2744
7 *350:4 *350:44 14.6749
8 *350:44 *3668:B1 30.9455
9 *350:44 *3577:A 18.9094
*END
*D_NET *351 0.00996272
*CONN
*I *3941:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3612:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3578:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3618:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3615:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3577:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3941:B1 0
2 *3612:C1 0.000244202
3 *3578:B1 8.93679e-05
4 *3618:C1 3.36751e-05
5 *3615:C1 0.000302303
6 *3577:X 0.000787793
7 *351:54 0.000286335
8 *351:25 0.000982259
9 *351:18 0.00124892
10 *351:13 0.0013432
11 *3578:B1 *862:25 5.04829e-06
12 *3612:C1 *3567:A 0.000136288
13 *3612:C1 *883:70 4.91201e-05
14 *3615:C1 *3615:A1 2.41483e-05
15 *3615:C1 *3615:B2 0
16 *3615:C1 *3618:A1 0.000411957
17 *3615:C1 *3618:B1 1.41291e-05
18 *3615:C1 *377:29 7.97098e-06
19 *3615:C1 *888:163 0.000164829
20 *3618:C1 *3618:A2 0
21 *3618:C1 *3618:B1 4.80635e-06
22 *351:13 *3941:A2 0.000615561
23 *351:13 *530:60 0
24 *351:13 *875:17 0.00029891
25 *351:18 *3567:A 0.000161167
26 *351:18 *524:8 0
27 *351:18 *862:25 2.04806e-05
28 *351:25 *3618:A2 0.000160617
29 *351:25 *3618:B1 4.89898e-06
30 *351:25 *487:36 0
31 *351:25 *512:20 0.000101148
32 *351:25 *862:25 0.000223793
33 *351:25 *871:24 3.00654e-05
34 *351:25 *885:8 5.41377e-05
35 *351:54 *3567:A 7.98597e-05
36 *3540:A *351:18 2.47808e-05
37 *3564:C1 *3578:B1 2.77625e-06
38 *3564:C1 *351:18 0.000108986
39 *3568:A2 *351:18 0.000122083
40 *3578:A2 *3578:B1 6.08467e-05
41 *3578:A2 *351:18 4.33979e-05
42 *3578:A2 *351:25 0.0002616
43 *4223:D *3612:C1 4.31539e-05
44 *218:11 *3612:C1 6.73022e-05
45 *294:11 *3612:C1 0.000159877
46 *296:41 *3578:B1 3.75603e-05
47 *296:41 *351:18 9.43473e-05
48 *314:35 *351:13 1.5714e-05
49 *340:30 *351:18 0.000123582
50 *342:24 *351:13 1.91391e-05
51 *347:39 *351:18 0.000494583
52 *347:39 *351:25 0.000362201
53 *347:39 *351:54 3.3802e-05
*RES
1 *3577:X *351:13 28.8101
2 *351:13 *351:18 17.4772
3 *351:18 *351:25 24.247
4 *351:25 *3615:C1 18.171
5 *351:25 *3618:C1 10.2378
6 *351:18 *3578:B1 16.1846
7 *351:13 *351:54 6.12437
8 *351:54 *3612:C1 26.8968
9 *351:54 *3941:B1 9.24915
*END
*D_NET *352 0.00435658
*CONN
*I *3586:A1 I *D sky130_fd_sc_hd__o32a_1
*I *3580:B I *D sky130_fd_sc_hd__nor2_1
*I *3579:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3586:A1 3.80227e-05
2 *3580:B 0.00061533
3 *3579:Y 0.000559968
4 *352:11 0.00121332
5 *3580:B *3523:A 0.000139764
6 *3580:B *3591:B 7.26408e-05
7 *3580:B *857:76 6.08467e-05
8 *3586:A1 *500:34 1.67245e-05
9 *3586:A1 *542:8 8.6297e-06
10 *3586:A1 *857:76 2.69795e-05
11 *352:11 *500:34 1.87269e-05
12 *352:11 *512:12 0.000552414
13 *352:11 *806:88 0.000307587
14 *352:11 *806:100 0.000151726
15 *352:11 *806:112 0.000122083
16 *352:11 *857:76 0.000193069
17 *3536:B *352:11 0.000243139
18 *298:11 *3580:B 1.5613e-05
*RES
1 *3579:Y *352:11 34.2698
2 *352:11 *3580:B 19.2802
3 *352:11 *3586:A1 19.2506
*END
*D_NET *353 0.00277879
*CONN
*I *3582:A2 I *D sky130_fd_sc_hd__o32a_1
*I *3580:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3582:A2 0.00101318
2 *3580:Y 0.00101318
3 *3582:A2 *3580:A 2.02035e-05
4 *3582:A2 *4270:CLK 3.10576e-06
5 *3582:A2 *354:30 0.000715923
6 *297:8 *3582:A2 3.5534e-06
7 *297:17 *3582:A2 3.57291e-06
8 *298:29 *3582:A2 2.87136e-06
9 *335:19 *3582:A2 3.20069e-06
*RES
1 *3580:Y *3582:A2 37.1847
*END
*D_NET *354 0.0108468
*CONN
*I *3607:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3594:A3 I *D sky130_fd_sc_hd__o32a_1
*I *3590:A3 I *D sky130_fd_sc_hd__o32a_1
*I *3582:A3 I *D sky130_fd_sc_hd__o32a_1
*I *3586:A3 I *D sky130_fd_sc_hd__o32a_1
*I *3581:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3607:B1 8.16255e-05
2 *3594:A3 0.000378403
3 *3590:A3 0
4 *3582:A3 0.000221619
5 *3586:A3 6.86348e-05
6 *3581:X 0.000476546
7 *354:30 0.000891898
8 *354:19 0.000831679
9 *354:14 0.00137508
10 *354:7 0.00132481
11 *3582:A3 *3583:B 0.000188843
12 *3582:A3 *857:88 1.0159e-05
13 *3586:A3 *3583:B 0.00020502
14 *3594:A3 *3594:A2 7.34948e-06
15 *3607:B1 *3545:B2 7.03124e-05
16 *3607:B1 *3604:A2 0.000156955
17 *3607:B1 *373:12 4.54683e-05
18 *354:14 *3545:B2 0.000101654
19 *354:14 *3547:A2 1.91246e-05
20 *354:14 *4277:A 0.000132274
21 *354:14 *450:59 2.19276e-05
22 *354:14 *500:34 0.000277485
23 *354:14 *512:11 7.63284e-05
24 *354:14 *542:8 0.000239662
25 *354:19 *3524:A 0.000317707
26 *354:19 *3590:B1 0.000111708
27 *354:19 *500:34 0.000128538
28 *354:19 *542:8 3.52628e-05
29 *354:19 *857:69 0.000200805
30 *354:19 *857:76 2.95757e-05
31 *354:30 *3523:A 4.88764e-06
32 *354:30 *3585:B 0
33 *354:30 *3589:A 6.08697e-06
34 *354:30 *3591:B 0
35 *354:30 *512:12 1.5714e-05
36 *354:30 *542:8 0
37 *354:30 *819:6 2.69064e-05
38 *3529:A *354:14 0.000163414
39 *3534:A *3594:A3 0.000112332
40 *3534:B *3594:A3 1.43712e-05
41 *3537:B *354:30 1.5714e-05
42 *3552:B1 *354:14 0.000296996
43 *3553:B *354:14 7.14746e-05
44 *3582:A1 *3582:A3 2.71303e-05
45 *3582:A2 *354:30 0.000715923
46 *3590:B2 *354:19 6.92705e-05
47 *3607:A2 *354:7 0.000169733
48 *4221:D *3607:B1 0.000154145
49 *4221:D *354:7 0.000222649
50 *216:11 *354:7 1.41976e-05
51 *298:11 *3586:A3 3.65057e-05
52 *298:19 *3582:A3 0.000200794
53 *298:19 *3586:A3 0.000154145
54 *309:11 *354:19 5.26197e-05
55 *340:13 *354:14 0.000275339
*RES
1 *3581:X *354:7 21.6824
2 *354:7 *354:14 34.9889
3 *354:14 *354:19 13.9809
4 *354:19 *354:30 24.1066
5 *354:30 *3586:A3 12.2151
6 *354:30 *3582:A3 15.5909
7 *354:19 *3590:A3 9.24915
8 *354:14 *3594:A3 20.6214
9 *354:7 *3607:B1 16.9985
*END
*D_NET *355 0.00238399
*CONN
*I *3583:B I *D sky130_fd_sc_hd__nor2_1
*I *3582:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *3583:B 0.000617648
2 *3582:X 0.000617648
3 *3583:B *3583:A 5.05252e-05
4 *3583:B *3585:A 1.41291e-05
5 *3583:B *3586:A2 0.000264568
6 *3583:B *500:34 4.09467e-05
7 *3583:B *542:8 0.000134086
8 *3583:B *883:241 6.50727e-05
9 *3582:A3 *3583:B 0.000188843
10 *3586:A3 *3583:B 0.00020502
11 *4272:D *3583:B 0.000158357
12 *298:11 *3583:B 2.71504e-05
*RES
1 *3582:X *3583:B 42.9887
*END
*D_NET *356 0.0035856
*CONN
*I *3590:A1 I *D sky130_fd_sc_hd__o32a_1
*I *3585:B I *D sky130_fd_sc_hd__nor2_1
*I *3584:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3590:A1 9.29659e-05
2 *3585:B 0.000269118
3 *3584:Y 0.000705983
4 *356:7 0.00106807
5 *3585:B *3523:A 0
6 *3585:B *3587:B 9.02862e-05
7 *3585:B *3591:B 0.000251723
8 *3585:B *888:21 0.000259756
9 *3590:A1 *3589:A 1.69932e-05
10 *3590:A1 *3590:A2 0.000164843
11 *3590:A1 *3591:B 7.50872e-05
12 *3590:A1 *819:6 1.2693e-05
13 *3590:A1 *888:21 5.32922e-05
14 *356:7 *4270:CLK 7.48114e-05
15 *3535:A *3590:A1 8.62625e-06
16 *3536:B *356:7 4.65531e-05
17 *3584:A *356:7 8.18442e-05
18 *4271:D *3585:B 0.000148114
19 *309:11 *3590:A1 0.000164843
20 *354:30 *3585:B 0
*RES
1 *3584:Y *356:7 24.4554
2 *356:7 *3585:B 24.7167
3 *356:7 *3590:A1 18.2442
*END
*D_NET *357 0.00192079
*CONN
*I *3586:A2 I *D sky130_fd_sc_hd__o32a_1
*I *3585:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3586:A2 0.000488964
2 *3585:Y 0.000488964
3 *3586:A2 *3583:A 2.16355e-05
4 *3586:A2 *3585:A 0.000326398
5 *3586:A2 *500:34 0.000286386
6 *3586:A2 *542:8 4.42987e-06
7 *3583:B *3586:A2 0.000264568
8 *4272:D *3586:A2 3.9448e-05
*RES
1 *3585:Y *3586:A2 38.9217
*END
*D_NET *358 0.00179872
*CONN
*I *3587:B I *D sky130_fd_sc_hd__nor2_1
*I *3586:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *3587:B 0.000490053
2 *3586:X 0.000490053
3 *3587:B *3523:A 1.92336e-05
4 *3587:B *3585:A 0
5 *3587:B *542:8 0
6 *3587:B *883:256 2.65667e-05
7 *3587:B *888:21 0
8 *3585:B *3587:B 9.02862e-05
9 *4271:D *3587:B 0.000289115
10 *80:15 *3587:B 6.92705e-05
11 *298:11 *3587:B 0.000324137
*RES
1 *3586:X *3587:B 41.9945
*END
*D_NET *359 0.00355878
*CONN
*I *3594:A1 I *D sky130_fd_sc_hd__o32a_1
*I *3589:B I *D sky130_fd_sc_hd__nor2_1
*I *3588:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3594:A1 0.000642014
2 *3589:B 0.000197308
3 *3588:Y 0
4 *359:4 0.000839322
5 *3589:B *3589:A 4.65954e-06
6 *3589:B *3590:A2 0.000367165
7 *3594:A1 *3590:A2 0.000785032
8 *3594:A1 *3594:A2 0.000357912
9 *3594:A1 *377:19 7.15933e-05
10 *3594:A1 *806:10 9.91932e-05
11 *3594:A1 *818:10 5.8261e-05
12 *3594:A1 *888:21 0
13 *300:12 *3594:A1 0.000136314
*RES
1 *3588:Y *359:4 9.24915
2 *359:4 *3589:B 14.8434
3 *359:4 *3594:A1 38.4335
*END
*D_NET *360 0.00319146
*CONN
*I *3590:A2 I *D sky130_fd_sc_hd__o32a_1
*I *3589:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3590:A2 0.000305848
2 *3589:Y 0.000305848
3 *3590:A2 *3524:A 0.00011818
4 *3590:A2 *3589:A 6.29602e-05
5 *3535:A *3590:A2 0.000271058
6 *3588:A *3590:A2 0.00073389
7 *3589:B *3590:A2 0.000367165
8 *3590:A1 *3590:A2 0.000164843
9 *3594:A1 *3590:A2 0.000785032
10 *309:11 *3590:A2 7.66344e-05
*RES
1 *3589:Y *3590:A2 36.4144
*END
*D_NET *361 0.00162242
*CONN
*I *3591:B I *D sky130_fd_sc_hd__nor2_1
*I *3590:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *3591:B 0.000488092
2 *3590:X 0.000488092
3 *3591:B *3591:A 6.50727e-05
4 *3591:B *819:6 7.86847e-05
5 *3580:B *3591:B 7.26408e-05
6 *3585:B *3591:B 0.000251723
7 *3590:A1 *3591:B 7.50872e-05
8 *335:19 *3591:B 0.000103022
9 *354:30 *3591:B 0
*RES
1 *3590:X *3591:B 41.4402
*END
*D_NET *362 0.00460522
*CONN
*I *3598:A1 I *D sky130_fd_sc_hd__o32a_1
*I *3593:B I *D sky130_fd_sc_hd__nor2_1
*I *3592:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3598:A1 0.000437185
2 *3593:B 0.000402295
3 *3592:Y 9.71685e-06
4 *362:5 0.000849197
5 *3593:B *3593:A 0.000434145
6 *3593:B *3594:A2 1.37189e-05
7 *3593:B *3595:B 1.87469e-05
8 *3598:A1 *3593:A 0.000413266
9 *3598:A1 *3594:A2 0.000405513
10 *3598:A1 *377:19 0.00014554
11 *3598:A1 *424:12 0.000119367
12 *3598:A1 *806:15 0.00036013
13 *3598:A1 *806:46 9.32983e-05
14 *3598:A1 *867:5 4.58003e-05
15 *3598:A1 *867:21 4.0752e-05
16 *362:5 *3593:A 0.000118166
17 *362:5 *3594:A2 0.000122378
18 *3533:B *3598:A1 0.000166548
19 *3592:A *3593:B 1.36556e-05
20 *3598:B2 *3598:A1 0.000154145
21 *324:28 *3598:A1 0.000241652
*RES
1 *3592:Y *362:5 10.5271
2 *362:5 *3593:B 25.9541
3 *362:5 *3598:A1 37.7368
*END
*D_NET *363 0.00261187
*CONN
*I *3594:A2 I *D sky130_fd_sc_hd__o32a_1
*I *3593:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3594:A2 0.000379253
2 *3593:Y 0.000379253
3 *3594:A2 *3593:A 0.00023167
4 *3594:A2 *3595:B 0.000323132
5 *3594:A2 *888:33 0.00036785
6 *3534:A *3594:A2 0
7 *3593:B *3594:A2 1.37189e-05
8 *3594:A1 *3594:A2 0.000357912
9 *3594:A3 *3594:A2 7.34948e-06
10 *3598:A1 *3594:A2 0.000405513
11 *300:12 *3594:A2 2.3835e-05
12 *362:5 *3594:A2 0.000122378
*RES
1 *3593:Y *3594:A2 37.0413
*END
*D_NET *364 0.00368721
*CONN
*I *3595:B I *D sky130_fd_sc_hd__nor2_1
*I *3594:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *3595:B 0.000778343
2 *3594:X 0.000778343
3 *3595:B *3593:A 0.000464141
4 *3595:B *3595:A 0.000216458
5 *3595:B *380:39 0.000228344
6 *3560:A *3595:B 0.000242722
7 *3593:B *3595:B 1.87469e-05
8 *3594:A2 *3595:B 0.000323132
9 *4269:D *3595:B 0.000636976
*RES
1 *3594:X *3595:B 48.267
*END
*D_NET *365 0.00458022
*CONN
*I *3601:A1 I *D sky130_fd_sc_hd__o32a_1
*I *3597:B I *D sky130_fd_sc_hd__nor2_1
*I *3596:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3601:A1 0.000720409
2 *3597:B 0.000203997
3 *3596:Y 0
4 *365:4 0.000924407
5 *3597:B *3597:A 0.00035524
6 *3597:B *366:11 2.59921e-05
7 *3601:A1 *3543:C1 2.51195e-05
8 *3601:A1 *3546:A 3.42931e-05
9 *3601:A1 *3597:A 0.000145518
10 *3601:A1 *3602:B 0.000796156
11 *3601:A1 *378:8 0.00015983
12 *3601:A1 *380:39 1.86035e-05
13 *3601:A1 *816:10 6.48294e-05
14 *3601:A3 *3601:A1 1.64789e-05
15 *4251:D *3601:A1 9.60216e-05
16 *4267:D *3601:A1 0.000406794
17 *317:11 *3601:A1 0.000417492
18 *321:5 *3601:A1 0.000169041
*RES
1 *3596:Y *365:4 9.24915
2 *365:4 *3597:B 15.5909
3 *365:4 *3601:A1 41.3067
*END
*D_NET *366 0.00430808
*CONN
*I *3598:A2 I *D sky130_fd_sc_hd__o32a_1
*I *3597:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3598:A2 0
2 *3597:Y 0.000545885
3 *366:11 0.000545885
4 *366:11 *3546:A 0.000271058
5 *366:11 *3597:A 0.000306979
6 *366:11 *3599:B 0.000417492
7 *366:11 *377:14 0
8 *366:11 *424:12 0.000287143
9 *366:11 *806:15 0.000411006
10 *366:11 *806:46 0.000154145
11 *366:11 *817:15 0.000264586
12 *3533:B *366:11 0.00107791
13 *3597:B *366:11 2.59921e-05
*RES
1 *3597:Y *366:11 44.1217
2 *366:11 *3598:A2 9.24915
*END
*D_NET *367 0.00265349
*CONN
*I *3599:B I *D sky130_fd_sc_hd__nor2_1
*I *3598:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *3599:B 0.00103325
2 *3598:X 0.00103325
3 *3599:B *3599:A 1.41307e-05
4 *3599:B *4256:CLK 6.06823e-05
5 *3599:B *806:15 7.92156e-05
6 *4268:D *3599:B 1.5478e-05
7 *366:11 *3599:B 0.000417492
*RES
1 *3598:X *3599:B 35.0399
*END
*D_NET *368 0.00318211
*CONN
*I *3601:A2 I *D sky130_fd_sc_hd__o32a_1
*I *3600:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3601:A2 0.00112557
2 *3600:Y 0.00112557
3 *3601:A2 *3543:C1 0.000514412
4 *3601:A2 *3602:B 0.000196206
5 *3601:A2 *3629:A2 0.000217937
6 *3600:B *3601:A2 2.41274e-06
*RES
1 *3600:Y *3601:A2 36.9931
*END
*D_NET *369 0.0031336
*CONN
*I *3602:B I *D sky130_fd_sc_hd__nor2_1
*I *3601:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *3602:B 0.000477826
2 *3601:X 0.000477826
3 *3602:B *3543:C1 0.000228716
4 *3602:B *3602:A 0.000118166
5 *3602:B *3629:A2 9.14669e-05
6 *3600:B *3602:B 6.50586e-05
7 *3601:A1 *3602:B 0.000796156
8 *3601:A2 *3602:B 0.000196206
9 *4251:D *3602:B 6.54102e-05
10 *4267:D *3602:B 0.000616768
*RES
1 *3601:X *3602:B 40.7066
*END
*D_NET *370 0.00302403
*CONN
*I *3604:A2 I *D sky130_fd_sc_hd__o32a_1
*I *3603:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3604:A2 0.000572702
2 *3603:X 0.000572702
3 *3604:A2 *3543:C1 0.000156578
4 *3604:A2 *3607:B2 6.36477e-05
5 *3604:A2 *378:58 0.000462112
6 *3604:A2 *857:34 0.000162583
7 *3604:A2 *857:50 7.98705e-05
8 *3604:A2 *857:56 0.00023185
9 *3529:A *3604:A2 7.19343e-06
10 *3607:B1 *3604:A2 0.000156955
11 *4221:D *3604:A2 3.01499e-05
12 *216:11 *3604:A2 0.000317458
13 *304:16 *3604:A2 0.000210225
*RES
1 *3603:X *3604:A2 48.0309
*END
*D_NET *371 0.00484568
*CONN
*I *3605:B I *D sky130_fd_sc_hd__nor2_1
*I *3604:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *3605:B 0.000455765
2 *3604:X 0.000372507
3 *371:8 0.000828272
4 *3605:B *3622:A2 0.000501701
5 *3605:B *4258:CLK 0.000143875
6 *3605:B *869:25 0.000201774
7 *371:8 *3541:A 0.000261053
8 *371:8 *512:11 7.95345e-05
9 *371:8 *542:8 0.00109626
10 *371:8 *814:10 0.000104731
11 *3529:A *371:8 0.000326878
12 *4255:D *3605:B 0.000216674
13 *278:11 *3605:B 4.62051e-05
14 *302:8 *371:8 0.000210445
*RES
1 *3604:X *371:8 37.937
2 *371:8 *3605:B 23.3071
*END
*D_NET *372 0.00166709
*CONN
*I *3607:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3606:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3607:B2 0.000552669
2 *3606:X 0.000552669
3 *3607:B2 *3545:A2 3.2464e-05
4 *3607:B2 *450:55 0
5 *3607:B2 *857:50 1.5714e-05
6 *3607:B2 *864:19 4.97651e-05
7 *3545:C1 *3607:B2 0.000270863
8 *3555:A1 *3607:B2 1.55886e-05
9 *3604:A2 *3607:B2 6.36477e-05
10 *3607:A2 *3607:B2 3.24807e-05
11 *4221:D *3607:B2 6.64392e-05
12 *316:24 *3607:B2 1.47882e-05
*RES
1 *3606:X *3607:B2 40.435
*END
*D_NET *373 0.00416479
*CONN
*I *3608:B I *D sky130_fd_sc_hd__nor2_1
*I *3607:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3608:B 9.07892e-05
2 *3607:X 0.000769219
3 *373:12 0.000860008
4 *3608:B *3627:B1 0.000126117
5 *3608:B *3627:C1 0.000107496
6 *373:12 *3545:A2 3.81793e-05
7 *373:12 *3545:B2 3.46827e-05
8 *373:12 *450:55 0.000122177
9 *373:12 *450:59 0.000258166
10 *373:12 *500:33 0.000562296
11 *373:12 *813:10 0.000298734
12 *3544:C1 *373:12 0.000120584
13 *3545:B1 *373:12 6.08467e-05
14 *3545:C1 *373:12 2.23297e-05
15 *3603:B1 *373:12 3.67845e-05
16 *3607:A2 *373:12 0.000137461
17 *3607:B1 *373:12 4.54683e-05
18 *306:13 *373:12 1.5714e-05
19 *316:8 *373:12 0.000260809
20 *316:13 *373:12 0.000195154
21 *317:14 *373:12 1.77537e-06
*RES
1 *3607:X *373:12 48.7324
2 *373:12 *3608:B 16.7151
*END
*D_NET *374 0.00214066
*CONN
*I *3611:B1 I *D sky130_fd_sc_hd__o311a_1
*I *3609:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3611:B1 0.00018129
2 *3609:Y 0.00018129
3 *3611:B1 *3686:A 0.000177818
4 *3611:B1 *3996:A1 0.000116971
5 *3611:B1 *468:23 1.15389e-05
6 *3609:B1 *3611:B1 0.000822948
7 *3611:A1 *3611:B1 3.01683e-06
8 *314:24 *3611:B1 0.00064579
*RES
1 *3609:Y *3611:B1 39.3667
*END
*D_NET *375 0.00628681
*CONN
*I *3611:C1 I *D sky130_fd_sc_hd__o311a_1
*I *3610:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3611:C1 0.00265458
2 *3610:Y 0.00265458
3 *3611:C1 *3538:A 0.000182645
4 *3611:C1 *410:12 0.000300352
5 *3611:C1 *518:78 0.000469109
6 *3611:C1 *807:100 0
7 *3611:C1 *838:8 2.55516e-05
8 *3610:A *3611:C1 0
9 *346:11 *3611:C1 0
*RES
1 *3610:Y *3611:C1 42.6702
*END
*D_NET *376 0.00462623
*CONN
*I *3614:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3616:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3629:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3628:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3613:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3614:A 0.000241086
2 *3616:A 0
3 *3629:A1 0.000221711
4 *3628:A1 3.80309e-05
5 *3613:Y 0.00043502
6 *376:27 0.000303166
7 *376:15 0.000526259
8 *376:5 0.00071552
9 *3629:A1 *4267:CLK 0.000135738
10 *3629:A1 *378:8 0.000176181
11 *3629:A1 *807:12 0.000219556
12 *3629:A1 *888:55 8.03393e-06
13 *376:5 *3542:A 2.35405e-05
14 *376:5 *3613:A 0.000532369
15 *304:35 *3614:A 0.000680783
16 *304:35 *376:5 7.34948e-06
17 *304:35 *376:15 0.000150534
18 *304:35 *376:27 1.41853e-05
19 *305:9 *3628:A1 0.000101133
20 *307:8 *3628:A1 9.60366e-05
*RES
1 *3613:Y *376:5 16.6278
2 *376:5 *3628:A1 20.0811
3 *376:5 *376:15 4.05102
4 *376:15 *3629:A1 25.8947
5 *376:15 *376:27 1.30211
6 *376:27 *3616:A 9.24915
7 *376:27 *3614:A 16.6278
*END
*D_NET *377 0.0139414
*CONN
*I *3623:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3625:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3618:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3615:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3621:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3614:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3623:B1 0.000383831
2 *3625:B1 0
3 *3618:B1 0.00018571
4 *3615:B2 3.03277e-05
5 *3621:B1 2.68789e-05
6 *3614:X 2.59387e-05
7 *377:47 0.000736398
8 *377:29 0.00156747
9 *377:19 0.00276102
10 *377:14 0.0021542
11 *377:7 0.00114999
12 *3615:B2 *3618:A1 6.92705e-05
13 *3615:B2 *888:163 6.92705e-05
14 *3618:B1 *3615:A1 0.000364342
15 *3618:B1 *3618:A1 0.000265852
16 *3618:B1 *3618:A2 1.43983e-05
17 *3621:B1 *3621:B2 3.75603e-05
18 *3623:B1 *3623:C1 0.000443068
19 *3623:B1 *3627:B1 0
20 *3623:B1 *807:56 0.000191541
21 *3623:B1 *869:8 9.24241e-05
22 *3623:B1 *869:25 0
23 *3623:B1 *883:117 0.00013171
24 *377:7 *3542:A 6.50586e-05
25 *377:14 *4280:A 0.000124536
26 *377:14 *424:8 0
27 *377:14 *424:12 0
28 *377:14 *817:15 7.95517e-05
29 *377:19 *3595:A 8.20492e-06
30 *377:19 *3621:C1 5.56461e-05
31 *377:19 *3624:A2 2.93365e-05
32 *377:19 *4270:CLK 0.00017046
33 *377:19 *424:12 0
34 *377:19 *806:10 0
35 *377:19 *818:10 0.000146645
36 *377:19 *857:131 0.000258892
37 *377:19 *883:256 0.000255839
38 *377:19 *888:21 0
39 *377:29 *3621:A2 1.58833e-05
40 *377:29 *3621:B2 0.000430366
41 *377:29 *4259:CLK 0.000423908
42 *377:29 *870:5 3.17192e-06
43 *377:29 *888:163 1.80257e-05
44 *377:47 *3532:A 0
45 *377:47 *3623:C1 3.20069e-06
46 *377:47 *4280:A 5.04195e-05
47 *377:47 *869:25 0
48 *377:47 *876:8 4.3116e-06
49 *3533:B *377:14 6.41765e-05
50 *3533:B *377:19 0.000181293
51 *3594:A1 *377:19 7.15933e-05
52 *3598:A1 *377:19 0.00014554
53 *3615:C1 *3615:B2 0
54 *3615:C1 *3618:B1 1.41291e-05
55 *3615:C1 *377:29 7.97098e-06
56 *3618:C1 *3618:B1 4.80635e-06
57 *4257:D *3623:B1 0.000348127
58 *4259:D *377:29 2.18145e-05
59 *4267:D *377:14 0
60 *4270:D *377:19 9.24241e-05
61 *301:18 *377:14 5.87458e-05
62 *301:18 *377:19 0
63 *301:22 *377:19 0
64 *304:35 *377:47 0
65 *310:8 *377:19 0
66 *317:10 *377:14 8.11752e-05
67 *351:25 *3618:B1 4.89898e-06
68 *366:11 *377:14 0
*RES
1 *3614:X *377:7 14.4725
2 *377:7 *377:14 18.149
3 *377:14 *377:19 42.7699
4 *377:19 *3621:B1 10.2378
5 *377:19 *377:29 21.8224
6 *377:29 *3615:B2 11.1059
7 *377:29 *3618:B1 16.0973
8 *377:7 *377:47 7.64553
9 *377:47 *3625:B1 13.7491
10 *377:47 *3623:B1 28.24
*END
*D_NET *378 0.0145588
*CONN
*I *3626:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3627:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3622:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3624:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3617:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3616:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3626:A1 0
2 *3627:A1 1.72215e-05
3 *3622:A1 0
4 *3624:A1 0
5 *3617:A1 0.000210098
6 *3616:X 0
7 *378:58 0.00130516
8 *378:42 0.00319513
9 *378:30 0.00246382
10 *378:8 0.00104245
11 *378:5 0.00142342
12 *3617:A1 *3617:A2 0.000287937
13 *3617:A1 *3617:B1 0.000402493
14 *3617:A1 *3617:C1 1.43848e-05
15 *3617:A1 *4261:CLK 0
16 *3617:A1 *888:33 3.0821e-05
17 *3617:A1 *888:46 0.000140255
18 *3617:A1 *888:55 9.89388e-06
19 *3627:A1 *3627:B2 9.9774e-06
20 *378:8 *3546:A 1.77225e-05
21 *378:8 *4261:CLK 1.92855e-05
22 *378:8 *4268:CLK 7.48793e-05
23 *378:8 *380:39 0
24 *378:8 *380:51 0
25 *378:8 *888:55 0.000145001
26 *378:30 *3622:B1 8.29176e-05
27 *378:30 *4258:CLK 5.77352e-05
28 *378:30 *380:51 0
29 *378:30 *424:8 0
30 *378:30 *807:12 2.49085e-05
31 *378:42 *3623:C1 4.41269e-05
32 *378:42 *3627:B1 5.77987e-05
33 *378:42 *3627:B2 6.54803e-05
34 *378:42 *4258:CLK 2.64881e-05
35 *378:42 *424:8 0
36 *378:42 *883:117 0.000937634
37 *378:58 *3626:A2 1.67329e-05
38 *378:58 *3627:A2 3.81793e-05
39 *378:58 *468:10 9.22013e-06
40 *378:58 *468:12 0.000168692
41 *378:58 *468:23 0.000222667
42 *378:58 *506:12 0
43 *378:58 *864:19 0
44 *378:58 *875:17 4.47306e-05
45 *3529:B *378:58 6.73186e-05
46 *3553:B *378:58 0.000364991
47 *3555:A1 *378:58 3.64798e-05
48 *3581:A *378:58 5.302e-05
49 *3592:A *3617:A1 0.00012568
50 *3601:A1 *378:8 0.00015983
51 *3604:A2 *378:58 0.000462112
52 *3629:A1 *378:8 0.000176181
53 *4251:D *378:8 9.60216e-05
54 *4253:D *378:58 0
55 *4256:D *378:8 8.95237e-05
56 *4258:D *378:42 1.58914e-05
57 *4263:D *378:58 9.32927e-05
58 *4268:D *378:8 5.2504e-06
59 *304:16 *378:58 0.000107682
60 *324:28 *378:8 4.31791e-05
61 *345:14 *378:8 4.69495e-06
62 *345:14 *378:58 5.04198e-05
*RES
1 *3616:X *378:5 13.7491
2 *378:5 *378:8 22.2282
3 *378:8 *3617:A1 22.6047
4 *378:8 *3624:A1 13.7491
5 *378:5 *378:30 14.3384
6 *378:30 *3622:A1 13.7491
7 *378:30 *378:42 36.1867
8 *378:42 *3627:A1 9.82786
9 *378:42 *378:58 47.4887
10 *378:58 *3626:A1 9.24915
*END
*D_NET *379 0.0137551
*CONN
*I *3672:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3634:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3997:A I *D sky130_fd_sc_hd__and3_1
*I *3640:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3620:A I *D sky130_fd_sc_hd__buf_2
*I *3619:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3672:A 0.00102516
2 *3634:A 0
3 *3997:A 0.000542279
4 *3640:A 2.37728e-05
5 *3620:A 0.00017852
6 *3619:X 0.00127525
7 *379:39 0.000565524
8 *379:16 0.000357166
9 *379:15 0.000377339
10 *379:11 0.00249963
11 *3620:A *3663:A 0.000311235
12 *3620:A *383:29 9.2346e-06
13 *3620:A *594:11 1.5714e-05
14 *3620:A *840:12 1.76268e-05
15 *3620:A *846:17 2.41483e-05
16 *3640:A *384:10 4.31539e-05
17 *3640:A *859:33 0.000118166
18 *3672:A *3650:A2 0.000276981
19 *3672:A *3656:A1 1.9101e-05
20 *3672:A *3656:A2 0.000233837
21 *3672:A *3999:A1 0.000162079
22 *3672:A *381:26 3.07896e-05
23 *3672:A *383:8 4.69495e-06
24 *3672:A *481:21 0.000119645
25 *3672:A *481:31 0.000261728
26 *3672:A *839:8 0
27 *3997:A *3997:C 2.23105e-05
28 *3997:A *4275:CLK 2.29454e-05
29 *3997:A *384:26 0
30 *3997:A *556:19 0.000264572
31 *3997:A *803:21 0.000749011
32 *3997:A *840:8 3.09155e-05
33 *379:11 *3691:B 0.0001881
34 *379:11 *3940:A 0.00182385
35 *379:11 *475:72 6.50586e-05
36 *379:11 *875:17 0
37 *379:11 *883:24 3.43177e-05
38 *379:11 *883:47 9.32276e-05
39 *379:15 *875:17 0
40 *379:15 *883:47 5.65213e-05
41 *379:16 *594:11 0.000336363
42 *379:39 *556:19 4.66492e-05
43 *379:39 *594:11 1.04747e-05
44 *3576:A *3997:A 0.000260374
45 *3576:A *379:11 3.34633e-05
46 *3576:A *379:39 6.08467e-05
47 *3610:A *379:11 0
48 *3619:A *379:11 0.000144531
49 *4227:D *379:11 9.0819e-05
50 *4275:D *3620:A 0.000452156
51 *4275:D *379:16 0.00033898
52 *4275:D *379:39 1.5714e-05
53 *214:10 *379:11 5.79399e-05
54 *227:16 *3672:A 3.60037e-05
55 *341:14 *3620:A 2.71397e-05
*RES
1 *3619:X *379:11 27.4489
2 *379:11 *379:15 3.87761
3 *379:15 *379:16 6.6074
4 *379:16 *3620:A 21.4566
5 *379:16 *3640:A 15.0271
6 *379:15 *379:39 5.60201
7 *379:39 *3997:A 33.8658
8 *379:39 *3634:A 9.24915
9 *379:11 *3672:A 44.4879
*END
*D_NET *380 0.0259027
*CONN
*I *3633:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3625:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3623:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3621:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3632:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3620:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *3633:C1 0
2 *3625:C1 0
3 *3623:C1 0.000297821
4 *3621:C1 0.00020319
5 *3632:C1 0.000147224
6 *3620:X 0.000835238
7 *380:51 0.000666374
8 *380:39 0.00212909
9 *380:38 0.00462199
10 *380:32 0.00423866
11 *380:23 0.00215068
12 *380:12 0.00122201
13 *3623:C1 *807:56 0.000195124
14 *3623:C1 *869:8 5.66868e-06
15 *3623:C1 *876:8 0.000534923
16 *3623:C1 *883:117 0.000265147
17 *3632:C1 *858:56 0.000229594
18 *380:12 *3633:B1 0.000365917
19 *380:12 *3637:B1 0.000298062
20 *380:12 *4249:CLK 2.7645e-05
21 *380:12 *382:26 0.000328195
22 *380:12 *809:16 9.35753e-06
23 *380:12 *839:8 0.000131403
24 *380:12 *859:33 3.29488e-05
25 *380:23 *3633:B1 0.000345427
26 *380:23 *518:78 5.80728e-05
27 *380:23 *809:14 6.39153e-06
28 *380:23 *809:16 3.60501e-05
29 *380:32 *3538:A 1.91391e-05
30 *380:32 *3653:A1 0.000260374
31 *380:32 *3794:A 0.00011823
32 *380:32 *393:11 0.000260374
33 *380:32 *468:23 5.21231e-05
34 *380:32 *481:8 0.000680769
35 *380:32 *481:31 0
36 *380:32 *839:8 0.000207174
37 *380:32 *859:60 1.58551e-05
38 *380:38 *3784:A 0
39 *380:38 *487:36 0
40 *380:38 *500:33 2.00661e-05
41 *380:39 *3546:A 5.61344e-05
42 *380:39 *3595:A 0
43 *380:39 *4261:CLK 5.12232e-05
44 *380:39 *424:8 0.000200434
45 *380:39 *424:12 0.000639686
46 *380:39 *469:37 0
47 *380:39 *816:10 3.92275e-05
48 *380:51 *3625:B2 6.50727e-05
49 *380:51 *424:8 0.000170585
50 *380:51 *888:97 0.000413266
51 *3576:A *380:12 9.84343e-05
52 *3592:A *380:39 0
53 *3595:B *380:39 0.000228344
54 *3601:A1 *380:39 1.86035e-05
55 *3609:B1 *380:32 0.000953191
56 *3623:B1 *3623:C1 0.000443068
57 *4241:D *380:32 5.02479e-05
58 *4275:D *380:12 0.000381471
59 *215:15 *3632:C1 0.000432783
60 *302:30 *380:32 0.00011818
61 *303:48 *380:38 3.91685e-05
62 *305:9 *380:51 8.24968e-05
63 *306:13 *380:38 0
64 *324:28 *380:39 0.00030182
65 *335:19 *380:39 0
66 *346:11 *380:38 0
67 *377:19 *3621:C1 5.56461e-05
68 *377:47 *3623:C1 3.20069e-06
69 *378:8 *380:39 0
70 *378:8 *380:51 0
71 *378:30 *380:51 0
72 *378:42 *3623:C1 4.41269e-05
*RES
1 *3620:X *380:12 37.5613
2 *380:12 *3632:C1 20.5732
3 *380:12 *380:23 11.315
4 *380:23 *380:32 49.2189
5 *380:32 *380:38 12.1707
6 *380:38 *380:39 52.7004
7 *380:39 *3621:C1 18.9094
8 *380:38 *380:51 16.5435
9 *380:51 *3623:C1 32.5766
10 *380:51 *3625:C1 9.24915
11 *380:23 *3633:C1 9.24915
*END
*D_NET *381 0.0127074
*CONN
*I *3631:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3999:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3641:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3638:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3639:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3630:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3631:A 1.9352e-05
2 *3999:A1 0.000576392
3 *3641:B1 0.00046567
4 *3638:B1 0
5 *3639:B1 0.000533647
6 *3630:Y 0.00127664
7 *381:28 0.00096476
8 *381:26 0.00110086
9 *381:25 0.00101095
10 *381:21 0.000270597
11 *381:19 0.0012974
12 *3631:A *382:9 8.67924e-06
13 *3639:B1 *3638:B2 5.41377e-05
14 *3639:B1 *3639:C1 6.78233e-06
15 *3639:B1 *808:38 0.000459915
16 *3639:B1 *839:8 0
17 *3639:B1 *842:15 5.99414e-05
18 *3639:B1 *842:53 7.74397e-05
19 *3639:B1 *846:17 9.44022e-06
20 *3641:B1 *3641:C1 0.000331418
21 *3641:B1 *4243:CLK 2.16355e-05
22 *3641:B1 *808:74 6.63489e-05
23 *3999:A1 *3650:A2 5.63226e-05
24 *3999:A1 *3650:B1 0.000110696
25 *3999:A1 *3653:C1 0.000113968
26 *3999:A1 *3999:B1 0.00021569
27 *3999:A1 *384:29 7.02358e-06
28 *3999:A1 *384:42 1.09738e-05
29 *3999:A1 *385:19 0.000118166
30 *3999:A1 *385:23 1.41689e-05
31 *3999:A1 *594:11 0
32 *3999:A1 *883:47 1.81863e-06
33 *381:19 *3636:A2 1.41976e-05
34 *381:19 *4101:A0 0.000418702
35 *381:19 *382:9 0.000663654
36 *381:19 *396:15 0.000793264
37 *381:19 *475:72 5.37817e-06
38 *381:19 *542:60 0
39 *381:19 *808:5 0.000387202
40 *381:19 *840:54 9.98029e-06
41 *381:21 *382:9 2.53145e-06
42 *381:25 *840:8 0.000271058
43 *381:26 *383:8 0
44 *381:26 *808:70 0.000144354
45 *381:28 *3663:A 4.03763e-05
46 *381:28 *383:8 0
47 *381:28 *383:10 0
48 *381:28 *383:29 8.38201e-05
49 *381:28 *808:70 5.56367e-05
50 *381:28 *839:8 0
51 *381:28 *842:53 0.00011142
52 *3672:A *3999:A1 0.000162079
53 *3672:A *381:26 3.07896e-05
54 *4248:D *381:19 8.60109e-05
55 *4275:D *381:26 3.84749e-05
56 *4275:D *381:28 0.000108466
57 *313:5 *3999:A1 1.92172e-05
*RES
1 *3630:Y *381:19 49.6327
2 *381:19 *381:21 0.578717
3 *381:21 *381:25 10.7694
4 *381:25 *381:26 5.15401
5 *381:26 *381:28 10.9675
6 *381:28 *3639:B1 23.9431
7 *381:28 *3638:B1 13.7491
8 *381:26 *3641:B1 30.4353
9 *381:25 *3999:A1 30.5653
10 *381:21 *3631:A 9.82786
*END
*D_NET *382 0.00736357
*CONN
*I *3633:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3635:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3637:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3636:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3632:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3631:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3633:B1 0.000341771
2 *3635:B1 0.000134902
3 *3637:B1 0.000222951
4 *3636:B1 0
5 *3632:B1 0.000194225
6 *3631:X 0
7 *382:26 0.000513722
8 *382:25 0.000497639
9 *382:9 0.000387323
10 *382:4 0.000193099
11 *3632:B1 *3636:B2 0.000351978
12 *3632:B1 *4101:A0 6.3191e-06
13 *3632:B1 *542:60 0.000155289
14 *3632:B1 *858:56 2.16355e-05
15 *3633:B1 *3653:A1 6.08467e-05
16 *3633:B1 *393:11 6.08467e-05
17 *3633:B1 *397:60 0.000719083
18 *3635:B1 *4104:A1 8.21824e-05
19 *3635:B1 *383:50 0.000313495
20 *3635:B1 *556:10 9.50443e-05
21 *3637:B1 *3637:B2 1.07248e-05
22 *3637:B1 *397:17 0.000172117
23 *3637:B1 *397:37 0.000152125
24 *3637:B1 *839:8 0
25 *382:9 *3636:A2 1.47978e-05
26 *382:9 *3636:C1 0.000260388
27 *382:9 *475:72 2.6049e-05
28 *382:26 *397:37 0.000204568
29 *382:26 *397:60 9.71375e-05
30 *3631:A *382:9 8.67924e-06
31 *215:15 *3632:B1 6.08467e-05
32 *380:12 *3633:B1 0.000365917
33 *380:12 *3637:B1 0.000298062
34 *380:12 *382:26 0.000328195
35 *380:23 *3633:B1 0.000345427
36 *381:19 *382:9 0.000663654
37 *381:21 *382:9 2.53145e-06
*RES
1 *3631:X *382:4 9.24915
2 *382:4 *382:9 8.53607
3 *382:9 *3632:B1 25.7876
4 *382:9 *3636:B1 9.24915
5 *382:4 *382:25 4.5
6 *382:25 *382:26 6.39977
7 *382:26 *3637:B1 20.9794
8 *382:26 *3635:B1 19.1023
9 *382:25 *3633:B1 28.6644
*END
*D_NET *383 0.00780487
*CONN
*I *3635:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3636:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3639:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3638:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3637:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3634:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3635:C1 0
2 *3636:C1 0.000326064
3 *3639:C1 0.000535268
4 *3638:C1 2.9427e-05
5 *3637:C1 0.000116476
6 *3634:X 0.000237135
7 *383:50 0.000551028
8 *383:29 0.000913247
9 *383:10 0.000718668
10 *383:8 0.000715739
11 *3636:C1 *397:60 0
12 *3636:C1 *475:16 0.000342541
13 *3636:C1 *475:72 5.44807e-05
14 *3636:C1 *808:60 7.42334e-05
15 *3637:C1 *3663:A 2.652e-05
16 *3637:C1 *839:8 0
17 *3637:C1 *846:17 0.000381471
18 *3638:C1 *840:25 5.39635e-06
19 *3638:C1 *842:53 5.25994e-05
20 *3639:C1 *3639:A1 3.58044e-05
21 *3639:C1 *3673:B1 1.03403e-05
22 *3639:C1 *808:38 7.98425e-06
23 *3639:C1 *840:25 3.82228e-05
24 *3639:C1 *842:15 9.95542e-06
25 *3639:C1 *846:17 0.000161234
26 *383:8 *556:19 0.00031994
27 *383:8 *839:8 0
28 *383:10 *3663:A 4.29932e-05
29 *383:10 *839:8 0
30 *383:29 *842:53 7.14746e-05
31 *383:50 *3641:A2 0.000211272
32 *383:50 *4104:A1 0.000271058
33 *3576:A *383:8 0.000313495
34 *3620:A *383:29 9.2346e-06
35 *3635:B1 *383:50 0.000313495
36 *3639:B1 *3639:C1 6.78233e-06
37 *3672:A *383:8 4.69495e-06
38 *4275:D *3637:C1 0.000163928
39 *4275:D *383:29 0.000346684
40 *256:19 *3639:C1 1.00846e-05
41 *256:19 *383:29 0
42 *341:14 *3638:C1 1.01315e-05
43 *341:14 *383:29 2.1558e-05
44 *381:26 *383:8 0
45 *381:28 *383:8 0
46 *381:28 *383:10 0
47 *381:28 *383:29 8.38201e-05
48 *382:9 *3636:C1 0.000260388
*RES
1 *3634:X *383:8 21.1538
2 *383:8 *383:10 5.15401
3 *383:10 *3637:C1 19.2169
4 *383:10 *383:29 16.8932
5 *383:29 *3638:C1 14.7506
6 *383:29 *3639:C1 22.1164
7 *383:8 *383:50 13.5666
8 *383:50 *3636:C1 28.006
9 *383:50 *3635:C1 9.24915
*END
*D_NET *384 0.00651
*CONN
*I *3650:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3647:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3656:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3653:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3641:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3640:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3650:C1 0
2 *3647:C1 0
3 *3656:C1 0.000161696
4 *3653:C1 4.9391e-05
5 *3641:C1 0.000123745
6 *3640:X 0.000157201
7 *384:42 0.000301211
8 *384:29 0.000291245
9 *384:26 0.000649368
10 *384:10 0.000827976
11 *3641:C1 *808:74 0.000422197
12 *3653:C1 *3653:B1 1.64789e-05
13 *3653:C1 *385:23 6.08467e-05
14 *3653:C1 *385:35 0.000111722
15 *3656:C1 *3647:B1 6.08467e-05
16 *3656:C1 *3656:B1 0.000128012
17 *3656:C1 *3976:A2 1.2693e-05
18 *3656:C1 *3999:B1 0
19 *384:10 *594:11 0.000178737
20 *384:10 *840:8 5.05252e-05
21 *384:10 *840:12 0.0001403
22 *384:10 *859:33 7.92757e-06
23 *384:26 *3794:A 0.000164829
24 *384:26 *594:11 0.000889393
25 *384:26 *840:8 0.000256505
26 *384:29 *3647:B1 8.62625e-06
27 *384:29 *3650:B1 0.000110696
28 *384:29 *518:78 0
29 *384:29 *594:11 4.1526e-05
30 *384:42 *3647:B1 0.000177137
31 *384:42 *3650:A2 0
32 *384:42 *3999:B1 0.000162177
33 *3640:A *384:10 4.31539e-05
34 *3641:B1 *3641:C1 0.000331418
35 *3997:A *384:26 0
36 *3999:A1 *3653:C1 0.000113968
37 *3999:A1 *384:29 7.02358e-06
38 *3999:A1 *384:42 1.09738e-05
39 *4112:A1 *3641:C1 0
40 *219:23 *3656:C1 0.000220183
41 *228:10 *3656:C1 5.41377e-05
42 *313:5 *3653:C1 1.19856e-05
43 *342:24 *384:10 0.000154145
*RES
1 *3640:X *384:10 19.6535
2 *384:10 *3641:C1 28.3563
3 *384:10 *384:26 22.6984
4 *384:26 *384:29 7.1625
5 *384:29 *3653:C1 16.1605
6 *384:29 *384:42 8.82351
7 *384:42 *3656:C1 23.7141
8 *384:42 *3647:C1 9.24915
9 *384:26 *3650:C1 9.24915
*END
*D_NET *385 0.00986792
*CONN
*I *3647:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3643:A I *D sky130_fd_sc_hd__inv_2
*I *3653:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3650:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3656:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3642:Y O *D sky130_fd_sc_hd__nand2_2
*CAP
1 *3647:B1 0.000203051
2 *3643:A 0
3 *3653:B1 2.60154e-05
4 *3650:B1 8.78706e-05
5 *3656:B1 0.000313997
6 *3642:Y 0.000324153
7 *385:55 0.00133747
8 *385:35 0.00151349
9 *385:23 0.00033513
10 *385:19 0.000334891
11 *385:5 0.000672738
12 *3647:B1 *3647:A2 4.31603e-06
13 *3647:B1 *3647:B2 6.1478e-06
14 *3650:B1 *3794:A 6.50586e-05
15 *3653:B1 *3653:A1 0
16 *3656:B1 *3656:B2 3.75603e-05
17 *3656:B1 *3976:A2 0.000191547
18 *3656:B1 *3976:B2 2.05342e-06
19 *3656:B1 *518:78 1.09738e-05
20 *385:5 *3999:B1 2.65667e-05
21 *385:5 *518:78 0.000809943
22 *385:5 *594:11 0.00016763
23 *385:19 *3999:B1 4.33819e-05
24 *385:19 *594:11 0.00015511
25 *385:55 *3633:A2 4.76283e-05
26 *385:55 *3633:B2 4.76283e-05
27 *385:55 *3653:A1 0.000550939
28 *385:55 *3653:A2 2.65831e-05
29 *385:55 *4282:A 3.20384e-05
30 *385:55 *386:13 0.000216467
31 *385:55 *387:18 0.000320579
32 *385:55 *393:11 5.25736e-05
33 *385:55 *397:60 4.4798e-05
34 *385:55 *809:110 0.000216467
35 *385:55 *838:8 0
36 *385:55 *850:8 0
37 *3653:C1 *3653:B1 1.64789e-05
38 *3653:C1 *385:23 6.08467e-05
39 *3653:C1 *385:35 0.000111722
40 *3656:C1 *3647:B1 6.08467e-05
41 *3656:C1 *3656:B1 0.000128012
42 *3999:A1 *3650:B1 0.000110696
43 *3999:A1 *385:19 0.000118166
44 *3999:A1 *385:23 1.41689e-05
45 *4105:A0 *3656:B1 0.000107496
46 *4105:A1 *3656:B1 3.02534e-05
47 *4112:A1 *3656:B1 0
48 *219:23 *3647:B1 3.8122e-05
49 *313:5 *385:5 7.68538e-06
50 *313:5 *385:35 1.01044e-05
51 *313:5 *385:55 0.000532066
52 *384:29 *3647:B1 8.62625e-06
53 *384:29 *3650:B1 0.000110696
54 *384:42 *3647:B1 0.000177137
*RES
1 *3642:Y *385:5 19.4008
2 *385:5 *3656:B1 27.4755
3 *385:5 *385:19 2.38721
4 *385:19 *385:23 1.85672
5 *385:23 *3650:B1 21.2198
6 *385:23 *385:35 2.38721
7 *385:35 *3653:B1 10.2378
8 *385:35 *385:55 43.9365
9 *385:55 *3643:A 9.24915
10 *385:19 *3647:B1 24.5687
*END
*D_NET *386 0.00596196
*CONN
*I *3653:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3647:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3656:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3650:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3643:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3653:A2 0.00013176
2 *3647:A2 3.5714e-05
3 *3656:A2 0.000240818
4 *3650:A2 0.000217602
5 *3643:Y 0.000788346
6 *386:17 0.000458421
7 *386:15 0.000196602
8 *386:13 0.00108099
9 *3647:A2 *3647:B2 9.95922e-06
10 *3650:A2 *3999:B1 1.92489e-05
11 *3653:A2 *3538:A 2.1309e-05
12 *3653:A2 *393:11 6.08467e-05
13 *3653:A2 *481:31 0.000120613
14 *3653:A2 *839:8 0
15 *3656:A2 *3656:A1 0.000118166
16 *3656:A2 *3656:B2 1.80257e-05
17 *3656:A2 *3999:B1 5.85936e-05
18 *386:13 *3647:B2 9.50771e-05
19 *386:13 *3652:B 0.00086524
20 *386:13 *809:9 0.000350218
21 *386:13 *809:13 1.43983e-05
22 *386:13 *809:110 3.74856e-05
23 *386:13 *850:8 2.27957e-05
24 *386:15 *3647:B2 7.03151e-05
25 *3647:B1 *3647:A2 4.31603e-06
26 *3672:A *3650:A2 0.000276981
27 *3672:A *3656:A2 0.000233837
28 *3999:A1 *3650:A2 5.63226e-05
29 *4105:A1 *3656:A2 0.000111722
30 *4240:D *386:13 3.18826e-06
31 *384:42 *3650:A2 0
32 *385:55 *3653:A2 2.65831e-05
33 *385:55 *386:13 0.000216467
*RES
1 *3643:Y *386:13 30.3241
2 *386:13 *386:15 2.38721
3 *386:15 *386:17 4.5
4 *386:17 *3650:A2 19.3184
5 *386:17 *3656:A2 20.8751
6 *386:15 *3647:A2 10.2378
7 *386:13 *3653:A2 21.635
*END
*D_NET *387 0.010711
*CONN
*I *3652:A I *D sky130_fd_sc_hd__nor2_1
*I *3649:A I *D sky130_fd_sc_hd__nor2_1
*I *3655:A I *D sky130_fd_sc_hd__nor2_1
*I *3646:A I *D sky130_fd_sc_hd__nor2_1
*I *3999:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3644:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3652:A 9.57253e-05
2 *3649:A 3.86233e-05
3 *3655:A 0.000238773
4 *3646:A 2.06324e-05
5 *3999:B1 0.000772136
6 *3644:Y 0.000429369
7 *387:21 0.000809743
8 *387:18 0.000798396
9 *387:14 0.000581272
10 *387:12 0.000525094
11 *3652:A *3649:B 6.0299e-05
12 *3655:A *3632:B2 0.000104747
13 *3655:A *3646:B 5.30155e-05
14 *3655:A *3649:B 2.65831e-05
15 *3655:A *3677:A 6.92705e-05
16 *3655:A *395:14 0.000255336
17 *3655:A *493:56 8.62321e-06
18 *3999:B1 *3656:A1 0.000709388
19 *3999:B1 *3976:B1 6.23235e-05
20 *3999:B1 *395:14 1.92336e-05
21 *3999:B1 *397:60 2.02035e-05
22 *3999:B1 *493:56 0.000245399
23 *3999:B1 *581:10 0.000155006
24 *387:12 *3649:B 2.11989e-05
25 *387:12 *3810:A 0.000215704
26 *387:12 *4155:TE_B 0.0003014
27 *387:12 *838:8 0
28 *387:18 *3632:B2 0.000341872
29 *387:18 *4155:TE_B 1.21461e-06
30 *387:18 *4282:A 3.51299e-05
31 *387:18 *393:11 0.000629776
32 *387:18 *493:56 1.50262e-05
33 *387:21 *493:56 1.65872e-05
34 *3650:A2 *3999:B1 1.92489e-05
35 *3656:A2 *3999:B1 5.85936e-05
36 *3656:C1 *3999:B1 0
37 *3999:A1 *3999:B1 0.00021569
38 *4106:A0 *3999:B1 7.63176e-05
39 *219:23 *3999:B1 0.00111303
40 *219:23 *387:21 6.08467e-05
41 *219:49 *3652:A 7.08348e-05
42 *219:49 *387:12 0.000502305
43 *313:5 *3999:B1 0.000364342
44 *384:42 *3999:B1 0.000162177
45 *385:5 *3999:B1 2.65667e-05
46 *385:19 *3999:B1 4.33819e-05
47 *385:55 *387:18 0.000320579
*RES
1 *3644:Y *387:12 26.7619
2 *387:12 *387:14 4.5
3 *387:14 *387:18 21.606
4 *387:18 *387:21 5.2234
5 *387:21 *3999:B1 44.7903
6 *387:21 *3646:A 9.82786
7 *387:18 *3655:A 21.7761
8 *387:14 *3649:A 10.2378
9 *387:12 *3652:A 16.8269
*END
*D_NET *388 0.0051225
*CONN
*I *3979:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3646:B I *D sky130_fd_sc_hd__nor2_1
*I *3978:A I *D sky130_fd_sc_hd__nor2_1
*I *3645:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3979:A1 0.000741695
2 *3646:B 0.000925066
3 *3978:A 0
4 *3645:Y 8.36466e-05
5 *388:11 0.00107776
6 *388:6 0.000978037
7 *3646:B *3632:B2 5.33121e-05
8 *3646:B *506:65 0
9 *3646:B *542:60 0.000396119
10 *3979:A1 *3936:B 2.77564e-05
11 *3979:A1 *3979:A2 8.25362e-05
12 *3979:A1 *3995:B 1.77584e-05
13 *3979:A1 *4232:CLK 1.23028e-05
14 *3979:A1 *481:31 0.000109513
15 *3979:A1 *839:8 7.66257e-05
16 *388:6 *481:31 8.42523e-05
17 *388:6 *839:8 4.90694e-05
18 *388:11 *3979:A2 0.000266846
19 *388:11 *582:8 6.92705e-05
20 *3655:A *3646:B 5.30155e-05
21 *4107:A1 *3979:A1 1.79196e-05
*RES
1 *3645:Y *388:6 16.8269
2 *388:6 *388:11 9.12973
3 *388:11 *3978:A 9.24915
4 *388:11 *3646:B 42.8241
5 *388:6 *3979:A1 37.1138
*END
*D_NET *389 0.00313875
*CONN
*I *3647:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3646:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3647:B2 0.000793414
2 *3646:Y 0.000793414
3 *3647:B2 *3632:B2 7.50872e-05
4 *3647:B2 *3636:B2 2.652e-05
5 *3647:B2 *3647:A1 0.000275256
6 *3647:B2 *809:9 0.00084843
7 *3647:B2 *809:13 1.92336e-05
8 *3647:A2 *3647:B2 9.95922e-06
9 *3647:B1 *3647:B2 6.1478e-06
10 *219:23 *3647:B2 0.000125893
11 *386:13 *3647:B2 9.50771e-05
12 *386:15 *3647:B2 7.03151e-05
*RES
1 *3646:Y *3647:B2 46.373
*END
*D_NET *390 0.0081187
*CONN
*I *3649:B I *D sky130_fd_sc_hd__nor2_1
*I *3976:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3648:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3649:B 0.00101908
2 *3976:B1 0.00122152
3 *3648:Y 0
4 *390:4 0.0022406
5 *3649:B *3655:B 0.00030351
6 *3649:B *3677:A 7.68538e-06
7 *3649:B *4194:A 0
8 *3649:B *4224:CLK 0.000192228
9 *3649:B *493:65 0.000104794
10 *3649:B *809:110 0.000170592
11 *3649:B *809:119 0.000201734
12 *3649:B *838:8 6.85178e-05
13 *3976:B1 *3648:A 1.87469e-05
14 *3976:B1 *3655:B 0.000160617
15 *3976:B1 *3656:A1 0.000163679
16 *3976:B1 *3784:A 0.00187732
17 *3976:B1 *3976:A1 2.57465e-06
18 *3976:B1 *3976:B2 7.09666e-06
19 *3976:B1 *392:16 6.84784e-06
20 *3976:B1 *392:18 0.000101622
21 *3976:B1 *394:27 4.3116e-06
22 *3976:B1 *397:60 0
23 *3976:B1 *579:9 3.31882e-05
24 *3652:A *3649:B 6.0299e-05
25 *3655:A *3649:B 2.65831e-05
26 *3999:B1 *3976:B1 6.23235e-05
27 *4106:A0 *3976:B1 0
28 *219:23 *3976:B1 4.20257e-05
29 *219:49 *3649:B 0
30 *387:12 *3649:B 2.11989e-05
*RES
1 *3648:Y *390:4 9.24915
2 *390:4 *3976:B1 40.3114
3 *390:4 *3649:B 45.4634
*END
*D_NET *391 0.00522678
*CONN
*I *3650:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3649:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3650:B2 0
2 *3649:Y 0.00166697
3 *391:11 0.00166697
4 *391:11 *3633:A2 0.000606335
5 *391:11 *3650:A1 0.00053098
6 *391:11 *3794:A 3.61993e-05
7 *391:11 *3881:A 6.7755e-05
8 *391:11 *4155:TE_B 7.50872e-05
9 *391:11 *4194:A 0.000328363
10 *391:11 *4250:CLK 7.68538e-06
11 *391:11 *475:8 0.000207266
12 *391:11 *838:8 0
13 *4250:D *391:11 3.31745e-05
*RES
1 *3649:Y *391:11 46.8897
2 *391:11 *3650:B2 9.24915
*END
*D_NET *392 0.0099033
*CONN
*I *3972:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3652:B I *D sky130_fd_sc_hd__nor2_1
*I *3976:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3971:A I *D sky130_fd_sc_hd__nor2_1
*I *3651:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3972:A1 0.000227804
2 *3652:B 0.000407566
3 *3976:B2 0.000602321
4 *3971:A 0
5 *3651:Y 0.000106958
6 *392:18 0.00132622
7 *392:16 0.000689271
8 *392:7 0.000252088
9 *3652:B *393:11 0.000266846
10 *3652:B *809:110 6.44576e-05
11 *3652:B *850:8 0.000164829
12 *3972:A1 *3677:A 0.000373061
13 *3976:B2 *3784:A 0.00193456
14 *3976:B2 *3976:A1 4.99006e-05
15 *3976:B2 *3976:A2 8.6297e-06
16 *3976:B2 *410:12 0.000782036
17 *3976:B2 *411:10 0
18 *3976:B2 *542:60 3.29488e-05
19 *3976:B2 *850:8 1.5714e-05
20 *3976:B2 *859:75 0
21 *392:7 *3971:B 6.50727e-05
22 *392:7 *3973:A 0.000122378
23 *392:7 *3974:A 4.80635e-06
24 *392:7 *3974:B 1.92336e-05
25 *392:7 *580:7 3.74005e-05
26 *392:7 *825:62 0.000177886
27 *392:16 *3648:A 0.000143032
28 *392:16 *3974:A 6.50586e-05
29 *392:16 *3974:B 0.000171273
30 *392:16 *542:60 0.000259501
31 *392:16 *579:9 0
32 *392:16 *825:62 2.1801e-05
33 *392:18 *542:60 0.000501917
34 *3656:B1 *3976:B2 2.05342e-06
35 *3976:B1 *3976:B2 7.09666e-06
36 *3976:B1 *392:16 6.84784e-06
37 *3976:B1 *392:18 0.000101622
38 *219:23 *3976:B2 2.58599e-05
39 *386:13 *3652:B 0.00086524
*RES
1 *3651:Y *392:7 14.4335
2 *392:7 *3971:A 9.24915
3 *392:7 *392:16 11.0714
4 *392:16 *392:18 9.72179
5 *392:18 *3976:B2 26.0767
6 *392:18 *3652:B 27.6625
7 *392:16 *3972:A1 17.8002
*END
*D_NET *393 0.00457542
*CONN
*I *3653:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3652:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3653:B2 0
2 *3652:Y 0.000647217
3 *393:11 0.000647217
4 *393:11 *3633:A1 0.000268597
5 *393:11 *3633:A2 3.75221e-05
6 *393:11 *3653:A1 0.000105164
7 *393:11 *4282:A 0
8 *393:11 *838:8 4.25324e-05
9 *3610:A *393:11 0.000267404
10 *3633:B1 *393:11 6.08467e-05
11 *3652:B *393:11 0.000266846
12 *3653:A2 *393:11 6.08467e-05
13 *313:5 *393:11 0.0012285
14 *380:32 *393:11 0.000260374
15 *385:55 *393:11 5.25736e-05
16 *387:18 *393:11 0.000629776
*RES
1 *3652:Y *393:11 44.6819
2 *393:11 *3653:B2 9.24915
*END
*D_NET *394 0.0107319
*CONN
*I *3971:B I *D sky130_fd_sc_hd__nor2_1
*I *3655:B I *D sky130_fd_sc_hd__nor2_1
*I *3972:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3688:A1 I *D sky130_fd_sc_hd__a32o_1
*I *3654:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3971:B 1.26312e-05
2 *3655:B 0.000152475
3 *3972:A2 0
4 *3688:A1 0.000534221
5 *3654:Y 0.00067643
6 *394:27 0.000246592
7 *394:23 0.00201869
8 *394:8 0.00312259
9 *3655:B *3677:A 0.000358413
10 *3655:B *3972:B1 0
11 *3655:B *579:9 0.00011818
12 *3688:A1 *3654:A 0
13 *3688:A1 *487:34 0.000175183
14 *3688:A1 *807:100 0
15 *3971:B *580:7 2.65831e-05
16 *394:8 *3654:A 3.6455e-05
17 *394:8 *3980:B1 4.28856e-07
18 *394:8 *829:7 9.80242e-07
19 *394:23 *3680:B2 0.00103605
20 *394:23 *3680:C1 3.02812e-05
21 *394:23 *3973:B 3.21548e-05
22 *394:23 *3977:A 7.92757e-06
23 *394:23 *397:60 0.000111343
24 *394:23 *542:60 0
25 *394:23 *579:9 1.44611e-05
26 *394:23 *824:39 1.58551e-05
27 *394:27 *397:60 0.000219701
28 *394:27 *579:9 8.01741e-05
29 *3649:B *3655:B 0.00030351
30 *3680:B1 *394:8 4.47442e-05
31 *3976:B1 *3655:B 0.000160617
32 *3976:B1 *394:27 4.3116e-06
33 *4107:A1 *394:23 4.2372e-05
34 *4107:S *394:23 2.77625e-06
35 *4110:A0 *394:8 0
36 *4228:D *3688:A1 0
37 *4228:D *394:8 0
38 *4234:D *394:8 0.000104809
39 *228:10 *394:23 0.00097584
40 *392:7 *3971:B 6.50727e-05
*RES
1 *3654:Y *394:8 25.1754
2 *394:8 *3688:A1 27.1105
3 *394:8 *394:23 41.9192
4 *394:23 *394:27 8.40826
5 *394:27 *3972:A2 9.24915
6 *394:27 *3655:B 17.3994
7 *394:23 *3971:B 14.4725
*END
*D_NET *395 0.00461573
*CONN
*I *3656:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3655:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3656:B2 0.00063188
2 *3655:Y 0.000791971
3 *395:14 0.00142385
4 *3656:B2 *3656:A1 4.56667e-05
5 *3656:B2 *4239:CLK 1.8839e-06
6 *3656:B2 *493:56 0.000118166
7 *395:14 *3656:A1 1.24122e-05
8 *395:14 *3677:A 0.00011906
9 *395:14 *397:60 1.63679e-05
10 *395:14 *493:56 0.000116575
11 *395:14 *809:43 4.59164e-06
12 *3655:A *395:14 0.000255336
13 *3656:A2 *3656:B2 1.80257e-05
14 *3656:B1 *3656:B2 3.75603e-05
15 *3999:B1 *395:14 1.92336e-05
16 *4105:A1 *3656:B2 7.97098e-06
17 *4239:D *3656:B2 0.000384617
18 *219:23 *395:14 0.000610561
*RES
1 *3655:Y *395:14 43.8322
2 *395:14 *3656:B2 19.8348
*END
*D_NET *396 0.00744722
*CONN
*I *3658:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3663:A I *D sky130_fd_sc_hd__or2_1
*I *3657:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3658:A 0
2 *3663:A 0.000640461
3 *3657:Y 0.000531407
4 *396:15 0.00117187
5 *3663:A *3663:B 6.50586e-05
6 *3663:A *3941:A2 5.51483e-06
7 *3663:A *4236:CLK 0.000415203
8 *3663:A *402:8 1.65872e-05
9 *3663:A *842:15 1.65872e-05
10 *3663:A *846:17 0.000563884
11 *3663:A *859:33 0.000199749
12 *396:15 *3657:A 0.00109601
13 *396:15 *3941:A2 3.31745e-05
14 *396:15 *4248:CLK 9.60833e-06
15 *396:15 *557:19 0.000260374
16 *396:15 *808:5 7.2562e-05
17 *396:15 *808:9 2.23259e-05
18 *396:15 *854:7 0.000163428
19 *396:15 *859:33 0.00017522
20 *3620:A *3663:A 0.000311235
21 *3637:C1 *3663:A 2.652e-05
22 *4236:D *3663:A 9.74302e-05
23 *4248:D *396:15 0.000273993
24 *341:15 *3663:A 0.000136512
25 *342:24 *3663:A 0.000223897
26 *342:24 *396:15 4.19698e-05
27 *381:19 *396:15 0.000793264
28 *381:28 *3663:A 4.03763e-05
29 *383:10 *3663:A 4.29932e-05
*RES
1 *3657:Y *396:15 35.3396
2 *396:15 *3663:A 43.0153
3 *396:15 *3658:A 9.24915
*END
*D_NET *397 0.02025
*CONN
*I *3977:A I *D sky130_fd_sc_hd__nor2_1
*I *3973:B I *D sky130_fd_sc_hd__nor2_1
*I *3940:A I *D sky130_fd_sc_hd__nor2_1
*I *3669:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3662:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3658:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3977:A 0.000699321
2 *3973:B 6.04141e-05
3 *3940:A 0.000639977
4 *3669:B1 0.000107286
5 *3662:A1 9.94764e-05
6 *3658:X 0
7 *397:60 0.00220364
8 *397:37 0.00229782
9 *397:17 0.00186532
10 *397:5 0.00187249
11 *3662:A1 *3662:B1 1.03434e-05
12 *3669:B1 *3666:B 2.47808e-05
13 *3669:B1 *3670:A 9.34396e-06
14 *3669:B1 *3673:A2 7.50722e-05
15 *3669:B1 *841:8 3.12316e-05
16 *3669:B1 *841:22 6.50727e-05
17 *3940:A *3940:B 3.5534e-06
18 *3940:A *3998:A 0.00259208
19 *3940:A *875:17 0
20 *3973:B *3645:A 0
21 *3973:B *506:64 0.000224381
22 *3977:A *3938:A 0.000591519
23 *3977:A *493:56 0
24 *3977:A *506:64 0.000848795
25 *397:17 *3637:B2 0.000613184
26 *397:17 *3662:B1 5.11273e-05
27 *397:17 *4238:CLK 1.81626e-05
28 *397:17 *4246:CLK 7.38598e-05
29 *397:17 *401:8 5.11162e-06
30 *397:17 *475:20 0.000128684
31 *397:17 *808:10 5.38132e-05
32 *397:17 *808:12 0.000100872
33 *397:17 *840:43 0.000150017
34 *397:17 *859:33 1.5714e-05
35 *397:37 *556:10 4.08482e-05
36 *397:37 *808:10 4.96202e-06
37 *397:37 *808:60 4.09738e-05
38 *397:60 *3633:B2 0.000135543
39 *397:60 *3656:A1 3.6549e-05
40 *397:60 *3973:A 7.0954e-05
41 *397:60 *3975:B1 0
42 *397:60 *475:8 8.70644e-05
43 *397:60 *475:16 1.9689e-05
44 *397:60 *542:60 0
45 *397:60 *580:25 0
46 *397:60 *593:14 1.9101e-05
47 *397:60 *809:14 0
48 *397:60 *809:43 0
49 *397:60 *809:54 0
50 *397:60 *809:70 0
51 *397:60 *850:8 0
52 *397:60 *851:8 0.000136827
53 *3576:A *3940:A 1.98448e-05
54 *3633:B1 *397:60 0.000719083
55 *3636:C1 *397:60 0
56 *3637:B1 *397:17 0.000172117
57 *3637:B1 *397:37 0.000152125
58 *3960:A1 *397:17 9.40969e-05
59 *3976:B1 *397:60 0
60 *3999:B1 *397:60 2.02035e-05
61 *4106:A0 *3977:A 2.02035e-05
62 *4238:D *397:17 3.18826e-06
63 *4240:D *397:60 4.44267e-05
64 *219:23 *397:60 1.22756e-05
65 *225:25 *397:17 0.000271044
66 *227:16 *397:60 0
67 *228:10 *3973:B 3.14978e-05
68 *342:24 *397:37 7.08723e-06
69 *379:11 *3940:A 0.00182385
70 *382:26 *397:37 0.000204568
71 *382:26 *397:60 9.71375e-05
72 *385:55 *397:60 4.4798e-05
73 *394:23 *3973:B 3.21548e-05
74 *394:23 *3977:A 7.92757e-06
75 *394:23 *397:60 0.000111343
76 *394:27 *397:60 0.000219701
77 *395:14 *397:60 1.63679e-05
*RES
1 *3658:X *397:5 13.7491
2 *397:5 *397:17 45.9709
3 *397:17 *3662:A1 11.3711
4 *397:17 *3669:B1 21.635
5 *397:5 *397:37 6.6074
6 *397:37 *3940:A 24.4338
7 *397:37 *397:60 42.8131
8 *397:60 *3973:B 12.2151
9 *397:60 *3977:A 41.8655
*END
*D_NET *398 0.0036117
*CONN
*I *3664:B_N I *D sky130_fd_sc_hd__or2b_1
*I *3662:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3659:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3664:B_N 0.000326309
2 *3662:A2 0.00014603
3 *3659:X 0.000224189
4 *398:8 0.000696528
5 *3662:A2 *3668:A1 0.000242149
6 *3662:A2 *3673:A2 0.000404085
7 *3662:A2 *841:8 4.86647e-05
8 *3664:B_N *3665:A1_N 0.000485311
9 *3664:B_N *3665:A2_N 0.000200794
10 *3664:B_N *3665:B1 3.61575e-05
11 *3664:B_N *530:60 0.000311261
12 *3664:B_N *841:7 6.08467e-05
13 *398:8 *3659:C 0.000205006
14 *398:8 *3668:A1 8.52968e-05
15 *398:8 *3673:A2 9.24241e-05
16 *398:8 *843:11 4.66492e-05
*RES
1 *3659:X *398:8 18.523
2 *398:8 *3662:A2 20.9794
3 *398:8 *3664:B_N 24.4795
*END
*D_NET *399 0.0070754
*CONN
*I *3663:B I *D sky130_fd_sc_hd__or2_1
*I *3673:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3671:B I *D sky130_fd_sc_hd__nor2_1
*I *3661:A I *D sky130_fd_sc_hd__inv_2
*I *3660:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3663:B 0.000110279
2 *3673:A1 1.47608e-05
3 *3671:B 0.000574498
4 *3661:A 2.07309e-05
5 *3660:Y 0.000151276
6 *399:31 0.000605829
7 *399:11 0.000903343
8 *399:7 0.00094018
9 *3663:B *3673:B2 4.91394e-06
10 *3663:B *4236:CLK 4.33819e-05
11 *3663:B *402:8 0.000167076
12 *3663:B *810:25 0.000134323
13 *3671:B *3668:A2 0.00011818
14 *3671:B *3669:A1 7.61802e-05
15 *3671:B *3671:A 0.0001067
16 *3671:B *3961:D 6.50727e-05
17 *3671:B *4156:A 0.00022778
18 *3671:B *400:8 1.19856e-05
19 *3671:B *408:15 0.000377273
20 *3671:B *842:53 6.08697e-06
21 *3671:B *844:6 2.65904e-05
22 *3673:A1 *3673:A2 6.08467e-05
23 *3673:A1 *3673:B1 6.08467e-05
24 *399:11 *3666:A 0.000165848
25 *399:11 *3670:A 0.000113968
26 *399:11 *400:8 2.41274e-06
27 *399:11 *487:36 0
28 *399:11 *883:236 9.75356e-05
29 *399:11 *883:241 1.00937e-05
30 *399:31 *3673:B2 0.000207195
31 *399:31 *487:36 0
32 *399:31 *810:25 0.000198477
33 *399:31 *883:230 0.000255338
34 *399:31 *883:236 0.000394828
35 *3660:B *399:31 0
36 *3663:A *3663:B 6.50586e-05
37 *3960:A1 *3671:B 0
38 *3961:B *3671:B 0.000149643
39 *4235:D *399:31 0.000153225
40 *4238:D *3671:B 6.50727e-05
41 *4238:D *399:11 0.000320502
42 *221:11 *399:7 4.30017e-06
43 *225:25 *3671:B 0
44 *314:35 *3663:B 2.73779e-05
45 *314:47 *399:7 3.63947e-05
46 *314:47 *399:31 0
*RES
1 *3660:Y *399:7 16.1364
2 *399:7 *399:11 14.8198
3 *399:11 *3661:A 9.82786
4 *399:11 *3671:B 37.3215
5 *399:7 *399:31 18.0268
6 *399:31 *3673:A1 14.4725
7 *399:31 *3663:B 18.2442
*END
*D_NET *400 0.00311606
*CONN
*I *3667:C I *D sky130_fd_sc_hd__and3_1
*I *3662:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3661:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3667:C 0.000404542
2 *3662:B1 0.000110946
3 *3661:Y 0.000343718
4 *400:8 0.000859206
5 *3662:B1 *4238:CLK 6.36477e-05
6 *3662:B1 *401:8 0.000362198
7 *3667:C *3667:A 6.50727e-05
8 *3667:C *4238:CLK 8.74104e-05
9 *3667:C *408:15 0.000349528
10 *400:8 *4156:A 0
11 *400:8 *4238:CLK 2.95757e-05
12 *3662:A1 *3662:B1 1.03434e-05
13 *3671:B *400:8 1.19856e-05
14 *4238:D *400:8 0.000320836
15 *341:14 *3667:C 3.42931e-05
16 *341:14 *400:8 9.22013e-06
17 *397:17 *3662:B1 5.11273e-05
18 *399:11 *400:8 2.41274e-06
*RES
1 *3661:Y *400:8 22.5333
2 *400:8 *3662:B1 18.7888
3 *400:8 *3667:C 21.3924
*END
*D_NET *401 0.00263199
*CONN
*I *3665:A2_N I *D sky130_fd_sc_hd__o2bb2a_1
*I *3668:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3662:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3665:A2_N 0.000257899
2 *3668:C1 0
3 *3662:Y 0.000411033
4 *401:8 0.000668933
5 *3566:B *3665:A2_N 0.000245502
6 *3566:B *401:8 0.000116986
7 *3662:B1 *401:8 0.000362198
8 *3664:B_N *3665:A2_N 0.000200794
9 *4238:D *401:8 6.67095e-06
10 *341:14 *3665:A2_N 0.000239874
11 *341:14 *401:8 0.000116986
12 *397:17 *401:8 5.11162e-06
*RES
1 *3662:Y *401:8 21.7112
2 *401:8 *3668:C1 13.7491
3 *401:8 *3665:A2_N 20.8751
*END
*D_NET *402 0.0046677
*CONN
*I *3665:B1 I *D sky130_fd_sc_hd__o2bb2a_1
*I *3674:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *3663:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3665:B1 0.000548792
2 *3674:A2 6.80728e-05
3 *3663:X 0.000464978
4 *402:8 0.00108184
5 *3665:B1 *3665:A1_N 0.000251905
6 *3665:B1 *3665:B2 6.08467e-05
7 *3665:B1 *3666:B 7.98807e-05
8 *3665:B1 *3671:A 0.000400961
9 *3665:B1 *3673:A2 1.78514e-05
10 *3665:B1 *3674:A1 0.000258114
11 *3665:B1 *530:60 0.000114594
12 *3674:A2 *3673:B2 1.82452e-05
13 *402:8 *3673:B2 0.000210722
14 *402:8 *4236:CLK 7.92757e-06
15 *3660:B *402:8 7.41203e-05
16 *3663:A *402:8 1.65872e-05
17 *3663:B *402:8 0.000167076
18 *3664:B_N *3665:B1 3.61575e-05
19 *4237:D *3665:B1 6.93155e-05
20 *314:35 *402:8 0.000170577
21 *314:47 *3674:A2 5.92342e-05
22 *314:47 *402:8 0.000489903
*RES
1 *3663:X *402:8 28.489
2 *402:8 *3674:A2 15.474
3 *402:8 *3665:B1 38.5051
*END
*D_NET *403 0.000946249
*CONN
*I *3665:B2 I *D sky130_fd_sc_hd__o2bb2a_1
*I *3664:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *3665:B2 0.000199349
2 *3664:X 0.000199349
3 *3665:B2 *3637:B2 6.50727e-05
4 *3665:B2 *3664:A 6.50586e-05
5 *3665:B2 *3665:A1_N 0.000356574
6 *3665:B1 *3665:B2 6.08467e-05
*RES
1 *3664:X *3665:B2 24.7677
*END
*D_NET *404 0.00190895
*CONN
*I *3666:B I *D sky130_fd_sc_hd__nor2_1
*I *3665:X O *D sky130_fd_sc_hd__o2bb2a_1
*CAP
1 *3666:B 0.000666514
2 *3665:X 0.000666514
3 *3666:B *3670:A 0
4 *3666:B *3673:A2 0.000449597
5 *3666:B *530:60 2.16608e-05
6 *3665:B1 *3666:B 7.98807e-05
7 *3669:B1 *3666:B 2.47808e-05
*RES
1 *3665:X *3666:B 42.0988
*END
*D_NET *405 0.00136429
*CONN
*I *3668:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3667:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3668:A2 0.000134931
2 *3667:X 0.000134931
3 *3668:A2 *3671:A 0.000618637
4 *3668:A2 *408:15 0.00035761
5 *3671:B *3668:A2 0.00011818
*RES
1 *3667:X *3668:A2 26.4798
*END
*D_NET *406 0.00291965
*CONN
*I *3673:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3669:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3673:A2 0.000631563
2 *3669:Y 0.000631563
3 *3673:A2 *3641:B2 0
4 *3673:A2 *3659:A 1.25301e-05
5 *3673:A2 *3668:A1 7.77309e-06
6 *3673:A2 *3673:B1 0.000143741
7 *3673:A2 *3673:B2 2.14628e-05
8 *3673:A2 *3673:C1 0.000255881
9 *3673:A2 *4238:CLK 0
10 *3673:A2 *530:60 8.67627e-06
11 *3673:A2 *841:8 0
12 *3673:A2 *841:44 0
13 *3662:A2 *3673:A2 0.000404085
14 *3665:B1 *3673:A2 1.78514e-05
15 *3666:B *3673:A2 0.000449597
16 *3669:B1 *3673:A2 7.50722e-05
17 *3673:A1 *3673:A2 6.08467e-05
18 *4236:D *3673:A2 7.65861e-05
19 *340:30 *3673:A2 2.99929e-05
20 *398:8 *3673:A2 9.24241e-05
*RES
1 *3669:Y *3673:A2 49.0485
*END
*D_NET *407 0.00500483
*CONN
*I *3671:A I *D sky130_fd_sc_hd__nor2_1
*I *3674:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *3670:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3671:A 0.00057475
2 *3674:A1 8.43814e-05
3 *3670:Y 0.000142785
4 *407:8 0.000801916
5 *3671:A *3667:B 0.000217937
6 *3671:A *3668:A1 0.000455496
7 *3671:A *408:15 7.67354e-05
8 *3671:A *839:7 6.92705e-05
9 *3674:A1 *3674:B1 2.50842e-05
10 *3674:A1 *408:15 5.97411e-05
11 *407:8 *3670:A 0.000156823
12 *407:8 *841:8 0.000382619
13 *407:8 *883:230 7.65861e-05
14 *407:8 *883:236 0.00046637
15 *3665:B1 *3671:A 0.000400961
16 *3665:B1 *3674:A1 0.000258114
17 *3668:A2 *3671:A 0.000618637
18 *3671:B *3671:A 0.0001067
19 *4237:D *3671:A 2.99287e-05
*RES
1 *3670:Y *407:8 27.5557
2 *407:8 *3674:A1 12.7697
3 *407:8 *3671:A 30.3964
*END
*D_NET *408 0.00458914
*CONN
*I *3674:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *3673:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3671:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3674:C1 0
2 *3673:B2 0.00037671
3 *3671:Y 0.000607494
4 *408:15 0.000984204
5 *3673:B2 *3673:B1 3.55078e-05
6 *3673:B2 *3673:C1 1.24576e-05
7 *408:15 *3667:A 6.50727e-05
8 *408:15 *3668:A1 0.000459708
9 *408:15 *3674:B1 0.000149268
10 *3663:B *3673:B2 4.91394e-06
11 *3667:C *408:15 0.000349528
12 *3668:A2 *408:15 0.00035761
13 *3671:A *408:15 7.67354e-05
14 *3671:B *408:15 0.000377273
15 *3673:A2 *3673:B2 2.14628e-05
16 *3674:A1 *408:15 5.97411e-05
17 *3674:A2 *3673:B2 1.82452e-05
18 *4235:D *3673:B2 0.000153225
19 *314:35 *3673:B2 6.20642e-05
20 *399:31 *3673:B2 0.000207195
21 *402:8 *3673:B2 0.000210722
*RES
1 *3671:Y *408:15 30.3482
2 *408:15 *3673:B2 30.7713
3 *408:15 *3674:C1 9.24915
*END
*D_NET *409 0.0170713
*CONN
*I *3683:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3682:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3681:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3680:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3673:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3672:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3683:C1 0
2 *3682:C1 0.000319919
3 *3681:C1 0.000634747
4 *3680:C1 0.000176682
5 *3673:C1 0.000830904
6 *3672:X 0.000806375
7 *409:72 0.000453702
8 *409:35 0.00112654
9 *409:32 0.000798117
10 *409:19 0.0020994
11 *409:5 0.00242409
12 *3673:C1 *3641:B2 0.000445517
13 *3673:C1 *3673:B1 2.34089e-05
14 *3673:C1 *556:19 0.000230833
15 *3673:C1 *810:25 3.90689e-06
16 *3673:C1 *883:230 7.55384e-05
17 *3680:C1 *3680:A2 0.000115934
18 *3680:C1 *3680:B2 1.7146e-05
19 *3681:C1 *3680:A1 0
20 *3681:C1 *3681:B2 4.32966e-05
21 *3681:C1 *3936:A 6.45975e-05
22 *3681:C1 *3988:A 5.56461e-05
23 *3681:C1 *3990:A 0
24 *3681:C1 *3994:A1 0
25 *3681:C1 *3994:B2 3.2914e-05
26 *3681:C1 *591:11 0
27 *3681:C1 *829:17 0
28 *3682:C1 *3681:A2 6.08467e-05
29 *3682:C1 *3682:B2 5.29379e-05
30 *3682:C1 *3995:B 0.000116986
31 *3682:C1 *413:37 0.000122542
32 *3682:C1 *414:42 0.000104516
33 *3682:C1 *592:15 0.000160117
34 *409:5 *493:42 0.00125567
35 *409:19 *3688:B1 0.000162767
36 *409:19 *3996:A1 0
37 *409:19 *487:36 0
38 *409:19 *493:42 0.000366603
39 *409:32 *3654:A 0
40 *409:32 *3678:A 0.000391891
41 *409:32 *3688:A3 5.41377e-05
42 *409:32 *3996:A1 9.83839e-05
43 *409:32 *592:15 0
44 *409:32 *859:106 9.57348e-05
45 *409:35 *3680:A2 0.000189753
46 *409:72 *3980:B1 8.65358e-05
47 *409:72 *414:42 0.000204461
48 *409:72 *592:15 0
49 *409:72 *859:106 5.99658e-05
50 *3540:C *3673:C1 4.30017e-06
51 *3568:A1 *3673:C1 0
52 *3642:B *409:19 0.000455165
53 *3673:A2 *3673:C1 0.000255881
54 *3673:B2 *3673:C1 1.24576e-05
55 *3685:B1 *409:32 8.01837e-05
56 *4110:A0 *3681:C1 0.000266696
57 *4110:A1 *3681:C1 4.55535e-05
58 *4111:A0 *409:19 0.000216112
59 *4112:A1 *3673:C1 0.000111594
60 *4234:D *3681:C1 5.96936e-05
61 *4236:D *3673:C1 0
62 *218:12 *409:19 0.000649207
63 *226:75 *3681:C1 4.66891e-05
64 *314:35 *3673:C1 6.24656e-06
65 *346:6 *409:19 0.000198289
66 *350:8 *3673:C1 0.000269935
67 *394:23 *3680:C1 3.02812e-05
*RES
1 *3672:X *409:5 23.283
2 *409:5 *409:19 37.381
3 *409:19 *3673:C1 36.0562
4 *409:5 *409:32 16.7133
5 *409:32 *409:35 9.66022
6 *409:35 *3680:C1 14.3129
7 *409:35 *3681:C1 34.9986
8 *409:32 *409:72 9.54971
9 *409:72 *3682:C1 28.6943
10 *409:72 *3683:C1 9.24915
*END
*D_NET *410 0.0116039
*CONN
*I *3676:A2 I *D sky130_fd_sc_hd__o21ai_2
*I *3675:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *3676:A2 0
2 *3675:X 0.00343935
3 *410:12 0.00343935
4 *410:12 *3538:A 0.00110007
5 *410:12 *3675:B 0.000461289
6 *410:12 *3689:B 8.62625e-06
7 *410:12 *3784:A 0.000852857
8 *410:12 *3785:A 4.41444e-05
9 *410:12 *4225:D 4.01573e-05
10 *410:12 *4284:A 0.000243648
11 *410:12 *450:28 4.72962e-05
12 *410:12 *487:36 0
13 *410:12 *518:78 0.000356525
14 *410:12 *807:100 4.62971e-05
15 *410:12 *882:13 5.54078e-05
16 io_oeb[11] *410:12 0.000330703
17 io_out[10] *410:12 0
18 io_out[4] *410:12 0
19 *3611:C1 *410:12 0.000300352
20 *3676:A1 *410:12 5.58294e-05
21 *3976:B2 *410:12 0.000782036
*RES
1 *3675:X *410:12 48.1755
2 *410:12 *3676:A2 13.7491
*END
*D_NET *411 0.00967287
*CONN
*I *3687:B I *D sky130_fd_sc_hd__or2_1
*I *3677:A I *D sky130_fd_sc_hd__inv_2
*I *3679:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3685:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3676:Y O *D sky130_fd_sc_hd__o21ai_2
*CAP
1 *3687:B 0
2 *3677:A 0.000416347
3 *3679:A 0
4 *3685:B2 3.00134e-05
5 *3676:Y 0.000840878
6 *411:30 0.000956246
7 *411:14 0.000702018
8 *411:10 0.000972983
9 *3677:A *3975:A2 0.000103022
10 *3677:A *414:15 0.000118166
11 *3677:A *493:56 0.00011906
12 *3677:A *579:9 5.75508e-05
13 *3685:B2 *412:25 6.08467e-05
14 *3685:B2 *493:42 1.65872e-05
15 *411:10 *859:75 0.000190962
16 *411:14 *3678:A 2.95757e-05
17 *411:14 *3688:A3 0.000202283
18 *411:14 *3688:B2 0.000160617
19 *411:30 *3678:A 0.000113471
20 *411:30 *3684:A1 6.36477e-05
21 *411:30 *3684:A2 9.54357e-06
22 *411:30 *3684:B2 0.000126919
23 *411:30 *3975:A1 0.000125098
24 *411:30 *3975:A2 1.67988e-05
25 *411:30 *3975:B1 2.41483e-05
26 *411:30 *414:15 0.000285085
27 *411:30 *824:10 2.77625e-06
28 *411:30 *825:11 0.000350159
29 *3649:B *3677:A 7.68538e-06
30 *3655:A *3677:A 6.92705e-05
31 *3655:B *3677:A 0.000358413
32 *3684:B1 *411:30 6.3657e-05
33 *3684:C1 *411:30 9.00839e-05
34 *3685:C1 *3685:B2 0.000107496
35 *3689:A *411:10 0.00103541
36 *3972:A1 *3677:A 0.000373061
37 *3976:B2 *411:10 0
38 *4105:A0 *411:14 0.000164829
39 *4112:A1 *411:10 6.89449e-05
40 *4229:D *411:30 0.000107496
41 *227:16 *3677:A 0.000248423
42 *227:16 *411:30 0.00043038
43 *350:19 *411:10 5.64929e-05
44 *350:19 *411:14 0.000223232
45 *350:19 *411:30 5.41377e-05
46 *395:14 *3677:A 0.00011906
*RES
1 *3676:Y *411:10 34.5275
2 *411:10 *411:14 10.6561
3 *411:14 *3685:B2 15.0271
4 *411:14 *411:30 22.6378
5 *411:30 *3679:A 9.24915
6 *411:30 *3677:A 34.5544
7 *411:10 *3687:B 9.24915
*END
*D_NET *412 0.00806377
*CONN
*I *3685:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3678:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3688:A3 I *D sky130_fd_sc_hd__a32o_1
*I *3677:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3685:A2 0
2 *3678:A 0.000168998
3 *3688:A3 0.000627987
4 *3677:Y 0.000763403
5 *412:27 0.000796985
6 *412:25 0.000763403
7 *3678:A *592:15 0
8 *3678:A *859:97 3.99925e-05
9 *3688:A3 *3688:B1 0.000408025
10 *3688:A3 *3688:B2 0.000452452
11 *3688:A3 *493:42 4.9452e-05
12 *412:25 *3632:B2 4.58003e-05
13 *412:25 *3647:A1 0.000253681
14 *412:25 *3685:A1 0.000503331
15 *412:25 *3977:B 0.000380781
16 *412:25 *4242:CLK 4.71815e-05
17 *412:25 *493:42 0.00104503
18 *3684:C1 *3678:A 2.04806e-05
19 *3685:B1 *3688:A3 2.01186e-05
20 *3685:B2 *412:25 6.08467e-05
21 *3685:C1 *412:25 1.15389e-05
22 *4105:A0 *3688:A3 0.000321905
23 *4106:A1 *412:25 5.72995e-05
24 *4106:S *412:25 6.08467e-05
25 *4242:D *412:25 0.000310696
26 *226:6 *3688:A3 2.33103e-06
27 *226:19 *3688:A3 5.7269e-06
28 *226:34 *3688:A3 5.41227e-05
29 *409:32 *3678:A 0.000391891
30 *409:32 *3688:A3 5.41377e-05
31 *411:14 *3678:A 2.95757e-05
32 *411:14 *3688:A3 0.000202283
33 *411:30 *3678:A 0.000113471
*RES
1 *3677:Y *412:25 42.1395
2 *412:25 *412:27 4.5
3 *412:27 *3688:A3 30.6544
4 *412:27 *3678:A 20.9794
5 *412:25 *3685:A2 9.24915
*END
*D_NET *413 0.00616757
*CONN
*I *3683:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3682:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3681:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3680:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3684:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3678:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3683:A2 4.91934e-05
2 *3682:A2 7.98561e-05
3 *3681:A2 0.00021573
4 *3680:A2 0.000239355
5 *3684:A2 0.000163102
6 *3678:X 4.58483e-05
7 *413:37 0.000655652
8 *413:30 0.000519024
9 *413:21 0.000480106
10 *413:7 0.000339936
11 *3680:A2 *3680:A1 0.000217923
12 *3680:A2 *3680:B2 0.000299552
13 *3681:A2 *3681:B2 0.000654551
14 *3681:A2 *3682:B2 0.00021206
15 *3681:A2 *3988:A 6.73022e-05
16 *3681:A2 *3995:B 6.11359e-06
17 *3681:A2 *444:59 0.000126772
18 *3682:A2 *3682:A1 1.59756e-05
19 *3682:A2 *3682:B2 9.75148e-06
20 *3684:A2 *3684:B2 6.5965e-05
21 *3684:A2 *592:15 8.16827e-05
22 *3684:A2 *824:10 0.00016553
23 *3684:A2 *859:97 4.72727e-05
24 *413:7 *506:61 0.000164815
25 *413:7 *592:15 7.92757e-06
26 *413:7 *859:97 2.65667e-05
27 *413:21 *3680:B2 1.87825e-05
28 *413:21 *592:15 0.000151758
29 *413:30 *3680:B2 0.000138395
30 *413:30 *592:15 3.74394e-05
31 *413:37 *3682:B2 8.62625e-06
32 *413:37 *4232:CLK 0
33 *413:37 *592:15 0.00010839
34 *413:37 *809:79 0
35 *3680:C1 *3680:A2 0.000115934
36 *3682:B1 *3681:A2 1.55025e-05
37 *3682:B1 *3682:A2 6.64392e-05
38 *3682:C1 *3681:A2 6.08467e-05
39 *3682:C1 *413:37 0.000122542
40 *3683:B1 *413:30 0.000140255
41 *3684:B1 *3684:A2 2.57986e-05
42 *409:35 *3680:A2 0.000189753
43 *411:30 *3684:A2 9.54357e-06
*RES
1 *3678:X *413:7 15.5817
2 *413:7 *3684:A2 19.6535
3 *413:7 *413:21 3.81055
4 *413:21 *3680:A2 23.9008
5 *413:21 *413:30 4.32351
6 *413:30 *413:37 13.8723
7 *413:37 *3681:A2 19.4249
8 *413:37 *3682:A2 11.6605
9 *413:30 *3683:A2 15.4834
*END
*D_NET *414 0.011206
*CONN
*I *3680:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3683:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3682:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3681:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3684:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3679:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3680:B2 0.0002748
2 *3683:B2 0
3 *3682:B2 0.000353138
4 *3681:B2 0.00044363
5 *3684:B2 0.00019098
6 *3679:X 0.000304799
7 *414:42 0.00125527
8 *414:35 0.000516511
9 *414:31 0.000653334
10 *414:15 0.000816303
11 *3680:B2 *3680:A1 0.000222149
12 *3681:B2 *3988:A 1.41291e-05
13 *3682:B2 *3995:B 3.46062e-05
14 *3684:B2 *3684:A1 2.57847e-05
15 *3684:B2 *824:10 0.000124128
16 *3684:B2 *825:11 0.000124658
17 *414:15 *3975:A1 3.45535e-05
18 *414:15 *3975:A2 0.000373061
19 *414:15 *3975:B1 1.61631e-05
20 *414:15 *481:31 1.48603e-05
21 *414:15 *824:51 0
22 *414:15 *825:8 2.01503e-05
23 *414:15 *825:11 1.92172e-05
24 *414:31 *3683:A1 0.000413882
25 *414:31 *3980:A1 0.000158368
26 *414:31 *3980:B1 1.57593e-05
27 *414:31 *506:61 0.000160384
28 *414:31 *825:8 0.000101148
29 *414:31 *825:48 0.000235007
30 *414:35 *3683:A1 2.91008e-06
31 *414:35 *3980:B1 3.99086e-06
32 *414:42 *3980:B1 2.59398e-05
33 *414:42 *3995:B 2.652e-05
34 *414:42 *859:106 1.45944e-05
35 *414:42 *859:121 0.000108643
36 *3677:A *414:15 0.000118166
37 *3680:A2 *3680:B2 0.000299552
38 *3680:C1 *3680:B2 1.7146e-05
39 *3681:A2 *3681:B2 0.000654551
40 *3681:A2 *3682:B2 0.00021206
41 *3681:C1 *3681:B2 4.32966e-05
42 *3682:A2 *3682:B2 9.75148e-06
43 *3682:B1 *3682:B2 9.58134e-05
44 *3682:C1 *3682:B2 5.29379e-05
45 *3682:C1 *414:42 0.000104516
46 *3684:A2 *3684:B2 6.5965e-05
47 *4107:A0 *3680:B2 6.65546e-05
48 *4107:A0 *414:31 0.000362204
49 *4230:D *3684:B2 6.50727e-05
50 *226:61 *414:31 2.61857e-05
51 *228:10 *414:31 9.45864e-05
52 *394:23 *3680:B2 0.00103605
53 *409:72 *414:42 0.000204461
54 *411:30 *3684:B2 0.000126919
55 *411:30 *414:15 0.000285085
56 *413:21 *3680:B2 1.87825e-05
57 *413:30 *3680:B2 0.000138395
58 *413:37 *3682:B2 8.62625e-06
*RES
1 *3679:X *414:15 27.1689
2 *414:15 *3684:B2 16.1214
3 *414:15 *414:31 23.6061
4 *414:31 *414:35 1.30211
5 *414:35 *414:42 21.8029
6 *414:42 *3681:B2 17.6164
7 *414:42 *3682:B2 25.7048
8 *414:35 *3683:B2 9.24915
9 *414:31 *3680:B2 33.5817
*END
*D_NET *415 0.00202187
*CONN
*I *3688:B2 I *D sky130_fd_sc_hd__a32o_1
*I *3687:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3688:B2 0.000252928
2 *3687:X 0.000252928
3 *3688:B2 *3687:A 6.3657e-05
4 *3688:B2 *3688:B1 0.000324151
5 *3688:B2 *493:42 0.000111708
6 *3685:B1 *3688:B2 5.7637e-05
7 *3688:A3 *3688:B2 0.000452452
8 *4105:A0 *3688:B2 7.42285e-05
9 *4105:A1 *3688:B2 0.000271565
10 *411:14 *3688:B2 0.000160617
*RES
1 *3687:X *3688:B2 32.1705
*END
*D_NET *416 0.000996815
*CONN
*I *3689:B I *D sky130_fd_sc_hd__and2_1
*I *3688:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *3689:B 0.000286966
2 *3688:X 0.000286966
3 *3689:B *417:10 0.000258128
4 *3689:B *487:36 0
5 *3689:B *807:100 5.54078e-05
6 *3689:A *3689:B 0.000100721
7 *410:12 *3689:B 8.62625e-06
*RES
1 *3688:X *3689:B 35.4604
*END
*D_NET *417 0.00477565
*CONN
*I *3690:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3689:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3690:A 8.75786e-05
2 *3689:X 0.000460117
3 *417:10 0.000547695
4 *417:10 *3784:A 0.00055781
5 *417:10 *4233:CLK 0.000377522
6 *417:10 *469:19 0.000179256
7 *417:10 *469:46 0.00017702
8 *417:10 *469:48 1.2366e-05
9 *417:10 *524:53 0.000638544
10 *417:10 *807:100 0.000416794
11 *417:10 *807:131 0.000167062
12 *417:10 *807:140 0.000605326
13 *3689:A *417:10 0.000268798
14 *3689:B *417:10 0.000258128
15 *4228:D *3690:A 2.16355e-05
*RES
1 *3689:X *417:10 48.3533
2 *417:10 *3690:A 11.0817
*END
*D_NET *418 0.0105497
*CONN
*I *3726:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3693:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3782:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3838:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3692:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *3726:A 0.00033894
2 *3693:A 0
3 *3782:A 0.000355357
4 *3838:A 0
5 *3692:Y 0.000237665
6 *418:19 0.0015544
7 *418:14 0.00202743
8 *418:9 0.001405
9 *3726:A *3738:A 0.00052463
10 *3726:A *437:7 0.000134732
11 *3726:A *437:32 0.000266846
12 *3782:A *469:48 0
13 *3782:A *499:8 1.65872e-05
14 *3782:A *500:68 0.000778443
15 *3782:A *518:41 0
16 *418:9 *3738:A 1.41976e-05
17 *418:9 *444:23 6.22703e-05
18 *418:9 *876:8 0.000346348
19 *418:14 *3694:A 6.91859e-05
20 *418:14 *419:15 7.15593e-05
21 *418:14 *419:32 0.000102374
22 *418:14 *444:54 0.000333305
23 *418:14 *876:8 0.00077429
24 *418:14 *878:8 0
25 *418:19 *3905:A 9.69453e-05
26 *418:19 *419:15 1.2693e-05
27 *418:19 *444:54 0.000230912
28 *418:19 *499:8 2.16355e-05
29 *418:19 *500:68 0.000113968
30 *418:19 *530:47 0
31 *418:19 *876:8 0.000659951
*RES
1 *3692:Y *418:9 26.0663
2 *418:9 *418:14 35.5631
3 *418:14 *418:19 42.9288
4 *418:19 *3838:A 9.24915
5 *418:19 *3782:A 29.3995
6 *418:14 *3693:A 13.7491
7 *418:9 *3726:A 21.0646
*END
*D_NET *419 0.00279413
*CONN
*I *3694:A I *D sky130_fd_sc_hd__buf_4
*I *3894:A I *D sky130_fd_sc_hd__buf_6
*I *3703:A I *D sky130_fd_sc_hd__buf_4
*I *3916:A I *D sky130_fd_sc_hd__clkbuf_8
*I *3905:A I *D sky130_fd_sc_hd__clkbuf_4
*I *3693:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3694:A 0.000105727
2 *3894:A 0
3 *3703:A 0.000187146
4 *3916:A 0
5 *3905:A 5.89127e-05
6 *3693:X 4.17154e-05
7 *419:32 0.000194391
8 *419:18 0.000216037
9 *419:15 0.0001744
10 *419:7 0.000157474
11 *3694:A *444:54 0.000169078
12 *3703:A *424:7 6.50727e-05
13 *3703:A *424:47 0.000423936
14 *3905:A *444:54 0.000205317
15 *419:15 *444:54 0.000175689
16 *419:18 *424:47 1.92172e-05
17 *419:32 *444:54 0.000247261
18 *418:14 *3694:A 6.91859e-05
19 *418:14 *419:15 7.15593e-05
20 *418:14 *419:32 0.000102374
21 *418:19 *3905:A 9.69453e-05
22 *418:19 *419:15 1.2693e-05
*RES
1 *3693:X *419:7 14.4725
2 *419:7 *3905:A 17.2421
3 *419:7 *419:15 3.07775
4 *419:15 *419:18 5.2234
5 *419:18 *3916:A 9.24915
6 *419:18 *3703:A 15.5427
7 *419:15 *419:32 4.32351
8 *419:32 *3894:A 13.7491
9 *419:32 *3694:A 17.5503
*END
*D_NET *420 0.00105595
*CONN
*I *3696:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3695:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3696:A 0.000101129
2 *3695:X 0.000101129
3 *3696:A *456:29 2.61147e-05
4 *3696:A *645:29 6.50586e-05
5 *3696:A *645:40 0.000305742
6 io_oeb[14] *3696:A 0.000456779
*RES
1 *3695:X *3696:A 24.816
*END
*D_NET *421 0.000658334
*CONN
*I *3698:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3697:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3698:A 0.000238026
2 *3697:X 0.000238026
3 *3698:A *3915:A 4.28856e-07
4 *3698:A *4166:A 0
5 *3698:A *4166:TE_B 3.01634e-05
6 *3698:A *456:12 0.00015169
*RES
1 *3697:X *3698:A 34.2062
*END
*D_NET *422 0.00236774
*CONN
*I *3700:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3699:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3700:A 0.00081576
2 *3699:X 0.00081576
3 *3700:A *4115:A 2.42138e-05
4 *3700:A *4115:TE_B 0.000116806
5 *3700:A *4163:A 0
6 *3700:A *4163:TE_B 0.000477015
7 *3700:A *645:45 0.00011818
8 *4295:A *3700:A 0
9 *4299:A *3700:A 0
*RES
1 *3699:X *3700:A 43.0799
*END
*D_NET *423 0.000591974
*CONN
*I *3702:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3701:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3702:A 0.000211566
2 *3701:X 0.000211566
3 *3702:A *645:29 0.000168843
*RES
1 *3701:X *3702:A 24.816
*END
*D_NET *424 0.0356025
*CONN
*I *3704:A I *D sky130_fd_sc_hd__buf_4
*I *3931:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3927:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3715:A I *D sky130_fd_sc_hd__clkbuf_4
*I *3929:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3703:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *3704:A 0.000291052
2 *3931:A 0.000137663
3 *3927:A 0
4 *3715:A 0
5 *3929:A 0.000622383
6 *3703:X 2.59228e-05
7 *424:53 0.000802339
8 *424:47 0.00312411
9 *424:46 0.00280219
10 *424:38 0.0010119
11 *424:17 0.0015927
12 *424:12 0.00580521
13 *424:10 0.0038663
14 *424:8 0.00262469
15 *424:7 0.00265901
16 *3704:A *425:5 0.00011818
17 *3704:A *425:16 0.000266832
18 *3929:A *3922:A 0.00011818
19 *3929:A *4169:TE_B 0.000220183
20 *3929:A *431:5 2.84661e-05
21 *3929:A *431:15 0.00011575
22 *3929:A *487:65 0
23 *3929:A *765:10 6.31665e-05
24 *3931:A *4179:A 6.50727e-05
25 *3931:A *425:16 0
26 *3931:A *500:77 0
27 *424:8 *3532:A 0.000273781
28 *424:8 *3629:A2 0.00020588
29 *424:8 *4258:CLK 0
30 *424:8 *876:8 0
31 *424:8 *883:117 4.37999e-05
32 *424:8 *883:122 2.22342e-05
33 *424:12 *3595:A 5.41227e-05
34 *424:12 *469:37 0
35 *424:12 *883:256 0.000262463
36 *424:17 *4153:A 0
37 *424:17 *469:37 0
38 *424:38 *3889:A 0.000795674
39 *424:38 *3928:A 0.000175603
40 *424:38 *450:66 1.00981e-05
41 *424:38 *520:15 0.000330596
42 *424:38 *524:23 0.00263497
43 *424:47 *3807:A 2.16355e-05
44 *424:47 *542:5 0.000142393
45 *424:47 *542:55 0.000696671
46 *424:47 *542:59 0.000977223
47 *424:53 *3806:A 0.000123691
48 *424:53 *3807:A 3.11022e-05
49 *424:53 *4159:TE_B 1.07248e-05
50 *424:53 *838:8 0.000165495
51 io_out[14] *3931:A 0
52 *3533:B *424:12 5.36536e-06
53 *3598:A1 *424:12 0.000119367
54 *3703:A *424:7 6.50727e-05
55 *3703:A *424:47 0.000423936
56 *4258:D *424:8 0
57 *4270:D *424:12 2.18741e-05
58 *4299:A *424:17 6.50727e-05
59 *324:28 *424:12 0.00024525
60 *366:11 *424:12 0.000287143
61 *377:14 *424:8 0
62 *377:14 *424:12 0
63 *377:19 *424:12 0
64 *378:30 *424:8 0
65 *378:42 *424:8 0
66 *380:39 *424:8 0.000200434
67 *380:39 *424:12 0.000639686
68 *380:51 *424:8 0.000170585
69 *419:18 *424:47 1.92172e-05
*RES
1 *3703:X *424:7 14.4725
2 *424:7 *424:8 65.6953
3 *424:8 *424:10 0.732798
4 *424:10 *424:12 103.654
5 *424:12 *424:17 27.0183
6 *424:17 *3929:A 34.415
7 *424:17 *3715:A 9.24915
8 *424:12 *424:38 45.7336
9 *424:38 *3927:A 9.24915
10 *424:7 *424:46 5.50149
11 *424:46 *424:47 80.5863
12 *424:47 *424:53 18.6231
13 *424:53 *3931:A 21.635
14 *424:53 *3704:A 17.3753
*END
*D_NET *425 0.0538954
*CONN
*I *3711:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3709:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3713:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3707:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3705:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3704:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *3711:A 0
2 *3709:A 0
3 *3713:A 0.000128672
4 *3707:A 0
5 *3705:A 0.00188414
6 *3704:X 8.66842e-05
7 *425:71 0.000544121
8 *425:65 0.00367216
9 *425:63 0.00327735
10 *425:61 0.00199276
11 *425:59 0.00336424
12 *425:45 0.00162346
13 *425:32 0.00220511
14 *425:31 0.00197376
15 *425:29 9.81375e-05
16 *425:26 0.00206099
17 *425:25 0.00196285
18 *425:16 0.00280605
19 *425:5 0.0010086
20 *3705:A *3788:A 3.13748e-05
21 *3705:A *4185:TE_B 0.000196236
22 *3705:A *469:67 1.31657e-05
23 *3705:A *744:11 0.000685233
24 *3705:A *767:13 0.000782758
25 *3713:A *3710:A 0.000122378
26 *3713:A *3714:A 5.46286e-05
27 *425:16 *3932:A 0.000153225
28 *425:16 *4122:A 0.000320272
29 *425:16 *4125:A 0.000100591
30 *425:16 *4134:A 0.00015324
31 *425:16 *4145:TE_B 0.000101133
32 *425:16 *4179:A 0
33 *425:16 *462:47 0
34 *425:16 *744:11 0
35 *425:26 *3832:A 0.000156695
36 *425:26 *3998:A 0.000499416
37 *425:26 *431:27 0.00200116
38 *425:26 *438:45 0
39 *425:26 *462:47 0.00573071
40 *425:29 *3712:A 4.58003e-05
41 *425:32 *3721:A 0
42 *425:32 *3735:A 0.000315176
43 *425:32 *3764:A 0.000127164
44 *425:32 *3773:A 0
45 *425:32 *3859:A 0.000132694
46 *425:32 *3860:A 0.000145074
47 *425:32 *4123:TE_B 0
48 *425:32 *431:18 0.000773822
49 *425:32 *431:27 0.00121673
50 *425:32 *438:45 0.00195088
51 *425:32 *488:11 0
52 *425:32 *760:13 0
53 *425:45 *3708:A 9.63981e-05
54 *425:45 *4117:A 0.000164829
55 *425:45 *4216:A 0.000122098
56 *425:45 *488:11 0
57 *425:45 *490:11 0.000483488
58 *425:45 *506:30 0.000479276
59 *425:45 *798:7 1.67988e-05
60 *425:59 *3708:A 2.20702e-05
61 *425:59 *3768:A 0.000277502
62 *425:59 *4214:A 2.61012e-05
63 *425:59 *4214:TE_B 0.00085524
64 *425:59 *798:7 0.00131661
65 *425:61 *3855:A 0.000124473
66 *425:61 *3856:A 1.49927e-05
67 *425:61 *3930:A 0.000933402
68 *425:61 *4167:A 5.07314e-05
69 *425:61 *4169:A 6.23759e-05
70 *425:61 *665:15 2.01874e-05
71 *425:61 *751:11 0.000418993
72 *425:65 *4136:A 0.000226066
73 *425:65 *4153:A 6.50586e-05
74 *425:65 *4153:TE_B 2.59656e-05
75 *425:65 *4192:A 0.000895445
76 *425:65 *773:13 0.00118605
77 *425:71 *3710:A 0.000274714
78 *425:71 *773:13 3.75603e-05
79 io_oeb[2] *3705:A 2.77625e-06
80 io_oeb[31] *425:59 0.000169441
81 io_oeb[4] *425:45 1.4948e-05
82 io_out[14] *425:16 5.68318e-05
83 io_out[24] *425:61 0.000135372
84 io_out[37] *425:16 0.000346318
85 *3704:A *425:5 0.00011818
86 *3704:A *425:16 0.000266832
87 *3931:A *425:16 0
88 *4294:A *425:32 8.96003e-05
89 *4296:A *425:32 0
*RES
1 *3704:X *425:5 11.6364
2 *425:5 *425:16 38.7622
3 *425:16 *3705:A 40.7651
4 *425:5 *425:25 4.5
5 *425:25 *425:26 99.4163
6 *425:26 *425:29 6.88721
7 *425:29 *425:31 4.5
8 *425:31 *425:32 80.7299
9 *425:32 *425:45 25.4442
10 *425:45 *3707:A 9.24915
11 *425:45 *425:59 34.6266
12 *425:59 *425:61 50.915
13 *425:61 *425:63 0.578717
14 *425:63 *425:65 52.5788
15 *425:65 *425:71 10.3204
16 *425:71 *3713:A 13.3002
17 *425:71 *3709:A 9.24915
18 *425:29 *3711:A 9.24915
*END
*D_NET *426 0.000948175
*CONN
*I *3706:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3705:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3706:A 0.000242961
2 *3705:X 0.000242961
3 *3706:A *469:67 0.000115934
4 *3706:A *530:85 0.000346318
5 io_oeb[18] *3706:A 0
*RES
1 *3705:X *3706:A 34.7608
*END
*D_NET *427 0.00180291
*CONN
*I *3708:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3707:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3708:A 0.000623597
2 *3707:X 0.000623597
3 *3708:A *4117:A 1.41291e-05
4 *3708:A *4117:TE_B 0.000301037
5 *3708:A *488:11 0
6 *3708:A *490:11 0.000122083
7 *425:45 *3708:A 9.63981e-05
8 *425:59 *3708:A 2.20702e-05
*RES
1 *3707:X *3708:A 38.788
*END
*D_NET *428 0.000742228
*CONN
*I *3710:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3709:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3710:A 0.000172568
2 *3709:X 0.000172568
3 *3713:A *3710:A 0.000122378
4 *425:71 *3710:A 0.000274714
*RES
1 *3709:X *3710:A 24.9847
*END
*D_NET *429 0.00115813
*CONN
*I *3712:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3711:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3712:A 0.000478724
2 *3711:X 0.000478724
3 *3712:A *450:38 0.000154885
4 io_oeb[20] *3712:A 0
5 *425:29 *3712:A 4.58003e-05
*RES
1 *3711:X *3712:A 37.5338
*END
*D_NET *430 0.00102755
*CONN
*I *3714:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3713:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3714:A 0.000444787
2 *3713:X 0.000444787
3 *3714:A *3877:A 0
4 *3714:A *708:11 8.33486e-05
5 *3714:A *728:11 0
6 la1_data_out[25] *3714:A 0
7 *3713:A *3714:A 5.46286e-05
*RES
1 *3713:X *3714:A 36.564
*END
*D_NET *431 0.0314388
*CONN
*I *3716:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3724:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3718:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3720:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3722:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3715:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *3716:A 3.90542e-05
2 *3724:A 0.000160478
3 *3718:A 0
4 *3720:A 8.54573e-05
5 *3722:A 0.000180151
6 *3715:X 0.000119169
7 *431:35 0.00100166
8 *431:30 0.000993724
9 *431:27 0.00354688
10 *431:18 0.00445172
11 *431:15 0.00555857
12 *431:5 0.00492503
13 *3716:A *3717:A 0.000102003
14 *3720:A *3721:A 5.56461e-05
15 *3720:A *4123:A 0.00013978
16 *3722:A *887:10 6.66318e-05
17 *3724:A *3719:A 6.73351e-05
18 *431:15 *3764:A 1.01134e-05
19 *431:15 *3922:A 2.85274e-05
20 *431:15 *488:11 0.00114387
21 *431:15 *756:11 0.000218553
22 *431:18 *4208:TE_B 0
23 *431:18 *4216:A 0
24 *431:27 *3998:A 0.000499416
25 *431:27 *4208:TE_B 0
26 *431:27 *438:45 0.00134353
27 *431:27 *462:47 0.000696142
28 *431:27 *881:11 0.0001549
29 *431:30 *3717:A 1.61631e-05
30 *431:35 *3832:A 0.000221645
31 *431:35 *4125:TE_B 0.000154885
32 *431:35 *4134:TE_B 9.71863e-05
33 *431:35 *438:45 0.000389746
34 *431:35 *450:20 0.000142178
35 *431:35 *450:27 0.000126646
36 *431:35 *450:28 0.000566096
37 *431:35 *462:45 0
38 *431:35 *462:47 0
39 *3929:A *431:5 2.84661e-05
40 *3929:A *431:15 0.00011575
41 *425:26 *431:27 0.00200116
42 *425:32 *431:18 0.000773822
43 *425:32 *431:27 0.00121673
*RES
1 *3715:X *431:5 11.0817
2 *431:5 *3722:A 22.5727
3 *431:5 *431:15 70.6034
4 *431:15 *431:18 33.7386
5 *431:18 *3720:A 17.2456
6 *431:18 *431:27 133.052
7 *431:27 *431:30 6.88721
8 *431:30 *431:35 42.3912
9 *431:35 *3718:A 9.24915
10 *431:35 *3724:A 13.3002
11 *431:30 *3716:A 10.5271
*END
*D_NET *432 0.000623928
*CONN
*I *3717:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3716:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3717:A 0.000252881
2 *3716:X 0.000252881
3 *3716:A *3717:A 0.000102003
4 *431:30 *3717:A 1.61631e-05
*RES
1 *3716:X *3717:A 24.816
*END
*D_NET *433 0.00113891
*CONN
*I *3719:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3718:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3719:A 0.000361239
2 *3718:X 0.000361239
3 *3719:A *4125:TE_B 0.000348671
4 *3719:A *450:17 4.28856e-07
5 la1_data_out[9] *3719:A 0
6 *3724:A *3719:A 6.73351e-05
*RES
1 *3718:X *3719:A 36.4246
*END
*D_NET *434 0.00101271
*CONN
*I *3721:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3720:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3721:A 0.000428564
2 *3720:X 0.000428564
3 *3721:A *3735:A 0
4 *3721:A *4123:A 3.63947e-05
5 *3721:A *4123:TE_B 5.54078e-05
6 la1_data_out[10] *3721:A 8.13812e-06
7 *3720:A *3721:A 5.56461e-05
8 *425:32 *3721:A 0
*RES
1 *3720:X *3721:A 38.6402
*END
*D_NET *435 0.00127423
*CONN
*I *3723:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3722:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3723:A 0.000385581
2 *3722:X 0.000385581
3 *3723:A *4124:A 0.000146645
4 *3723:A *500:39 0.000266832
5 *3723:A *500:43 8.95863e-05
6 *87:8 *3723:A 0
*RES
1 *3722:X *3723:A 35.321
*END
*D_NET *436 0.000484345
*CONN
*I *3725:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3724:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3725:A 0.000186519
2 *3724:X 0.000186519
3 *3725:A *4125:TE_B 6.08473e-05
4 *3725:A *4134:TE_B 5.0459e-05
5 la1_data_out[21] *3725:A 0
*RES
1 *3724:X *3725:A 33.0676
*END
*D_NET *437 0.00373645
*CONN
*I *3727:A I *D sky130_fd_sc_hd__buf_4
*I *3738:A I *D sky130_fd_sc_hd__buf_4
*I *3771:A I *D sky130_fd_sc_hd__buf_6
*I *3749:A I *D sky130_fd_sc_hd__buf_6
*I *3760:A I *D sky130_fd_sc_hd__buf_4
*I *3726:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3727:A 0
2 *3738:A 5.68517e-05
3 *3771:A 0.000134705
4 *3749:A 0
5 *3760:A 7.53341e-05
6 *3726:X 0.00010058
7 *437:32 0.000113111
8 *437:12 0.000192598
9 *437:9 0.000251968
10 *437:7 0.000156839
11 *3738:A *3840:A 0.000161493
12 *3738:A *444:23 0.000167076
13 *3760:A *456:7 0.000122378
14 *3760:A *518:44 0.00020979
15 *3760:A *524:56 0.000260505
16 *3771:A *438:6 7.84205e-05
17 *3771:A *438:22 2.71542e-05
18 *3771:A *462:6 1.78514e-05
19 *3771:A *462:10 4.44689e-05
20 *437:7 *3840:A 0.000479276
21 *437:12 *438:6 5.22654e-06
22 *437:12 *462:10 3.22726e-05
23 *437:12 *878:8 0
24 *437:32 *3840:A 0.000108149
25 *3726:A *3738:A 0.00052463
26 *3726:A *437:7 0.000134732
27 *3726:A *437:32 0.000266846
28 *418:9 *3738:A 1.41976e-05
*RES
1 *3726:X *437:7 14.9881
2 *437:7 *437:9 4.5
3 *437:9 *437:12 7.1625
4 *437:12 *3760:A 15.5186
5 *437:12 *3749:A 9.24915
6 *437:9 *3771:A 18.0727
7 *437:7 *437:32 3.52053
8 *437:32 *3738:A 14.964
9 *437:32 *3727:A 9.24915
*END
*D_NET *438 0.0331956
*CONN
*I *3736:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3734:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3730:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3732:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3728:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3727:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *3736:A 2.45261e-05
2 *3734:A 0
3 *3730:A 0
4 *3732:A 0
5 *3728:A 3.5247e-05
6 *3727:X 0.000103079
7 *438:45 0.0031939
8 *438:44 0.0031939
9 *438:42 4.51585e-05
10 *438:40 0.00323469
11 *438:39 0.00436959
12 *438:28 0.00120726
13 *438:22 0.000411166
14 *438:9 0.00212313
15 *438:8 0.00208789
16 *438:6 0.000462515
17 *3736:A *3737:A 6.08467e-05
18 *438:6 *878:8 5.33945e-05
19 *438:9 *3903:A 0.000542749
20 *438:9 *3904:A 1.31657e-05
21 *438:9 *4177:A 0.000751807
22 *438:9 *4198:A 0.000640564
23 *438:22 *3733:A 0.000115934
24 *438:22 *3786:A 0.000282235
25 *438:22 *3787:A 1.41976e-05
26 *438:22 *4150:TE_B 9.9028e-05
27 *438:22 *878:8 7.40982e-05
28 *438:28 *3731:A 9.94284e-06
29 *438:28 *3733:A 0.000138091
30 *438:28 *3786:A 0.000370684
31 *438:39 *3731:A 2.44829e-05
32 *438:39 *3786:A 0.000223367
33 *438:39 *4206:A 0
34 *438:39 *4206:TE_B 5.04734e-05
35 *438:39 *469:48 0
36 *438:39 *518:41 0.00149418
37 *438:39 *874:16 0
38 *438:40 *3737:A 2.15184e-05
39 *438:40 *3837:A 0.000436825
40 *438:40 *4180:TE_B 6.11359e-06
41 *438:45 *3675:A_N 0.000310094
42 *438:45 *3742:A 0.000155504
43 *438:45 *3773:A 0
44 *438:45 *3832:A 8.07939e-05
45 *438:45 *3884:A 7.58735e-05
46 *438:45 *4137:TE_B 0
47 *438:45 *4203:TE_B 0.000508299
48 *438:45 *4283:A 2.3939e-05
49 *438:45 *450:28 0.00081528
50 *438:45 *450:38 0.00106127
51 *438:45 *881:11 0.000342736
52 *3771:A *438:6 7.84205e-05
53 *3771:A *438:22 2.71542e-05
54 *4288:A *438:45 0.000111134
55 *425:26 *438:45 0
56 *425:32 *438:45 0.00195088
57 *431:27 *438:45 0.00134353
58 *431:35 *438:45 0.000389746
59 *437:12 *438:6 5.22654e-06
*RES
1 *3727:X *438:6 17.2421
2 *438:6 *438:8 4.5
3 *438:8 *438:9 55.0746
4 *438:9 *3728:A 10.2378
5 *438:6 *438:22 17.3355
6 *438:22 *3732:A 9.24915
7 *438:22 *438:28 4.05102
8 *438:28 *3730:A 9.24915
9 *438:28 *438:39 46.1607
10 *438:39 *438:40 71.1581
11 *438:40 *438:42 0.578717
12 *438:42 *438:44 4.5
13 *438:44 *438:45 126.408
14 *438:45 *3734:A 13.7491
15 *438:42 *3736:A 9.97254
*END
*D_NET *439 0.00120981
*CONN
*I *3729:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3728:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3729:A 0.000356596
2 *3728:X 0.000356596
3 *3729:A *3904:A 0
4 *3729:A *4131:TE_B 2.99929e-05
5 *3729:A *4177:A 3.28416e-06
6 *3729:A *786:10 0.000463336
7 la1_data_out[18] *3729:A 0
*RES
1 *3728:X *3729:A 37.113
*END
*D_NET *440 0.00137456
*CONN
*I *3731:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3730:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3731:A 0.000444467
2 *3730:X 0.000444467
3 *3731:A *3733:A 6.36477e-05
4 *3731:A *3786:A 3.99086e-06
5 *3731:A *4127:A 0.000169041
6 *3731:A *4127:TE_B 7.14746e-05
7 *3731:A *4206:A 0.000143047
8 *3731:A *4206:TE_B 0
9 io_oeb[24] *3731:A 0
10 *438:28 *3731:A 9.94284e-06
11 *438:39 *3731:A 2.44829e-05
*RES
1 *3730:X *3731:A 35.8756
*END
*D_NET *441 0.0022344
*CONN
*I *3733:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3732:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3733:A 0.000578947
2 *3732:X 0.000578947
3 *3733:A *4206:TE_B 0.000134006
4 *3733:A *4207:TE_B 5.07314e-05
5 *3733:A *874:16 0
6 *3731:A *3733:A 6.36477e-05
7 *56:11 *3733:A 0.000574099
8 *438:22 *3733:A 0.000115934
9 *438:28 *3733:A 0.000138091
*RES
1 *3732:X *3733:A 45.6656
*END
*D_NET *442 0.000846799
*CONN
*I *3735:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3734:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3735:A 0.000265811
2 *3734:X 0.000265811
3 *3735:A *3773:A 0
4 *3735:A *4143:TE_B 0
5 *3721:A *3735:A 0
6 *425:32 *3735:A 0.000315176
*RES
1 *3734:X *3735:A 34.3456
*END
*D_NET *443 0.000604465
*CONN
*I *3737:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3736:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3737:A 0.00026105
2 *3736:X 0.00026105
3 *3736:A *3737:A 6.08467e-05
4 *438:40 *3737:A 2.15184e-05
*RES
1 *3736:X *3737:A 24.816
*END
*D_NET *444 0.0489136
*CONN
*I *3747:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3741:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3743:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3745:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3739:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3738:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *3747:A 0.00040395
2 *3741:A 0.000399387
3 *3743:A 0
4 *3745:A 0
5 *3739:A 0.000165289
6 *3738:X 0
7 *444:84 0.0010179
8 *444:82 0.00110545
9 *444:81 0.000125307
10 *444:76 0.00112418
11 *444:75 0.00142681
12 *444:67 0.00179621
13 *444:65 0.0027821
14 *444:59 0.00265462
15 *444:54 0.00268
16 *444:40 0.00517781
17 *444:38 0.00527938
18 *444:33 0.00113057
19 *444:23 0.00189853
20 *444:4 0.00239104
21 *444:23 *3840:A 0.00190745
22 *444:23 *3874:A 0.000254554
23 *444:33 *3746:A 0.000556152
24 *444:33 *4217:A 1.31657e-05
25 *444:33 *786:10 0.000199842
26 *444:38 *4148:A 0
27 *444:40 *3906:A 0.000200439
28 *444:40 *4114:A 0
29 *444:40 *4148:A 0
30 *444:40 *4193:A 0.000101148
31 *444:40 *4220:A 4.27148e-05
32 *444:40 *462:16 0
33 *444:40 *469:25 0
34 *444:40 *493:24 0
35 *444:40 *500:12 0
36 *444:40 *536:34 0.000466923
37 *444:54 *530:47 0
38 *444:54 *536:8 0.00022902
39 *444:54 *645:8 0.000122083
40 *444:54 *878:8 0
41 *444:59 *3988:A 0.000736108
42 *444:59 *3990:A 8.03262e-05
43 *444:59 *3995:B 0.000307988
44 *444:59 *589:5 0.00123269
45 *444:65 *3981:A 2.50842e-05
46 *444:65 *3985:A2 0.000227257
47 *444:65 *3992:B1 0
48 *444:65 *3995:B 2.95757e-05
49 *444:65 *583:7 0.000736136
50 *444:65 *584:12 3.49128e-05
51 *444:65 *827:7 1.4091e-06
52 *444:65 *859:121 0
53 *444:67 *3744:A 2.63704e-05
54 *444:67 *4130:A 1.43983e-05
55 *444:75 *3744:A 3.83429e-05
56 *444:76 *493:82 0.00126907
57 *444:76 *493:91 0.00122423
58 *444:82 *493:82 0.000160776
59 *444:84 *493:82 0.00232117
60 *444:84 *883:8 0.00180829
61 io_out[15] *444:40 4.67082e-05
62 io_out[19] *444:40 0
63 la1_data_out[1] *444:38 0.000474091
64 la1_data_out[1] *444:40 8.36443e-05
65 *3681:A2 *444:59 0.000126772
66 *3682:B1 *444:65 0
67 *3694:A *444:54 0.000169078
68 *3738:A *444:23 0.000167076
69 *3905:A *444:54 0.000205317
70 *4108:A0 *444:65 0.000160617
71 *4232:D *444:65 7.02539e-05
72 *44:7 *3741:A 0
73 *63:11 *444:33 0.000113968
74 *63:11 *444:38 0.00016062
75 *80:18 *444:40 0.000159938
76 *418:9 *444:23 6.22703e-05
77 *418:14 *444:54 0.000333305
78 *418:19 *444:54 0.000230912
79 *419:15 *444:54 0.000175689
80 *419:32 *444:54 0.000247261
*RES
1 *3738:X *444:4 9.24915
2 *444:4 *444:23 37.701
3 *444:23 *444:33 25.0898
4 *444:33 *444:38 14.2096
5 *444:38 *444:40 134.359
6 *444:40 *3739:A 17.2456
7 *444:33 *3745:A 9.24915
8 *444:4 *444:54 49.9335
9 *444:54 *444:59 48.5456
10 *444:59 *444:65 28.4222
11 *444:65 *444:67 33.445
12 *444:67 *3743:A 9.24915
13 *444:67 *444:75 17.737
14 *444:75 *444:76 2792.95
15 *444:76 *444:81 19.7763
16 *444:81 *444:82 197.013
17 *444:82 *444:84 2607.52
18 *444:84 *3741:A 28.0953
19 *444:82 *3747:A 28.0953
*END
*D_NET *445 0.000862882
*CONN
*I *3740:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3739:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3740:A 0.000218995
2 *3739:X 0.000218995
3 *3740:A *3866:A 0.000318734
4 *3740:A *4128:TE_B 4.27003e-05
5 *3740:A *456:12 6.34583e-05
*RES
1 *3739:X *3740:A 34.3456
*END
*D_NET *446 0.000820144
*CONN
*I *3742:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3741:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3742:A 0.000314397
2 *3741:X 0.000314397
3 *3742:A *4129:TE_B 3.58457e-05
4 la1_data_out[16] *3742:A 0
5 *438:45 *3742:A 0.000155504
*RES
1 *3741:X *3742:A 36.4246
*END
*D_NET *447 0.000923881
*CONN
*I *3744:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3743:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3744:A 0.000390325
2 *3743:X 0.000390325
3 *3744:A *4130:TE_B 4.27003e-05
4 *3744:A *450:28 0
5 la1_data_out[17] *3744:A 3.58185e-05
6 *444:67 *3744:A 2.63704e-05
7 *444:75 *3744:A 3.83429e-05
*RES
1 *3743:X *3744:A 36.0094
*END
*D_NET *448 0.0011126
*CONN
*I *3746:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3745:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3746:A 0.000259171
2 *3745:X 0.000259171
3 *63:11 *3746:A 3.81056e-05
4 *444:33 *3746:A 0.000556152
*RES
1 *3745:X *3746:A 26.4798
*END
*D_NET *449 0.0007536
*CONN
*I *3748:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3747:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3748:A 0.000251482
2 *3747:X 0.000251482
3 *3748:A *4132:TE_B 0
4 *3748:A *4161:TE_B 0.000124942
5 *3748:A *450:38 0.000125695
*RES
1 *3747:X *3748:A 34.3512
*END
*D_NET *450 0.0581123
*CONN
*I *3750:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3756:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3754:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3752:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3758:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3749:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *3750:A 0.00109503
2 *3756:A 0.000212933
3 *3754:A 0
4 *3752:A 0
5 *3758:A 0
6 *3749:X 4.22637e-05
7 *450:78 0.00198707
8 *450:68 0.00110497
9 *450:66 0.00266531
10 *450:65 0.00441096
11 *450:59 0.00402377
12 *450:57 0.00230389
13 *450:55 0.00187338
14 *450:54 0.00188275
15 *450:38 0.00109315
16 *450:28 0.00345278
17 *450:27 0.00268051
18 *450:20 0.000503579
19 *450:17 0.00402595
20 *450:16 0.00401906
21 *450:11 0.000873182
22 *450:5 0.000774781
23 *3756:A *889:6 0
24 *450:5 *518:44 5.46286e-05
25 *450:5 *524:56 0.000217923
26 *450:11 *3755:A 5.0715e-05
27 *450:11 *462:10 0
28 *450:11 *500:84 9.24241e-05
29 *450:11 *536:55 0.000821593
30 *450:11 *874:16 0.000144531
31 *450:16 *3755:A 1.50262e-05
32 *450:17 *3913:A 0.000224684
33 *450:17 *4182:TE_B 9.39527e-05
34 *450:17 *654:11 8.3247e-06
35 *450:20 *462:45 0
36 *450:20 *462:47 0
37 *450:27 *462:47 0
38 *450:28 *3675:A_N 0.000107294
39 *450:28 *3675:B 0.000209639
40 *450:28 *3753:A 1.32509e-05
41 *450:28 *3785:A 0
42 *450:28 *3833:A 0
43 *450:28 *3882:A 5.64617e-05
44 *450:28 *4121:TE_B 0
45 *450:28 *4130:TE_B 4.27003e-05
46 *450:28 *4134:TE_B 7.50722e-05
47 *450:28 *4149:TE_B 2.14467e-05
48 *450:28 *4179:TE_B 0
49 *450:28 *4209:TE_B 2.69064e-05
50 *450:28 *4212:TE_B 3.58457e-05
51 *450:28 *4283:A 0.000130777
52 *450:28 *4284:A 0.000109048
53 *450:28 *882:13 0
54 *450:38 *3902:A 0.000346333
55 *450:38 *4000:B 7.06336e-05
56 *450:38 *4119:A 0
57 *450:38 *4132:TE_B 0
58 *450:38 *4161:TE_B 9.71323e-06
59 *450:38 *4203:TE_B 0.000508299
60 *450:38 *875:17 7.86825e-06
61 *450:54 *518:44 1.80122e-05
62 *450:54 *524:56 0.000107496
63 *450:55 *3543:A2 0.000137921
64 *450:55 *3627:A2 0
65 *450:55 *3627:C1 5.41377e-05
66 *450:55 *500:33 6.84784e-06
67 *450:55 *518:41 0
68 *450:55 *536:52 0.00173578
69 *450:55 *807:88 0.000226281
70 *450:55 *864:19 0
71 *450:55 *874:16 0.00369853
72 *450:59 *3545:B2 0.000283028
73 *450:59 *3552:A2 0
74 *450:59 *3554:A2 7.50722e-05
75 *450:59 *3558:B2 9.22013e-06
76 *450:59 *3626:C1 1.5714e-05
77 *450:59 *4260:CLK 0
78 *450:59 *500:34 0
79 *450:59 *512:12 0.000410209
80 *450:59 *865:27 0
81 *450:59 *870:18 0.000972705
82 *450:65 *500:34 0
83 *450:65 *887:10 0
84 *450:66 *524:23 0.00194409
85 *450:78 *889:6 0
86 io_oeb[20] *450:38 0
87 io_oeb[26] *450:28 0
88 io_out[16] *450:38 5.25197e-05
89 io_out[35] *450:28 0
90 la1_data_out[17] *450:28 0
91 la1_data_out[8] *450:28 0
92 *3529:A *450:59 0.000246428
93 *3537:B *450:59 0.000480242
94 *3544:C1 *450:55 0.000116986
95 *3555:B1 *450:59 0.000146645
96 *3558:B1 *450:59 3.57057e-05
97 *3562:A *450:59 0
98 *3562:B *450:59 0
99 *3565:A1 *450:59 0
100 *3565:A2 *450:59 0
101 *3579:A *450:59 0.000461289
102 *3607:B2 *450:55 0
103 *3712:A *450:38 0.000154885
104 *3719:A *450:17 4.28856e-07
105 *3744:A *450:28 0
106 *3748:A *450:38 0.000125695
107 *4288:A *450:38 0.000106568
108 *4293:A *450:28 0
109 *298:29 *450:59 1.12605e-05
110 *304:23 *450:55 0.000148129
111 *316:8 *450:55 0.000275339
112 *316:13 *450:55 0.000191541
113 *316:24 *450:55 2.08942e-05
114 *316:24 *450:59 5.00191e-05
115 *317:14 *450:59 0
116 *328:21 *450:59 0
117 *332:11 *450:59 0.000101133
118 *354:14 *450:59 2.19276e-05
119 *373:12 *450:55 0.000122177
120 *373:12 *450:59 0.000258166
121 *410:12 *450:28 4.72962e-05
122 *424:38 *450:66 1.00981e-05
123 *431:35 *450:20 0.000142178
124 *431:35 *450:27 0.000126646
125 *431:35 *450:28 0.000566096
126 *438:45 *450:28 0.00081528
127 *438:45 *450:38 0.00106127
*RES
1 *3749:X *450:5 11.6364
2 *450:5 *450:11 32.9062
3 *450:11 *450:16 13.3235
4 *450:16 *450:17 55.6292
5 *450:17 *450:20 10.0693
6 *450:20 *450:27 16.126
7 *450:27 *450:28 75.9545
8 *450:28 *450:38 46.1107
9 *450:38 *3758:A 9.24915
10 *450:20 *3752:A 13.7491
11 *450:11 *3754:A 9.24915
12 *450:5 *450:54 5.778
13 *450:54 *450:55 80.107
14 *450:55 *450:57 0.732798
15 *450:57 *450:59 69.2494
16 *450:59 *450:65 48.6511
17 *450:65 *450:66 46.7555
18 *450:66 *450:68 4.5
19 *450:68 *3756:A 18.4879
20 *450:68 *450:78 26.6794
21 *450:78 *3750:A 34.3751
*END
*D_NET *451 0.000315954
*CONN
*I *3751:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3750:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3751:A 0.000157977
2 *3750:X 0.000157977
*RES
1 *3750:X *3751:A 22.4287
*END
*D_NET *452 0.00126602
*CONN
*I *3753:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3752:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3753:A 0.000559215
2 *3752:X 0.000559215
3 *3753:A *3833:A 9.34396e-06
4 *3753:A *4125:TE_B 3.28416e-06
5 *3753:A *4134:TE_B 0.000121712
6 *450:28 *3753:A 1.32509e-05
*RES
1 *3752:X *3753:A 38.2278
*END
*D_NET *453 0.00113803
*CONN
*I *3755:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3754:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3755:A 0.000501273
2 *3754:X 0.000501273
3 *3755:A *4210:TE_B 4.55535e-05
4 *3755:A *536:55 2.41916e-05
5 io_oeb[27] *3755:A 0
6 *450:11 *3755:A 5.0715e-05
7 *450:16 *3755:A 1.50262e-05
*RES
1 *3754:X *3755:A 38.0856
*END
*D_NET *454 0.000563262
*CONN
*I *3757:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3756:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3757:A 0.000256198
2 *3756:X 0.000256198
3 *3757:A *4136:TE_B 3.64685e-05
4 *3757:A *4197:TE_B 1.43983e-05
*RES
1 *3756:X *3757:A 24.816
*END
*D_NET *455 0.000572595
*CONN
*I *3759:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3758:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3759:A 0.000286297
2 *3758:X 0.000286297
*RES
1 *3758:X *3759:A 24.816
*END
*D_NET *456 0.0582316
*CONN
*I *3765:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3769:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3763:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3767:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3761:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3760:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *3765:A 8.55772e-05
2 *3769:A 0.000149109
3 *3763:A 0.000202321
4 *3767:A 0.000220408
5 *3761:A 2.18332e-05
6 *3760:X 0.000605996
7 *456:31 0.000422728
8 *456:29 0.00784139
9 *456:28 0.00791948
10 *456:25 0.000455504
11 *456:15 0.000447995
12 *456:12 0.00466428
13 *456:11 0.00575709
14 *456:7 0.00181109
15 *3763:A *3764:A 4.81015e-05
16 *3763:A *3817:A 0
17 *3763:A *488:11 0.000163928
18 *3765:A *3766:A 0
19 *3765:A *4140:TE_B 0
20 *3765:A *4177:TE_B 6.81008e-05
21 *3767:A *669:10 0
22 *3767:A *731:14 0
23 *3769:A *3770:A 0.000107496
24 *3769:A *4142:TE_B 3.08886e-05
25 *3769:A *520:15 3.82228e-05
26 *3769:A *645:29 0
27 *456:7 *3890:A 0.000334808
28 *456:7 *518:44 0.00309359
29 *456:7 *524:56 0.000612226
30 *456:11 *3781:A 0.000436825
31 *456:11 *4148:A 1.40978e-05
32 *456:11 *4148:TE_B 0.000209241
33 *456:12 *3762:A 0
34 *456:12 *3766:A 0
35 *456:12 *3819:A 5.82267e-05
36 *456:12 *3835:A 0
37 *456:12 *3866:A 0
38 *456:12 *3877:A 0
39 *456:12 *4114:TE_B 0
40 *456:12 *4116:TE_B 0
41 *456:12 *4128:TE_B 0.000101133
42 *456:12 *4166:TE_B 0
43 *456:12 *4175:TE_B 5.04879e-05
44 *456:12 *4177:TE_B 0.000152542
45 *456:12 *487:18 0
46 *456:12 *512:71 0.000422775
47 *456:12 *518:12 0
48 *456:12 *518:22 0
49 *456:12 *536:18 0.00491391
50 *456:12 *645:14 0.00930714
51 *456:12 *708:11 0.00031948
52 *456:25 *3877:A 0.000177049
53 *456:28 *4142:TE_B 3.56615e-05
54 *456:29 *3817:A 0.000403054
55 *456:29 *3925:A 9.38694e-05
56 *456:29 *3926:A 6.48675e-06
57 *456:29 *4163:TE_B 0.000171572
58 *456:29 *4173:A 0.000112535
59 *456:29 *4197:A 0.000315845
60 *456:29 *4197:TE_B 0.00012514
61 *456:29 *487:68 0.00395264
62 *456:29 *500:43 0.000166585
63 *456:29 *506:26 3.63947e-05
64 *456:29 *520:15 2.57986e-05
65 *456:29 *542:35 0.000587724
66 *456:29 *645:29 2.16355e-05
67 *456:29 *645:40 0.000134832
68 io_oeb[14] *456:29 8.46529e-05
69 io_oeb[16] *3763:A 0
70 io_oeb[16] *3767:A 0
71 io_oeb[36] *456:12 0
72 io_out[30] *456:12 0
73 la1_data_out[1] *456:12 0
74 la1_data_out[20] *456:12 0
75 *3696:A *456:29 2.61147e-05
76 *3698:A *456:12 0.00015169
77 *3740:A *456:12 6.34583e-05
78 *3760:A *456:7 0.000122378
79 *54:6 *3769:A 0.000182993
80 *54:6 *456:28 0.000145521
*RES
1 *3760:X *456:7 45.6239
2 *456:7 *456:11 33.7855
3 *456:11 *456:12 196.585
4 *456:12 *456:15 9.10562
5 *456:15 *3761:A 9.82786
6 *456:15 *456:25 10.2148
7 *456:25 *456:28 7.1625
8 *456:28 *456:29 129.946
9 *456:29 *456:31 4.5
10 *456:31 *3767:A 18.4879
11 *456:31 *3763:A 20.0474
12 *456:25 *3769:A 18.5201
13 *456:11 *3765:A 16.4116
*END
*D_NET *457 0.000595922
*CONN
*I *3762:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3761:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3762:A 0.000275582
2 *3761:X 0.000275582
3 *3762:A *3877:A 4.47578e-05
4 *456:12 *3762:A 0
*RES
1 *3761:X *3762:A 34.2118
*END
*D_NET *458 0.00176042
*CONN
*I *3764:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3763:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3764:A 0.000689641
2 *3763:X 0.000689641
3 *3764:A *3859:A 0.000127164
4 *3764:A *488:11 6.85945e-05
5 *3763:A *3764:A 4.81015e-05
6 *425:32 *3764:A 0.000127164
7 *431:15 *3764:A 1.01134e-05
*RES
1 *3763:X *3764:A 39.3426
*END
*D_NET *459 0.000461025
*CONN
*I *3766:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3765:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3766:A 0.000211622
2 *3765:X 0.000211622
3 *3766:A *4140:TE_B 3.34802e-05
4 *3765:A *3766:A 0
5 *63:11 *3766:A 4.30017e-06
6 *456:12 *3766:A 0
*RES
1 *3765:X *3766:A 31.5781
*END
*D_NET *460 0.000556298
*CONN
*I *3768:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3767:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3768:A 0.000100681
2 *3767:X 0.000100681
3 *3768:A *4141:TE_B 1.65872e-05
4 io_oeb[31] *3768:A 6.08467e-05
5 *425:59 *3768:A 0.000277502
*RES
1 *3767:X *3768:A 22.4287
*END
*D_NET *461 0.000677378
*CONN
*I *3770:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3769:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3770:A 0.000237639
2 *3769:X 0.000237639
3 *3770:A *3928:A 0
4 *3770:A *520:15 9.46033e-05
5 *3769:A *3770:A 0.000107496
*RES
1 *3769:X *3770:A 24.816
*END
*D_NET *462 0.0513881
*CONN
*I *3776:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3774:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3772:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3780:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3778:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3771:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *3776:A 5.36844e-05
2 *3774:A 0.000568842
3 *3772:A 4.20593e-05
4 *3780:A 0
5 *3778:A 3.30472e-05
6 *3771:X 2.71657e-05
7 *462:47 0.00458406
8 *462:45 0.00487862
9 *462:40 0.00279427
10 *462:32 0.0044695
11 *462:16 0.00685184
12 *462:15 0.00684196
13 *462:11 0.0025102
14 *462:10 0.00287522
15 *462:6 0.00294235
16 *3772:A *3773:A 7.48633e-05
17 *3772:A *4208:TE_B 2.85274e-05
18 *3774:A *4122:TE_B 0
19 *3774:A *4125:A 0
20 *3774:A *4125:TE_B 8.00917e-05
21 *3776:A *4201:TE_B 7.30564e-05
22 *3776:A *469:67 6.08467e-05
23 *3776:A *530:85 0
24 *462:6 *874:16 0
25 *462:10 *500:84 0
26 *462:10 *874:16 0
27 *462:10 *878:8 0
28 *462:11 *4213:A 0.000224381
29 *462:15 *4213:A 6.50727e-05
30 *462:16 *3849:A 0.00015321
31 *462:16 *3907:A 0.00015321
32 *462:16 *4148:A 8.42523e-05
33 *462:16 *4213:A 0
34 *462:16 *645:29 0
35 *462:32 *3786:A 8.99353e-05
36 *462:32 *3908:A 1.41307e-05
37 *462:32 *3909:A 7.31596e-05
38 *462:32 *4210:A 0.000128091
39 *462:32 *469:67 0.000315506
40 *462:40 *3845:A 0.000119086
41 *462:40 *3851:A 2.65831e-05
42 *462:40 *3852:A 8.09561e-05
43 *462:40 *4162:TE_B 0.000207821
44 *462:40 *4201:TE_B 7.50722e-05
45 *462:40 *536:55 0.00120116
46 *462:45 *4122:TE_B 3.31733e-05
47 *462:45 *4125:A 0.000232461
48 *462:45 *4125:TE_B 0
49 *462:47 *3784:A 1.26032e-05
50 *462:47 *3798:A 0
51 *462:47 *3832:A 0
52 *462:47 *3869:A 0
53 *462:47 *3887:A 1.64943e-05
54 *462:47 *3901:A 4.75721e-06
55 *462:47 *3932:A 4.47578e-05
56 *462:47 *3940:B 0
57 *462:47 *4119:TE_B 0.000254392
58 *462:47 *4121:A 0
59 *462:47 *4125:A 2.6054e-05
60 *462:47 *4129:A 0
61 *462:47 *4130:A 0
62 *462:47 *4132:A 0
63 *462:47 *4137:A 0
64 *462:47 *4143:A 0
65 *462:47 *4149:A 0
66 *462:47 *4168:A 0.000167607
67 *462:47 *4180:TE_B 5.04734e-05
68 *462:47 *4196:A 0
69 *462:47 *4196:TE_B 0.000216327
70 *462:47 *4203:A 0
71 *462:47 *4212:A 0
72 *462:47 *524:14 0
73 io_oeb[11] *462:47 2.31746e-05
74 io_oeb[27] *462:32 0.000215704
75 io_oeb[6] *462:47 0
76 io_out[12] *462:16 1.44611e-05
77 io_out[15] *462:16 0
78 io_out[17] *3774:A 0
79 io_out[9] *462:16 0.000202816
80 *3771:A *462:6 1.78514e-05
81 *3771:A *462:10 4.44689e-05
82 *54:6 *462:16 0.000549591
83 *80:18 *462:16 0
84 *425:16 *462:47 0
85 *425:26 *462:47 0.00573071
86 *431:27 *462:47 0.000696142
87 *431:35 *462:45 0
88 *431:35 *462:47 0
89 *437:12 *462:10 3.22726e-05
90 *444:40 *462:16 0
91 *450:11 *462:10 0
92 *450:20 *462:45 0
93 *450:20 *462:47 0
94 *450:27 *462:47 0
*RES
1 *3771:X *462:6 14.7506
2 *462:6 *462:10 13.8065
3 *462:10 *462:11 59.5114
4 *462:11 *462:15 5.2234
5 *462:15 *462:16 179.56
6 *462:16 *3778:A 14.4725
7 *462:11 *3780:A 9.24915
8 *462:6 *462:32 49.1003
9 *462:32 *462:40 43.9915
10 *462:40 *462:45 14.4783
11 *462:45 *462:47 154.291
12 *462:47 *3772:A 15.5817
13 *462:40 *3774:A 32.0219
14 *462:32 *3776:A 15.8893
*END
*D_NET *463 0.00200923
*CONN
*I *3773:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3772:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3773:A 0.000911985
2 *3772:X 0.000911985
3 *3773:A *4143:A 2.65667e-05
4 *3773:A *4143:TE_B 5.54078e-05
5 *3773:A *4208:TE_B 1.22938e-05
6 la1_data_out[30] *3773:A 1.61274e-05
7 *3735:A *3773:A 0
8 *3772:A *3773:A 7.48633e-05
9 *425:32 *3773:A 0
10 *438:45 *3773:A 0
*RES
1 *3772:X *3773:A 43.6345
*END
*D_NET *464 0.000598726
*CONN
*I *3775:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3774:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3775:A 0.000250855
2 *3774:X 0.000250855
3 *3775:A *4144:TE_B 9.70161e-05
*RES
1 *3774:X *3775:A 24.816
*END
*D_NET *465 0.00105132
*CONN
*I *3777:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3776:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3777:A 0.00026543
2 *3776:X 0.00026543
3 *3777:A *4201:TE_B 0.000351415
4 *3777:A *469:67 0.000169041
5 *3777:A *530:85 0
*RES
1 *3776:X *3777:A 35.3154
*END
*D_NET *466 0.000221454
*CONN
*I *3779:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3778:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3779:A 6.96709e-05
2 *3778:X 6.96709e-05
3 io_out[12] *3779:A 3.01656e-05
4 *54:6 *3779:A 5.19466e-05
*RES
1 *3778:X *3779:A 29.7455
*END
*D_NET *467 0.000978099
*CONN
*I *3781:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3780:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3781:A 0.000159439
2 *3780:X 0.000159439
3 *3781:A *4148:A 7.50722e-05
4 *3781:A *4148:TE_B 0.000147325
5 *63:11 *3781:A 0
6 *456:11 *3781:A 0.000436825
*RES
1 *3780:X *3781:A 34.7664
*END
*D_NET *468 0.00978018
*CONN
*I *3816:A I *D sky130_fd_sc_hd__buf_6
*I *3783:A I *D sky130_fd_sc_hd__buf_6
*I *3827:A I *D sky130_fd_sc_hd__buf_4
*I *3805:A I *D sky130_fd_sc_hd__clkbuf_4
*I *3794:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3782:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3816:A 0.000141656
2 *3783:A 4.17154e-05
3 *3827:A 0
4 *3805:A 0
5 *3794:A 0.000328791
6 *3782:X 0.000300222
7 *468:23 0.00181777
8 *468:12 0.0016264
9 *468:10 0.000295414
10 *468:8 0.000558155
11 *3794:A *3650:A1 0.000442483
12 *3794:A *4250:CLK 0.000111722
13 *3794:A *481:8 0.000680769
14 *3816:A *469:22 5.2068e-05
15 *3816:A *487:7 0.000453443
16 *3816:A *518:62 6.08467e-05
17 *468:8 *469:48 0
18 *468:8 *506:9 0
19 *468:8 *506:12 1.03986e-05
20 *468:8 *506:76 0
21 *468:8 *518:41 0
22 *468:8 *524:8 0
23 *468:10 *506:12 4.3116e-06
24 *468:10 *518:41 0
25 *468:10 *518:62 0.000196638
26 *468:12 *518:62 0.000388232
27 *468:23 *3686:A 0.000113968
28 *468:23 *506:12 2.32311e-05
29 *468:23 *518:62 0.000412809
30 *468:23 *859:60 0.000107496
31 *3543:B1 *468:23 0.000108827
32 *3609:B1 *468:23 5.51483e-06
33 *3611:A1 *468:23 0.000118166
34 *3611:A2 *468:23 7.92757e-06
35 *3611:B1 *468:23 1.15389e-05
36 *3650:B1 *3794:A 6.50586e-05
37 *4241:D *3794:A 6.50586e-05
38 *314:24 *468:23 0.000457589
39 *378:58 *468:10 9.22013e-06
40 *378:58 *468:12 0.000168692
41 *378:58 *468:23 0.000222667
42 *380:32 *3794:A 0.00011823
43 *380:32 *468:23 5.21231e-05
44 *384:26 *3794:A 0.000164829
45 *391:11 *3794:A 3.61993e-05
*RES
1 *3782:X *468:8 20.0418
2 *468:8 *468:10 3.90826
3 *468:10 *468:12 6.81502
4 *468:12 *468:23 37.0704
5 *468:23 *3794:A 24.6093
6 *468:23 *3805:A 9.24915
7 *468:12 *3827:A 13.7491
8 *468:10 *3783:A 14.4725
9 *468:8 *3816:A 18.9094
*END
*D_NET *469 0.0648203
*CONN
*I *3788:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3786:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3792:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3790:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3784:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3783:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *3788:A 0.00182945
2 *3786:A 0.000712077
3 *3792:A 0
4 *3790:A 0
5 *3784:A 0.00278171
6 *3783:X 0
7 *469:67 0.00297988
8 *469:50 0.00186251
9 *469:48 0.00187129
10 *469:46 0.00199627
11 *469:37 0.00301064
12 *469:36 0.00301064
13 *469:34 0.00209981
14 *469:28 0.00213285
15 *469:25 0.00128188
16 *469:24 0.00124883
17 *469:22 0.00464129
18 *469:21 0.00464129
19 *469:19 0.000181345
20 *469:5 0.00283806
21 *3784:A *4149:A 1.93781e-05
22 *3784:A *524:8 0.000253387
23 *3784:A *807:100 2.57465e-06
24 *3786:A *3787:A 1.64789e-05
25 *3786:A *4206:A 0
26 *3788:A *4125:A 0
27 *3788:A *4185:TE_B 0.000224962
28 *3788:A *744:11 8.68633e-05
29 *3788:A *767:13 1.80647e-05
30 *469:19 *524:8 7.55384e-05
31 *469:22 *518:7 5.31074e-05
32 *469:22 *518:9 0.0072603
33 *469:22 *518:62 0.000132398
34 *469:25 *500:12 0.00190579
35 *469:25 *518:12 0.00365016
36 *469:25 *536:34 0
37 *469:37 *4153:A 0.000177772
38 *469:46 *524:8 6.49518e-05
39 *469:48 *4206:A 9.34396e-06
40 *469:48 *4207:A 4.58124e-05
41 *469:48 *524:8 4.51619e-05
42 *469:48 *524:53 0.00340574
43 *469:67 *4210:A 0.00012316
44 io_oeb[27] *469:67 4.43961e-05
45 io_oeb[2] *3788:A 0.000469092
46 io_oeb[32] *469:25 7.86825e-06
47 io_oeb[35] *469:25 6.17372e-05
48 io_out[19] *469:25 2.09735e-05
49 io_out[7] *469:25 3.13394e-05
50 *3688:A2 *3784:A 0.000162194
51 *3705:A *3788:A 3.13748e-05
52 *3705:A *469:67 1.31657e-05
53 *3706:A *469:67 0.000115934
54 *3731:A *3786:A 3.99086e-06
55 *3776:A *469:67 6.08467e-05
56 *3777:A *469:67 0.000169041
57 *3782:A *469:48 0
58 *3816:A *469:22 5.2068e-05
59 *3976:B1 *3784:A 0.00187732
60 *3976:B2 *3784:A 0.00193456
61 *4106:A0 *3784:A 0
62 *380:38 *3784:A 0
63 *380:39 *469:37 0
64 *410:12 *3784:A 0.000852857
65 *417:10 *3784:A 0.00055781
66 *417:10 *469:19 0.000179256
67 *417:10 *469:46 0.00017702
68 *417:10 *469:48 1.2366e-05
69 *424:12 *469:37 0
70 *424:17 *469:37 0
71 *438:22 *3786:A 0.000282235
72 *438:28 *3786:A 0.000370684
73 *438:39 *3786:A 0.000223367
74 *438:39 *469:48 0
75 *444:40 *469:25 0
76 *462:32 *3786:A 8.99353e-05
77 *462:32 *469:67 0.000315506
78 *462:47 *3784:A 1.26032e-05
79 *468:8 *469:48 0
*RES
1 *3783:X *469:5 13.7491
2 *469:5 *3784:A 39.5208
3 *469:5 *469:19 3.07775
4 *469:19 *469:21 4.5
5 *469:21 *469:22 83.9139
6 *469:22 *469:24 4.5
7 *469:24 *469:25 62.4588
8 *469:25 *469:28 5.2234
9 *469:28 *3790:A 9.24915
10 *469:28 *469:34 49.5285
11 *469:34 *469:36 4.5
12 *469:36 *469:37 76.1621
13 *469:37 *3792:A 13.7491
14 *469:19 *469:46 4.01818
15 *469:46 *469:48 65.158
16 *469:48 *469:50 4.5
17 *469:50 *3786:A 38.6054
18 *469:50 *469:67 30.6961
19 *469:67 *3788:A 47.8408
*END
*D_NET *470 0.00210477
*CONN
*I *3785:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3784:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3785:A 0.000960981
2 *3784:X 0.000960981
3 *3785:A *4149:TE_B 3.601e-05
4 *3785:A *4284:A 2.85274e-05
5 *3785:A *881:11 1.87434e-05
6 io_out[4] *3785:A 5.53789e-05
7 *410:12 *3785:A 4.41444e-05
8 *450:28 *3785:A 0
*RES
1 *3784:X *3785:A 43.7738
*END
*D_NET *471 0.00036797
*CONN
*I *3787:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3786:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3787:A 0.000137496
2 *3786:X 0.000137496
3 *3787:A *4150:TE_B 6.23005e-05
4 *3786:A *3787:A 1.64789e-05
5 *438:22 *3787:A 1.41976e-05
*RES
1 *3786:X *3787:A 22.4287
*END
*D_NET *472 0.000313562
*CONN
*I *3789:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3788:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3789:A 0.000156781
2 *3788:X 0.000156781
*RES
1 *3788:X *3789:A 22.4287
*END
*D_NET *473 0.000503818
*CONN
*I *3791:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3790:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3791:A 0.000251909
2 *3790:X 0.000251909
*RES
1 *3790:X *3791:A 22.4287
*END
*D_NET *474 0.000624056
*CONN
*I *3793:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3792:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3793:A 0.000228553
2 *3792:X 0.000228553
3 *3793:A *4173:A 0.000166951
*RES
1 *3792:X *3793:A 31.9934
*END
*D_NET *475 0.0148659
*CONN
*I *3797:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3803:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3801:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3795:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3799:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3794:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3797:A 0
2 *3803:A 0.000122397
3 *3801:A 0
4 *3795:A 0
5 *3799:A 0.000487383
6 *3794:X 0.000400475
7 *475:72 0.00139277
8 *475:25 0.000568688
9 *475:23 0.000459643
10 *475:20 0.00167402
11 *475:16 0.00172345
12 *475:8 0.00234341
13 *3799:A *3796:A 0
14 *3799:A *3800:A 0
15 *3799:A *3802:A 0.00033061
16 *3799:A *4154:A 0
17 *3799:A *4156:TE_B 0
18 *3799:A *4157:TE_B 0.000334808
19 *3803:A *3804:A 0.000121197
20 *475:8 *3633:A2 7.09666e-06
21 *475:8 *3633:B2 7.26959e-06
22 *475:8 *3635:B2 0
23 *475:8 *3650:A1 0.000107496
24 *475:8 *4250:CLK 4.0752e-05
25 *475:8 *851:8 5.2936e-05
26 *475:16 *3635:B2 0.000131165
27 *475:16 *542:60 0
28 *475:16 *808:10 5.93269e-06
29 *475:16 *808:60 2.32106e-05
30 *475:20 *3955:A2 0.000101998
31 *475:20 *3956:C 0.000167925
32 *475:20 *3959:B2 0
33 *475:20 *3960:B2 9.53067e-05
34 *475:20 *3964:A 0
35 *475:20 *3965:A2 0
36 *475:20 *3965:B1 6.4266e-05
37 *475:20 *3970:A1 0
38 *475:20 *4158:A 2.692e-05
39 *475:20 *4246:CLK 0.000172651
40 *475:20 *557:19 0.000292183
41 *475:20 *561:12 0
42 *475:20 *565:21 2.04806e-05
43 *475:20 *574:12 0.000130609
44 *475:20 *808:10 0.000337559
45 *475:20 *808:12 0.000253826
46 *475:23 *3802:A 4.80635e-06
47 *475:25 *3802:A 0.0002817
48 *475:25 *4157:TE_B 0.00015511
49 *475:72 *3636:A2 3.072e-06
50 *475:72 *3636:B2 6.48865e-05
51 *475:72 *3810:A 0.000322999
52 *475:72 *4101:A0 2.15893e-05
53 *475:72 *481:21 0.000335482
54 *475:72 *855:7 6.50727e-05
55 *3636:C1 *475:16 0.000342541
56 *3636:C1 *475:72 5.44807e-05
57 *3955:A3 *475:20 0.000116971
58 *3955:B1 *475:20 2.38842e-05
59 *3961:C *3799:A 0
60 *3961:C *475:20 5.68225e-06
61 *4246:D *475:20 0.000189211
62 *4249:D *475:8 0.000150493
63 *224:10 *475:20 0
64 *224:16 *475:20 4.72872e-05
65 *224:18 *475:20 5.64929e-05
66 *224:23 *475:20 8.64857e-05
67 *379:11 *475:72 6.50586e-05
68 *381:19 *475:72 5.37817e-06
69 *382:9 *475:72 2.6049e-05
70 *391:11 *475:8 0.000207266
71 *397:17 *475:20 0.000128684
72 *397:60 *475:8 8.70644e-05
73 *397:60 *475:16 1.9689e-05
*RES
1 *3794:X *475:8 25.8581
2 *475:8 *475:16 13.5201
3 *475:16 *475:20 44.3276
4 *475:20 *475:23 8.51196
5 *475:23 *475:25 4.05102
6 *475:25 *3799:A 31.4673
7 *475:25 *3795:A 9.24915
8 *475:23 *3801:A 9.24915
9 *475:20 *3803:A 12.7697
10 *475:8 *475:72 41.3564
11 *475:72 *3797:A 9.24915
*END
*D_NET *476 0.000492408
*CONN
*I *3796:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3795:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3796:A 0.000152107
2 *3795:X 0.000152107
3 *3796:A *4154:TE_B 9.40969e-05
4 *3799:A *3796:A 0
5 *115:12 *3796:A 9.40969e-05
*RES
1 *3795:X *3796:A 32.1327
*END
*D_NET *477 0.00109922
*CONN
*I *3798:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3797:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3798:A 0.000512053
2 *3797:X 0.000512053
3 *3798:A *3881:A 0
4 *3798:A *4149:A 1.61631e-05
5 *3798:A *4194:A 0
6 *3798:A *858:56 0
7 io_oeb[11] *3798:A 3.98267e-05
8 io_out[10] *3798:A 1.91246e-05
9 *219:49 *3798:A 0
10 *462:47 *3798:A 0
*RES
1 *3797:X *3798:A 38.9402
*END
*D_NET *478 0.000810667
*CONN
*I *3800:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3799:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3800:A 0.000359756
2 *3799:X 0.000359756
3 *3800:A *4156:A 2.41274e-06
4 *3800:A *4156:TE_B 2.652e-05
5 *3800:A *512:32 0
6 *3799:A *3800:A 0
7 *80:13 *3800:A 6.22212e-05
*RES
1 *3799:X *3800:A 33.7966
*END
*D_NET *479 0.00113483
*CONN
*I *3802:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3801:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3802:A 0.000246784
2 *3801:X 0.000246784
3 *3802:A *4157:TE_B 2.41483e-05
4 *3799:A *3802:A 0.00033061
5 *475:23 *3802:A 4.80635e-06
6 *475:25 *3802:A 0.0002817
*RES
1 *3801:X *3802:A 26.4798
*END
*D_NET *480 0.000646249
*CONN
*I *3804:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3803:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3804:A 0.00025288
2 *3803:X 0.00025288
3 *3804:A *4158:TE_B 1.92926e-05
4 *3803:A *3804:A 0.000121197
*RES
1 *3803:X *3804:A 24.816
*END
*D_NET *481 0.0250428
*CONN
*I *3808:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3812:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3814:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3806:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3810:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3805:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *3808:A 0
2 *3812:A 0.000981139
3 *3814:A 0.000797164
4 *3806:A 0.00154875
5 *3810:A 0.0005003
6 *3805:X 0.000118515
7 *481:56 0.00227239
8 *481:51 0.000695953
9 *481:35 0.00213219
10 *481:31 0.00224918
11 *481:21 0.00176006
12 *481:8 0.00324587
13 *3806:A *3807:A 2.652e-05
14 *3806:A *4159:A 5.0715e-05
15 *3806:A *500:77 2.54199e-05
16 *3806:A *838:8 1.1246e-05
17 *3810:A *3811:A 0.00011818
18 *3812:A *3813:A 4.9933e-05
19 *3812:A *3924:A 0.00014489
20 *3812:A *4170:A 2.65831e-05
21 *3812:A *654:11 0.000498091
22 *3812:A *734:11 0
23 *3812:A *747:13 0.000209265
24 *3812:A *767:13 0.000218531
25 *3814:A *4170:A 5.04829e-06
26 *3814:A *4201:TE_B 0.000171288
27 *3814:A *530:85 0
28 *3814:A *536:55 0.000308139
29 *481:21 *3633:A2 2.652e-05
30 *481:21 *3636:B2 3.49128e-05
31 *481:21 *4278:A 0.00045837
32 *481:21 *839:8 0
33 *481:21 *855:7 6.50727e-05
34 *481:31 *3538:A 3.10576e-06
35 *481:31 *3656:A1 1.5714e-05
36 *481:31 *551:8 0
37 *481:31 *552:8 6.80864e-05
38 *481:31 *552:18 0.000490217
39 *481:31 *824:51 0
40 *481:31 *825:8 0
41 *481:31 *825:48 0
42 *481:31 *839:8 0
43 *481:35 *839:8 0.000246112
44 *481:51 *3809:A 0.00011818
45 *481:51 *530:85 6.26227e-05
46 *481:51 *839:8 0.0001154
47 *481:56 *4160:TE_B 0
48 *481:56 *530:85 0
49 *481:56 *754:10 0.000201734
50 *481:56 *839:8 8.50941e-05
51 *3653:A2 *481:31 0.000120613
52 *3672:A *481:21 0.000119645
53 *3672:A *481:31 0.000261728
54 *3794:A *481:8 0.000680769
55 *3979:A1 *481:31 0.000109513
56 *4241:D *481:21 7.44124e-05
57 *4241:D *481:31 1.44467e-05
58 *4242:D *481:31 0.00033864
59 *4276:A *481:21 0.00138437
60 *219:23 *481:31 4.4486e-06
61 *227:16 *481:31 0
62 *228:10 *481:31 0
63 *380:32 *481:8 0.000680769
64 *380:32 *481:31 0
65 *387:12 *3810:A 0.000215704
66 *388:6 *481:31 8.42523e-05
67 *414:15 *481:31 1.48603e-05
68 *424:53 *3806:A 0.000123691
69 *475:72 *3810:A 0.000322999
70 *475:72 *481:21 0.000335482
*RES
1 *3805:X *481:8 21.8606
2 *481:8 *481:21 44.1357
3 *481:21 *3810:A 23.3071
4 *481:8 *481:31 50.1112
5 *481:31 *481:35 11.4683
6 *481:35 *3806:A 46.6554
7 *481:35 *481:51 11.2107
8 *481:51 *481:56 22.8743
9 *481:56 *3814:A 39.5844
10 *481:56 *3812:A 48.2686
11 *481:51 *3808:A 9.24915
*END
*D_NET *482 0.00101584
*CONN
*I *3807:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3806:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3807:A 0.000308149
2 *3806:X 0.000308149
3 *3807:A *4159:TE_B 0
4 *3807:A *500:77 0.000320287
5 *3806:A *3807:A 2.652e-05
6 *424:47 *3807:A 2.16355e-05
7 *424:53 *3807:A 3.11022e-05
*RES
1 *3806:X *3807:A 35.4548
*END
*D_NET *483 0.000632118
*CONN
*I *3809:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3808:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3809:A 0.000256969
2 *3808:X 0.000256969
3 *481:51 *3809:A 0.00011818
*RES
1 *3808:X *3809:A 24.816
*END
*D_NET *484 0.000912638
*CONN
*I *3811:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3810:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3811:A 0.000397229
2 *3810:X 0.000397229
3 *3810:A *3811:A 0.00011818
*RES
1 *3810:X *3811:A 24.6472
*END
*D_NET *485 0.00105652
*CONN
*I *3813:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3812:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3813:A 0.000247871
2 *3812:X 0.000247871
3 *3813:A *4185:TE_B 0.000190028
4 *3813:A *741:14 0.000320821
5 *3812:A *3813:A 4.9933e-05
*RES
1 *3812:X *3813:A 34.7608
*END
*D_NET *486 0.000461751
*CONN
*I *3815:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3814:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3815:A 0.000142682
2 *3814:X 0.000142682
3 *3815:A *536:55 0.000176388
*RES
1 *3814:X *3815:A 23.538
*END
*D_NET *487 0.0531395
*CONN
*I *3817:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3821:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3823:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3819:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3825:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3816:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *3817:A 0.000175961
2 *3821:A 2.1308e-05
3 *3823:A 5.09042e-05
4 *3819:A 0.000914748
5 *3825:A 0.000168041
6 *3816:X 0
7 *487:68 0.000581288
8 *487:65 0.00190975
9 *487:43 0.000965652
10 *487:41 0.00429174
11 *487:39 0.0059528
12 *487:36 0.00319211
13 *487:34 0.00347639
14 *487:18 0.00191122
15 *487:17 0.00205026
16 *487:7 0.00141828
17 *487:5 0.00114401
18 *487:4 0.000452416
19 *3817:A *506:26 9.92709e-05
20 *3817:A *669:10 0.000148129
21 *3819:A *3824:A 0.00015321
22 *3819:A *3867:A 0.000127164
23 *3819:A *4116:A 0
24 *3819:A *4133:A 0.000317713
25 *3819:A *4133:TE_B 9.82213e-05
26 *3819:A *4176:A 0
27 *3819:A *4176:TE_B 7.58217e-06
28 *3819:A *4219:A 0
29 *3819:A *4219:TE_B 5.88662e-05
30 *3819:A *645:14 0.000834672
31 *3819:A *708:11 0.000176249
32 *3823:A *3824:A 2.41274e-06
33 *3823:A *4176:TE_B 1.78514e-05
34 *3823:A *645:14 5.56367e-05
35 *3825:A *3826:A 0.000168843
36 *487:5 *506:61 1.09551e-05
37 *487:7 *3861:A 0.000423908
38 *487:7 *3872:A 0.000107496
39 *487:7 *506:9 0.000430366
40 *487:7 *506:61 0.000598567
41 *487:7 *512:44 0.00393903
42 *487:7 *518:7 1.00981e-05
43 *487:7 *518:9 0.00108613
44 *487:7 *518:62 0.000118112
45 *487:17 *512:44 2.41427e-05
46 *487:17 *512:46 0.00249577
47 *487:17 *518:9 0.00120056
48 *487:18 *3835:A 0.000312646
49 *487:18 *4114:A 3.57027e-05
50 *487:18 *493:24 0
51 *487:18 *500:12 0.000159478
52 *487:18 *536:18 0
53 *487:18 *886:8 0.000796589
54 *487:34 *3654:A 0
55 *487:34 *3688:B1 0
56 *487:34 *493:42 0.00015324
57 *487:34 *506:61 5.84003e-05
58 *487:34 *807:100 0
59 *487:36 *3569:A 0.000252312
60 *487:36 *3942:B 0.000292498
61 *487:36 *3943:A 4.40272e-05
62 *487:36 *4235:CLK 0.000153225
63 *487:36 *4236:CLK 0.000403686
64 *487:36 *512:20 0
65 *487:36 *885:8 0
66 *487:41 *4205:A 0.000324151
67 *487:65 *765:10 0
68 *487:65 *885:8 0.00073425
69 *487:68 *3842:A 0.000387915
70 *487:68 *500:43 0.00145829
71 *487:68 *506:26 7.96628e-05
72 io_out[21] *487:18 4.75721e-06
73 *3578:A1 *487:36 3.9739e-05
74 *3642:B *487:36 0
75 *3660:B *487:36 0
76 *3676:A1 *487:36 0
77 *3688:A1 *487:34 0.000175183
78 *3689:B *487:36 0
79 *3763:A *3817:A 0
80 *3816:A *487:7 0.000453443
81 *3929:A *487:65 0
82 *4260:D *487:36 4.01708e-05
83 *4274:D *487:36 0.00040723
84 *221:11 *487:36 0.000208378
85 *303:12 *487:36 0.000121076
86 *303:42 *487:36 0.000241646
87 *303:48 *487:36 0
88 *314:8 *487:36 0
89 *347:39 *487:36 0
90 *351:25 *487:36 0
91 *380:38 *487:36 0
92 *399:11 *487:36 0
93 *399:31 *487:36 0
94 *409:19 *487:36 0
95 *410:12 *487:36 0
96 *456:12 *3819:A 5.82267e-05
97 *456:12 *487:18 0
98 *456:29 *3817:A 0.000403054
99 *456:29 *487:68 0.00395264
*RES
1 *3816:X *487:4 9.24915
2 *487:4 *487:5 0.988641
3 *487:5 *487:7 62.2844
4 *487:7 *487:17 33.5805
5 *487:17 *487:18 52.908
6 *487:18 *3825:A 18.9335
7 *487:4 *487:34 17.4101
8 *487:34 *487:36 83.4291
9 *487:36 *487:39 7.44181
10 *487:39 *487:41 92.7876
11 *487:41 *487:43 4.5
12 *487:43 *3819:A 47.2136
13 *487:43 *3823:A 15.474
14 *487:39 *487:65 49.9335
15 *487:65 *487:68 46.2641
16 *487:68 *3821:A 9.82786
17 *487:68 *3817:A 25.5173
*END
*D_NET *488 0.00348587
*CONN
*I *3818:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3817:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3818:A 0
2 *3817:X 0.000888213
3 *488:11 0.000888213
4 *488:11 *4117:TE_B 2.22788e-05
5 *488:11 *4139:A 0
6 *488:11 *4139:TE_B 3.77804e-05
7 *488:11 *4174:TE_B 7.22498e-05
8 *488:11 *4216:A 0
9 *488:11 *490:11 0
10 *488:11 *693:11 0.000115632
11 io_oeb[4] *488:11 0
12 io_out[29] *488:11 0
13 la1_data_out[28] *488:11 8.51085e-05
14 la1_data_out[4] *488:11 0
15 *3708:A *488:11 0
16 *3763:A *488:11 0.000163928
17 *3764:A *488:11 6.85945e-05
18 *425:32 *488:11 0
19 *425:45 *488:11 0
20 *431:15 *488:11 0.00114387
*RES
1 *3817:X *488:11 47.8506
2 *488:11 *3818:A 9.24915
*END
*D_NET *489 0.000555889
*CONN
*I *3820:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3819:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3820:A 0.000277944
2 *3819:X 0.000277944
*RES
1 *3819:X *3820:A 24.816
*END
*D_NET *490 0.00516678
*CONN
*I *3822:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3821:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3822:A 0
2 *3821:X 0.00142412
3 *490:11 0.00142412
4 *490:11 *3854:A 0
5 *490:11 *4117:TE_B 4.3116e-06
6 *490:11 *506:26 0.00126109
7 *490:11 *506:30 3.90497e-05
8 io_out[18] *490:11 0.000319954
9 la1_data_out[4] *490:11 8.8567e-05
10 *3708:A *490:11 0.000122083
11 *425:45 *490:11 0.000483488
12 *488:11 *490:11 0
*RES
1 *3821:X *490:11 47.4493
2 *490:11 *3822:A 9.24915
*END
*D_NET *491 0.000507175
*CONN
*I *3824:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3823:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3824:A 0.00016078
2 *3823:X 0.00016078
3 *3824:A *4176:A 0
4 *3824:A *4176:TE_B 2.99929e-05
5 *3819:A *3824:A 0.00015321
6 *3823:A *3824:A 2.41274e-06
*RES
1 *3823:X *3824:A 31.4388
*END
*D_NET *492 0.000624005
*CONN
*I *3826:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3825:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3826:A 0.000227581
2 *3825:X 0.000227581
3 *3825:A *3826:A 0.000168843
*RES
1 *3825:X *3826:A 24.816
*END
*D_NET *493 0.0466477
*CONN
*I *3832:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3836:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3830:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3828:A I *D sky130_fd_sc_hd__clkbuf_1
*I *890:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3834:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3827:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *3832:A 0.000526915
2 *3836:A 0.000356745
3 *3830:A 0.000331287
4 *3828:A 2.49308e-05
5 *890:DIODE 0
6 *3834:A 3.37551e-05
7 *3827:X 0
8 *493:94 0.00145634
9 *493:91 0.00144233
10 *493:82 0.00273194
11 *493:81 0.00372425
12 *493:71 0.000518547
13 *493:65 0.00158257
14 *493:56 0.00230694
15 *493:42 0.00176749
16 *493:24 0.00220083
17 *493:23 0.00216708
18 *493:21 0.00225055
19 *493:19 0.00378225
20 *493:4 0.00253514
21 *3830:A *3831:A 6.73022e-05
22 *3830:A *883:7 0
23 *3832:A *3833:A 6.50727e-05
24 *3834:A *4114:A 2.16355e-05
25 *3836:A *4180:A 0.000224395
26 *493:19 *512:11 9.75356e-05
27 *493:19 *807:51 0.00030499
28 *493:19 *807:77 0.000279895
29 *493:19 *807:88 5.5493e-05
30 *493:19 *814:7 0.000137563
31 *493:19 *868:7 0.000154145
32 *493:21 *807:51 0.000115934
33 *493:24 *4114:A 5.56367e-05
34 *493:24 *500:12 0.000432487
35 *493:42 *3647:A1 1.91391e-05
36 *493:42 *3654:A 1.92926e-05
37 *493:42 *3688:B1 3.62225e-05
38 *493:42 *839:8 8.03699e-06
39 *493:56 *3636:B2 0.000211464
40 *493:56 *3656:A1 0.000317707
41 *493:56 *3938:A 0
42 *493:56 *809:43 9.4218e-05
43 *493:56 *809:54 5.01835e-05
44 *493:65 *4224:CLK 0.000284653
45 *493:65 *882:13 0.000304453
46 *493:71 *882:13 1.43983e-05
47 *493:81 *882:13 1.84293e-05
48 *493:82 *883:8 0.000651882
49 io_oeb[5] *493:24 2.02035e-05
50 io_out[21] *493:24 2.02035e-05
51 *3600:B *493:21 0.00093995
52 *3649:B *493:65 0.000104794
53 *3655:A *493:56 8.62321e-06
54 *3656:B2 *493:56 0.000118166
55 *3677:A *493:56 0.00011906
56 *3685:B2 *493:42 1.65872e-05
57 *3685:C1 *493:42 2.99978e-05
58 *3688:A3 *493:42 4.9452e-05
59 *3688:B2 *493:42 0.000111708
60 *3977:A *493:56 0
61 *3999:B1 *493:56 0.000245399
62 *4106:A0 *493:56 9.01469e-05
63 *4106:S *493:42 6.08467e-05
64 *4242:D *493:42 1.97947e-05
65 *4257:D *493:19 7.44658e-05
66 *4265:D *493:19 7.77309e-06
67 *44:8 *493:82 0.000442485
68 *57:8 *493:82 0.000316163
69 *176:8 *493:82 0.000256576
70 *219:23 *493:56 0.000287791
71 *306:38 *493:19 0.000137433
72 *306:38 *493:21 4.71925e-05
73 *307:8 *493:21 0.000937717
74 *387:18 *493:56 1.50262e-05
75 *387:21 *493:56 1.65872e-05
76 *395:14 *493:56 0.000116575
77 *409:5 *493:42 0.00125567
78 *409:19 *493:42 0.000366603
79 *412:25 *493:42 0.00104503
80 *425:26 *3832:A 0.000156695
81 *431:35 *3832:A 0.000221645
82 *438:45 *3832:A 8.07939e-05
83 *444:40 *493:24 0
84 *444:76 *493:82 0.00126907
85 *444:76 *493:91 0.00122423
86 *444:82 *493:82 0.000160776
87 *444:84 *493:82 0.00232117
88 *462:47 *3832:A 0
89 *487:18 *493:24 0
90 *487:34 *493:42 0.00015324
*RES
1 *3827:X *493:4 9.24915
2 *493:4 *493:19 36.7778
3 *493:19 *493:21 57.293
4 *493:21 *493:23 4.5
5 *493:23 *493:24 57.4758
6 *493:24 *3834:A 14.4725
7 *493:4 *493:42 48.9064
8 *493:42 *493:56 41.6788
9 *493:56 *493:65 26.0664
10 *493:65 *890:DIODE 9.24915
11 *493:65 *493:71 0.723396
12 *493:71 *3828:A 10.2378
13 *493:71 *493:81 16.6278
14 *493:81 *493:82 6269.64
15 *493:82 *3830:A 26.9861
16 *493:81 *493:91 1958.54
17 *493:91 *493:94 21.6192
18 *493:94 *3836:A 17.6164
19 *493:94 *3832:A 35.3384
*END
*D_NET *494 0.00115528
*CONN
*I *3829:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3828:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3829:A 0.000278457
2 *3828:X 0.000278457
3 *3829:A *882:13 0.000549605
4 io_oeb[29] *3829:A 4.87595e-05
*RES
1 *3828:X *3829:A 37.5282
*END
*D_NET *495 0.0014784
*CONN
*I *3831:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3830:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3831:A 0.000450144
2 *3830:X 0.000450144
3 *3831:A *760:13 0.000510806
4 *3830:A *3831:A 6.73022e-05
*RES
1 *3830:X *3831:A 39.3314
*END
*D_NET *496 0.0014885
*CONN
*I *3833:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3832:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3833:A 0.00057859
2 *3832:X 0.00057859
3 *3833:A *4179:TE_B 3.601e-05
4 io_out[34] *3833:A 0.000220888
5 *3753:A *3833:A 9.34396e-06
6 *3832:A *3833:A 6.50727e-05
7 *450:28 *3833:A 0
*RES
1 *3832:X *3833:A 42.1313
*END
*D_NET *497 0.000977062
*CONN
*I *3835:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3834:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3835:A 0.00030151
2 *3834:X 0.00030151
3 *3835:A *4114:A 6.13961e-05
4 la1_data_out[1] *3835:A 0
5 *456:12 *3835:A 0
6 *487:18 *3835:A 0.000312646
*RES
1 *3834:X *3835:A 36.4246
*END
*D_NET *498 0.000896736
*CONN
*I *3837:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3836:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3837:A 0.000188801
2 *3836:X 0.000188801
3 *3837:A *4180:A 4.97517e-05
4 *3837:A *4180:TE_B 3.25584e-05
5 *3837:A *500:77 0
6 *438:40 *3837:A 0.000436825
*RES
1 *3836:X *3837:A 34.7664
*END
*D_NET *499 0.00409265
*CONN
*I *3839:A I *D sky130_fd_sc_hd__buf_6
*I *3883:A I *D sky130_fd_sc_hd__buf_6
*I *3850:A I *D sky130_fd_sc_hd__clkbuf_8
*I *3872:A I *D sky130_fd_sc_hd__clkbuf_8
*I *3861:A I *D sky130_fd_sc_hd__buf_4
*I *3838:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3839:A 6.7974e-05
2 *3883:A 0.000343373
3 *3850:A 0
4 *3872:A 2.98046e-05
5 *3861:A 5.93401e-05
6 *3838:X 0.000125771
7 *499:28 0.000404642
8 *499:14 0.000139942
9 *499:10 0.00018208
10 *499:8 0.000263758
11 *3839:A *500:5 0.000156955
12 *3861:A *518:9 0.000185447
13 *3872:A *518:9 3.58044e-05
14 *3883:A *506:77 0.000466242
15 *499:8 *500:55 0.000120052
16 *499:8 *874:16 0.000123582
17 *499:10 *500:33 0.000215557
18 *499:10 *500:55 3.31882e-05
19 *499:10 *874:16 0.000243648
20 *499:14 *500:33 0.000148144
21 *499:14 *874:16 0.000144546
22 *499:28 *506:77 3.31745e-05
23 *3782:A *499:8 1.65872e-05
24 *418:19 *499:8 2.16355e-05
25 *487:7 *3861:A 0.000423908
26 *487:7 *3872:A 0.000107496
*RES
1 *3838:X *499:8 17.2744
2 *499:8 *499:10 4.32351
3 *499:10 *499:14 7.1625
4 *499:14 *3861:A 13.8548
5 *499:14 *3872:A 10.5271
6 *499:10 *499:28 5.778
7 *499:28 *3850:A 9.24915
8 *499:28 *3883:A 18.8703
9 *499:8 *3839:A 15.5817
*END
*D_NET *500 0.0603904
*CONN
*I *3840:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3844:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3842:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3846:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3848:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3839:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *3840:A 0.00178317
2 *3844:A 0
3 *3842:A 2.95296e-05
4 *3846:A 0
5 *3848:A 0
6 *3839:X 0.000165277
7 *500:84 0.00341243
8 *500:77 0.0020893
9 *500:76 0.00334008
10 *500:68 0.0019655
11 *500:55 0.00245861
12 *500:43 0.000581332
13 *500:39 0.000675539
14 *500:34 0.0041287
15 *500:33 0.00548468
16 *500:17 0.00159435
17 *500:12 0.00095694
18 *500:7 0.00399079
19 *500:5 0.00319912
20 *3842:A *506:26 0.000169038
21 *500:12 *518:12 9.22013e-06
22 *500:12 *886:8 0
23 *500:33 *3943:A 7.20648e-06
24 *500:33 *512:11 0
25 *500:33 *512:44 0
26 *500:33 *542:8 0
27 *500:33 *813:10 1.66626e-05
28 *500:33 *874:16 7.20535e-05
29 *500:33 *883:81 0.000718543
30 *500:33 *883:94 5.41377e-05
31 *500:33 *883:117 5.41227e-05
32 *500:34 *3583:A 4.3116e-06
33 *500:34 *4124:A 0.00015428
34 *500:34 *4259:CLK 0.000195139
35 *500:34 *512:11 0.00010801
36 *500:34 *512:12 0.000265579
37 *500:34 *542:8 0
38 *500:34 *806:100 0.000167047
39 *500:34 *806:112 0.000111358
40 *500:34 *857:76 7.27589e-05
41 *500:34 *883:245 4.15008e-05
42 *500:39 *542:35 0.000238704
43 *500:43 *3930:A 0.000235436
44 *500:43 *506:26 0.00380333
45 *500:43 *542:35 0.000597651
46 *500:55 *542:8 0
47 *500:55 *874:16 2.96862e-05
48 *500:68 *3937:B 0.000213725
49 *500:68 *3993:A 0.000143725
50 *500:68 *4231:CLK 4.13445e-05
51 *500:68 *555:5 0.00133231
52 *500:68 *591:11 0.000561623
53 *500:68 *829:20 0.000200682
54 *500:76 *4231:CLK 1.41976e-05
55 *500:76 *555:5 0.000539738
56 *500:76 *826:8 0.000110701
57 *500:77 *3852:A 0
58 *500:77 *4179:A 0
59 *500:77 *4180:A 0
60 *500:77 *4182:TE_B 0
61 *500:77 *741:14 0
62 *500:77 *744:11 0
63 *500:77 *838:8 0
64 *500:84 *542:8 0
65 *500:84 *874:16 0.00134922
66 io_oeb[5] *500:12 2.02035e-05
67 io_out[14] *500:77 0
68 *3536:B *500:34 0.000352695
69 *3552:A1 *500:34 6.11934e-05
70 *3552:B1 *500:34 0.000293399
71 *3583:B *500:34 4.09467e-05
72 *3586:A1 *500:34 1.67245e-05
73 *3586:A2 *500:34 0.000286386
74 *3604:A1 *500:33 7.30946e-05
75 *3723:A *500:39 0.000266832
76 *3723:A *500:43 8.95863e-05
77 *3738:A *3840:A 0.000161493
78 *3782:A *500:68 0.000778443
79 *3806:A *500:77 2.54199e-05
80 *3807:A *500:77 0.000320287
81 *3837:A *500:77 0
82 *3839:A *500:5 0.000156955
83 *3931:A *500:77 0
84 *4110:A1 *500:68 0.000113968
85 *4110:S *500:68 2.65667e-05
86 *4231:D *500:76 1.87611e-05
87 *226:61 *500:68 0.000345881
88 *226:61 *500:76 4.30908e-05
89 *306:13 *500:33 3.50799e-05
90 *306:38 *500:33 2.78356e-05
91 *309:11 *500:34 0.000111545
92 *346:11 *500:33 1.89527e-06
93 *346:17 *500:34 0.00049099
94 *352:11 *500:34 1.87269e-05
95 *354:14 *500:34 0.000277485
96 *354:19 *500:34 0.000128538
97 *373:12 *500:33 0.000562296
98 *380:38 *500:33 2.00661e-05
99 *418:19 *500:68 0.000113968
100 *437:7 *3840:A 0.000479276
101 *437:32 *3840:A 0.000108149
102 *444:23 *3840:A 0.00190745
103 *444:40 *500:12 0
104 *450:11 *500:84 9.24241e-05
105 *450:55 *500:33 6.84784e-06
106 *450:59 *500:34 0
107 *450:65 *500:34 0
108 *456:29 *500:43 0.000166585
109 *462:10 *500:84 0
110 *469:25 *500:12 0.00190579
111 *487:18 *500:12 0.000159478
112 *487:68 *3842:A 0.000387915
113 *487:68 *500:43 0.00145829
114 *493:24 *500:12 0.000432487
115 *499:8 *500:55 0.000120052
116 *499:10 *500:33 0.000215557
117 *499:10 *500:55 3.31882e-05
118 *499:14 *500:33 0.000148144
*RES
1 *3839:X *500:5 13.3002
2 *500:5 *500:7 70.6034
3 *500:7 *500:12 48.6199
4 *500:12 *3848:A 9.24915
5 *500:5 *500:17 4.5
6 *500:17 *500:33 33.7787
7 *500:33 *500:34 113.327
8 *500:34 *500:39 9.68434
9 *500:39 *500:43 49.5526
10 *500:43 *3846:A 9.24915
11 *500:43 *3842:A 13.3002
12 *500:17 *500:55 3.90826
13 *500:55 *500:68 40.0187
14 *500:68 *500:76 37.5833
15 *500:76 *500:77 53.7385
16 *500:77 *3844:A 13.7491
17 *500:55 *500:84 52.0775
18 *500:84 *3840:A 46.519
*END
*D_NET *501 0.00162219
*CONN
*I *3841:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3840:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3841:A 0.000611677
2 *3840:X 0.000611677
3 *3841:A *3900:A 0.000107496
4 *3841:A *4181:A 0.00022991
5 *3841:A *4202:TE_B 0
6 *3841:A *530:16 0
7 io_oeb[15] *3841:A 0
8 io_oeb[19] *3841:A 6.14273e-05
*RES
1 *3840:X *3841:A 43.0659
*END
*D_NET *502 0.00114889
*CONN
*I *3843:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3842:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3843:A 0.000320951
2 *3842:X 0.000320951
3 *3843:A *4214:TE_B 7.87271e-05
4 *3843:A *506:26 0.000324151
5 *3843:A *756:11 0.000104113
*RES
1 *3842:X *3843:A 35.3182
*END
*D_NET *503 0.000616782
*CONN
*I *3845:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3844:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3845:A 0.000248848
2 *3844:X 0.000248848
3 *462:40 *3845:A 0.000119086
*RES
1 *3844:X *3845:A 24.816
*END
*D_NET *504 0.00208686
*CONN
*I *3847:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3846:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3847:A 0.00033403
2 *3846:X 0.00033403
3 *3847:A *4184:A 0.00043038
4 *3847:A *4184:TE_B 7.06457e-05
5 *3847:A *4211:A 0
6 *3847:A *4214:A 0.000122098
7 *87:9 *3847:A 0.000795674
*RES
1 *3846:X *3847:A 41.7189
*END
*D_NET *505 0.00160124
*CONN
*I *3849:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3848:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3849:A 0.000724013
2 *3848:X 0.000724013
3 *462:16 *3849:A 0.00015321
*RES
1 *3848:X *3849:A 39.2032
*END
*D_NET *506 0.0529065
*CONN
*I *3857:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3851:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3853:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3859:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3855:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3850:X O *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 *3857:A 0
2 *3851:A 1.59132e-05
3 *3853:A 0.000158234
4 *3859:A 0.000221171
5 *3855:A 0.000261846
6 *3850:X 0
7 *506:80 0.00252105
8 *506:79 0.00252105
9 *506:77 0.00205115
10 *506:76 0.00208416
11 *506:65 0.00221479
12 *506:64 0.00287199
13 *506:61 0.00225897
14 *506:30 0.000578526
15 *506:26 0.00179725
16 *506:25 0.00159813
17 *506:12 0.00474671
18 *506:11 0.00448486
19 *506:9 0.00189656
20 *506:5 0.000343703
21 *3851:A *3852:A 2.65831e-05
22 *3853:A *3854:A 2.65667e-05
23 *3855:A *3856:A 0.000738855
24 *3855:A *3917:A 1.32509e-05
25 *3855:A *3930:A 7.58194e-05
26 *3855:A *4169:A 2.65667e-05
27 *3855:A *4169:TE_B 0.000141533
28 *3855:A *765:10 0
29 *506:9 *518:41 0.000104731
30 *506:12 *3558:A2 0
31 *506:12 *3563:A2 1.45944e-05
32 *506:12 *4169:TE_B 0.000158353
33 *506:12 *518:62 0.000425375
34 *506:12 *524:8 0.0051033
35 *506:12 *751:11 0
36 *506:12 *765:10 0
37 *506:12 *821:8 0
38 *506:12 *861:20 0
39 *506:12 *885:8 0
40 *506:12 *887:10 0
41 *506:26 *3930:A 0.000266832
42 *506:30 *4139:TE_B 8.28869e-05
43 *506:61 *3996:B1 0.000139764
44 *506:61 *4230:CLK 2.07365e-05
45 *506:61 *809:70 0.000258142
46 *506:61 *859:97 5.39559e-05
47 *506:64 *3645:A 0.000275256
48 *506:64 *552:8 0.000171288
49 *506:64 *824:39 0.000154145
50 *506:64 *826:13 6.50727e-05
51 *506:65 *3923:A 0
52 *506:65 *3924:A 0.000148129
53 *506:65 *3938:A 0
54 *506:65 *542:60 0
55 *506:65 *734:11 0.000128404
56 *506:65 *741:14 0
57 *506:65 *767:13 0.000550183
58 *506:76 *518:41 4.33979e-05
59 *506:80 *3873:A 0.0002212
60 *506:80 *4190:TE_B 0
61 *506:80 *4198:TE_B 0
62 *506:80 *4217:A 0
63 io_out[24] *3855:A 3.20069e-06
64 la1_data_out[26] *3859:A 0
65 *3553:A *506:12 0
66 *3561:A1 *506:12 0.000164108
67 *3563:A1 *506:12 3.77804e-05
68 *3581:A *506:12 3.55432e-05
69 *3582:A1 *506:12 0.000175689
70 *3646:B *506:65 0
71 *3764:A *3859:A 0.000127164
72 *3817:A *506:26 9.92709e-05
73 *3842:A *506:26 0.000169038
74 *3843:A *506:26 0.000324151
75 *3883:A *506:77 0.000466242
76 *3973:B *506:64 0.000224381
77 *3977:A *506:64 0.000848795
78 *4262:D *506:12 0.000101133
79 *4296:A *3859:A 0
80 *226:43 *506:61 2.96862e-05
81 *226:61 *506:61 3.20069e-06
82 *228:10 *506:61 9.24241e-05
83 *228:10 *506:64 8.9913e-05
84 *295:10 *506:12 0.000100904
85 *328:21 *506:12 0
86 *344:8 *506:12 0.000149628
87 *345:14 *506:12 0.000126039
88 *378:58 *506:12 0
89 *413:7 *506:61 0.000164815
90 *414:31 *506:61 0.000160384
91 *425:32 *3859:A 0.000132694
92 *425:45 *506:30 0.000479276
93 *425:61 *3855:A 0.000124473
94 *456:29 *506:26 3.63947e-05
95 *462:40 *3851:A 2.65831e-05
96 *468:8 *506:9 0
97 *468:8 *506:12 1.03986e-05
98 *468:8 *506:76 0
99 *468:10 *506:12 4.3116e-06
100 *468:23 *506:12 2.32311e-05
101 *487:5 *506:61 1.09551e-05
102 *487:7 *506:9 0.000430366
103 *487:7 *506:61 0.000598567
104 *487:34 *506:61 5.84003e-05
105 *487:68 *506:26 7.96628e-05
106 *490:11 *506:26 0.00126109
107 *490:11 *506:30 3.90497e-05
108 *499:28 *506:77 3.31745e-05
109 *500:43 *506:26 0.00380333
*RES
1 *3850:X *506:5 13.7491
2 *506:5 *506:9 10.9376
3 *506:9 *506:11 4.5
4 *506:11 *506:12 141.357
5 *506:12 *3855:A 25.7541
6 *506:12 *506:25 4.5
7 *506:25 *506:26 60.3433
8 *506:26 *506:30 7.68005
9 *506:30 *3859:A 25.4794
10 *506:30 *3853:A 13.3243
11 *506:9 *506:61 38.6987
12 *506:61 *506:64 25.1891
13 *506:64 *506:65 59.1368
14 *506:65 *3851:A 14.4725
15 *506:5 *506:76 5.50149
16 *506:76 *506:77 48.9739
17 *506:77 *506:79 4.5
18 *506:79 *506:80 63.2893
19 *506:80 *3857:A 13.7491
*END
*D_NET *507 0.00172201
*CONN
*I *3852:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3851:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3852:A 0.000634084
2 *3851:X 0.000634084
3 *3852:A *741:14 0.000346303
4 *3851:A *3852:A 2.65831e-05
5 *462:40 *3852:A 8.09561e-05
6 *500:77 *3852:A 0
*RES
1 *3851:X *3852:A 40.3068
*END
*D_NET *508 0.000945533
*CONN
*I *3854:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3853:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3854:A 0.000381157
2 *3853:X 0.000381157
3 *3854:A *693:11 4.27148e-05
4 io_oeb[25] *3854:A 0
5 io_out[18] *3854:A 0.000113939
6 la1_data_out[4] *3854:A 0
7 *3853:A *3854:A 2.65667e-05
8 *490:11 *3854:A 0
*RES
1 *3853:X *3854:A 37.5282
*END
*D_NET *509 0.00275826
*CONN
*I *3856:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3855:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3856:A 0.000834174
2 *3855:X 0.000834174
3 *3856:A *3930:A 2.36743e-05
4 *3856:A *765:10 4.5539e-05
5 io_out[24] *3856:A 0.000266846
6 *3855:A *3856:A 0.000738855
7 *425:61 *3856:A 1.49927e-05
*RES
1 *3855:X *3856:A 42.6702
*END
*D_NET *510 0.00101586
*CONN
*I *3858:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3857:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3858:A 0.000446739
2 *3857:X 0.000446739
3 *3858:A *3903:A 0.000122378
4 *3858:A *4190:TE_B 0
5 *3858:A *4217:A 0
6 *3858:A *4217:TE_B 0
7 io_oeb[34] *3858:A 0
8 io_oeb[7] *3858:A 0
*RES
1 *3857:X *3858:A 37.6676
*END
*D_NET *511 0.000757327
*CONN
*I *3860:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3859:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3860:A 0.00029847
2 *3859:X 0.00029847
3 *3860:A *4178:TE_B 0
4 *3860:A *760:13 0
5 *3860:A *885:5 1.53125e-05
6 *425:32 *3860:A 0.000145074
*RES
1 *3859:X *3860:A 34.7608
*END
*D_NET *512 0.0442301
*CONN
*I *3866:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3870:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3862:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3864:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3868:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3861:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *3866:A 0.000947761
2 *3870:A 0.00013952
3 *3862:A 2.63353e-05
4 *3864:A 4.98592e-05
5 *3868:A 0.000274034
6 *3861:X 0
7 *512:71 0.00161152
8 *512:59 0.000550576
9 *512:57 0.00150533
10 *512:56 0.00150533
11 *512:46 0.00103773
12 *512:44 0.00338237
13 *512:32 0.00322547
14 *512:20 0.00372447
15 *512:12 0.00216638
16 *512:11 0.00234768
17 *512:5 0.00334883
18 *3862:A *3863:A 7.34948e-06
19 *3862:A *4218:A 1.00846e-05
20 *3864:A *3865:A 2.65667e-05
21 *3866:A *3880:A 1.43848e-05
22 *3866:A *4205:TE_B 3.01634e-05
23 *3866:A *518:12 8.62625e-06
24 *3866:A *518:22 0
25 *3868:A *3869:A 0.000149488
26 *3870:A *3871:A 7.34948e-06
27 *512:11 *3531:C 0.000464312
28 *512:11 *3541:A 0.000264583
29 *512:11 *542:8 0.000135093
30 *512:11 *814:10 9.60216e-05
31 *512:11 *883:94 0
32 *512:11 *883:117 0
33 *512:12 *3554:A2 3.14544e-05
34 *512:12 *3558:B2 0.000234961
35 *512:12 *3626:C1 1.05601e-05
36 *512:12 *4259:CLK 8.01741e-05
37 *512:12 *806:100 9.2346e-06
38 *512:20 *885:8 6.42805e-05
39 *512:20 *888:163 0.000118122
40 *512:32 *3618:A1 6.50727e-05
41 *512:32 *3957:A 0.000153266
42 *512:32 *4154:A 1.9503e-05
43 *512:32 *4156:TE_B 0.000222149
44 *512:44 *542:8 1.8906e-05
45 *512:46 *3865:A 0.000112048
46 *512:57 *3863:A 0.000338677
47 *512:57 *4164:A 0
48 *512:57 *4215:A 0
49 *512:57 *645:14 0.00336353
50 *512:71 *4218:A 4.03381e-05
51 *512:71 *518:12 0.000278153
52 io_oeb[6] *512:32 5.39635e-06
53 *3529:A *512:11 0.000319683
54 *3529:A *512:12 3.2071e-05
55 *3536:B *512:12 3.88655e-05
56 *3537:B *512:12 9.05245e-05
57 *3552:A1 *512:12 0.000551053
58 *3579:A *512:12 0.000202172
59 *3604:A1 *512:11 0.000212668
60 *3740:A *3866:A 0.000318734
61 *3800:A *512:32 0
62 *4260:D *512:20 0.000981016
63 *4265:D *512:11 7.37957e-05
64 *4286:A *512:57 4.1026e-05
65 *302:8 *512:11 0.000217587
66 *306:38 *512:11 3.71118e-05
67 *309:11 *512:12 0.000281382
68 *346:12 *512:11 6.01944e-06
69 *346:17 *512:11 1.5147e-05
70 *351:25 *512:20 0.000101148
71 *352:11 *512:12 0.000552414
72 *354:14 *512:11 7.63284e-05
73 *354:30 *512:12 1.5714e-05
74 *371:8 *512:11 7.95345e-05
75 *450:59 *512:12 0.000410209
76 *456:12 *3866:A 0
77 *456:12 *512:71 0.000422775
78 *487:7 *512:44 0.00393903
79 *487:17 *512:44 2.41427e-05
80 *487:17 *512:46 0.00249577
81 *487:36 *512:20 0
82 *493:19 *512:11 9.75356e-05
83 *500:33 *512:11 0
84 *500:33 *512:44 0
85 *500:34 *512:11 0.00010801
86 *500:34 *512:12 0.000265579
*RES
1 *3861:X *512:5 13.7491
2 *512:5 *512:11 40.2106
3 *512:11 *512:12 48.3402
4 *512:12 *512:20 35.6003
5 *512:20 *512:32 43.1811
6 *512:32 *3868:A 16.6278
7 *512:5 *512:44 49.0914
8 *512:44 *512:46 30.3947
9 *512:46 *3864:A 10.5513
10 *512:46 *512:56 4.5
11 *512:56 *512:57 57.4758
12 *512:57 *512:59 4.5
13 *512:59 *3862:A 9.97254
14 *512:59 *512:71 27.753
15 *512:71 *3870:A 12.191
16 *512:71 *3866:A 43.3675
*END
*D_NET *513 0.000890244
*CONN
*I *3863:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3862:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3863:A 0.000192693
2 *3862:X 0.000192693
3 *3863:A *4218:A 0.000118166
4 *3863:A *4218:TE_B 4.06661e-05
5 *3862:A *3863:A 7.34948e-06
6 *512:57 *3863:A 0.000338677
*RES
1 *3862:X *3863:A 34.7608
*END
*D_NET *514 0.000644738
*CONN
*I *3865:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3864:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3865:A 0.000253062
2 *3864:X 0.000253062
3 *3864:A *3865:A 2.65667e-05
4 *512:46 *3865:A 0.000112048
*RES
1 *3864:X *3865:A 24.816
*END
*D_NET *515 0.000708683
*CONN
*I *3867:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3866:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3867:A 0.000290759
2 *3866:X 0.000290759
3 *3867:A *4176:A 0
4 *3819:A *3867:A 0.000127164
*RES
1 *3866:X *3867:A 32.6874
*END
*D_NET *516 0.00123869
*CONN
*I *3869:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3868:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3869:A 0.000527859
2 *3868:X 0.000527859
3 *3869:A *4129:A 0
4 *3869:A *4189:TE_B 3.34802e-05
5 *3868:A *3869:A 0.000149488
6 *462:47 *3869:A 0
*RES
1 *3868:X *3869:A 36.9848
*END
*D_NET *517 0.000814071
*CONN
*I *3871:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3870:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3871:A 0.000271442
2 *3870:X 0.000271442
3 *3871:A *4152:A 1.44611e-05
4 *3871:A *4220:TE_B 5.39608e-05
5 *3871:A *645:14 9.92715e-05
6 io_oeb[37] *3871:A 9.61451e-05
7 *3870:A *3871:A 7.34948e-06
*RES
1 *3870:X *3871:A 34.7608
*END
*D_NET *518 0.0548815
*CONN
*I *3881:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3873:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3875:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3877:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3879:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3872:X O *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 *3881:A 0.0011518
2 *3873:A 0.000118962
3 *3875:A 0
4 *3877:A 0.000990386
5 *3879:A 0
6 *3872:X 0
7 *518:78 0.00258186
8 *518:62 0.00247946
9 *518:44 0.00164853
10 *518:43 0.00152957
11 *518:41 0.0021121
12 *518:40 0.0021121
13 *518:22 0.00313441
14 *518:21 0.00222784
15 *518:14 8.38194e-05
16 *518:12 0.00160659
17 *518:11 0.00160659
18 *518:9 0.00100001
19 *518:7 0.00103239
20 *518:4 0.00108178
21 *3877:A *3878:A 0.000122378
22 *3877:A *4171:A 1.80647e-05
23 *3877:A *4171:TE_B 0.000341993
24 *3877:A *728:11 0.000102357
25 *3881:A *3882:A 2.85274e-05
26 *3881:A *4194:A 0.000148234
27 *3881:A *4194:TE_B 0.000220183
28 *3881:A *856:8 6.08467e-05
29 *518:12 *3906:A 0
30 *518:12 *4164:TE_B 0.000119538
31 *518:12 *536:18 0
32 *518:12 *536:34 0
33 *518:12 *886:8 0.00107779
34 *518:21 *3880:A 5.67722e-05
35 *518:22 *3880:A 0.000148129
36 *518:22 *3906:A 0.000278892
37 *518:22 *4116:TE_B 0
38 *518:22 *4175:A 0
39 *518:22 *4175:TE_B 0
40 *518:22 *4205:A 5.04734e-05
41 *518:22 *4205:TE_B 4.26859e-05
42 *518:22 *728:11 0.00025467
43 *518:44 *3891:A 0.000489918
44 *518:44 *524:56 0.000118248
45 *518:78 *3538:A 0.000254077
46 *518:78 *3633:A2 1.86064e-05
47 *518:78 *4250:CLK 0.000157849
48 *518:78 *809:14 0.000111995
49 *518:78 *809:16 5.92192e-05
50 io_oeb[10] *518:22 1.91246e-05
51 io_oeb[36] *518:22 0.000191215
52 io_out[26] *3877:A 1.41291e-05
53 io_out[30] *518:22 9.61451e-05
54 la1_data_out[20] *518:22 0.000139234
55 la1_data_out[3] *518:22 2.02035e-05
56 *3539:A *518:62 5.46286e-05
57 *3603:A1 *518:62 2.65831e-05
58 *3611:C1 *518:78 0.000469109
59 *3656:B1 *518:78 1.09738e-05
60 *3714:A *3877:A 0
61 *3760:A *518:44 0.00020979
62 *3762:A *3877:A 4.47578e-05
63 *3782:A *518:41 0
64 *3798:A *3881:A 0
65 *3816:A *518:62 6.08467e-05
66 *3861:A *518:9 0.000185447
67 *3866:A *518:12 8.62625e-06
68 *3866:A *518:22 0
69 *3872:A *518:9 3.58044e-05
70 *4112:A1 *518:78 0
71 *4250:D *3881:A 7.63284e-05
72 *4250:D *518:78 7.70707e-05
73 *4290:A *518:12 2.02035e-05
74 *154:10 *518:22 0
75 *219:49 *3881:A 0.000106245
76 *313:5 *518:62 0.000154599
77 *313:5 *518:78 0.000360407
78 *380:23 *518:78 5.80728e-05
79 *384:29 *518:78 0
80 *385:5 *518:78 0.000809943
81 *391:11 *3881:A 6.7755e-05
82 *410:12 *518:78 0.000356525
83 *438:39 *518:41 0.00149418
84 *450:5 *518:44 5.46286e-05
85 *450:54 *518:44 1.80122e-05
86 *450:55 *518:41 0
87 *456:7 *518:44 0.00309359
88 *456:12 *3877:A 0
89 *456:12 *518:12 0
90 *456:12 *518:22 0
91 *456:25 *3877:A 0.000177049
92 *468:8 *518:41 0
93 *468:10 *518:41 0
94 *468:10 *518:62 0.000196638
95 *468:12 *518:62 0.000388232
96 *468:23 *518:62 0.000412809
97 *469:22 *518:7 5.31074e-05
98 *469:22 *518:9 0.0072603
99 *469:22 *518:62 0.000132398
100 *469:25 *518:12 0.00365016
101 *487:7 *518:7 1.00981e-05
102 *487:7 *518:9 0.00108613
103 *487:7 *518:62 0.000118112
104 *487:17 *518:9 0.00120056
105 *500:12 *518:12 9.22013e-06
106 *506:9 *518:41 0.000104731
107 *506:12 *518:62 0.000425375
108 *506:76 *518:41 4.33979e-05
109 *506:80 *3873:A 0.0002212
110 *512:71 *518:12 0.000278153
*RES
1 *3872:X *518:4 9.24915
2 *518:4 *518:7 1.30211
3 *518:7 *518:9 77.8133
4 *518:9 *518:11 4.5
5 *518:11 *518:12 72.4249
6 *518:12 *518:14 4.5
7 *518:14 *3879:A 9.24915
8 *518:14 *518:21 6.88721
9 *518:21 *518:22 59.9673
10 *518:22 *3877:A 39.3405
11 *518:22 *3875:A 13.7491
12 *518:7 *518:40 4.5
13 *518:40 *518:41 59.9673
14 *518:41 *518:43 4.5
15 *518:43 *518:44 48.9739
16 *518:44 *3873:A 22.1574
17 *518:4 *518:62 44.3053
18 *518:62 *518:78 42.2157
19 *518:78 *3881:A 43.7603
*END
*D_NET *519 0.000703527
*CONN
*I *3874:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3873:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3874:A 0.000224486
2 *3873:X 0.000224486
3 *444:23 *3874:A 0.000254554
*RES
1 *3873:X *3874:A 24.816
*END
*D_NET *520 0.00273804
*CONN
*I *3876:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3875:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3876:A 0
2 *3875:X 0.00105208
3 *520:15 0.00105208
4 *520:15 *3928:A 2.82583e-05
5 *520:15 *4171:A 0
6 *520:15 *4171:TE_B 9.56924e-05
7 *520:15 *773:13 0
8 io_oeb[14] *520:15 2.07023e-05
9 *3769:A *520:15 3.82228e-05
10 *3770:A *520:15 9.46033e-05
11 *424:38 *520:15 0.000330596
12 *456:29 *520:15 2.57986e-05
*RES
1 *3875:X *520:15 42.6677
2 *520:15 *3876:A 9.24915
*END
*D_NET *521 0.0018437
*CONN
*I *3878:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3877:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3878:A 0.000650972
2 *3877:X 0.000650972
3 *3878:A *4136:A 0
4 *3878:A *4136:TE_B 0
5 *3878:A *889:6 0
6 io_oeb[9] *3878:A 0.000419375
7 *3877:A *3878:A 0.000122378
*RES
1 *3877:X *3878:A 43.0687
*END
*D_NET *522 0.00165648
*CONN
*I *3880:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3879:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3880:A 0.000523715
2 *3879:X 0.000523715
3 *3880:A *3906:A 0.000144546
4 *3880:A *4193:A 4.31703e-05
5 *3880:A *4193:TE_B 0.00020205
6 *3866:A *3880:A 1.43848e-05
7 *518:21 *3880:A 5.67722e-05
8 *518:22 *3880:A 0.000148129
*RES
1 *3879:X *3880:A 40.4812
*END
*D_NET *523 0.00102027
*CONN
*I *3882:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3881:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3882:A 0.00046764
2 *3881:X 0.00046764
3 *3881:A *3882:A 2.85274e-05
4 *450:28 *3882:A 5.64617e-05
*RES
1 *3881:X *3882:A 35.4898
*END
*D_NET *524 0.0526934
*CONN
*I *3890:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3884:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3886:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3892:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3888:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3883:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *3890:A 9.63492e-05
2 *3884:A 0.000533043
3 *3886:A 0
4 *3892:A 0
5 *3888:A 0
6 *3883:X 0
7 *524:56 0.00319424
8 *524:55 0.0030979
9 *524:53 0.00134262
10 *524:52 0.00134262
11 *524:23 0.00116274
12 *524:21 0.00119799
13 *524:19 0.00349395
14 *524:18 0.00352622
15 *524:14 0.00164853
16 *524:11 0.00453535
17 *524:10 0.0024213
18 *524:8 0.00235975
19 *524:7 0.00243375
20 *524:4 7.40008e-05
21 *3884:A *3887:A 0.000212832
22 *3884:A *4195:TE_B 0.00023294
23 *3890:A *4198:TE_B 5.56461e-05
24 *524:8 *3521:A 7.45404e-05
25 *524:8 *3564:A1 0.000284063
26 *524:8 *3567:A 0
27 *524:8 *3574:B1 0.000151741
28 *524:8 *3615:A1 1.75625e-05
29 *524:8 *3618:A1 3.00073e-05
30 *524:8 *806:75 9.21721e-05
31 *524:8 *806:82 0.000122235
32 *524:8 *807:100 0
33 *524:8 *807:102 0
34 *524:8 *871:8 0
35 *524:8 *871:24 0
36 *524:8 *885:8 0
37 *524:11 *3887:A 5.0715e-05
38 *524:14 *3893:A 5.82465e-05
39 *524:14 *4117:A 3.31733e-05
40 *524:14 *4123:A 0
41 *524:14 *4143:A 0
42 *524:14 *4196:A 0
43 *524:14 *4199:TE_B 5.22071e-05
44 *524:14 *4208:A 0
45 *524:14 *4208:TE_B 0
46 *524:14 *4216:A 0
47 *524:18 *4117:A 0.000127179
48 *524:19 *4199:A 0.000161143
49 *524:19 *4199:TE_B 0.000649254
50 *524:19 *765:10 3.99372e-06
51 *524:19 *885:5 0.000711312
52 *524:23 *4124:A 6.47133e-05
53 *524:23 *765:10 0.000168538
54 *524:53 *3989:B1 0
55 *524:53 *3991:A 4.3116e-06
56 *524:53 *3992:A2 0.000325384
57 *524:53 *4233:CLK 0.000151079
58 *524:53 *586:8 5.32652e-05
59 *3565:C1 *524:8 5.58402e-05
60 *3760:A *524:56 0.000260505
61 *3784:A *524:8 0.000253387
62 *4264:D *524:8 4.44689e-05
63 *115:12 *524:8 0
64 *294:11 *524:8 0.000351752
65 *351:18 *524:8 0
66 *417:10 *524:53 0.000638544
67 *424:38 *524:23 0.00263497
68 *438:45 *3884:A 7.58735e-05
69 *450:5 *524:56 0.000217923
70 *450:54 *524:56 0.000107496
71 *450:66 *524:23 0.00194409
72 *456:7 *3890:A 0.000334808
73 *456:7 *524:56 0.000612226
74 *462:47 *524:14 0
75 *468:8 *524:8 0
76 *469:19 *524:8 7.55384e-05
77 *469:46 *524:8 6.49518e-05
78 *469:48 *524:8 4.51619e-05
79 *469:48 *524:53 0.00340574
80 *506:12 *524:8 0.0051033
81 *518:44 *524:56 0.000118248
*RES
1 *3883:X *524:4 9.24915
2 *524:4 *524:7 5.778
3 *524:7 *524:8 92.7722
4 *524:8 *524:10 4.5
5 *524:10 *524:11 57.8476
6 *524:11 *524:14 44.5352
7 *524:14 *524:18 6.74725
8 *524:18 *524:19 56.4611
9 *524:19 *524:21 0.988641
10 *524:21 *524:23 57.5703
11 *524:23 *3888:A 9.24915
12 *524:14 *3892:A 13.7491
13 *524:11 *3886:A 9.24915
14 *524:11 *3884:A 33.4718
15 *524:4 *524:52 4.5
16 *524:52 *524:53 58.3063
17 *524:53 *524:55 4.5
18 *524:55 *524:56 49.5285
19 *524:56 *3890:A 13.7342
*END
*D_NET *525 0.00057314
*CONN
*I *3885:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3884:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3885:A 0.00028657
2 *3884:X 0.00028657
*RES
1 *3884:X *3885:A 24.816
*END
*D_NET *526 0.00106359
*CONN
*I *3887:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3886:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3887:A 0.000332538
2 *3886:X 0.000332538
3 *3887:A *4196:A 4.70005e-05
4 *3887:A *4196:TE_B 7.14746e-05
5 *3884:A *3887:A 0.000212832
6 *462:47 *3887:A 1.64943e-05
7 *524:11 *3887:A 5.0715e-05
*RES
1 *3886:X *3887:A 34.3512
*END
*D_NET *527 0.00167594
*CONN
*I *3889:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3888:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3889:A 0.000361724
2 *3888:X 0.000361724
3 *3889:A *4192:A 0
4 *3889:A *4200:A 0.000156823
5 *3889:A *530:37 0
6 *3889:A *889:6 0
7 *424:38 *3889:A 0.000795674
*RES
1 *3888:X *3889:A 39.0639
*END
*D_NET *528 0.0012206
*CONN
*I *3891:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3890:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3891:A 0.000327799
2 *3890:X 0.000327799
3 *3891:A *530:16 7.50872e-05
4 *518:44 *3891:A 0.000489918
*RES
1 *3890:X *3891:A 34.0753
*END
*D_NET *529 0.000752056
*CONN
*I *3893:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3892:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3893:A 0.000256639
2 *3892:X 0.000256639
3 *3893:A *669:10 0.000180532
4 *524:14 *3893:A 5.82465e-05
*RES
1 *3892:X *3893:A 34.7664
*END
*D_NET *530 0.0545609
*CONN
*I *3897:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3901:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3895:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3899:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3903:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3894:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *3897:A 0
2 *3901:A 0.00215534
3 *3895:A 0
4 *3899:A 0.000254955
5 *3903:A 0.000659065
6 *3894:X 0
7 *530:85 0.0014146
8 *530:82 0.00258335
9 *530:78 0.00224472
10 *530:60 0.00558159
11 *530:47 0.00544865
12 *530:46 0.00202241
13 *530:44 0.00115956
14 *530:37 0.00726998
15 *530:36 0.00726998
16 *530:16 0.00215285
17 *530:7 0.0025604
18 *530:4 0.00140517
19 *3899:A *4190:TE_B 0
20 *3901:A *4238:CLK 4.69495e-06
21 *3901:A *840:25 0
22 *3901:A *840:43 0
23 *3903:A *4198:A 0.000334292
24 *530:7 *536:11 1.00846e-05
25 *530:7 *645:9 0.00207903
26 *530:16 *4190:TE_B 0
27 *530:16 *4198:TE_B 0
28 *530:16 *645:9 0.000224381
29 *530:37 *3624:B2 0
30 *530:37 *3628:B2 0
31 *530:37 *4200:A 0
32 *530:37 *883:127 0
33 *530:37 *883:129 0
34 *530:37 *883:134 0
35 *530:47 *3547:B2 5.23728e-05
36 *530:47 *3623:A2 6.487e-05
37 *530:47 *536:8 0
38 *530:47 *542:8 0
39 *530:47 *866:18 0.000830221
40 *530:47 *869:8 0
41 *530:47 *869:25 0.00024803
42 *530:47 *878:8 0.00254856
43 *530:60 *3547:B2 5.23351e-06
44 *530:60 *3548:B2 0.000110844
45 *530:60 *3550:B2 0.000368736
46 *530:60 *3626:B1 0.000296379
47 *530:60 *3668:A1 0.000139435
48 *530:60 *841:7 3.31745e-05
49 *530:60 *841:8 5.92192e-05
50 *530:60 *841:44 0.000140333
51 *530:60 *857:69 0
52 *530:60 *869:25 0.000162865
53 *530:60 *888:21 4.41125e-05
54 *530:85 *4201:TE_B 0
55 *530:85 *754:10 0
56 *530:85 *839:8 0
57 io_oeb[15] *3899:A 0.000539457
58 io_oeb[15] *530:16 5.92192e-05
59 io_oeb[18] *530:85 0
60 io_oeb[7] *3899:A 0
61 *3534:B *530:60 7.1998e-05
62 *3548:A1 *530:60 0
63 *3598:A3 *530:60 3.55859e-05
64 *3664:B_N *530:60 0.000311261
65 *3665:B1 *530:60 0.000114594
66 *3666:B *530:60 2.16608e-05
67 *3673:A2 *530:60 8.67627e-06
68 *3706:A *530:85 0.000346318
69 *3776:A *530:85 0
70 *3777:A *530:85 0
71 *3814:A *530:85 0
72 *3841:A *530:16 0
73 *3858:A *3903:A 0.000122378
74 *3889:A *530:37 0
75 *3891:A *530:16 7.50872e-05
76 *4255:D *530:47 0.00014014
77 *278:11 *530:47 0.000133466
78 *300:12 *530:60 2.01653e-05
79 *300:29 *530:60 0
80 *326:11 *530:60 1.1246e-05
81 *351:13 *530:60 0
82 *418:19 *530:47 0
83 *438:9 *3903:A 0.000542749
84 *444:54 *530:47 0
85 *462:47 *3901:A 4.75721e-06
86 *481:51 *530:85 6.26227e-05
87 *481:56 *530:85 0
*RES
1 *3894:X *530:4 9.24915
2 *530:4 *530:7 24.0409
3 *530:7 *530:16 34.1229
4 *530:16 *3903:A 35.7404
5 *530:16 *3899:A 23.0557
6 *530:7 *530:36 4.5
7 *530:36 *530:37 180.39
8 *530:37 *3895:A 13.7491
9 *530:4 *530:44 1.8326
10 *530:44 *530:46 4.5
11 *530:46 *530:47 70.2631
12 *530:47 *530:60 45.9941
13 *530:60 *3901:A 31.3776
14 *530:44 *530:78 22.5097
15 *530:78 *530:82 32.6763
16 *530:82 *530:85 41.2132
17 *530:85 *3897:A 9.24915
*END
*D_NET *531 0.000692255
*CONN
*I *3896:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3895:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3896:A 0.000284548
2 *3895:X 0.000284548
3 *3896:A *4197:A 0.00012316
4 *3896:A *4200:A 0
*RES
1 *3895:X *3896:A 33.1026
*END
*D_NET *532 0.000354621
*CONN
*I *3898:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3897:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3898:A 0.000113265
2 *3897:X 0.000113265
3 *3898:A *874:13 0.000128091
*RES
1 *3897:X *3898:A 22.4287
*END
*D_NET *533 0.00125094
*CONN
*I *3900:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3899:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3900:A 0.000415212
2 *3899:X 0.000415212
3 *3900:A *4181:A 0.00015888
4 *3900:A *4181:TE_B 0.000154145
5 *3841:A *3900:A 0.000107496
*RES
1 *3899:X *3900:A 29.2528
*END
*D_NET *534 0.00187716
*CONN
*I *3902:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3901:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3902:A 0.000749119
2 *3901:X 0.000749119
3 *3902:A *4119:TE_B 2.34044e-05
4 *3902:A *4168:TE_B 0
5 *3902:A *4203:A 9.18559e-06
6 *450:38 *3902:A 0.000346333
*RES
1 *3901:X *3902:A 41.416
*END
*D_NET *535 0.000996781
*CONN
*I *3904:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3903:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3904:A 0.000415195
2 *3903:X 0.000415195
3 *3904:A *4131:A 0.000153225
4 *3904:A *730:13 0
5 *3904:A *786:10 0
6 *3729:A *3904:A 0
7 *438:9 *3904:A 1.31657e-05
*RES
1 *3903:X *3904:A 37.113
*END
*D_NET *536 0.0360626
*CONN
*I *3908:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3912:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3914:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3906:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3910:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3905:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *3908:A 0.00012531
2 *3912:A 0.000123719
3 *3914:A 0
4 *3906:A 0.000461211
5 *3910:A 4.25883e-05
6 *3905:X 0
7 *536:55 0.00135195
8 *536:54 0.00122823
9 *536:52 0.00108442
10 *536:51 0.00188505
11 *536:34 0.00148908
12 *536:20 0.00107046
13 *536:18 0.00160807
14 *536:17 0.00167081
15 *536:13 0.000670322
16 *536:11 0.00163461
17 *536:8 0.00131912
18 *536:4 0.00121804
19 *3906:A *3907:A 1.84293e-05
20 *3906:A *4193:A 0.000101148
21 *3908:A *3909:A 6.3657e-05
22 *3908:A *874:16 7.41058e-05
23 *3910:A *3911:A 0.000111722
24 *3912:A *4165:TE_B 0.000175674
25 *3912:A *4170:A 0
26 *3912:A *747:13 0
27 *536:11 *645:9 0.00143262
28 *536:13 *645:9 0.00245331
29 *536:17 *3915:A 4.26566e-05
30 *536:17 *645:9 0.000271058
31 *536:18 *4188:TE_B 0.000101133
32 *536:18 *886:8 0.00189419
33 *536:34 *3911:A 0.000108249
34 *536:34 *4220:A 0.000101148
35 *536:34 *886:11 0.000370801
36 *536:52 *874:16 8.04463e-05
37 *536:55 *4201:A 0.000118245
38 *536:55 *4201:TE_B 3.37866e-05
39 *536:55 *4207:A 0.000647008
40 *536:55 *4210:TE_B 0.000222149
41 io_oeb[35] *536:34 6.17372e-05
42 io_out[7] *536:34 3.13394e-05
43 *3755:A *536:55 2.41916e-05
44 *3814:A *536:55 0.000308139
45 *3815:A *536:55 0.000176388
46 *3880:A *3906:A 0.000144546
47 *80:18 *3906:A 0
48 *154:10 *3906:A 3.98142e-05
49 *444:40 *3906:A 0.000200439
50 *444:40 *536:34 0.000466923
51 *444:54 *536:8 0.00022902
52 *450:11 *536:55 0.000821593
53 *450:55 *536:52 0.00173578
54 *456:12 *536:18 0.00491391
55 *462:32 *3908:A 1.41307e-05
56 *462:40 *536:55 0.00120116
57 *469:25 *536:34 0
58 *487:18 *536:18 0
59 *518:12 *3906:A 0
60 *518:12 *536:18 0
61 *518:12 *536:34 0
62 *518:22 *3906:A 0.000278892
63 *530:7 *536:11 1.00846e-05
64 *530:47 *536:8 0
*RES
1 *3905:X *536:4 9.24915
2 *536:4 *536:8 17.8913
3 *536:8 *536:11 35.4102
4 *536:11 *536:13 25.9579
5 *536:13 *536:17 7.44181
6 *536:17 *536:18 83.6367
7 *536:18 *536:20 4.5
8 *536:20 *3910:A 10.5271
9 *536:20 *536:34 38.3417
10 *536:34 *3906:A 30.6307
11 *536:13 *3914:A 9.24915
12 *536:4 *536:51 17.4247
13 *536:51 *536:52 32.9759
14 *536:52 *536:54 4.5
15 *536:54 *536:55 47.3101
16 *536:55 *3912:A 22.1574
17 *536:52 *3908:A 17.5503
*END
*D_NET *537 0.00138377
*CONN
*I *3907:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3906:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3907:A 0.000606067
2 *3906:X 0.000606067
3 *3906:A *3907:A 1.84293e-05
4 *462:16 *3907:A 0.00015321
*RES
1 *3906:X *3907:A 37.5394
*END
*D_NET *538 0.000622933
*CONN
*I *3909:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3908:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3909:A 0.000243058
2 *3908:X 0.000243058
3 *3908:A *3909:A 6.3657e-05
4 *462:32 *3909:A 7.31596e-05
*RES
1 *3908:X *3909:A 24.816
*END
*D_NET *539 0.00073094
*CONN
*I *3911:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3910:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3911:A 0.000255485
2 *3910:X 0.000255485
3 *3910:A *3911:A 0.000111722
4 *536:34 *3911:A 0.000108249
*RES
1 *3910:X *3911:A 24.816
*END
*D_NET *540 0.000573439
*CONN
*I *3913:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3912:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3913:A 0.00012984
2 *3912:X 0.00012984
3 *3913:A *4165:TE_B 8.9075e-05
4 *450:17 *3913:A 0.000224684
*RES
1 *3912:X *3913:A 24.816
*END
*D_NET *541 0.00085784
*CONN
*I *3915:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3914:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3915:A 0.000320332
2 *3914:X 0.000320332
3 *3915:A *4166:A 0
4 *3915:A *4166:TE_B 1.79807e-05
5 *3915:A *645:9 7.98171e-06
6 *3915:A *645:14 0.000148129
7 *3698:A *3915:A 4.28856e-07
8 *536:17 *3915:A 4.26566e-05
*RES
1 *3914:X *3915:A 33.6572
*END
*D_NET *542 0.0451905
*CONN
*I *3923:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3919:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3921:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3917:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3925:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3916:X O *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 *3923:A 0.000883455
2 *3919:A 0.000600404
3 *3921:A 0
4 *3917:A 0.000543897
5 *3925:A 0.000458993
6 *3916:X 0.000122486
7 *542:60 0.00365567
8 *542:59 0.00630599
9 *542:55 0.00302195
10 *542:35 0.000848217
11 *542:10 0.000763313
12 *542:8 0.00632181
13 *542:7 0.00632181
14 *542:5 0.00077717
15 *3917:A *3918:A 2.32594e-05
16 *3917:A *3922:A 2.22198e-05
17 *3917:A *4169:TE_B 7.03198e-05
18 *3917:A *4186:TE_B 7.3752e-05
19 *3917:A *887:10 0
20 *3919:A *3920:A 0.000122378
21 *3919:A *557:19 0.00149397
22 *3923:A *4160:TE_B 0
23 *3923:A *767:13 0
24 *3925:A *4163:TE_B 0.000689473
25 *542:8 *3523:A 0.00013298
26 *542:8 *3547:A2 1.91246e-05
27 *542:8 *3583:A 6.43474e-05
28 *542:8 *3585:A 0.00022117
29 *542:8 *3601:B1 5.0124e-05
30 *542:8 *4266:CLK 8.79472e-05
31 *542:8 *807:50 3.88655e-05
32 *542:8 *857:57 5.24652e-05
33 *542:8 *857:69 0.000192179
34 *542:8 *857:76 0.000344149
35 *542:8 *866:9 0.000331044
36 *542:8 *868:8 0
37 *542:8 *869:30 1.89644e-05
38 *542:8 *878:8 0
39 *542:8 *883:245 0.00015324
40 *542:8 *884:6 0
41 *542:8 *888:6 0
42 *542:8 *888:108 7.08276e-05
43 *542:60 *3538:A 7.13655e-06
44 *542:60 *3632:B2 0
45 *542:60 *3635:B2 0.000412135
46 *542:60 *3636:B2 0.000219864
47 *542:60 *4101:A1 6.64609e-05
48 *542:60 *557:19 0
49 *542:60 *579:9 0
50 *542:60 *840:54 0.000345188
51 *542:60 *846:17 9.22013e-06
52 *542:60 *847:6 5.05252e-05
53 *542:60 *850:8 3.96199e-05
54 *3547:A1 *542:8 0.000522178
55 *3547:B1 *542:8 0.000151025
56 *3553:B *542:8 7.14746e-05
57 *3583:B *542:8 0.000134086
58 *3586:A1 *542:8 8.6297e-06
59 *3586:A2 *542:8 4.42987e-06
60 *3587:B *542:8 0
61 *3590:B2 *542:8 5.05252e-05
62 *3632:B1 *542:60 0.000155289
63 *3646:B *542:60 0.000396119
64 *3855:A *3917:A 1.32509e-05
65 *3976:B2 *542:60 3.29488e-05
66 *4246:D *542:60 0
67 *4295:A *542:8 0
68 *87:9 *3917:A 0.0012141
69 *224:10 *542:60 2.53624e-06
70 *299:19 *542:8 0.000136812
71 *299:34 *542:8 0.000295074
72 *299:45 *542:8 5.41377e-05
73 *340:13 *542:8 0.000278937
74 *354:14 *542:8 0.000239662
75 *354:19 *542:8 3.52628e-05
76 *354:30 *542:8 0
77 *371:8 *542:8 0.00109626
78 *381:19 *542:60 0
79 *392:16 *542:60 0.000259501
80 *392:18 *542:60 0.000501917
81 *394:23 *542:60 0
82 *397:60 *542:60 0
83 *424:47 *542:5 0.000142393
84 *424:47 *542:55 0.000696671
85 *424:47 *542:59 0.000977223
86 *456:29 *3925:A 9.38694e-05
87 *456:29 *542:35 0.000587724
88 *475:16 *542:60 0
89 *500:33 *542:8 0
90 *500:34 *542:8 0
91 *500:39 *542:35 0.000238704
92 *500:43 *542:35 0.000597651
93 *500:55 *542:8 0
94 *500:84 *542:8 0
95 *506:65 *3923:A 0
96 *506:65 *542:60 0
97 *512:11 *542:8 0.000135093
98 *512:44 *542:8 1.8906e-05
99 *530:47 *542:8 0
*RES
1 *3916:X *542:5 12.7456
2 *542:5 *542:7 4.5
3 *542:7 *542:8 175.823
4 *542:8 *542:10 4.5
5 *542:10 *3925:A 22.8008
6 *542:10 *542:35 16.2764
7 *542:35 *3917:A 38.1523
8 *542:35 *3921:A 9.24915
9 *542:5 *542:55 18.2175
10 *542:55 *542:59 43.7683
11 *542:59 *542:60 81.9757
12 *542:60 *3919:A 34.4383
13 *542:59 *3923:A 35.5865
*END
*D_NET *543 0.00166398
*CONN
*I *3918:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3917:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3918:A 0.000703846
2 *3917:X 0.000703846
3 *3918:A *887:9 4.87439e-05
4 io_out[22] *3918:A 0.000143017
5 *3917:A *3918:A 2.32594e-05
6 *87:9 *3918:A 4.12696e-05
*RES
1 *3917:X *3918:A 39.9266
*END
*D_NET *544 0.00136644
*CONN
*I *3920:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3919:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3920:A 0.000622031
2 *3919:X 0.000622031
3 *3919:A *3920:A 0.000122378
*RES
1 *3919:X *3920:A 31.4712
*END
*D_NET *545 0.000592942
*CONN
*I *3922:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3921:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3922:A 0.000167014
2 *3921:X 0.000167014
3 *3922:A *4169:TE_B 0
4 *3922:A *887:10 8.99876e-05
5 *3917:A *3922:A 2.22198e-05
6 *3929:A *3922:A 0.00011818
7 *431:15 *3922:A 2.85274e-05
*RES
1 *3921:X *3922:A 32.6845
*END
*D_NET *546 0.00151075
*CONN
*I *3924:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3923:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3924:A 0.000470414
2 *3923:X 0.000470414
3 *3924:A *734:11 6.31665e-05
4 *3924:A *747:13 0.000213739
5 *3812:A *3924:A 0.00014489
6 *506:65 *3924:A 0.000148129
*RES
1 *3923:X *3924:A 36.9848
*END
*D_NET *547 0.00195447
*CONN
*I *3926:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3925:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3926:A 0.000579614
2 *3925:X 0.000579614
3 *3926:A *4115:A 0.000273777
4 *3926:A *4153:A 3.77659e-05
5 *3926:A *4173:A 0.000319954
6 *3926:A *645:45 0.000157261
7 *456:29 *3926:A 6.48675e-06
*RES
1 *3925:X *3926:A 40.615
*END
*D_NET *548 0.000620943
*CONN
*I *3928:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3927:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3928:A 0.000208541
2 *3927:X 0.000208541
3 *3770:A *3928:A 0
4 *424:38 *3928:A 0.000175603
5 *520:15 *3928:A 2.82583e-05
*RES
1 *3927:X *3928:A 24.816
*END
*D_NET *549 0.00338351
*CONN
*I *3930:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3929:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3930:A 0.000863428
2 *3929:X 0.000863428
3 *3930:A *4124:TE_B 5.05841e-05
4 *3930:A *665:15 7.09013e-05
5 *3855:A *3930:A 7.58194e-05
6 *3856:A *3930:A 2.36743e-05
7 *425:61 *3930:A 0.000933402
8 *500:43 *3930:A 0.000235436
9 *506:26 *3930:A 0.000266832
*RES
1 *3929:X *3930:A 49.5184
*END
*D_NET *550 0.00103048
*CONN
*I *3932:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3931:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3932:A 0.000332977
2 *3931:X 0.000332977
3 *3932:A *4179:A 0.000166542
4 *425:16 *3932:A 0.000153225
5 *462:47 *3932:A 4.47578e-05
*RES
1 *3931:X *3932:A 34.7664
*END
*D_NET *551 0.000842978
*CONN
*I *3935:A I *D sky130_fd_sc_hd__nand2_1
*I *3984:A I *D sky130_fd_sc_hd__nor2_1
*I *3933:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3935:A 0.00017302
2 *3984:A 0
3 *3933:Y 9.07991e-05
4 *551:8 0.000263819
5 *3935:A *3935:B 0.000125206
6 *3935:A *3984:B 4.80635e-06
7 *3935:A *552:18 5.0715e-05
8 *551:8 *3983:A 0
9 *551:8 *3985:A2 3.34802e-05
10 *551:8 *583:22 0.000101133
11 *481:31 *551:8 0
*RES
1 *3933:Y *551:8 20.9116
2 *551:8 *3984:A 9.24915
3 *551:8 *3935:A 14.4576
*END
*D_NET *552 0.00380435
*CONN
*I *3984:B I *D sky130_fd_sc_hd__nor2_1
*I *3935:B I *D sky130_fd_sc_hd__nand2_1
*I *3980:C1 I *D sky130_fd_sc_hd__o311a_1
*I *3934:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3984:B 1.55265e-05
2 *3935:B 6.84498e-05
3 *3980:C1 0
4 *3934:Y 0.000178152
5 *552:18 0.000909734
6 *552:8 0.00100391
7 *3935:B *583:22 0.000413925
8 *552:8 *826:8 3.67528e-06
9 *552:8 *826:13 4.01573e-05
10 *552:18 *3936:B 0
11 *552:18 *3979:A2 0
12 *552:18 *3979:B1 2.36813e-05
13 *552:18 *3982:B1 0
14 *552:18 *3995:A 2.02035e-05
15 *552:18 *583:22 0.000148652
16 *552:18 *592:15 4.5046e-05
17 *552:18 *826:8 2.29151e-05
18 *3935:A *3935:B 0.000125206
19 *3935:A *3984:B 4.80635e-06
20 *3935:A *552:18 5.0715e-05
21 *481:31 *552:8 6.80864e-05
22 *481:31 *552:18 0.000490217
23 *506:64 *552:8 0.000171288
*RES
1 *3934:Y *552:8 18.2442
2 *552:8 *3980:C1 13.7491
3 *552:8 *552:18 28.2389
4 *552:18 *3935:B 13.8789
5 *552:18 *3984:B 9.82786
*END
*D_NET *553 0.00333618
*CONN
*I *3983:A I *D sky130_fd_sc_hd__inv_2
*I *3936:B I *D sky130_fd_sc_hd__or2_1
*I *3935:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3983:A 0.000417512
2 *3936:B 0.000653203
3 *3935:Y 0.000101946
4 *553:7 0.00117266
5 *3936:B *3682:A1 0
6 *3936:B *3936:A 4.81015e-05
7 *3936:B *3979:B1 9.32891e-05
8 *3936:B *3985:A2 8.55378e-05
9 *3936:B *3995:B 0.000239404
10 *3936:B *4232:CLK 5.94909e-05
11 *3936:B *554:7 9.14669e-05
12 *3936:B *584:12 0
13 *3936:B *827:8 0
14 *3983:A *3985:A2 1.77537e-06
15 *553:7 *583:22 0.000169862
16 *3979:A1 *3936:B 2.77564e-05
17 *4108:A0 *3936:B 0
18 *4108:A1 *3936:B 0
19 *4232:D *3936:B 0.000174175
20 *551:8 *3983:A 0
21 *552:18 *3936:B 0
*RES
1 *3935:Y *553:7 15.5817
2 *553:7 *3936:B 33.8957
3 *553:7 *3983:A 23.2329
*END
*D_NET *554 0.00378432
*CONN
*I *3990:A I *D sky130_fd_sc_hd__inv_2
*I *3937:B I *D sky130_fd_sc_hd__or2_1
*I *3936:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3990:A 0.000346636
2 *3937:B 0.000131519
3 *3936:X 0.00047728
4 *554:7 0.000955435
5 *3937:B *3993:A 0.000111722
6 *3937:B *591:11 0.000118485
7 *3937:B *829:20 0.000122378
8 *3990:A *3936:A 0
9 *3990:A *3988:A 6.73022e-05
10 *3990:A *3989:B1 2.95757e-05
11 *3990:A *3994:A2 0.000149628
12 *3990:A *4233:CLK 0
13 *3990:A *588:7 6.50586e-05
14 *3990:A *591:11 5.41377e-05
15 *554:7 *3936:A 4.31884e-05
16 *554:7 *3994:A2 0.000685247
17 *3681:C1 *3990:A 0
18 *3936:B *554:7 9.14669e-05
19 *4228:D *3937:B 4.12119e-05
20 *444:59 *3990:A 8.03262e-05
21 *500:68 *3937:B 0.000213725
*RES
1 *3936:X *554:7 26.6738
2 *554:7 *3937:B 19.2141
3 *554:7 *3990:A 23.5357
*END
*D_NET *555 0.00979315
*CONN
*I *3938:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3937:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3938:A 0.000549881
2 *3937:X 0
3 *555:5 0.00334666
4 *555:4 0.00279678
5 *3938:A *838:8 0.000575414
6 *555:5 *591:11 6.08467e-05
7 *3977:A *3938:A 0.000591519
8 *493:56 *3938:A 0
9 *500:68 *555:5 0.00133231
10 *500:76 *555:5 0.000539738
11 *506:65 *3938:A 0
*RES
1 *3937:X *555:4 9.24915
2 *555:4 *555:5 45.6463
3 *555:5 *3938:A 39.598
*END
*D_NET *556 0.0124679
*CONN
*I *3940:B I *D sky130_fd_sc_hd__nor2_1
*I *3996:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3997:C I *D sky130_fd_sc_hd__and3_1
*I *3939:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3940:B 0.000322451
2 *3996:A1 0.00100419
3 *3997:C 5.1076e-05
4 *3939:Y 0
5 *556:19 0.00191483
6 *556:10 0.00225583
7 *556:4 0.00171872
8 *3940:B *4161:A 0
9 *3996:A1 *3654:A 8.88219e-05
10 *3996:A1 *3686:A 5.93547e-06
11 *3996:A1 *3688:B1 0
12 *3996:A1 *3996:B1 0.000118166
13 *3996:A1 *592:15 0.000118166
14 *3996:A1 *857:167 0
15 *3996:A1 *859:47 4.9073e-05
16 *3996:A1 *859:60 0
17 *3996:A1 *859:65 5.95249e-06
18 *3997:C *859:47 6.88675e-05
19 *556:10 *3630:A 3.76831e-05
20 *556:10 *3635:A2 0.000165377
21 *556:10 *4104:A0 8.29362e-05
22 *556:10 *4104:A1 1.71154e-05
23 *556:10 *808:60 9.49135e-05
24 *556:19 *3997:B 2.16355e-05
25 *556:19 *593:14 3.00073e-05
26 *556:19 *803:21 0.000213725
27 *556:19 *808:70 9.86838e-05
28 *556:19 *810:25 1.44467e-05
29 *556:19 *859:47 3.48249e-05
30 *556:19 *859:60 6.49003e-05
31 *556:19 *883:47 3.38808e-05
32 *3576:A *556:19 0.000342488
33 *3609:B1 *3996:A1 9.99386e-06
34 *3611:B1 *3996:A1 0.000116971
35 *3635:B1 *556:10 9.50443e-05
36 *3673:C1 *556:19 0.000230833
37 *3685:B1 *3996:A1 5.82465e-05
38 *3940:A *3940:B 3.5534e-06
39 *3997:A *3997:C 2.23105e-05
40 *3997:A *556:19 0.000264572
41 *4111:A0 *3996:A1 5.10848e-05
42 *218:12 *3996:A1 3.84726e-05
43 *219:49 *556:10 2.85274e-05
44 *222:10 *556:10 0.000357911
45 *226:6 *3996:A1 0.000447721
46 *226:19 *3996:A1 3.20977e-05
47 *226:34 *3996:A1 8.20492e-06
48 *314:24 *3996:A1 0.000203273
49 *314:26 *3996:A1 0.000360233
50 *314:26 *3997:C 7.59407e-05
51 *350:8 *556:19 0.000160617
52 *350:44 *556:19 0.000421764
53 *379:39 *556:19 4.66492e-05
54 *383:8 *556:19 0.00031994
55 *397:37 *556:10 4.08482e-05
56 *409:19 *3996:A1 0
57 *409:32 *3996:A1 9.83839e-05
58 *462:47 *3940:B 0
*RES
1 *3939:Y *556:4 9.24915
2 *556:4 *556:10 31.5211
3 *556:10 *556:19 40.8998
4 *556:19 *3997:C 15.4311
5 *556:19 *3996:A1 44.681
6 *556:4 *3940:B 23.8535
*END
*D_NET *557 0.011576
*CONN
*I *3941:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3940:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3941:A2 0.00133433
2 *3940:Y 0.000762454
3 *557:19 0.00211232
4 *557:10 0.00154045
5 *3941:A2 *859:33 0.00177133
6 *557:10 *4132:A 0
7 *557:10 *4161:A 8.62625e-06
8 *557:10 *4168:A 0
9 *557:10 *822:25 0
10 *557:10 *838:8 0
11 *557:10 *883:24 0
12 *557:19 *3638:A2 0.000313481
13 *557:19 *4103:A1 0.000328363
14 *557:19 *859:33 0.000207266
15 *3663:A *3941:A2 5.51483e-06
16 *3919:A *557:19 0.00149397
17 *4246:D *557:19 9.24241e-05
18 *218:11 *3941:A2 0.00032301
19 *219:49 *557:10 3.51113e-05
20 *342:24 *3941:A2 4.60283e-05
21 *351:13 *3941:A2 0.000615561
22 *396:15 *3941:A2 3.31745e-05
23 *396:15 *557:19 0.000260374
24 *475:20 *557:19 0.000292183
25 *542:60 *557:19 0
*RES
1 *3940:Y *557:10 32.989
2 *557:10 *557:19 37.1151
3 *557:19 *3941:A2 41.6091
*END
*D_NET *558 0.00767832
*CONN
*I *3943:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3942:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3943:A 0.00337288
2 *3942:X 0.00337288
3 *3943:A *3547:A2 3.50469e-05
4 *3943:A *857:50 4.22558e-05
5 *3943:A *869:25 9.33738e-05
6 *3943:A *883:70 0.000276827
7 *3943:A *883:81 6.88205e-06
8 *3533:B *3943:A 1.91391e-05
9 *303:42 *3943:A 4.40272e-05
10 *307:8 *3943:A 0.000202748
11 *346:11 *3943:A 0
12 *347:15 *3943:A 0.000161031
13 *487:36 *3943:A 4.40272e-05
14 *500:33 *3943:A 7.20648e-06
*RES
1 *3942:X *3943:A 45.7737
*END
*D_NET *559 0.00761743
*CONN
*I *3962:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *3949:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3952:A I *D sky130_fd_sc_hd__and3_1
*I *3961:D I *D sky130_fd_sc_hd__or4_1
*I *3944:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3962:A1 0
2 *3949:A1 0.00017384
3 *3952:A 0.000758854
4 *3961:D 0.000260368
5 *3944:Y 0.000477724
6 *559:25 0.000932694
7 *559:13 0.0002749
8 *559:11 0.000492255
9 *3949:A1 *3949:A2 3.21426e-05
10 *3949:A1 *3956:A 3.04973e-05
11 *3949:A1 *3959:C1 2.61372e-05
12 *3949:A1 *3960:B2 8.37256e-05
13 *3949:A1 *565:21 3.20069e-06
14 *3952:A *3952:C 1.41976e-05
15 *3952:A *3955:B2 8.51781e-05
16 *3952:A *3956:A 5.044e-05
17 *3952:A *3957:A 0.000568813
18 *3952:A *3959:B1 2.7482e-05
19 *3952:A *3964:A 8.62625e-06
20 *3952:A *3965:A1 9.11987e-05
21 *3952:A *565:21 5.53934e-05
22 *3952:A *574:12 4.78008e-05
23 *3961:D *3961:A 0.000589689
24 *559:11 *3961:A 0.000165605
25 *559:11 *560:13 9.34269e-05
26 *559:11 *561:8 0.000115934
27 *559:11 *565:8 8.37155e-05
28 *559:11 *574:12 6.08467e-05
29 *559:13 *3961:A 6.50727e-05
30 *3671:B *3961:D 6.50727e-05
31 *3944:A *559:11 0.000363061
32 *3949:A3 *3949:A1 1.17376e-05
33 *3950:A *559:11 0.000477015
34 *3950:B *559:11 2.41483e-05
35 *3961:C *3952:A 0.000179026
36 *222:15 *559:11 0.000315549
37 *223:41 *559:11 0.000408847
38 *224:23 *559:11 0.000103217
*RES
1 *3944:Y *559:11 29.6007
2 *559:11 *559:13 0.723396
3 *559:13 *3961:D 17.9299
4 *559:13 *559:25 4.5
5 *559:25 *3952:A 40.3287
6 *559:25 *3949:A1 19.0547
7 *559:11 *3962:A1 9.24915
*END
*D_NET *560 0.00365726
*CONN
*I *3953:A I *D sky130_fd_sc_hd__or3_1
*I *3946:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3961:A I *D sky130_fd_sc_hd__or4_1
*I *3945:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3953:A 1.53411e-05
2 *3946:A 0
3 *3961:A 0.000178417
4 *3945:Y 0.00015361
5 *560:13 0.000414416
6 *560:8 0.000404951
7 *3953:A *3966:B1 0.00011818
8 *3961:A *3966:C1 0.000184738
9 *3961:A *573:5 0.000147308
10 *560:8 *3970:A2 5.1573e-05
11 *560:8 *3970:B1 1.19856e-05
12 *560:13 *3959:B1 0.000113374
13 *560:13 *3966:A3 1.14755e-05
14 *560:13 *3970:A2 7.52398e-05
15 *560:13 *561:8 0.000115934
16 *560:13 *566:52 0.000160384
17 *3945:A *560:8 5.04829e-06
18 *3947:A *560:13 2.68928e-05
19 *3961:D *3961:A 0.000589689
20 *223:12 *560:13 0
21 *223:54 *3953:A 6.92705e-05
22 *223:64 *3953:A 1.92336e-05
23 *224:23 *3961:A 0.000164815
24 *224:23 *560:13 0.000260388
25 *225:6 *560:8 3.22726e-05
26 *225:6 *560:13 8.62321e-06
27 *559:11 *3961:A 0.000165605
28 *559:11 *560:13 9.34269e-05
29 *559:13 *3961:A 6.50727e-05
*RES
1 *3945:Y *560:8 17.135
2 *560:8 *560:13 13.8416
3 *560:13 *3961:A 20.51
4 *560:13 *3946:A 9.24915
5 *560:8 *3953:A 15.0271
*END
*D_NET *561 0.00473787
*CONN
*I *3970:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3949:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3959:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3955:A1 I *D sky130_fd_sc_hd__a32o_1
*I *3965:A1 I *D sky130_fd_sc_hd__a41o_1
*I *3946:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3970:A1 0.000224457
2 *3949:A2 0.00013231
3 *3959:A1 5.16462e-05
4 *3955:A1 9.16568e-05
5 *3965:A1 0.000133927
6 *3946:X 5.1632e-05
7 *561:39 0.000406797
8 *561:28 0.000178841
9 *561:12 0.000468342
10 *561:8 0.000371556
11 *3949:A2 *3949:B1 0.000417478
12 *3949:A2 *3959:C1 4.91225e-06
13 *3955:A1 *3955:B2 6.50586e-05
14 *3955:A1 *3957:A 3.15462e-05
15 *3959:A1 *3949:B1 0.000107496
16 *3959:A1 *3959:B1 3.25906e-05
17 *3959:A1 *3959:B2 3.82302e-05
18 *3965:A1 *3959:B1 2.94291e-05
19 *3970:A1 *3966:C1 0.000163997
20 *3970:A1 *3970:A2 0.000145393
21 *3970:A1 *3970:B1 6.50586e-05
22 *561:8 *3951:A 0
23 *561:12 *3951:A 9.89973e-05
24 *561:12 *3955:A2 0
25 *561:12 *3955:B2 2.82109e-05
26 *561:12 *3959:B2 0.000143047
27 *561:28 *3951:A 2.67922e-05
28 *561:28 *3959:B2 0.000127196
29 *561:28 *3966:C1 0.000101133
30 *561:39 *3966:C1 7.50722e-05
31 *3949:A1 *3949:A2 3.21426e-05
32 *3949:A3 *3949:A2 1.03126e-05
33 *3952:A *3965:A1 9.11987e-05
34 *3955:B1 *3955:A1 2.7381e-05
35 *3955:B1 *3965:A1 4.42847e-05
36 *3960:A2 *3949:A2 0.000370815
37 *3970:A3 *3970:A1 2.41483e-05
38 *224:16 *3970:A1 2.65904e-05
39 *224:18 *3970:A1 4.01437e-05
40 *224:23 *3970:A1 4.3116e-06
41 *224:23 *561:28 7.58217e-06
42 *224:23 *561:39 1.42919e-05
43 *475:20 *3970:A1 0
44 *475:20 *561:12 0
45 *559:11 *561:8 0.000115934
46 *560:13 *561:8 0.000115934
*RES
1 *3946:X *561:8 15.7599
2 *561:8 *561:12 6.71732
3 *561:12 *3965:A1 17.6574
4 *561:12 *3955:A1 16.1364
5 *561:8 *561:28 3.07775
6 *561:28 *3959:A1 15.6059
7 *561:28 *561:39 1.41674
8 *561:39 *3949:A2 20.0427
9 *561:39 *3970:A1 21.0117
*END
*D_NET *562 0.00474931
*CONN
*I *3948:B I *D sky130_fd_sc_hd__and4_1
*I *3966:A3 I *D sky130_fd_sc_hd__o311a_1
*I *3951:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3947:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3948:B 0
2 *3966:A3 0.000158838
3 *3951:A 0.000222842
4 *3947:Y 0.000444489
5 *562:13 0.000840974
6 *562:10 0.000903784
7 *3951:A *3955:B2 3.07606e-05
8 *3951:A *3956:A 0.000111708
9 *3951:A *3956:C 0.000115934
10 *3951:A *3959:B1 7.48922e-05
11 *3951:A *3966:C1 8.62625e-06
12 *3966:A3 *3959:B1 2.652e-05
13 *3966:A3 *3966:B1 0.000158357
14 *3966:A3 *3966:C1 0.000266713
15 *3966:A3 *3970:A2 0.000165481
16 *562:10 *3949:B1 3.79253e-05
17 *562:10 *3968:A 2.05222e-05
18 *562:10 *3968:B 5.04829e-06
19 *562:10 *834:14 8.03393e-06
20 *3947:A *562:10 4.83591e-05
21 *3948:C *562:10 8.03393e-06
22 *3950:B *562:13 0.000117376
23 *223:64 *3966:A3 1.41291e-05
24 *224:23 *562:13 0.000611234
25 *225:25 *3966:A3 0.000211464
26 *560:13 *3966:A3 1.14755e-05
27 *561:8 *3951:A 0
28 *561:12 *3951:A 9.89973e-05
29 *561:28 *3951:A 2.67922e-05
*RES
1 *3947:Y *562:10 25.3807
2 *562:10 *562:13 12.4332
3 *562:13 *3951:A 20.6697
4 *562:13 *3966:A3 21.2904
5 *562:10 *3948:B 9.24915
*END
*D_NET *563 0.00286542
*CONN
*I *3949:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3948:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *3949:B1 0.000478471
2 *3948:X 0.000478471
3 *3949:B1 *3954:A 0.000148129
4 *3949:B1 *3959:B1 7.68538e-06
5 *3949:B1 *3959:B2 2.49891e-06
6 *3949:B1 *3959:C1 0.000123979
7 *3949:B1 *3968:B 0.000212198
8 *3949:B1 *3970:A2 1.67329e-05
9 *3949:B1 *566:52 0.000158082
10 *3949:B1 *834:14 6.57987e-05
11 *3947:A *3949:B1 0.000610474
12 *3949:A2 *3949:B1 0.000417478
13 *3959:A1 *3949:B1 0.000107496
14 *562:10 *3949:B1 3.79253e-05
*RES
1 *3948:X *3949:B1 45.8585
*END
*D_NET *564 0.00403834
*CONN
*I *3959:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3956:A I *D sky130_fd_sc_hd__or3_1
*I *3949:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3959:C1 0.000379514
2 *3956:A 0.000561378
3 *3949:X 4.51847e-05
4 *564:5 0.000986076
5 *3956:A *3956:C 0.000337098
6 *3956:A *3959:B2 0.000211478
7 *3956:A *565:21 0.00013243
8 *3956:A *566:7 0.000205006
9 *3956:A *569:5 0.000691777
10 *3956:A *571:10 9.94284e-06
11 *3959:C1 *3959:B2 3.409e-05
12 *3949:A1 *3956:A 3.04973e-05
13 *3949:A1 *3959:C1 2.61372e-05
14 *3949:A2 *3959:C1 4.91225e-06
15 *3949:A3 *3959:C1 1.19856e-05
16 *3949:B1 *3959:C1 0.000123979
17 *3951:A *3956:A 0.000111708
18 *3952:A *3956:A 5.044e-05
19 *3960:A1 *3959:C1 5.04829e-06
20 *3960:A1 *564:5 2.65667e-05
21 *3960:A2 *3959:C1 2.52287e-06
22 *3961:B *3956:A 0
23 *3961:C *3956:A 5.05707e-05
*RES
1 *3949:X *564:5 9.97254
2 *564:5 *3956:A 40.0707
3 *564:5 *3959:C1 16.3143
*END
*D_NET *565 0.00734386
*CONN
*I *3960:B2 I *D sky130_fd_sc_hd__o22ai_1
*I *3962:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *3952:B I *D sky130_fd_sc_hd__and3_1
*I *3950:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3960:B2 0.00039823
2 *3962:A2 0
3 *3952:B 0.000162442
4 *3950:Y 0.000832365
5 *565:21 0.000948995
6 *565:8 0.00154557
7 *3952:B *3965:A2 1.1246e-05
8 *3952:B *566:10 1.23455e-05
9 *3952:B *566:52 7.14746e-05
10 *3952:B *567:9 0.000112955
11 *3952:B *571:10 0.000162936
12 *3960:B2 *4156:A 1.61631e-05
13 *565:8 *566:52 6.64609e-05
14 *565:8 *567:9 3.20069e-06
15 *565:21 *3959:B2 0.000200794
16 *565:21 *569:5 0.000207266
17 *565:21 *574:12 7.50872e-05
18 *3949:A1 *3960:B2 8.37256e-05
19 *3949:A1 *565:21 3.20069e-06
20 *3949:A3 *3960:B2 0.000111722
21 *3950:A *565:8 0.000781462
22 *3952:A *565:21 5.53934e-05
23 *3956:A *565:21 0.00013243
24 *3960:A1 *3960:B2 1.9101e-05
25 *3960:A2 *3960:B2 0.000423894
26 *222:15 *565:8 0.000318331
27 *223:14 *3952:B 8.92568e-06
28 *223:14 *565:8 1.29348e-05
29 *225:30 *3960:B2 0.000365699
30 *475:20 *3960:B2 9.53067e-05
31 *475:20 *565:21 2.04806e-05
32 *559:11 *565:8 8.37155e-05
*RES
1 *3950:Y *565:8 29.1998
2 *565:8 *3952:B 19.3184
3 *565:8 *565:21 19.1805
4 *565:21 *3962:A2 13.7491
5 *565:21 *3960:B2 26.4008
*END
*D_NET *566 0.00595904
*CONN
*I *3959:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3970:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3955:A2 I *D sky130_fd_sc_hd__a32o_1
*I *3952:C I *D sky130_fd_sc_hd__and3_1
*I *3965:A2 I *D sky130_fd_sc_hd__a41o_1
*I *3951:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3959:A2 0
2 *3970:A2 0.000293523
3 *3955:A2 0.000356433
4 *3952:C 0.000104327
5 *3965:A2 0.000176363
6 *3951:X 4.06113e-05
7 *566:52 0.000565399
8 *566:12 0.000356838
9 *566:10 0.000494633
10 *566:7 0.000374539
11 *3952:C *3957:A 2.16355e-05
12 *3955:A2 *3956:C 0.000466359
13 *3965:A2 *3959:B1 0.000169108
14 *3965:A2 *571:10 3.31882e-05
15 *3970:A2 *3959:B1 8.66189e-06
16 *3970:A2 *3966:C1 1.90335e-05
17 *3970:A2 *3970:B1 6.49003e-05
18 *566:7 *3956:C 0.000209232
19 *566:10 *3959:B1 4.18989e-05
20 *566:10 *571:10 8.62625e-06
21 *566:12 *3959:B1 0.000129748
22 *566:12 *571:10 0.000135614
23 *566:52 *3959:B1 8.95963e-05
24 *566:52 *834:14 2.16355e-05
25 *3949:B1 *3970:A2 1.67329e-05
26 *3949:B1 *566:52 0.000158082
27 *3952:A *3952:C 1.41976e-05
28 *3952:B *3965:A2 1.1246e-05
29 *3952:B *566:10 1.23455e-05
30 *3952:B *566:52 7.14746e-05
31 *3956:A *566:7 0.000205006
32 *3965:A3 *3965:A2 6.92705e-05
33 *3966:A3 *3970:A2 0.000165481
34 *3970:A1 *3970:A2 0.000145393
35 *3970:A3 *3970:A2 1.41291e-05
36 *222:25 *3965:A2 0.000118166
37 *223:12 *566:52 0
38 *223:14 *3965:A2 0
39 *223:14 *566:52 0
40 *224:16 *3970:A2 0.000319954
41 *475:20 *3955:A2 0.000101998
42 *475:20 *3965:A2 0
43 *560:8 *3970:A2 5.1573e-05
44 *560:13 *3970:A2 7.52398e-05
45 *560:13 *566:52 0.000160384
46 *561:12 *3955:A2 0
47 *565:8 *566:52 6.64609e-05
*RES
1 *3951:X *566:7 16.1364
2 *566:7 *566:10 1.73429
3 *566:10 *566:12 2.6625
4 *566:12 *3965:A2 19.5141
5 *566:12 *3952:C 16.4257
6 *566:10 *3955:A2 29.7414
7 *566:7 *566:52 13.1175
8 *566:52 *3970:A2 28.923
9 *566:52 *3959:A2 9.24915
*END
*D_NET *567 0.00250067
*CONN
*I *3968:A I *D sky130_fd_sc_hd__or2_1
*I *3956:B I *D sky130_fd_sc_hd__or3_1
*I *3952:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3968:A 0.000210254
2 *3956:B 0
3 *3952:X 0.000367149
4 *567:9 0.000577403
5 *3968:A *3954:A 0.000268744
6 *3968:A *569:5 9.90203e-05
7 *3968:A *834:14 6.61829e-06
8 *567:9 *569:5 0.00036499
9 *3948:C *3968:A 9.60366e-05
10 *3952:B *567:9 0.000112955
11 *222:24 *3968:A 9.24241e-05
12 *223:14 *567:9 0.000281352
13 *562:10 *3968:A 2.05222e-05
14 *565:8 *567:9 3.20069e-06
*RES
1 *3952:X *567:9 27.4542
2 *567:9 *3956:B 9.24915
3 *567:9 *3968:A 24.6812
*END
*D_NET *568 0.00343001
*CONN
*I *3954:A I *D sky130_fd_sc_hd__inv_2
*I *3966:B1 I *D sky130_fd_sc_hd__o311a_1
*I *3953:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3954:A 0.000762784
2 *3966:B1 0.000193553
3 *3953:X 0
4 *568:4 0.000956337
5 *3954:A *3967:A 1.14064e-05
6 *3954:A *3969:A 4.87301e-05
7 *3954:A *569:5 0.000147325
8 *3954:A *571:10 3.14978e-05
9 *3954:A *834:14 0.000102899
10 *3954:A *838:7 1.03403e-05
11 *3949:B1 *3954:A 0.000148129
12 *3953:A *3966:B1 0.00011818
13 *3966:A3 *3966:B1 0.000158357
14 *3968:A *3954:A 0.000268744
15 *222:24 *3954:A 2.67922e-05
16 *223:54 *3966:B1 5.99691e-05
17 *223:64 *3966:B1 0.00010658
18 *225:25 *3966:B1 0.000278385
*RES
1 *3953:X *568:4 9.24915
2 *568:4 *3966:B1 17.4235
3 *568:4 *3954:A 36.2123
*END
*D_NET *569 0.00421551
*CONN
*I *3955:B2 I *D sky130_fd_sc_hd__a32o_1
*I *3959:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3954:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3955:B2 0.000170985
2 *3959:B2 0.000239973
3 *3954:Y 0.00029747
4 *569:5 0.000708429
5 *3955:B2 *3957:A 6.46887e-05
6 *3955:B2 *3959:B1 0.000195957
7 *3959:B2 *3959:B1 1.17851e-05
8 *569:5 *571:10 1.5006e-05
9 *3949:B1 *3959:B2 2.49891e-06
10 *3951:A *3955:B2 3.07606e-05
11 *3952:A *3955:B2 8.51781e-05
12 *3954:A *569:5 0.000147325
13 *3955:A1 *3955:B2 6.50586e-05
14 *3956:A *3959:B2 0.000211478
15 *3956:A *569:5 0.000691777
16 *3959:A1 *3959:B2 3.82302e-05
17 *3959:C1 *3959:B2 3.409e-05
18 *3968:A *569:5 9.90203e-05
19 *224:23 *3959:B2 3.42931e-05
20 *475:20 *3959:B2 0
21 *561:12 *3955:B2 2.82109e-05
22 *561:12 *3959:B2 0.000143047
23 *561:28 *3959:B2 0.000127196
24 *565:21 *3959:B2 0.000200794
25 *565:21 *569:5 0.000207266
26 *567:9 *569:5 0.00036499
*RES
1 *3954:Y *569:5 22.1738
2 *569:5 *3959:B2 26.9538
3 *569:5 *3955:B2 23.99
*END
*D_NET *570 0.00391205
*CONN
*I *3956:C I *D sky130_fd_sc_hd__or3_1
*I *3963:A I *D sky130_fd_sc_hd__or2_1
*I *3955:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *3956:C 0.000329526
2 *3963:A 0.00010308
3 *3955:X 0
4 *570:4 0.000432606
5 *3956:C *3957:A 5.08751e-05
6 *3956:C *3963:B 5.04829e-06
7 *3956:C *3965:B1 4.90621e-05
8 *3956:C *571:10 0.000511409
9 *3956:C *574:12 0.000124583
10 *3963:A *3957:A 0.000340435
11 *3963:A *3963:B 0.000659369
12 *3951:A *3956:C 0.000115934
13 *3955:A2 *3956:C 0.000466359
14 *3955:A3 *3963:A 9.51132e-06
15 *3956:A *3956:C 0.000337098
16 *475:20 *3956:C 0.000167925
17 *566:7 *3956:C 0.000209232
*RES
1 *3955:X *570:4 9.24915
2 *570:4 *3963:A 17.3753
3 *570:4 *3956:C 34.9991
*END
*D_NET *571 0.00525062
*CONN
*I *3957:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3956:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3957:A 0.000555352
2 *3956:X 0.00054447
3 *571:10 0.00109982
4 *3957:A *3963:B 2.82583e-05
5 *3957:A *3964:A 0.000436811
6 *3957:A *4154:A 0.000375848
7 *3952:A *3957:A 0.000568813
8 *3952:B *571:10 0.000162936
9 *3952:C *3957:A 2.16355e-05
10 *3954:A *571:10 3.14978e-05
11 *3955:A1 *3957:A 3.15462e-05
12 *3955:A3 *3957:A 6.08467e-05
13 *3955:B1 *3957:A 9.73599e-06
14 *3955:B2 *3957:A 6.46887e-05
15 *3956:A *571:10 9.94284e-06
16 *3956:C *3957:A 5.08751e-05
17 *3956:C *571:10 0.000511409
18 *3963:A *3957:A 0.000340435
19 *3965:A2 *571:10 3.31882e-05
20 *512:32 *3957:A 0.000153266
21 *566:10 *571:10 8.62625e-06
22 *566:12 *571:10 0.000135614
23 *569:5 *571:10 1.5006e-05
*RES
1 *3956:X *571:10 29.2601
2 *571:10 *3957:A 32.5907
*END
*D_NET *572 0.00309255
*CONN
*I *3965:A4 I *D sky130_fd_sc_hd__a41o_1
*I *3959:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3958:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3965:A4 0
2 *3959:B1 0.000527971
3 *3958:Y 0.000156246
4 *572:5 0.000684217
5 *3949:B1 *3959:B1 7.68538e-06
6 *3951:A *3959:B1 7.48922e-05
7 *3952:A *3959:B1 2.7482e-05
8 *3955:A3 *3959:B1 2.16355e-05
9 *3955:A3 *572:5 0.000118245
10 *3955:B2 *3959:B1 0.000195957
11 *3959:A1 *3959:B1 3.25906e-05
12 *3959:B2 *3959:B1 1.17851e-05
13 *3965:A1 *3959:B1 2.94291e-05
14 *3965:A2 *3959:B1 0.000169108
15 *3966:A3 *3959:B1 2.652e-05
16 *3970:A2 *3959:B1 8.66189e-06
17 *222:25 *3959:B1 6.50586e-05
18 *222:25 *572:5 0.000560444
19 *560:13 *3959:B1 0.000113374
20 *566:10 *3959:B1 4.18989e-05
21 *566:12 *3959:B1 0.000129748
22 *566:52 *3959:B1 8.95963e-05
*RES
1 *3958:Y *572:5 16.0732
2 *572:5 *3959:B1 33.5972
3 *572:5 *3965:A4 9.24915
*END
*D_NET *573 0.00274518
*CONN
*I *3966:C1 I *D sky130_fd_sc_hd__o311a_1
*I *3962:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *3961:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3966:C1 0.000584821
2 *3962:B1_N 0
3 *3961:X 0.000198715
4 *573:5 0.000783536
5 *3951:A *3966:C1 8.62625e-06
6 *3961:A *3966:C1 0.000184738
7 *3961:A *573:5 0.000147308
8 *3966:A3 *3966:C1 0.000266713
9 *3970:A1 *3966:C1 0.000163997
10 *3970:A2 *3966:C1 1.90335e-05
11 *224:23 *3966:C1 0.000211492
12 *561:28 *3966:C1 0.000101133
13 *561:39 *3966:C1 7.50722e-05
*RES
1 *3961:X *573:5 12.7456
2 *573:5 *3962:B1_N 9.24915
3 *573:5 *3966:C1 31.6123
*END
*D_NET *574 0.00332057
*CONN
*I *3963:B I *D sky130_fd_sc_hd__or2_1
*I *3965:B1 I *D sky130_fd_sc_hd__a41o_1
*I *3962:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *3963:B 0.000281587
2 *3965:B1 0.000186603
3 *3962:X 0.000180583
4 *574:12 0.000648772
5 *3952:A *574:12 4.78008e-05
6 *3955:A3 *3965:B1 9.63981e-05
7 *3956:C *3963:B 5.04829e-06
8 *3956:C *3965:B1 4.90621e-05
9 *3956:C *574:12 0.000124583
10 *3957:A *3963:B 2.82583e-05
11 *3961:C *3965:B1 0.000475684
12 *3961:C *574:12 8.78277e-05
13 *3963:A *3963:B 0.000659369
14 *222:25 *3965:B1 0.00011818
15 *475:20 *3965:B1 6.4266e-05
16 *475:20 *574:12 0.000130609
17 *559:11 *574:12 6.08467e-05
18 *565:21 *574:12 7.50872e-05
*RES
1 *3962:X *574:12 20.5303
2 *574:12 *3965:B1 21.7084
3 *574:12 *3963:B 22.237
*END
*D_NET *575 0.00142905
*CONN
*I *3964:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3963:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3964:A 0.000459369
2 *3963:X 0.000459369
3 *3964:A *4158:A 0
4 *3952:A *3964:A 8.62625e-06
5 *3957:A *3964:A 0.000436811
6 *3961:C *3964:A 6.48712e-05
7 *475:20 *3964:A 0
*RES
1 *3963:X *3964:A 37.6732
*END
*D_NET *576 0.00241319
*CONN
*I *3967:A I *D sky130_fd_sc_hd__inv_2
*I *3966:X O *D sky130_fd_sc_hd__o311a_1
*CAP
1 *3967:A 0.000853402
2 *3966:X 0.000853402
3 *3967:A *3969:A 1.78942e-05
4 *3967:A *834:21 3.07542e-05
5 *3954:A *3967:A 1.14064e-05
6 *3960:A1 *3967:A 4.0752e-05
7 *223:54 *3967:A 8.41174e-05
8 *223:64 *3967:A 0.00052146
*RES
1 *3966:X *3967:A 42.1156
*END
*D_NET *577 0.00266696
*CONN
*I *3968:B I *D sky130_fd_sc_hd__or2_1
*I *3970:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3967:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3968:B 0.000287003
2 *3970:B1 0.000181239
3 *3967:Y 0.000129267
4 *577:5 0.000597509
5 *3968:B *834:14 7.81623e-05
6 *3945:A *3970:B1 6.50727e-05
7 *3949:B1 *3968:B 0.000212198
8 *3970:A1 *3970:B1 6.50586e-05
9 *3970:A2 *3970:B1 6.49003e-05
10 *3970:A3 *3970:B1 0.000583244
11 *222:15 *3968:B 0.00037859
12 *224:16 *3970:B1 7.68538e-06
13 *560:8 *3970:B1 1.19856e-05
14 *562:10 *3968:B 5.04829e-06
*RES
1 *3967:Y *577:5 12.191
2 *577:5 *3970:B1 16.6278
3 *577:5 *3968:B 27.8666
*END
*D_NET *578 0.000781413
*CONN
*I *3969:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3968:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3969:A 0.000220155
2 *3968:X 0.000220155
3 *3969:A *834:14 0.00010801
4 *3969:A *834:21 3.88655e-06
5 *3947:A *3969:A 0.000162583
6 *3954:A *3969:A 4.87301e-05
7 *3967:A *3969:A 1.78942e-05
*RES
1 *3968:X *3969:A 32.8561
*END
*D_NET *579 0.00324844
*CONN
*I *3974:B I *D sky130_fd_sc_hd__nand2_1
*I *3972:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3975:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3971:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3974:B 8.85277e-05
2 *3972:B1 2.06324e-05
3 *3975:A2 0.000103717
4 *3971:Y 0
5 *579:9 0.000349093
6 *579:4 0.000313272
7 *3974:B *3974:A 0.000107829
8 *3974:B *580:7 0.000477044
9 *3975:A2 *3975:A1 6.92705e-05
10 *3975:A2 *3975:B1 0.000574554
11 *579:9 *3648:A 2.32176e-05
12 *3655:B *3972:B1 0
13 *3655:B *579:9 0.00011818
14 *3677:A *3975:A2 0.000103022
15 *3677:A *579:9 5.75508e-05
16 *3976:B1 *579:9 3.31882e-05
17 *227:16 *3975:A2 0.00011818
18 *227:16 *579:9 1.61631e-05
19 *392:7 *3974:B 1.92336e-05
20 *392:16 *3974:B 0.000171273
21 *392:16 *579:9 0
22 *394:23 *579:9 1.44611e-05
23 *394:27 *579:9 8.01741e-05
24 *411:30 *3975:A2 1.67988e-05
25 *414:15 *3975:A2 0.000373061
26 *542:60 *579:9 0
*RES
1 *3971:Y *579:4 9.24915
2 *579:4 *579:9 15.8501
3 *579:9 *3975:A2 17.1824
4 *579:9 *3972:B1 9.82786
5 *579:4 *3974:B 14.9881
*END
*D_NET *580 0.00645405
*CONN
*I *3978:B I *D sky130_fd_sc_hd__nor2_1
*I *3979:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3975:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3974:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3978:B 0
2 *3979:A2 0.000760624
3 *3975:B1 0.000424905
4 *3974:Y 0.000604277
5 *580:25 0.000962759
6 *580:7 0.00123132
7 *3975:B1 *3973:A 1.50262e-05
8 *3975:B1 *3975:A1 4.10158e-05
9 *3975:B1 *809:70 0.000191556
10 *3975:B1 *839:8 1.12605e-05
11 *3979:A2 *3980:B1 0.000328363
12 *3979:A2 *592:15 0
13 *3979:A2 *826:8 0
14 *580:7 *3973:A 6.99486e-05
15 *580:7 *3974:A 1.41291e-05
16 *580:25 *3973:A 2.66039e-05
17 *580:25 *839:8 0.000139833
18 *3971:B *580:7 2.65831e-05
19 *3974:B *580:7 0.000477044
20 *3975:A2 *3975:B1 0.000574554
21 *3979:A1 *3979:A2 8.25362e-05
22 *4107:A1 *3979:A2 3.75195e-05
23 *4231:D *3979:A2 5.93547e-06
24 *226:61 *3979:A2 7.50722e-05
25 *227:16 *3975:B1 8.62625e-06
26 *388:11 *3979:A2 0.000266846
27 *392:7 *580:7 3.74005e-05
28 *397:60 *3975:B1 0
29 *397:60 *580:25 0
30 *411:30 *3975:B1 2.41483e-05
31 *414:15 *3975:B1 1.61631e-05
32 *552:18 *3979:A2 0
*RES
1 *3974:Y *580:7 23.9008
2 *580:7 *3975:B1 26.3623
3 *580:7 *580:25 10.4845
4 *580:25 *3979:A2 34.9882
5 *580:25 *3978:B 9.24915
*END
*D_NET *581 0.00592033
*CONN
*I *3977:B I *D sky130_fd_sc_hd__nor2_1
*I *3976:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3977:B 0.00149497
2 *3976:X 0.000605661
3 *581:10 0.00210063
4 *3999:B1 *581:10 0.000155006
5 *4106:A1 *581:10 8.62625e-06
6 *4242:D *3977:B 0.000459135
7 *219:23 *581:10 0.000566603
8 *227:16 *581:10 7.98326e-05
9 *228:10 *581:10 6.90881e-05
10 *412:25 *3977:B 0.000380781
*RES
1 *3976:X *581:10 31.3336
2 *581:10 *3977:B 33.1453
*END
*D_NET *582 0.00327118
*CONN
*I *3981:B I *D sky130_fd_sc_hd__nand2_1
*I *3982:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3979:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3978:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3981:B 0.000124602
2 *3982:A2 0
3 *3979:B1 0.000372718
4 *3978:Y 0.000334727
5 *582:11 0.000653119
6 *582:8 0.000739729
7 *3979:B1 *3682:A1 0.000329834
8 *3979:B1 *3982:A1 3.59302e-05
9 *3979:B1 *3982:B1 5.22654e-06
10 *3979:B1 *4232:CLK 9.69016e-05
11 *3981:B *839:8 9.80738e-05
12 *582:8 *839:8 0.000229005
13 *582:11 *3982:A1 6.50727e-05
14 *3936:B *3979:B1 9.32891e-05
15 *388:11 *582:8 6.92705e-05
16 *552:18 *3979:B1 2.36813e-05
*RES
1 *3978:Y *582:8 23.3638
2 *582:8 *582:11 10.7694
3 *582:11 *3979:B1 35.2429
4 *582:11 *3982:A2 9.24915
5 *582:8 *3981:B 17.6574
*END
*D_NET *583 0.00547892
*CONN
*I *3987:B I *D sky130_fd_sc_hd__or2_1
*I *3989:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3982:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3981:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3987:B 0
2 *3989:A2 0.000564794
3 *3982:B1 0.000126359
4 *3981:Y 0.000120366
5 *583:22 0.00111578
6 *583:7 0.000797713
7 *3982:B1 *3682:A1 3.31733e-05
8 *3982:B1 *3933:A 0.000111326
9 *3982:B1 *827:8 0.000123582
10 *3989:A2 *3992:B1 6.80025e-05
11 *3989:A2 *584:12 1.81455e-05
12 *583:7 *3981:A 0.000221284
13 *583:7 *827:7 3.42853e-05
14 *583:22 *3933:A 0.000137404
15 *583:22 *3985:A2 2.31017e-05
16 *583:22 *587:12 5.67857e-05
17 *3681:B1 *3989:A2 6.50727e-05
18 *3935:B *583:22 0.000413925
19 *3979:B1 *3982:B1 5.22654e-06
20 *4108:S *3989:A2 0.000124869
21 *4109:A1 *3989:A2 0.000135359
22 *226:75 *3989:A2 2.65831e-05
23 *444:65 *583:7 0.000736136
24 *551:8 *583:22 0.000101133
25 *552:18 *3982:B1 0
26 *552:18 *583:22 0.000148652
27 *553:7 *583:22 0.000169862
*RES
1 *3981:Y *583:7 21.6824
2 *583:7 *3982:B1 18.0727
3 *583:7 *583:22 21.933
4 *583:22 *3989:A2 33.303
5 *583:22 *3987:B 9.24915
*END
*D_NET *584 0.00432574
*CONN
*I *3985:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3991:B I *D sky130_fd_sc_hd__nor2_1
*I *3983:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3985:A1 0
2 *3991:B 0.00137672
3 *3983:Y 0.000461579
4 *584:12 0.0018383
5 *3991:B *3985:A2 1.84293e-05
6 *3991:B *3985:B1 4.26566e-05
7 *3991:B *3991:A 8.46264e-05
8 *3991:B *3992:A2 1.00937e-05
9 *3991:B *4232:CLK 0
10 *3991:B *828:7 6.08467e-05
11 *584:12 *3987:A 2.68928e-05
12 *584:12 *3992:B1 0
13 *3682:B1 *584:12 1.90191e-05
14 *3936:B *584:12 0
15 *3989:A2 *584:12 1.81455e-05
16 *4108:A0 *3991:B 1.10258e-05
17 *4108:A0 *584:12 0.000170742
18 *4233:D *3991:B 0.000151747
19 *444:65 *584:12 3.49128e-05
*RES
1 *3983:Y *584:12 30.267
2 *584:12 *3991:B 31.2163
3 *584:12 *3985:A1 9.24915
*END
*D_NET *585 0.00149737
*CONN
*I *3985:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3984:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3985:A2 0.000368804
2 *3984:Y 0.000368804
3 *3985:A2 *3933:A 2.39535e-05
4 *3985:A2 *4232:CLK 4.15559e-05
5 *3936:B *3985:A2 8.55378e-05
6 *3983:A *3985:A2 1.77537e-06
7 *3991:B *3985:A2 1.84293e-05
8 *4108:A0 *3985:A2 0.000115934
9 *4108:A1 *3985:A2 0.000118485
10 *4232:D *3985:A2 7.02539e-05
11 *444:65 *3985:A2 0.000227257
12 *551:8 *3985:A2 3.34802e-05
13 *583:22 *3985:A2 2.31017e-05
*RES
1 *3984:Y *3985:A2 41.0008
*END
*D_NET *586 0.00387517
*CONN
*I *3987:A I *D sky130_fd_sc_hd__or2_1
*I *3989:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3991:A I *D sky130_fd_sc_hd__nor2_1
*I *3986:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3987:A 0.000458504
2 *3989:A1 0.000166327
3 *3991:A 0.000169829
4 *3986:Y 0
5 *586:8 0.00113218
6 *586:4 0.00125453
7 *3991:A *3989:B1 5.19205e-05
8 *3991:A *3992:A2 0.000311513
9 *586:8 *3986:A 2.65831e-05
10 *586:8 *3989:B1 1.44611e-05
11 *586:8 *3992:A2 0.000100939
12 *3991:B *3991:A 8.46264e-05
13 *4109:A1 *3989:A1 1.92926e-05
14 *524:53 *3991:A 4.3116e-06
15 *524:53 *586:8 5.32652e-05
16 *584:12 *3987:A 2.68928e-05
*RES
1 *3986:Y *586:4 9.24915
2 *586:4 *586:8 19.8029
3 *586:8 *3991:A 20.0474
4 *586:8 *3989:A1 16.1364
5 *586:4 *3987:A 25.796
*END
*D_NET *587 0.00410468
*CONN
*I *3988:A I *D sky130_fd_sc_hd__inv_2
*I *3994:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3987:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3988:A 0.00015822
2 *3994:B2 9.56988e-05
3 *3987:X 0.000686003
4 *587:12 0.000939922
5 *3994:B2 *3936:A 0.000116986
6 *3994:B2 *3995:A 2.01503e-05
7 *3994:B2 *828:8 3.31733e-05
8 *587:12 *3986:A 0.000156392
9 *587:12 *3992:A1 2.75427e-05
10 *587:12 *3992:A2 0.00011818
11 *587:12 *3992:B1 3.21548e-05
12 *587:12 *828:8 0.000125697
13 *3681:A2 *3988:A 6.73022e-05
14 *3681:B2 *3988:A 1.41291e-05
15 *3681:C1 *3988:A 5.56461e-05
16 *3681:C1 *3994:B2 3.2914e-05
17 *3990:A *3988:A 6.73022e-05
18 *4109:A0 *587:12 0.000148129
19 *4233:D *3994:B2 8.62625e-06
20 *4233:D *587:12 0.000181333
21 *226:75 *3994:B2 6.24919e-05
22 *226:75 *587:12 0.000163792
23 *444:59 *3988:A 0.000736108
24 *583:22 *587:12 5.67857e-05
*RES
1 *3987:X *587:12 30.3682
2 *587:12 *3994:B2 17.2421
3 *587:12 *3988:A 22.2611
*END
*D_NET *588 0.00262679
*CONN
*I *3989:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3994:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3988:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3989:B1 0.000272705
2 *3994:A2 0.000177597
3 *3988:Y 4.18965e-05
4 *588:7 0.000492199
5 *3989:B1 *4233:CLK 6.15995e-05
6 *3994:A2 *3936:A 1.00846e-05
7 *3994:A2 *3995:A 4.56831e-05
8 *3994:A2 *4233:CLK 4.34143e-05
9 *3994:A2 *591:11 0.00048572
10 *3681:B1 *3989:B1 0
11 *3990:A *3989:B1 2.95757e-05
12 *3990:A *3994:A2 0.000149628
13 *3990:A *588:7 6.50586e-05
14 *3991:A *3989:B1 5.19205e-05
15 *524:53 *3989:B1 0
16 *554:7 *3994:A2 0.000685247
17 *586:8 *3989:B1 1.44611e-05
*RES
1 *3988:Y *588:7 14.4725
2 *588:7 *3994:A2 24.3449
3 *588:7 *3989:B1 20.9794
*END
*D_NET *589 0.0065083
*CONN
*I *3995:B I *D sky130_fd_sc_hd__nor2_1
*I *3992:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3990:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3995:B 0.00103393
2 *3992:A1 0.00025247
3 *3990:Y 0.000765318
4 *589:5 0.00205172
5 *3992:A1 *3986:A 0
6 *3992:A1 *3992:B1 0.000156014
7 *3992:A1 *859:121 6.31665e-05
8 *3995:B *859:121 0
9 *3681:A2 *3995:B 6.11359e-06
10 *3682:B2 *3995:B 3.46062e-05
11 *3682:C1 *3995:B 0.000116986
12 *3936:B *3995:B 0.000239404
13 *3979:A1 *3995:B 1.77584e-05
14 *226:75 *3992:A1 0.000146507
15 *414:42 *3995:B 2.652e-05
16 *444:59 *3995:B 0.000307988
17 *444:59 *589:5 0.00123269
18 *444:65 *3995:B 2.95757e-05
19 *587:12 *3992:A1 2.75427e-05
*RES
1 *3990:Y *589:5 22.7284
2 *589:5 *3992:A1 27.1404
3 *589:5 *3995:B 36.9335
*END
*D_NET *590 0.00233126
*CONN
*I *3992:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3991:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3992:A2 0.000674328
2 *3991:Y 0.000674328
3 *3992:A2 *3992:B1 5.56461e-05
4 *3991:A *3992:A2 0.000311513
5 *3991:B *3992:A2 1.00937e-05
6 *4109:A0 *3992:A2 6.08467e-05
7 *524:53 *3992:A2 0.000325384
8 *586:8 *3992:A2 0.000100939
9 *587:12 *3992:A2 0.00011818
*RES
1 *3991:Y *3992:A2 47.2702
*END
*D_NET *591 0.0048702
*CONN
*I *3995:A I *D sky130_fd_sc_hd__nor2_1
*I *3994:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3993:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3995:A 0.00119335
2 *3994:B1 0
3 *3993:Y 0.000458116
4 *591:11 0.00165147
5 *3995:A *3936:A 0.000107496
6 *3995:A *592:15 7.62524e-05
7 *3681:C1 *591:11 0
8 *3937:B *591:11 0.000118485
9 *3990:A *591:11 5.41377e-05
10 *3994:A2 *3995:A 4.56831e-05
11 *3994:A2 *591:11 0.00048572
12 *3994:B2 *3995:A 2.01503e-05
13 *4110:A1 *591:11 0
14 *226:75 *3995:A 1.66626e-05
15 *500:68 *591:11 0.000561623
16 *552:18 *3995:A 2.02035e-05
17 *555:5 *591:11 6.08467e-05
*RES
1 *3993:Y *591:11 32.7566
2 *591:11 *3994:B1 9.24915
3 *591:11 *3995:A 29.9452
*END
*D_NET *592 0.0039084
*CONN
*I *3996:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3995:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3996:A2 0
2 *3995:Y 0.0012946
3 *592:15 0.0012946
4 *592:15 *3996:B1 3.34189e-05
5 *592:15 *859:97 0.000347269
6 *3678:A *592:15 0
7 *3682:C1 *592:15 0.000160117
8 *3683:B1 *592:15 0.000151741
9 *3684:A2 *592:15 8.16827e-05
10 *3979:A2 *592:15 0
11 *3995:A *592:15 7.62524e-05
12 *3996:A1 *592:15 0.000118166
13 *409:32 *592:15 0
14 *409:72 *592:15 0
15 *413:7 *592:15 7.92757e-06
16 *413:21 *592:15 0.000151758
17 *413:30 *592:15 3.74394e-05
18 *413:37 *592:15 0.00010839
19 *552:18 *592:15 4.5046e-05
*RES
1 *3995:Y *592:15 46.1599
2 *592:15 *3996:A2 9.24915
*END
*D_NET *593 0.0114115
*CONN
*I *3998:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3997:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3998:A 0.000947903
2 *3997:X 0.00130671
3 *593:14 0.00225461
4 *3998:A *875:17 0
5 *593:14 *808:70 0.000869626
6 *593:14 *810:25 2.41274e-06
7 *593:14 *859:47 7.86847e-05
8 *593:14 *883:47 0.000898954
9 *593:14 *883:49 9.80242e-07
10 *3576:A *3998:A 0.00138087
11 *3576:A *593:14 3.07561e-05
12 *3940:A *3998:A 0.00259208
13 *397:60 *593:14 1.9101e-05
14 *425:26 *3998:A 0.000499416
15 *431:27 *3998:A 0.000499416
16 *556:19 *593:14 3.00073e-05
*RES
1 *3997:X *593:14 49.157
2 *593:14 *3998:A 29.7525
*END
*D_NET *594 0.0127062
*CONN
*I *4000:B I *D sky130_fd_sc_hd__nor2_1
*I *3999:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4000:B 0.000142491
2 *3999:X 0.00257428
3 *594:11 0.00271677
4 *594:11 *840:12 2.54757e-05
5 *594:11 *840:25 0
6 *594:11 *840:43 0
7 *594:11 *859:33 0.000251592
8 *594:11 *875:17 0.00457506
9 *594:11 *883:47 0.000124913
10 *3620:A *594:11 1.5714e-05
11 *3999:A1 *594:11 0
12 *4275:D *594:11 1.23455e-05
13 *214:10 *594:11 0.000417742
14 *379:16 *594:11 0.000336363
15 *379:39 *594:11 1.04747e-05
16 *384:10 *594:11 0.000178737
17 *384:26 *594:11 0.000889393
18 *384:29 *594:11 4.1526e-05
19 *385:5 *594:11 0.00016763
20 *385:19 *594:11 0.00015511
21 *450:38 *4000:B 7.06336e-05
*RES
1 *3999:X *594:11 49.5092
2 *594:11 *4000:B 20.4033
*END
*D_NET *595 0.00107808
*CONN
*I *4113:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3696:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4113:TE_B 0.000332767
2 *3696:X 0.000332767
3 *4113:TE_B *645:40 0.000256503
4 *4113:TE_B *703:13 8.677e-05
5 *4113:TE_B *732:11 0
6 *76:13 *4113:TE_B 6.92705e-05
*RES
1 *3696:X *4113:TE_B 36.7033
*END
*D_NET *596 0.000271309
*CONN
*I *4114:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3698:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4114:TE_B 0.000122371
2 *3698:X 0.000122371
3 *4114:TE_B *4114:A 2.65667e-05
4 *456:12 *4114:TE_B 0
*RES
1 *3698:X *4114:TE_B 30.0537
*END
*D_NET *597 0.00051896
*CONN
*I *4115:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3700:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4115:TE_B 0.000137051
2 *3700:X 0.000137051
3 *3700:A *4115:TE_B 0.000116806
4 *4295:A *4115:TE_B 0
5 *4299:A *4115:TE_B 0.000128052
*RES
1 *3700:X *4115:TE_B 32.6523
*END
*D_NET *598 0.000690682
*CONN
*I *4116:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3702:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4116:TE_B 0.000345341
2 *3702:X 0.000345341
3 *456:12 *4116:TE_B 0
4 *518:22 *4116:TE_B 0
*RES
1 *3702:X *4116:TE_B 34.4905
*END
*D_NET *599 0.0006178
*CONN
*I *4117:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3708:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4117:TE_B 0.000145086
2 *3708:X 0.000145086
3 *3708:A *4117:TE_B 0.000301037
4 *488:11 *4117:TE_B 2.22788e-05
5 *490:11 *4117:TE_B 4.3116e-06
*RES
1 *3708:X *4117:TE_B 32.2721
*END
*D_NET *600 0.000927238
*CONN
*I *4118:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3710:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4118:TE_B 0.000315112
2 *3710:X 0.000315112
3 *4118:TE_B *708:11 5.04829e-06
4 io_oeb[8] *4118:TE_B 4.86688e-06
5 la1_data_out[25] *4118:TE_B 9.34404e-05
6 la1_data_out[5] *4118:TE_B 2.65831e-05
7 *76:13 *4118:TE_B 0.000167076
*RES
1 *3710:X *4118:TE_B 35.5997
*END
*D_NET *601 0.00178626
*CONN
*I *4119:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3712:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4119:TE_B 0.000686869
2 *3712:X 0.000686869
3 *4119:TE_B *4137:A 7.58595e-05
4 *4119:TE_B *4203:A 5.88662e-05
5 *3902:A *4119:TE_B 2.34044e-05
6 *462:47 *4119:TE_B 0.000254392
*RES
1 *3712:X *4119:TE_B 42.9349
*END
*D_NET *602 0.00158568
*CONN
*I *4120:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3714:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4120:TE_B 0.000682207
2 *3714:X 0.000682207
3 *4120:TE_B *4138:TE_B 0.000101118
4 *4120:TE_B *708:11 0.000120153
5 la1_data_out[5] *4120:TE_B 0
*RES
1 *3714:X *4120:TE_B 42.9293
*END
*D_NET *603 0.00105496
*CONN
*I *4121:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3717:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4121:TE_B 0.000499774
2 *3717:X 0.000499774
3 la1_data_out[8] *4121:TE_B 5.54078e-05
4 *450:28 *4121:TE_B 0
*RES
1 *3717:X *4121:TE_B 38.788
*END
*D_NET *604 0.00110816
*CONN
*I *4122:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3719:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4122:TE_B 0.00042767
2 *3719:X 0.00042767
3 *4122:TE_B *4125:A 0.000125695
4 io_out[17] *4122:TE_B 9.39527e-05
5 *3774:A *4122:TE_B 0
6 *462:45 *4122:TE_B 3.31733e-05
*RES
1 *3719:X *4122:TE_B 35.4604
*END
*D_NET *605 0.000874935
*CONN
*I *4123:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3721:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4123:TE_B 0.000409764
2 *3721:X 0.000409764
3 *3721:A *4123:TE_B 5.54078e-05
4 *425:32 *4123:TE_B 0
*RES
1 *3721:X *4123:TE_B 37.1242
*END
*D_NET *606 0.000542353
*CONN
*I *4124:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3723:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4124:TE_B 0.000212979
2 *3723:X 0.000212979
3 *4124:TE_B *4124:A 2.68928e-05
4 *4124:TE_B *4186:A 8.92568e-06
5 *3930:A *4124:TE_B 5.05841e-05
6 *87:8 *4124:TE_B 2.99929e-05
*RES
1 *3723:X *4124:TE_B 31.7175
*END
*D_NET *607 0.00229053
*CONN
*I *4125:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3725:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4125:TE_B 0.00076996
2 *3725:X 0.00076996
3 *4125:TE_B *4134:TE_B 7.14746e-05
4 io_out[17] *4125:TE_B 3.1355e-05
5 *3719:A *4125:TE_B 0.000348671
6 *3725:A *4125:TE_B 6.08473e-05
7 *3753:A *4125:TE_B 3.28416e-06
8 *3774:A *4125:TE_B 8.00917e-05
9 *431:35 *4125:TE_B 0.000154885
10 *462:45 *4125:TE_B 0
*RES
1 *3725:X *4125:TE_B 49.6112
*END
*D_NET *608 0.000831004
*CONN
*I *4126:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3729:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4126:TE_B 0.000206265
2 *3729:X 0.000206265
3 *4126:TE_B *4204:A 0.000271058
4 la1_data_out[13] *4126:TE_B 0.000104731
5 la1_data_out[18] *4126:TE_B 4.26859e-05
*RES
1 *3729:X *4126:TE_B 32.2721
*END
*D_NET *609 0.000399808
*CONN
*I *4127:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3731:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4127:TE_B 0.000122128
2 *3731:X 0.000122128
3 *4127:TE_B *4206:A 1.90191e-05
4 *4127:TE_B *4206:TE_B 0
5 *3731:A *4127:TE_B 7.14746e-05
6 *56:11 *4127:TE_B 6.50586e-05
*RES
1 *3731:X *4127:TE_B 30.4689
*END
*D_NET *610 0.000610997
*CONN
*I *4128:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3740:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4128:TE_B 0.000205759
2 *3740:X 0.000205759
3 *4128:TE_B *4128:A 5.56461e-05
4 *3740:A *4128:TE_B 4.27003e-05
5 *456:12 *4128:TE_B 0.000101133
*RES
1 *3740:X *4128:TE_B 32.8267
*END
*D_NET *611 0.000906241
*CONN
*I *4129:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3742:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4129:TE_B 0.000435198
2 *3742:X 0.000435198
3 *3742:A *4129:TE_B 3.58457e-05
*RES
1 *3742:X *4129:TE_B 37.1242
*END
*D_NET *612 0.000948979
*CONN
*I *4130:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3744:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4130:TE_B 0.000431789
2 *3744:X 0.000431789
3 *3744:A *4130:TE_B 4.27003e-05
4 *450:28 *4130:TE_B 4.27003e-05
*RES
1 *3744:X *4130:TE_B 36.7089
*END
*D_NET *613 0.000672501
*CONN
*I *4131:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3746:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4131:TE_B 0.000280224
2 *3746:X 0.000280224
3 *4131:TE_B *4131:A 2.44829e-05
4 *4131:TE_B *786:10 5.75768e-05
5 la1_data_out[1] *4131:TE_B 0
6 *3729:A *4131:TE_B 2.99929e-05
*RES
1 *3746:X *4131:TE_B 34.0938
*END
*D_NET *614 0.00134506
*CONN
*I *4132:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3748:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4132:TE_B 0.000625064
2 *3748:X 0.000625064
3 la1_data_out[19] *4132:TE_B 9.49279e-05
4 *3748:A *4132:TE_B 0
5 *450:38 *4132:TE_B 0
*RES
1 *3748:X *4132:TE_B 42.11
*END
*D_NET *615 0.000392209
*CONN
*I *4133:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3751:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4133:TE_B 9.95956e-05
2 *3751:X 9.95956e-05
3 *4133:TE_B *645:14 9.47963e-05
4 *3819:A *4133:TE_B 9.82213e-05
*RES
1 *3751:X *4133:TE_B 30.0537
*END
*D_NET *616 0.00187028
*CONN
*I *4134:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3753:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4134:TE_B 0.000727187
2 *3753:X 0.000727187
3 *3725:A *4134:TE_B 5.0459e-05
4 *3753:A *4134:TE_B 0.000121712
5 *4125:TE_B *4134:TE_B 7.14746e-05
6 *431:35 *4134:TE_B 9.71863e-05
7 *450:28 *4134:TE_B 7.50722e-05
*RES
1 *3753:X *4134:TE_B 42.9618
*END
*D_NET *617 0.000297216
*CONN
*I *4135:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3755:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4135:TE_B 0.000127226
2 *3755:X 0.000127226
3 io_oeb[27] *4135:TE_B 3.90891e-05
4 la1_data_out[22] *4135:TE_B 3.67528e-06
*RES
1 *3755:X *4135:TE_B 30.4689
*END
*D_NET *618 0.00115211
*CONN
*I *4136:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3757:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4136:TE_B 0.000439197
2 *3757:X 0.000439197
3 *4136:TE_B *4136:A 5.04734e-05
4 *4136:TE_B *889:6 0.000186778
5 *3757:A *4136:TE_B 3.64685e-05
6 *3878:A *4136:TE_B 0
*RES
1 *3757:X *4136:TE_B 37.8069
*END
*D_NET *619 0.000979053
*CONN
*I *4137:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3759:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4137:TE_B 0.000473835
2 *3759:X 0.000473835
3 la1_data_out[24] *4137:TE_B 3.13828e-05
4 *438:45 *4137:TE_B 0
*RES
1 *3759:X *4137:TE_B 38.2334
*END
*D_NET *620 0.000655545
*CONN
*I *4138:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3762:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4138:TE_B 0.000216528
2 *3762:X 0.000216528
3 *4138:TE_B *708:11 0.00012137
4 *4120:TE_B *4138:TE_B 0.000101118
*RES
1 *3762:X *4138:TE_B 33.3757
*END
*D_NET *621 0.000706558
*CONN
*I *4139:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3764:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4139:TE_B 0.000280171
2 *3764:X 0.000280171
3 *4139:TE_B *4216:A 2.55493e-05
4 *488:11 *4139:TE_B 3.77804e-05
5 *506:30 *4139:TE_B 8.28869e-05
*RES
1 *3764:X *4139:TE_B 32.8267
*END
*D_NET *622 0.000991719
*CONN
*I *4140:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3766:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4140:TE_B 0.000464856
2 *3766:X 0.000464856
3 *4140:TE_B *4177:TE_B 0
4 *4140:TE_B *730:13 2.85274e-05
5 io_oeb[30] *4140:TE_B 0
6 *3765:A *4140:TE_B 0
7 *3766:A *4140:TE_B 3.34802e-05
*RES
1 *3766:X *4140:TE_B 37.4158
*END
*D_NET *623 0.000706367
*CONN
*I *4141:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3768:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4141:TE_B 0.000218406
2 *3768:X 0.000218406
3 io_oeb[31] *4141:TE_B 0.000252968
4 *3768:A *4141:TE_B 1.65872e-05
*RES
1 *3768:X *4141:TE_B 31.7175
*END
*D_NET *624 0.00148943
*CONN
*I *4142:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3770:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4142:TE_B 0.00062509
2 *3770:X 0.00062509
3 *4142:TE_B *645:29 7.31757e-05
4 io_oeb[14] *4142:TE_B 1.91391e-05
5 io_out[26] *4142:TE_B 1.91391e-05
6 io_out[2] *4142:TE_B 2.36116e-05
7 la1_data_out[29] *4142:TE_B 1.07248e-05
8 *3769:A *4142:TE_B 3.08886e-05
9 *54:6 *4142:TE_B 0
10 *76:13 *4142:TE_B 2.69064e-05
11 *456:28 *4142:TE_B 3.56615e-05
*RES
1 *3770:X *4142:TE_B 43.0336
*END
*D_NET *625 0.000904226
*CONN
*I *4143:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3773:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4143:TE_B 0.000424409
2 *3773:X 0.000424409
3 *3735:A *4143:TE_B 0
4 *3773:A *4143:TE_B 5.54078e-05
*RES
1 *3773:X *4143:TE_B 37.1242
*END
*D_NET *626 0.00179241
*CONN
*I *4144:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3775:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4144:TE_B 0.000847695
2 *3775:X 0.000847695
3 *3775:A *4144:TE_B 9.70161e-05
*RES
1 *3775:X *4144:TE_B 37.9818
*END
*D_NET *627 0.000440988
*CONN
*I *4145:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3932:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4145:TE_B 0.000139504
2 *3932:X 0.000139504
3 *4145:TE_B *4145:A 6.08467e-05
4 *4145:TE_B *744:11 0
5 *425:16 *4145:TE_B 0.000101133
*RES
1 *3932:X *4145:TE_B 31.1629
*END
*D_NET *628 0.000706009
*CONN
*I *4146:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3777:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4146:TE_B 0.000353004
2 *3777:X 0.000353004
*RES
1 *3777:X *4146:TE_B 33.242
*END
*D_NET *629 0.00056538
*CONN
*I *4147:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3779:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4147:TE_B 0.000267081
2 *3779:X 0.000267081
3 *4147:TE_B *645:29 3.1218e-05
4 *54:6 *4147:TE_B 0
*RES
1 *3779:X *4147:TE_B 32.1327
*END
*D_NET *630 0.00182873
*CONN
*I *4148:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3781:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4148:TE_B 0.000312003
2 *3781:X 0.000312003
3 *4148:TE_B *4148:A 0.00084816
4 *3781:A *4148:TE_B 0.000147325
5 *456:11 *4148:TE_B 0.000209241
*RES
1 *3781:X *4148:TE_B 32.0017
*END
*D_NET *631 0.00100752
*CONN
*I *4149:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3785:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4149:TE_B 0.000475031
2 *3785:X 0.000475031
3 *3785:A *4149:TE_B 3.601e-05
4 *450:28 *4149:TE_B 2.14467e-05
*RES
1 *3785:X *4149:TE_B 37.2635
*END
*D_NET *632 0.00114058
*CONN
*I *4150:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3787:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4150:TE_B 0.000487788
2 *3787:X 0.000487788
3 *4150:TE_B *874:16 0
4 *4150:TE_B *878:8 0
5 io_out[5] *4150:TE_B 3.67528e-06
6 *3787:A *4150:TE_B 6.23005e-05
7 *438:22 *4150:TE_B 9.9028e-05
*RES
1 *3787:X *4150:TE_B 36.015
*END
*D_NET *633 0.000812184
*CONN
*I *4151:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3789:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4151:TE_B 0.00022532
2 *3789:X 0.00022532
3 *4151:TE_B *874:11 6.73022e-05
4 io_oeb[2] *4151:TE_B 0.000294241
*RES
1 *3789:X *4151:TE_B 34.4849
*END
*D_NET *634 0.000341571
*CONN
*I *4152:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3791:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4152:TE_B 0.000120346
2 *3791:X 0.000120346
3 *4152:TE_B *4152:A 5.21759e-05
4 *4152:TE_B *645:14 4.8703e-05
*RES
1 *3791:X *4152:TE_B 30.4689
*END
*D_NET *635 0.000770256
*CONN
*I *4153:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3793:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4153:TE_B 0.000365563
2 *3793:X 0.000365563
3 *4153:TE_B *4153:A 1.31657e-05
4 *425:65 *4153:TE_B 2.59656e-05
*RES
1 *3793:X *4153:TE_B 24.2372
*END
*D_NET *636 0.000817824
*CONN
*I *4154:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3796:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4154:TE_B 0.000338857
2 *3796:X 0.000338857
3 *3796:A *4154:TE_B 9.40969e-05
4 *115:12 *4154:TE_B 4.60139e-05
*RES
1 *3796:X *4154:TE_B 24.2372
*END
*D_NET *637 0.00172912
*CONN
*I *4155:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3798:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4155:TE_B 0.000443915
2 *3798:X 0.000443915
3 *4155:TE_B *4194:TE_B 0.000204493
4 *4155:TE_B *834:21 6.50586e-05
5 *4155:TE_B *838:8 0
6 *4155:TE_B *858:56 0.000103217
7 *215:15 *4155:TE_B 9.08145e-05
8 *387:12 *4155:TE_B 0.0003014
9 *387:18 *4155:TE_B 1.21461e-06
10 *391:11 *4155:TE_B 7.50872e-05
*RES
1 *3798:X *4155:TE_B 40.0604
*END
*D_NET *638 0.000669718
*CONN
*I *4156:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3800:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4156:TE_B 0.000204224
2 *3800:X 0.000204224
3 *3799:A *4156:TE_B 0
4 *3800:A *4156:TE_B 2.652e-05
5 *3961:C *4156:TE_B 1.2601e-05
6 *512:32 *4156:TE_B 0.000222149
*RES
1 *3800:X *4156:TE_B 31.7175
*END
*D_NET *639 0.00126892
*CONN
*I *4157:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3802:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4157:TE_B 0.000377425
2 *3802:X 0.000377425
3 *3799:A *4157:TE_B 0.000334808
4 *3802:A *4157:TE_B 2.41483e-05
5 *475:25 *4157:TE_B 0.00015511
*RES
1 *3802:X *4157:TE_B 38.8093
*END
*D_NET *640 0.000608787
*CONN
*I *4158:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3804:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4158:TE_B 0.000294747
2 *3804:X 0.000294747
3 *4158:TE_B *4158:A 0
4 *3804:A *4158:TE_B 1.92926e-05
*RES
1 *3804:X *4158:TE_B 33.9303
*END
*D_NET *641 0.00035548
*CONN
*I *4159:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3807:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4159:TE_B 0.000172378
2 *3807:X 0.000172378
3 *3807:A *4159:TE_B 0
4 *424:53 *4159:TE_B 1.07248e-05
*RES
1 *3807:X *4159:TE_B 30.6083
*END
*D_NET *642 0.000484031
*CONN
*I *4160:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3809:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4160:TE_B 0.000156379
2 *3809:X 0.000156379
3 *3923:A *4160:TE_B 0
4 *84:7 *4160:TE_B 0.000171273
5 *481:56 *4160:TE_B 0
*RES
1 *3809:X *4160:TE_B 31.5781
*END
*D_NET *643 0.00150089
*CONN
*I *4161:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3811:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4161:TE_B 0.000626525
2 *3811:X 0.000626525
3 io_out[16] *4161:TE_B 0.000113188
4 *3748:A *4161:TE_B 0.000124942
5 *450:38 *4161:TE_B 9.71323e-06
*RES
1 *3811:X *4161:TE_B 41.2823
*END
*D_NET *644 0.000776304
*CONN
*I *4162:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3813:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4162:TE_B 0.000225748
2 *3813:X 0.000225748
3 *4162:TE_B *741:14 0.000116986
4 *462:40 *4162:TE_B 0.000207821
*RES
1 *3813:X *4162:TE_B 32.1327
*END
*D_NET *645 0.043449
*CONN
*I *3697:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4163:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3699:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3695:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3701:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3694:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *3697:A 0
2 *4163:TE_B 0.000409833
3 *3699:A 1.76235e-05
4 *3695:A 0
5 *3701:A 0
6 *3694:X 7.46532e-05
7 *645:45 0.00282809
8 *645:40 0.00268477
9 *645:29 0.00162948
10 *645:16 0.00134534
11 *645:14 0.00322512
12 *645:13 0.00322512
13 *645:11 2.06324e-05
14 *645:9 0.00152426
15 *645:8 0.00157829
16 *4163:TE_B *4115:A 0.000202229
17 *4163:TE_B *4153:A 0
18 *4163:TE_B *4173:A 0
19 *645:8 *878:8 0
20 *645:14 *4128:A 5.54078e-05
21 *645:14 *4152:A 0
22 *645:14 *4166:TE_B 0
23 *645:14 *4176:TE_B 0
24 *645:14 *4188:A 4.94747e-05
25 *645:29 *4171:A 0
26 *645:29 *732:11 4.87343e-05
27 *645:40 *4191:TE_B 0
28 *645:40 *732:11 0
29 *645:45 *4115:A 0.000146237
30 *645:45 *703:13 0.000108755
31 io_oeb[37] *645:14 9.61451e-05
32 io_out[12] *645:29 8.2238e-05
33 io_out[26] *645:29 4.69495e-06
34 io_out[2] *645:29 0.000571163
35 io_out[31] *645:14 9.61451e-05
36 io_out[8] *4163:TE_B 0
37 *3696:A *645:29 6.50586e-05
38 *3696:A *645:40 0.000305742
39 *3700:A *4163:TE_B 0.000477015
40 *3700:A *645:45 0.00011818
41 *3702:A *645:29 0.000168843
42 *3769:A *645:29 0
43 *3819:A *645:14 0.000834672
44 *3823:A *645:14 5.56367e-05
45 *3871:A *645:14 9.92715e-05
46 *3915:A *645:9 7.98171e-06
47 *3915:A *645:14 0.000148129
48 *3925:A *4163:TE_B 0.000689473
49 *3926:A *645:45 0.000157261
50 *4113:TE_B *645:40 0.000256503
51 *4133:TE_B *645:14 9.47963e-05
52 *4142:TE_B *645:29 7.31757e-05
53 *4147:TE_B *645:29 3.1218e-05
54 *4152:TE_B *645:14 4.8703e-05
55 *4292:A *645:14 0
56 *4297:A *645:14 7.00991e-05
57 *4299:A *4163:TE_B 0.000141572
58 *54:6 *645:29 0
59 *444:54 *645:8 0.000122083
60 *456:12 *645:14 0.00930714
61 *456:29 *4163:TE_B 0.000171572
62 *456:29 *645:29 2.16355e-05
63 *456:29 *645:40 0.000134832
64 *462:16 *645:29 0
65 *512:57 *645:14 0.00336353
66 *530:7 *645:9 0.00207903
67 *530:16 *645:9 0.000224381
68 *536:11 *645:9 0.00143262
69 *536:13 *645:9 0.00245331
70 *536:17 *645:9 0.000271058
*RES
1 *3694:X *645:8 20.4964
2 *645:8 *645:9 63.9482
3 *645:9 *645:11 0.578717
4 *645:11 *645:13 4.5
5 *645:13 *645:14 159.628
6 *645:14 *645:16 4.5
7 *645:16 *3701:A 9.24915
8 *645:16 *645:29 44.8543
9 *645:29 *3695:A 9.24915
10 *645:29 *645:40 18.4809
11 *645:40 *645:45 37.5201
12 *645:45 *3699:A 9.82786
13 *645:45 *4163:TE_B 36.7725
14 *645:11 *3697:A 9.24915
*END
*D_NET *646 0.000818881
*CONN
*I *4164:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3911:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4164:TE_B 0.000289902
2 *3911:X 0.000289902
3 *4164:TE_B *886:8 0.000119538
4 *518:12 *4164:TE_B 0.000119538
*RES
1 *3911:X *4164:TE_B 34.3512
*END
*D_NET *647 0.00168232
*CONN
*I *4165:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3913:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4165:TE_B 0.00066911
2 *3913:X 0.00066911
3 *4165:TE_B *4170:A 0
4 *4165:TE_B *654:11 0
5 *4165:TE_B *747:13 0
6 *4165:TE_B *754:10 0
7 io_out[25] *4165:TE_B 7.93468e-05
8 *3912:A *4165:TE_B 0.000175674
9 *3913:A *4165:TE_B 8.9075e-05
*RES
1 *3913:X *4165:TE_B 43.208
*END
*D_NET *648 0.000620477
*CONN
*I *4166:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3915:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4166:TE_B 0.000182585
2 *3915:X 0.000182585
3 *4166:TE_B *4166:A 0.000207163
4 *3698:A *4166:TE_B 3.01634e-05
5 *3915:A *4166:TE_B 1.79807e-05
6 *456:12 *4166:TE_B 0
7 *645:14 *4166:TE_B 0
*RES
1 *3915:X *4166:TE_B 33.3757
*END
*D_NET *649 0.000923861
*CONN
*I *4167:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3918:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4167:TE_B 0.000299862
2 *3918:X 0.000299862
3 *4167:TE_B *887:9 0.000324137
4 io_out[22] *4167:TE_B 0
*RES
1 *3918:X *4167:TE_B 33.242
*END
*D_NET *650 0.00120992
*CONN
*I *4168:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3920:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4168:TE_B 0.000575028
2 *3920:X 0.000575028
3 *4168:TE_B *4168:A 7.34948e-06
4 io_out[23] *4168:TE_B 5.25197e-05
5 *3902:A *4168:TE_B 0
*RES
1 *3920:X *4168:TE_B 39.6185
*END
*D_NET *651 0.00119616
*CONN
*I *4169:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3922:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4169:TE_B 0.000302883
2 *3922:X 0.000302883
3 *3855:A *4169:TE_B 0.000141533
4 *3917:A *4169:TE_B 7.03198e-05
5 *3922:A *4169:TE_B 0
6 *3929:A *4169:TE_B 0.000220183
7 *506:12 *4169:TE_B 0.000158353
*RES
1 *3922:X *4169:TE_B 35.4548
*END
*D_NET *652 0.00105039
*CONN
*I *4170:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3706:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4170:TE_B 0.000489375
2 *3706:X 0.000489375
3 *4170:TE_B *754:10 3.58185e-05
4 io_out[25] *4170:TE_B 3.58185e-05
*RES
1 *3706:X *4170:TE_B 35.4604
*END
*D_NET *653 0.00157787
*CONN
*I *4171:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3928:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4171:TE_B 0.000192429
2 *3928:X 0.000192429
3 *4171:TE_B *4171:A 1.92336e-05
4 io_out[26] *4171:TE_B 0.000736094
5 *3877:A *4171:TE_B 0.000341993
6 *520:15 *4171:TE_B 9.56924e-05
*RES
1 *3928:X *4171:TE_B 38.2334
*END
*D_NET *654 0.00291877
*CONN
*I *4172:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3924:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4172:TE_B 0
2 *3924:X 0.000780868
3 *654:11 0.000780868
4 *654:11 *734:11 0
5 *654:11 *747:13 0.000670235
6 *654:11 *874:13 0.000175334
7 io_out[27] *654:11 5.04829e-06
8 *3812:A *654:11 0.000498091
9 *4165:TE_B *654:11 0
10 *450:17 *654:11 8.3247e-06
*RES
1 *3924:X *654:11 42.5805
2 *654:11 *4172:TE_B 9.24915
*END
*D_NET *655 0.00176022
*CONN
*I *4173:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3926:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4173:TE_B 0.000396778
2 *3926:X 0.000396778
3 *4173:TE_B *4115:A 0.00088961
4 io_out[8] *4173:TE_B 3.69003e-05
5 *4299:A *4173:TE_B 4.01573e-05
*RES
1 *3926:X *4173:TE_B 39.7578
*END
*D_NET *656 0.00167819
*CONN
*I *4174:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3818:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4174:TE_B 0.000651349
2 *3818:X 0.000651349
3 *4174:TE_B *693:11 0.000180078
4 io_oeb[28] *4174:TE_B 0.00012316
5 *488:11 *4174:TE_B 7.22498e-05
*RES
1 *3818:X *4174:TE_B 32.7251
*END
*D_NET *657 0.000815866
*CONN
*I *4175:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3820:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4175:TE_B 0.000197281
2 *3820:X 0.000197281
3 *4175:TE_B *4175:A 0.000370815
4 *456:12 *4175:TE_B 5.04879e-05
5 *518:22 *4175:TE_B 0
*RES
1 *3820:X *4175:TE_B 33.7966
*END
*D_NET *658 0.000500208
*CONN
*I *4176:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3824:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4176:TE_B 0.000150766
2 *3824:X 0.000150766
3 *4176:TE_B *4176:A 0.000131202
4 io_out[31] *4176:TE_B 1.20478e-05
5 *3819:A *4176:TE_B 7.58217e-06
6 *3823:A *4176:TE_B 1.78514e-05
7 *3824:A *4176:TE_B 2.99929e-05
8 *645:14 *4176:TE_B 0
*RES
1 *3824:X *4176:TE_B 32.6523
*END
*D_NET *659 0.00157939
*CONN
*I *4177:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3826:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4177:TE_B 0.000597417
2 *3826:X 0.000597417
3 *4177:TE_B *4177:A 0.000163912
4 *4177:TE_B *4213:TE_B 0
5 io_oeb[30] *4177:TE_B 0
6 io_out[3] *4177:TE_B 0
7 la1_data_out[1] *4177:TE_B 0
8 *3765:A *4177:TE_B 6.81008e-05
9 *4140:TE_B *4177:TE_B 0
10 *456:12 *4177:TE_B 0.000152542
*RES
1 *3826:X *4177:TE_B 43.7626
*END
*D_NET *660 0.00141008
*CONN
*I *4178:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3831:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4178:TE_B 0.000286404
2 *3831:X 0.000286404
3 *4178:TE_B *760:13 0.00083727
4 *3860:A *4178:TE_B 0
*RES
1 *3831:X *4178:TE_B 37.6788
*END
*D_NET *661 0.00103636
*CONN
*I *4179:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3833:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4179:TE_B 0.000500177
2 *3833:X 0.000500177
3 *3833:A *4179:TE_B 3.601e-05
4 *450:28 *4179:TE_B 0
*RES
1 *3833:X *4179:TE_B 37.8181
*END
*D_NET *662 0.000498501
*CONN
*I *4180:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3837:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4180:TE_B 0.000204678
2 *3837:X 0.000204678
3 *4180:TE_B *4180:A 0
4 *3837:A *4180:TE_B 3.25584e-05
5 *438:40 *4180:TE_B 6.11359e-06
6 *462:47 *4180:TE_B 5.04734e-05
*RES
1 *3837:X *4180:TE_B 31.5781
*END
*D_NET *663 0.000601452
*CONN
*I *4181:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3841:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4181:TE_B 0.000173094
2 *3841:X 0.000173094
3 *4181:TE_B *4202:TE_B 0
4 io_oeb[19] *4181:TE_B 0.000101118
5 *3900:A *4181:TE_B 0.000154145
*RES
1 *3841:X *4181:TE_B 31.1629
*END
*D_NET *664 0.000775266
*CONN
*I *4182:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3845:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4182:TE_B 0.000290082
2 *3845:X 0.000290082
3 *4182:TE_B *744:11 0.000101148
4 *450:17 *4182:TE_B 9.39527e-05
5 *500:77 *4182:TE_B 0
*RES
1 *3845:X *4182:TE_B 35.0451
*END
*D_NET *665 0.00401563
*CONN
*I *4183:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3930:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4183:TE_B 0
2 *3930:X 0.00152212
3 *665:15 0.00152212
4 *665:15 *4124:A 5.53789e-05
5 *665:15 *4186:A 2.82583e-05
6 *665:15 *4186:TE_B 0.000580881
7 *665:15 *887:9 2.81584e-05
8 io_oeb[0] *665:15 0.000187618
9 la1_data_out[11] *665:15 0
10 *3930:A *665:15 7.09013e-05
11 *4295:A *665:15 0
12 *425:61 *665:15 2.01874e-05
*RES
1 *3930:X *665:15 47.2242
2 *665:15 *4183:TE_B 9.24915
*END
*D_NET *666 0.000912348
*CONN
*I *4184:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3847:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4184:TE_B 0.000314262
2 *3847:X 0.000314262
3 *4184:TE_B *4184:A 0.000192991
4 *3847:A *4184:TE_B 7.06457e-05
5 *87:9 *4184:TE_B 2.01874e-05
*RES
1 *3847:X *4184:TE_B 27.5649
*END
*D_NET *667 0.00273759
*CONN
*I *4185:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3852:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4185:TE_B 0.000574648
2 *3852:X 0.000574648
3 *4185:TE_B *4182:A 0.000122083
4 *4185:TE_B *741:14 8.15723e-05
5 *4185:TE_B *767:13 0.000678789
6 io_oeb[2] *4185:TE_B 9.46208e-05
7 *3705:A *4185:TE_B 0.000196236
8 *3788:A *4185:TE_B 0.000224962
9 *3813:A *4185:TE_B 0.000190028
*RES
1 *3852:X *4185:TE_B 49.483
*END
*D_NET *668 0.00231215
*CONN
*I *4186:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3856:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4186:TE_B 0.00071788
2 *3856:X 0.00071788
3 *4186:TE_B *4186:A 7.90099e-05
4 *4186:TE_B *887:10 0.000101118
5 io_oeb[0] *4186:TE_B 0
6 io_oeb[3] *4186:TE_B 2.71542e-05
7 io_out[24] *4186:TE_B 0
8 *3917:A *4186:TE_B 7.3752e-05
9 *87:9 *4186:TE_B 1.44742e-05
10 *665:15 *4186:TE_B 0.000580881
*RES
1 *3856:X *4186:TE_B 44.2241
*END
*D_NET *669 0.00341764
*CONN
*I *4187:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3860:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4187:TE_B 0
2 *3860:X 0.00083214
3 *669:10 0.00083214
4 *669:10 *4199:TE_B 0.000113968
5 *669:10 *731:14 0.00130181
6 *3767:A *669:10 0
7 *3817:A *669:10 0.000148129
8 *3893:A *669:10 0.000180532
9 *82:6 *669:10 8.92568e-06
*RES
1 *3860:X *669:10 45.8814
2 *669:10 *4187:TE_B 9.24915
*END
*D_NET *670 0.000717941
*CONN
*I *4188:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3865:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4188:TE_B 0.000230015
2 *3865:X 0.000230015
3 *4188:TE_B *4188:A 5.56461e-05
4 *4188:TE_B *886:8 0.000101133
5 *536:18 *4188:TE_B 0.000101133
*RES
1 *3865:X *4188:TE_B 33.3813
*END
*D_NET *671 0.000688981
*CONN
*I *4189:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3869:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4189:TE_B 0.00032775
2 *3869:X 0.00032775
3 *3869:A *4189:TE_B 3.34802e-05
*RES
1 *3869:X *4189:TE_B 35.4604
*END
*D_NET *672 0.00171136
*CONN
*I *4190:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3874:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4190:TE_B 0.000581117
2 *3874:X 0.000581117
3 *4190:TE_B *4198:TE_B 6.66454e-05
4 *4190:TE_B *872:16 0.000479276
5 io_oeb[7] *4190:TE_B 3.20069e-06
6 *3858:A *4190:TE_B 0
7 *3899:A *4190:TE_B 0
8 *506:80 *4190:TE_B 0
9 *530:16 *4190:TE_B 0
*RES
1 *3874:X *4190:TE_B 43.6261
*END
*D_NET *673 0.00164926
*CONN
*I *4191:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3876:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4191:TE_B 0.00026573
2 *3876:X 0.00026573
3 *4191:TE_B *773:13 0.000831667
4 *76:13 *4191:TE_B 0.000286135
5 *645:40 *4191:TE_B 0
*RES
1 *3876:X *4191:TE_B 38.788
*END
*D_NET *674 0.000631819
*CONN
*I *4192:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3878:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4192:TE_B 0.000216525
2 *3878:X 0.000216525
3 *4192:TE_B *703:13 6.92705e-05
4 io_oeb[9] *4192:TE_B 0.000129499
*RES
1 *3878:X *4192:TE_B 33.3757
*END
*D_NET *675 0.00207522
*CONN
*I *4193:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3880:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4193:TE_B 0.000873067
2 *3880:X 0.000873067
3 *4193:TE_B *4193:A 0.000127034
4 *3880:A *4193:TE_B 0.00020205
*RES
1 *3880:X *4193:TE_B 32.5563
*END
*D_NET *676 0.00243138
*CONN
*I *4194:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3882:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4194:TE_B 0.000762773
2 *3882:X 0.000762773
3 *4194:TE_B *4194:A 9.40969e-05
4 *4194:TE_B *838:8 0
5 *4194:TE_B *858:56 0.000253916
6 *3881:A *4194:TE_B 0.000220183
7 *4155:TE_B *4194:TE_B 0.000204493
8 *215:15 *4194:TE_B 0.000133148
*RES
1 *3882:X *4194:TE_B 47.6911
*END
*D_NET *677 0.00113099
*CONN
*I *4195:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3885:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4195:TE_B 0.000424493
2 *3885:X 0.000424493
3 io_oeb[12] *4195:TE_B 4.90613e-05
4 *3884:A *4195:TE_B 0.00023294
*RES
1 *3885:X *4195:TE_B 38.788
*END
*D_NET *678 0.00111227
*CONN
*I *4196:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3887:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4196:TE_B 0.00033789
2 *3887:X 0.00033789
3 *4196:TE_B *4195:A 5.53789e-05
4 *4196:TE_B *4196:A 9.3309e-05
5 *3887:A *4196:TE_B 7.14746e-05
6 *462:47 *4196:TE_B 0.000216327
*RES
1 *3887:X *4196:TE_B 37.8069
*END
*D_NET *679 0.00164641
*CONN
*I *4197:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3889:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4197:TE_B 0.000428668
2 *3889:X 0.000428668
3 *4197:TE_B *4192:A 5.96936e-05
4 *4197:TE_B *4197:A 0.000530151
5 *4197:TE_B *889:6 5.96936e-05
6 *3757:A *4197:TE_B 1.43983e-05
7 *456:29 *4197:TE_B 0.00012514
*RES
1 *3889:X *4197:TE_B 39.372
*END
*D_NET *680 0.000754078
*CONN
*I *4198:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3891:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4198:TE_B 0.000315893
2 *3891:X 0.000315893
3 *3890:A *4198:TE_B 5.56461e-05
4 *4190:TE_B *4198:TE_B 6.66454e-05
5 *506:80 *4198:TE_B 0
6 *530:16 *4198:TE_B 0
*RES
1 *3891:X *4198:TE_B 34.3456
*END
*D_NET *681 0.00198091
*CONN
*I *4199:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3893:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4199:TE_B 0.000336574
2 *3893:X 0.000336574
3 *4199:TE_B *4117:A 0.000175689
4 *4199:TE_B *4216:A 0.000130946
5 *4199:TE_B *885:5 0.000185701
6 *524:14 *4199:TE_B 5.22071e-05
7 *524:19 *4199:TE_B 0.000649254
8 *669:10 *4199:TE_B 0.000113968
*RES
1 *3893:X *4199:TE_B 41.8635
*END
*D_NET *682 0.000758437
*CONN
*I *4200:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3896:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4200:TE_B 0.000320135
2 *3896:X 0.000320135
3 *4200:TE_B *4136:A 0.000118166
4 *4200:TE_B *4200:A 0
*RES
1 *3896:X *4200:TE_B 34.3456
*END
*D_NET *683 0.00231455
*CONN
*I *4201:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3898:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4201:TE_B 0.000580047
2 *3898:X 0.000580047
3 *4201:TE_B *4146:A 7.98827e-05
4 *4201:TE_B *4201:A 0.00027329
5 io_out[1] *4201:TE_B 9.66658e-05
6 *3776:A *4201:TE_B 7.30564e-05
7 *3777:A *4201:TE_B 0.000351415
8 *3814:A *4201:TE_B 0.000171288
9 *462:40 *4201:TE_B 7.50722e-05
10 *530:85 *4201:TE_B 0
11 *536:55 *4201:TE_B 3.37866e-05
*RES
1 *3898:X *4201:TE_B 46.8115
*END
*D_NET *684 0.00104198
*CONN
*I *4202:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3900:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4202:TE_B 0.000255818
2 *3900:X 0.000255818
3 *4202:TE_B *4202:A 0.000373525
4 io_oeb[19] *4202:TE_B 0
5 io_out[36] *4202:TE_B 0.000156823
6 *3841:A *4202:TE_B 0
7 *4181:TE_B *4202:TE_B 0
*RES
1 *3900:X *4202:TE_B 36.0094
*END
*D_NET *685 0.00181484
*CONN
*I *4203:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3902:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4203:TE_B 0.00039912
2 *3902:X 0.00039912
3 *438:45 *4203:TE_B 0.000508299
4 *450:38 *4203:TE_B 0.000508299
*RES
1 *3902:X *4203:TE_B 41.5498
*END
*D_NET *686 0.00188454
*CONN
*I *4204:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3904:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4204:TE_B 0.000727252
2 *3904:X 0.000727252
3 *4204:TE_B *4204:A 0.000316073
4 io_oeb[21] *4204:TE_B 0.000113968
*RES
1 *3904:X *4204:TE_B 36.4385
*END
*D_NET *687 0.001161
*CONN
*I *4205:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3907:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4205:TE_B 0.000544075
2 *3907:X 0.000544075
3 *3866:A *4205:TE_B 3.01634e-05
4 *518:22 *4205:TE_B 4.26859e-05
*RES
1 *3907:X *4205:TE_B 36.1543
*END
*D_NET *688 0.00161703
*CONN
*I *4206:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3909:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4206:TE_B 0.000427652
2 *3909:X 0.000427652
3 *4206:TE_B *4206:A 0.000470454
4 *4206:TE_B *874:13 0.000106797
5 *4206:TE_B *874:16 0
6 io_oeb[24] *4206:TE_B 0
7 *3731:A *4206:TE_B 0
8 *3733:A *4206:TE_B 0.000134006
9 *4127:TE_B *4206:TE_B 0
10 *438:39 *4206:TE_B 5.04734e-05
*RES
1 *3909:X *4206:TE_B 41.1345
*END
*D_NET *689 0.00038617
*CONN
*I *4207:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3733:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4207:TE_B 0.000132916
2 *3733:X 0.000132916
3 *4207:TE_B *4207:A 4.27003e-05
4 *3733:A *4207:TE_B 5.07314e-05
5 *56:11 *4207:TE_B 2.69064e-05
*RES
1 *3733:X *4207:TE_B 30.6083
*END
*D_NET *690 0.00127644
*CONN
*I *4208:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3735:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4208:TE_B 0.000551713
2 *3735:X 0.000551713
3 *4208:TE_B *4123:A 5.53934e-05
4 *4208:TE_B *4208:A 7.67988e-05
5 *3772:A *4208:TE_B 2.85274e-05
6 *3773:A *4208:TE_B 1.22938e-05
7 *431:18 *4208:TE_B 0
8 *431:27 *4208:TE_B 0
9 *524:14 *4208:TE_B 0
*RES
1 *3735:X *4208:TE_B 39.886
*END
*D_NET *691 0.000977473
*CONN
*I *4209:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3737:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4209:TE_B 0.000475283
2 *3737:X 0.000475283
3 *450:28 *4209:TE_B 2.69064e-05
*RES
1 *3737:X *4209:TE_B 37.2635
*END
*D_NET *692 0.000737528
*CONN
*I *4210:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3815:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4210:TE_B 0.000222887
2 *3815:X 0.000222887
3 io_oeb[27] *4210:TE_B 2.40518e-05
4 *3755:A *4210:TE_B 4.55535e-05
5 *536:55 *4210:TE_B 0.000222149
*RES
1 *3815:X *4210:TE_B 32.1327
*END
*D_NET *693 0.00402128
*CONN
*I *4211:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3822:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4211:TE_B 0.000433907
2 *3822:X 0.000991759
3 *693:11 0.00142567
4 *4211:TE_B *4211:A 7.34948e-06
5 *693:11 *698:7 3.072e-06
6 io_oeb[28] *693:11 0.000778386
7 la1_data_out[4] *693:11 4.27148e-05
8 *3854:A *693:11 4.27148e-05
9 *4174:TE_B *693:11 0.000180078
10 *488:11 *693:11 0.000115632
*RES
1 *3822:X *693:11 44.9418
2 *693:11 *4211:TE_B 18.8462
*END
*D_NET *694 0.000968895
*CONN
*I *4212:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3829:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4212:TE_B 0.000438821
2 *3829:X 0.000438821
3 *4212:TE_B *882:13 5.54078e-05
4 *450:28 *4212:TE_B 3.58457e-05
*RES
1 *3829:X *4212:TE_B 37.6788
*END
*D_NET *695 0.00109336
*CONN
*I *4213:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3835:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4213:TE_B 0.000333409
2 *3835:X 0.000333409
3 *4213:TE_B *4213:A 0.000325405
4 la1_data_out[1] *4213:TE_B 0.000101133
5 *4177:TE_B *4213:TE_B 0
*RES
1 *3835:X *4213:TE_B 37.2635
*END
*D_NET *696 0.001995
*CONN
*I *4214:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3843:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4214:TE_B 0.000401344
2 *3843:X 0.000401344
3 *4214:TE_B *4214:A 9.9028e-05
4 *4214:TE_B *756:11 0
5 *4214:TE_B *798:7 0.000159317
6 *3843:A *4214:TE_B 7.87271e-05
7 *425:59 *4214:TE_B 0.00085524
*RES
1 *3843:X *4214:TE_B 42.9405
*END
*D_NET *697 0.00199287
*CONN
*I *4215:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3849:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4215:TE_B 0.000996436
2 *3849:X 0.000996436
*RES
1 *3849:X *4215:TE_B 32.5563
*END
*D_NET *698 0.00563133
*CONN
*I *4216:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3854:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4216:TE_B 0.000608832
2 *3854:X 0.000596398
3 *698:8 0.00108902
4 *698:7 0.00107659
5 *4216:TE_B *883:7 0
6 *57:8 *698:8 0.00102038
7 *66:7 *4216:TE_B 0.00022207
8 *66:8 *698:8 0.00101497
9 *693:11 *698:7 3.072e-06
*RES
1 *3854:X *698:7 27.5407
2 *698:7 *698:8 1564.51
3 *698:8 *4216:TE_B 34.196
*END
*D_NET *699 0.00105937
*CONN
*I *4217:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3858:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4217:TE_B 0.000350033
2 *3858:X 0.000350033
3 io_oeb[34] *4217:TE_B 0.0003593
4 *3858:A *4217:TE_B 0
*RES
1 *3858:X *4217:TE_B 36.1782
*END
*D_NET *700 0.000186338
*CONN
*I *4218:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3863:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4218:TE_B 7.2836e-05
2 *3863:X 7.2836e-05
3 *3863:A *4218:TE_B 4.06661e-05
*RES
1 *3863:X *4218:TE_B 29.3303
*END
*D_NET *701 0.00020376
*CONN
*I *4219:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3867:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4219:TE_B 7.24466e-05
2 *3867:X 7.24466e-05
3 *3819:A *4219:TE_B 5.88662e-05
*RES
1 *3867:X *4219:TE_B 29.7455
*END
*D_NET *702 0.000229851
*CONN
*I *4220:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *3871:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4220:TE_B 8.79451e-05
2 *3871:X 8.79451e-05
3 *3871:A *4220:TE_B 5.39608e-05
*RES
1 *3871:X *4220:TE_B 29.7455
*END
*D_NET *703 0.00268686
*CONN
*I *4113:A I *D sky130_fd_sc_hd__ebufn_8
*I *4001:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4113:A 0
2 *4001:LO 0.00118866
3 *703:13 0.00118866
4 *4113:TE_B *703:13 8.677e-05
5 *4192:TE_B *703:13 6.92705e-05
6 *4300:A *703:13 2.85274e-05
7 *76:13 *703:13 1.62206e-05
8 *645:45 *703:13 0.000108755
*RES
1 *4001:LO *703:13 45.8153
2 *703:13 *4113:A 9.24915
*END
*D_NET *704 0.00162287
*CONN
*I *4114:A I *D sky130_fd_sc_hd__ebufn_8
*I *4002:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4114:A 0.000709366
2 *4002:LO 0.000709366
3 la1_data_out[1] *4114:A 3.20069e-06
4 *3834:A *4114:A 2.16355e-05
5 *3835:A *4114:A 6.13961e-05
6 *4114:TE_B *4114:A 2.65667e-05
7 *444:40 *4114:A 0
8 *487:18 *4114:A 3.57027e-05
9 *493:24 *4114:A 5.56367e-05
*RES
1 *4002:LO *4114:A 44.3607
*END
*D_NET *705 0.00203839
*CONN
*I *4115:A I *D sky130_fd_sc_hd__ebufn_8
*I *4003:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4115:A 0.00025116
2 *4003:LO 0.00025116
3 *3700:A *4115:A 2.42138e-05
4 *3926:A *4115:A 0.000273777
5 *4163:TE_B *4115:A 0.000202229
6 *4173:TE_B *4115:A 0.00088961
7 *645:45 *4115:A 0.000146237
*RES
1 *4003:LO *4115:A 32.5322
*END
*D_NET *706 0.000792638
*CONN
*I *4116:A I *D sky130_fd_sc_hd__ebufn_8
*I *4004:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4116:A 0.000396319
2 *4004:LO 0.000396319
3 *3819:A *4116:A 0
*RES
1 *4004:LO *4116:A 35.4548
*END
*D_NET *707 0.00240612
*CONN
*I *4117:A I *D sky130_fd_sc_hd__ebufn_8
*I *4005:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4117:A 0.0006141
2 *4005:LO 0.0006141
3 *4117:A *4216:A 0.00011413
4 *4117:A *798:7 0.000164829
5 io_oeb[16] *4117:A 0.000219967
6 io_oeb[4] *4117:A 0.000163997
7 *3708:A *4117:A 1.41291e-05
8 *4199:TE_B *4117:A 0.000175689
9 *425:45 *4117:A 0.000164829
10 *524:14 *4117:A 3.31733e-05
11 *524:18 *4117:A 0.000127179
*RES
1 *4005:LO *4117:A 47.9502
*END
*D_NET *708 0.00275406
*CONN
*I *4118:A I *D sky130_fd_sc_hd__ebufn_8
*I *4006:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4118:A 0
2 *4006:LO 0.000962603
3 *708:11 0.000962603
4 io_oeb[8] *708:11 0
5 la1_data_out[5] *708:11 3.20069e-06
6 *3714:A *708:11 8.33486e-05
7 *3819:A *708:11 0.000176249
8 *4118:TE_B *708:11 5.04829e-06
9 *4120:TE_B *708:11 0.000120153
10 *4138:TE_B *708:11 0.00012137
11 *456:12 *708:11 0.00031948
*RES
1 *4006:LO *708:11 46.4487
2 *708:11 *4118:A 9.24915
*END
*D_NET *709 0.00114996
*CONN
*I *4119:A I *D sky130_fd_sc_hd__ebufn_8
*I *4007:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4119:A 0.000574979
2 *4007:LO 0.000574979
3 *450:38 *4119:A 0
*RES
1 *4007:LO *4119:A 39.8972
*END
*D_NET *710 0.0048476
*CONN
*I *4120:A I *D sky130_fd_sc_hd__ebufn_8
*I *4008:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4120:A 0.000760472
2 *4008:LO 0.000535451
3 *710:10 0.00129592
4 *710:10 *4175:A 9.75356e-05
5 *710:10 *728:11 0.000742261
6 io_oeb[8] *710:10 0
7 la1_data_out[15] *710:10 0.00119202
8 la1_data_out[25] *710:10 0.000123934
9 la1_data_out[7] *4120:A 7.49459e-05
10 *154:10 *710:10 2.5059e-05
*RES
1 *4008:LO *710:10 42.3977
2 *710:10 *4120:A 20.944
*END
*D_NET *711 0.000302725
*CONN
*I *4121:A I *D sky130_fd_sc_hd__ebufn_8
*I *4009:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4121:A 0.000151363
2 *4009:LO 0.000151363
3 *462:47 *4121:A 0
*RES
1 *4009:LO *4121:A 30.4689
*END
*D_NET *712 0.00123648
*CONN
*I *4122:A I *D sky130_fd_sc_hd__ebufn_8
*I *4010:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4122:A 0.000297966
2 *4010:LO 0.000297966
3 *4122:A *4125:A 0.000320272
4 *425:16 *4122:A 0.000320272
*RES
1 *4010:LO *4122:A 36.0094
*END
*D_NET *713 0.00200217
*CONN
*I *4123:A I *D sky130_fd_sc_hd__ebufn_8
*I *4011:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4123:A 0.000834735
2 *4011:LO 0.000834735
3 *4123:A *4208:A 0.000101133
4 *3720:A *4123:A 0.00013978
5 *3721:A *4123:A 3.63947e-05
6 *4208:TE_B *4123:A 5.53934e-05
7 *524:14 *4123:A 0
*RES
1 *4011:LO *4123:A 41.995
*END
*D_NET *714 0.00181334
*CONN
*I *4124:A I *D sky130_fd_sc_hd__ebufn_8
*I *4012:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4124:A 0.000648079
2 *4012:LO 0.000648079
3 *4124:A *4186:A 0
4 la1_data_out[11] *4124:A 6.92705e-05
5 *3723:A *4124:A 0.000146645
6 *4124:TE_B *4124:A 2.68928e-05
7 *87:8 *4124:A 0
8 *500:34 *4124:A 0.00015428
9 *524:23 *4124:A 6.47133e-05
10 *665:15 *4124:A 5.53789e-05
*RES
1 *4012:LO *4124:A 43.3768
*END
*D_NET *715 0.0018647
*CONN
*I *4125:A I *D sky130_fd_sc_hd__ebufn_8
*I *4013:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4125:A 0.000440479
2 *4013:LO 0.000440479
3 *4125:A *4134:A 0.00015324
4 io_out[37] *4125:A 2.54248e-05
5 *3774:A *4125:A 0
6 *3788:A *4125:A 0
7 *4122:A *4125:A 0.000320272
8 *4122:TE_B *4125:A 0.000125695
9 *425:16 *4125:A 0.000100591
10 *462:45 *4125:A 0.000232461
11 *462:47 *4125:A 2.6054e-05
*RES
1 *4013:LO *4125:A 44.5969
*END
*D_NET *716 0.00230076
*CONN
*I *4126:A I *D sky130_fd_sc_hd__ebufn_8
*I *4014:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4126:A 0.000358737
2 *4014:LO 0.000358737
3 *4126:A *872:9 0.00141424
4 la1_data_out[13] *4126:A 0.000169041
*RES
1 *4014:LO *4126:A 34.2201
*END
*D_NET *717 0.00129973
*CONN
*I *4127:A I *D sky130_fd_sc_hd__ebufn_8
*I *4015:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4127:A 0.000565343
2 *4015:LO 0.000565343
3 *4127:A *4207:A 0
4 io_oeb[27] *4127:A 0
5 *3731:A *4127:A 0.000169041
*RES
1 *4015:LO *4127:A 40.6153
*END
*D_NET *718 0.000432363
*CONN
*I *4128:A I *D sky130_fd_sc_hd__ebufn_8
*I *4016:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4128:A 0.000160655
2 *4016:LO 0.000160655
3 *4128:TE_B *4128:A 5.56461e-05
4 *645:14 *4128:A 5.54078e-05
*RES
1 *4016:LO *4128:A 32.1327
*END
*D_NET *719 0.000318626
*CONN
*I *4129:A I *D sky130_fd_sc_hd__ebufn_8
*I *4017:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4129:A 0.000159313
2 *4017:LO 0.000159313
3 *3869:A *4129:A 0
4 *462:47 *4129:A 0
*RES
1 *4017:LO *4129:A 31.2994
*END
*D_NET *720 0.000306448
*CONN
*I *4130:A I *D sky130_fd_sc_hd__ebufn_8
*I *4018:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4130:A 0.000146025
2 *4018:LO 0.000146025
3 *444:67 *4130:A 1.43983e-05
4 *462:47 *4130:A 0
*RES
1 *4018:LO *4130:A 30.4689
*END
*D_NET *721 0.00143405
*CONN
*I *4131:A I *D sky130_fd_sc_hd__ebufn_8
*I *4019:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4131:A 0.000381583
2 *4019:LO 0.000381583
3 *4131:A *786:10 6.92705e-05
4 la1_data_out[18] *4131:A 0.000423908
5 *3904:A *4131:A 0.000153225
6 *4131:TE_B *4131:A 2.44829e-05
*RES
1 *4019:LO *4131:A 38.8118
*END
*D_NET *722 0.00156043
*CONN
*I *4132:A I *D sky130_fd_sc_hd__ebufn_8
*I *4020:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4132:A 0.000542822
2 *4020:LO 0.000542822
3 *287:11 *4132:A 0.000474783
4 *462:47 *4132:A 0
5 *557:10 *4132:A 0
*RES
1 *4020:LO *4132:A 38.2278
*END
*D_NET *723 0.000763105
*CONN
*I *4133:A I *D sky130_fd_sc_hd__ebufn_8
*I *4021:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4133:A 0.000217526
2 *4021:LO 0.000217526
3 *4133:A *4219:A 1.03403e-05
4 *3819:A *4133:A 0.000317713
*RES
1 *4021:LO *4133:A 33.791
*END
*D_NET *724 0.00076068
*CONN
*I *4134:A I *D sky130_fd_sc_hd__ebufn_8
*I *4022:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4134:A 0.0002271
2 *4022:LO 0.0002271
3 *4125:A *4134:A 0.00015324
4 *425:16 *4134:A 0.00015324
*RES
1 *4022:LO *4134:A 34.4047
*END
*D_NET *725 0.00119718
*CONN
*I *4135:A I *D sky130_fd_sc_hd__ebufn_8
*I *4023:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4135:A 0.000388338
2 *4023:LO 0.000388338
3 *4135:A *874:13 0.000330979
4 io_oeb[27] *4135:A 4.80148e-05
5 la1_data_out[22] *4135:A 4.15143e-05
*RES
1 *4023:LO *4135:A 39.9508
*END
*D_NET *726 0.00202859
*CONN
*I *4136:A I *D sky130_fd_sc_hd__ebufn_8
*I *4024:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4136:A 0.000766477
2 *4024:LO 0.000766477
3 *4136:A *4192:A 0.000100926
4 *4136:A *889:6 0
5 *3878:A *4136:A 0
6 *4136:TE_B *4136:A 5.04734e-05
7 *4200:TE_B *4136:A 0.000118166
8 *425:65 *4136:A 0.000226066
*RES
1 *4024:LO *4136:A 48.101
*END
*D_NET *727 0.000883193
*CONN
*I *4137:A I *D sky130_fd_sc_hd__ebufn_8
*I *4025:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4137:A 0.000403667
2 *4025:LO 0.000403667
3 *4119:TE_B *4137:A 7.58595e-05
4 *462:47 *4137:A 0
*RES
1 *4025:LO *4137:A 37.113
*END
*D_NET *728 0.00299247
*CONN
*I *4138:A I *D sky130_fd_sc_hd__ebufn_8
*I *4026:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4138:A 0
2 *4026:LO 0.000876219
3 *728:11 0.000876219
4 *728:11 *4175:A 0.000107558
5 la1_data_out[25] *728:11 3.31882e-05
6 *3714:A *728:11 0
7 *3877:A *728:11 0.000102357
8 *518:22 *728:11 0.00025467
9 *710:10 *728:11 0.000742261
*RES
1 *4026:LO *728:11 45.6212
2 *728:11 *4138:A 9.24915
*END
*D_NET *729 0.00303947
*CONN
*I *4139:A I *D sky130_fd_sc_hd__ebufn_8
*I *4027:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4139:A 0.000844529
2 *4027:LO 0.000844529
3 *4139:A *4216:A 0.000116971
4 *4139:A *885:5 0.00123344
5 *488:11 *4139:A 0
*RES
1 *4027:LO *4139:A 43.2248
*END
*D_NET *730 0.00389855
*CONN
*I *4140:A I *D sky130_fd_sc_hd__ebufn_8
*I *4028:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4140:A 0
2 *4028:LO 0.00161894
3 *730:13 0.00161894
4 la1_data_out[18] *730:13 0.00063214
5 *3904:A *730:13 0
6 *4140:TE_B *730:13 2.85274e-05
*RES
1 *4028:LO *730:13 48.438
2 *730:13 *4140:A 9.24915
*END
*D_NET *731 0.0040513
*CONN
*I *4141:A I *D sky130_fd_sc_hd__ebufn_8
*I *4029:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4141:A 0
2 *4029:LO 0.000825189
3 *731:14 0.000825189
4 *731:14 *4187:A 0.000543573
5 io_oeb[31] *731:14 0.000143017
6 *3767:A *731:14 0
7 *82:6 *731:14 0.00041252
8 *669:10 *731:14 0.00130181
*RES
1 *4029:LO *731:14 44.8343
2 *731:14 *4141:A 9.24915
*END
*D_NET *732 0.00308354
*CONN
*I *4142:A I *D sky130_fd_sc_hd__ebufn_8
*I *4030:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4142:A 0
2 *4030:LO 0.000832098
3 *732:11 0.000832098
4 *732:11 *4171:A 0.000203634
5 io_out[2] *732:11 0.00105301
6 la1_data_out[0] *732:11 0
7 la1_data_out[29] *732:11 0.000113968
8 *4113:TE_B *732:11 0
9 *645:29 *732:11 4.87343e-05
10 *645:40 *732:11 0
*RES
1 *4030:LO *732:11 44.643
2 *732:11 *4142:A 9.24915
*END
*D_NET *733 0.00057821
*CONN
*I *4143:A I *D sky130_fd_sc_hd__ebufn_8
*I *4031:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4143:A 0.000275822
2 *4031:LO 0.000275822
3 *3773:A *4143:A 2.65667e-05
4 *462:47 *4143:A 0
5 *524:14 *4143:A 0
*RES
1 *4031:LO *4143:A 34.0725
*END
*D_NET *734 0.00277255
*CONN
*I *4144:A I *D sky130_fd_sc_hd__ebufn_8
*I *4032:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4144:A 0
2 *4032:LO 0.00120608
3 *734:11 0.00120608
4 *734:11 *4182:A 6.92705e-05
5 *734:11 *767:13 0
6 io_out[20] *734:11 9.95519e-05
7 *3812:A *734:11 0
8 *3924:A *734:11 6.31665e-05
9 *506:65 *734:11 0.000128404
10 *654:11 *734:11 0
*RES
1 *4032:LO *734:11 48.6727
2 *734:11 *4144:A 9.24915
*END
*D_NET *735 0.000296435
*CONN
*I *4145:A I *D sky130_fd_sc_hd__ebufn_8
*I *4033:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4145:A 0.000117794
2 *4033:LO 0.000117794
3 *4145:TE_B *4145:A 6.08467e-05
*RES
1 *4033:LO *4145:A 21.4401
*END
*D_NET *736 0.000836833
*CONN
*I *4146:A I *D sky130_fd_sc_hd__ebufn_8
*I *4034:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4146:A 0.000378475
2 *4034:LO 0.000378475
3 *4201:TE_B *4146:A 7.98827e-05
*RES
1 *4034:LO *4146:A 36.7274
*END
*D_NET *737 0.000990024
*CONN
*I *4147:A I *D sky130_fd_sc_hd__ebufn_8
*I *4035:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4147:A 0.000495012
2 *4035:LO 0.000495012
3 *4147:A *4171:A 0
*RES
1 *4035:LO *4147:A 37.8422
*END
*D_NET *738 0.00262857
*CONN
*I *4148:A I *D sky130_fd_sc_hd__ebufn_8
*I *4036:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4148:A 0.000803495
2 *4036:LO 0.000803495
3 *4148:A *4213:A 0
4 *3781:A *4148:A 7.50722e-05
5 *4148:TE_B *4148:A 0.00084816
6 *63:11 *4148:A 0
7 *444:38 *4148:A 0
8 *444:40 *4148:A 0
9 *456:11 *4148:A 1.40978e-05
10 *462:16 *4148:A 8.42523e-05
*RES
1 *4036:LO *4148:A 44.2079
*END
*D_NET *739 0.000636102
*CONN
*I *4149:A I *D sky130_fd_sc_hd__ebufn_8
*I *4037:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4149:A 0.000300281
2 *4037:LO 0.000300281
3 *4149:A *4194:A 0
4 *3784:A *4149:A 1.93781e-05
5 *3798:A *4149:A 1.61631e-05
6 *462:47 *4149:A 0
*RES
1 *4037:LO *4149:A 34.6215
*END
*D_NET *740 0.000243784
*CONN
*I *4150:A I *D sky130_fd_sc_hd__ebufn_8
*I *4038:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4150:A 0.000121892
2 *4038:LO 0.000121892
3 io_out[5] *4150:A 0
*RES
1 *4038:LO *4150:A 30.576
*END
*D_NET *741 0.00345262
*CONN
*I *4151:A I *D sky130_fd_sc_hd__ebufn_8
*I *4039:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4151:A 0
2 *4039:LO 0.00101537
3 *741:14 0.00101537
4 *741:14 *4182:A 0.000367283
5 io_oeb[2] *741:14 3.56809e-05
6 io_out[20] *741:14 0.00015324
7 *3813:A *741:14 0.000320821
8 *3852:A *741:14 0.000346303
9 *4162:TE_B *741:14 0.000116986
10 *4185:TE_B *741:14 8.15723e-05
11 *500:77 *741:14 0
12 *506:65 *741:14 0
*RES
1 *4039:LO *741:14 48.1507
2 *741:14 *4151:A 9.24915
*END
*D_NET *742 0.00109517
*CONN
*I *4152:A I *D sky130_fd_sc_hd__ebufn_8
*I *4040:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4152:A 0.000514268
2 *4040:LO 0.000514268
3 *3871:A *4152:A 1.44611e-05
4 *4152:TE_B *4152:A 5.21759e-05
5 *645:14 *4152:A 0
*RES
1 *4040:LO *4152:A 39.4735
*END
*D_NET *743 0.000787671
*CONN
*I *4153:A I *D sky130_fd_sc_hd__ebufn_8
*I *4041:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4153:A 0.000246954
2 *4041:LO 0.000246954
3 *3926:A *4153:A 3.77659e-05
4 *4153:TE_B *4153:A 1.31657e-05
5 *4163:TE_B *4153:A 0
6 *424:17 *4153:A 0
7 *425:65 *4153:A 6.50586e-05
8 *469:37 *4153:A 0.000177772
*RES
1 *4041:LO *4153:A 34.7849
*END
*D_NET *744 0.00283705
*CONN
*I *4162:A I *D sky130_fd_sc_hd__ebufn_8
*I *4042:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4162:A 0
2 *4042:LO 0.00095971
3 *744:11 0.00095971
4 io_oeb[2] *744:11 4.43826e-05
5 io_out[37] *744:11 0
6 *3705:A *744:11 0.000685233
7 *3788:A *744:11 8.68633e-05
8 *4145:TE_B *744:11 0
9 *4182:TE_B *744:11 0.000101148
10 *425:16 *744:11 0
11 *500:77 *744:11 0
*RES
1 *4042:LO *744:11 46.3096
2 *744:11 *4162:A 9.24915
*END
*D_NET *745 0.000674784
*CONN
*I *4163:A I *D sky130_fd_sc_hd__ebufn_8
*I *4043:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4163:A 0.000201624
2 *4043:LO 0.000201624
3 *4163:A *888:6 0.000130777
4 *3700:A *4163:A 0
5 *4295:A *4163:A 0.00014076
6 *4299:A *4163:A 0
*RES
1 *4043:LO *4163:A 33.8004
*END
*D_NET *746 0.000708839
*CONN
*I *4164:A I *D sky130_fd_sc_hd__ebufn_8
*I *4044:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4164:A 0.00035442
2 *4044:LO 0.00035442
3 *512:57 *4164:A 0
*RES
1 *4044:LO *4164:A 35.7307
*END
*D_NET *747 0.00280631
*CONN
*I *4165:A I *D sky130_fd_sc_hd__ebufn_8
*I *4045:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4165:A 0
2 *4045:LO 0.000856534
3 *747:13 0.000856534
4 *3812:A *747:13 0.000209265
5 *3912:A *747:13 0
6 *3924:A *747:13 0.000213739
7 *4165:TE_B *747:13 0
8 *654:11 *747:13 0.000670235
*RES
1 *4045:LO *747:13 43.0198
2 *747:13 *4165:A 9.24915
*END
*D_NET *748 0.00124772
*CONN
*I *4166:A I *D sky130_fd_sc_hd__ebufn_8
*I *4046:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4166:A 0.00052028
2 *4046:LO 0.00052028
3 *3698:A *4166:A 0
4 *3915:A *4166:A 0
5 *4166:TE_B *4166:A 0.000207163
*RES
1 *4046:LO *4166:A 40.3068
*END
*D_NET *749 0.000400129
*CONN
*I *4167:A I *D sky130_fd_sc_hd__ebufn_8
*I *4047:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4167:A 0.000174699
2 *4047:LO 0.000174699
3 *425:61 *4167:A 5.07314e-05
*RES
1 *4047:LO *4167:A 31.854
*END
*D_NET *750 0.000700786
*CONN
*I *4168:A I *D sky130_fd_sc_hd__ebufn_8
*I *4048:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4168:A 0.000262915
2 *4048:LO 0.000262915
3 *4168:TE_B *4168:A 7.34948e-06
4 *462:47 *4168:A 0.000167607
5 *557:10 *4168:A 0
*RES
1 *4048:LO *4168:A 35.1761
*END
*D_NET *751 0.00185169
*CONN
*I *4169:A I *D sky130_fd_sc_hd__ebufn_8
*I *4049:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4169:A 1.4405e-05
2 *4049:LO 0.000456793
3 *751:12 6.51346e-05
4 *751:11 0.000507523
5 *751:11 *765:10 0.000299901
6 *3855:A *4169:A 2.65667e-05
7 *425:61 *4169:A 6.23759e-05
8 *425:61 *751:11 0.000418993
9 *506:12 *751:11 0
*RES
1 *4049:LO *751:11 37.6732
2 *751:11 *751:12 57.9449
3 *751:12 *4169:A 19.2217
*END
*D_NET *752 0.00154215
*CONN
*I *4170:A I *D sky130_fd_sc_hd__ebufn_8
*I *4050:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4170:A 0.000405328
2 *4050:LO 0.000405328
3 *4170:A *754:10 0.000699863
4 *3812:A *4170:A 2.65831e-05
5 *3814:A *4170:A 5.04829e-06
6 *3912:A *4170:A 0
7 *4165:TE_B *4170:A 0
*RES
1 *4050:LO *4170:A 40.435
*END
*D_NET *753 0.0021158
*CONN
*I *4171:A I *D sky130_fd_sc_hd__ebufn_8
*I *4051:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4171:A 0.000781811
2 *4051:LO 0.000781811
3 io_out[26] *4171:A 0.000311249
4 *3877:A *4171:A 1.80647e-05
5 *4147:A *4171:A 0
6 *4171:TE_B *4171:A 1.92336e-05
7 *520:15 *4171:A 0
8 *645:29 *4171:A 0
9 *732:11 *4171:A 0.000203634
*RES
1 *4051:LO *4171:A 45.8605
*END
*D_NET *754 0.00363716
*CONN
*I *4172:A I *D sky130_fd_sc_hd__ebufn_8
*I *4052:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4172:A 5.58623e-05
2 *4052:LO 0.000880591
3 *754:10 0.000936453
4 *4172:A *874:13 7.48797e-05
5 io_oeb[18] *754:10 0.000751955
6 io_out[25] *754:10 0
7 *4165:TE_B *754:10 0
8 *4170:A *754:10 0.000699863
9 *4170:TE_B *754:10 3.58185e-05
10 *481:56 *754:10 0.000201734
11 *530:85 *754:10 0
*RES
1 *4052:LO *754:10 48.6376
2 *754:10 *4172:A 11.0817
*END
*D_NET *755 0.00218246
*CONN
*I *4173:A I *D sky130_fd_sc_hd__ebufn_8
*I *4053:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4173:A 0.000546624
2 *4053:LO 0.000546624
3 io_out[28] *4173:A 0.000111722
4 io_out[8] *4173:A 0.000378054
5 *3793:A *4173:A 0.000166951
6 *3926:A *4173:A 0.000319954
7 *4163:TE_B *4173:A 0
8 *456:29 *4173:A 0.000112535
*RES
1 *4053:LO *4173:A 44.9069
*END
*D_NET *756 0.00234256
*CONN
*I *4174:A I *D sky130_fd_sc_hd__ebufn_8
*I *4054:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4174:A 0
2 *4054:LO 0.000852205
3 *756:11 0.000852205
4 io_oeb[1] *756:11 0
5 io_oeb[28] *756:11 0.000315487
6 *3843:A *756:11 0.000104113
7 *4214:TE_B *756:11 0
8 *431:15 *756:11 0.000218553
*RES
1 *4054:LO *756:11 40.7801
2 *756:11 *4174:A 9.24915
*END
*D_NET *757 0.00245697
*CONN
*I *4175:A I *D sky130_fd_sc_hd__ebufn_8
*I *4055:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4175:A 0.000695649
2 *4055:LO 0.000695649
3 *4175:TE_B *4175:A 0.000370815
4 *154:10 *4175:A 0.000489765
5 *518:22 *4175:A 0
6 *710:10 *4175:A 9.75356e-05
7 *728:11 *4175:A 0.000107558
*RES
1 *4055:LO *4175:A 47.8139
*END
*D_NET *758 0.00145334
*CONN
*I *4176:A I *D sky130_fd_sc_hd__ebufn_8
*I *4056:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4176:A 0.00066107
2 *4056:LO 0.00066107
3 io_out[31] *4176:A 0
4 *3819:A *4176:A 0
5 *3824:A *4176:A 0
6 *3867:A *4176:A 0
7 *4176:TE_B *4176:A 0.000131202
*RES
1 *4056:LO *4176:A 43.9048
*END
*D_NET *759 0.00417176
*CONN
*I *4177:A I *D sky130_fd_sc_hd__ebufn_8
*I *4057:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4177:A 0.00162638
2 *4057:LO 0.00162638
3 *3729:A *4177:A 3.28416e-06
4 *4177:TE_B *4177:A 0.000163912
5 *438:9 *4177:A 0.000751807
*RES
1 *4057:LO *4177:A 44.6129
*END
*D_NET *760 0.00314372
*CONN
*I *4178:A I *D sky130_fd_sc_hd__ebufn_8
*I *4058:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4178:A 0
2 *4058:LO 0.000886593
3 *760:13 0.000886593
4 io_out[33] *760:13 2.24571e-05
5 *3831:A *760:13 0.000510806
6 *3860:A *760:13 0
7 *4178:TE_B *760:13 0.00083727
8 *4294:A *760:13 0
9 *425:32 *760:13 0
*RES
1 *4058:LO *760:13 44.2684
2 *760:13 *4178:A 9.24915
*END
*D_NET *761 0.00115423
*CONN
*I *4179:A I *D sky130_fd_sc_hd__ebufn_8
*I *4059:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4179:A 0.000461308
2 *4059:LO 0.000461308
3 *3931:A *4179:A 6.50727e-05
4 *3932:A *4179:A 0.000166542
5 *425:16 *4179:A 0
6 *500:77 *4179:A 0
*RES
1 *4059:LO *4179:A 37.293
*END
*D_NET *762 0.000754359
*CONN
*I *4180:A I *D sky130_fd_sc_hd__ebufn_8
*I *4060:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4180:A 0.000240106
2 *4060:LO 0.000240106
3 *3836:A *4180:A 0.000224395
4 *3837:A *4180:A 4.97517e-05
5 *4180:TE_B *4180:A 0
6 *500:77 *4180:A 0
*RES
1 *4060:LO *4180:A 33.4107
*END
*D_NET *763 0.00133951
*CONN
*I *4181:A I *D sky130_fd_sc_hd__ebufn_8
*I *4061:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4181:A 0.000380354
2 *4061:LO 0.000380354
3 io_oeb[15] *4181:A 0
4 io_oeb[19] *4181:A 0.000190013
5 *3841:A *4181:A 0.00022991
6 *3900:A *4181:A 0.00015888
*RES
1 *4061:LO *4181:A 39.4791
*END
*D_NET *764 0.000911015
*CONN
*I *4182:A I *D sky130_fd_sc_hd__ebufn_8
*I *4062:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4182:A 0.00017619
2 *4062:LO 0.00017619
3 *4185:TE_B *4182:A 0.000122083
4 *734:11 *4182:A 6.92705e-05
5 *741:14 *4182:A 0.000367283
*RES
1 *4062:LO *4182:A 34.6215
*END
*D_NET *765 0.00283528
*CONN
*I *4183:A I *D sky130_fd_sc_hd__ebufn_8
*I *4063:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4183:A 0
2 *4063:LO 0.00108298
3 *765:10 0.00108298
4 io_out[24] *765:10 8.81898e-05
5 *3855:A *765:10 0
6 *3856:A *765:10 4.5539e-05
7 *3929:A *765:10 6.31665e-05
8 *487:65 *765:10 0
9 *506:12 *765:10 0
10 *524:19 *765:10 3.99372e-06
11 *524:23 *765:10 0.000168538
12 *751:11 *765:10 0.000299901
*RES
1 *4063:LO *765:10 42.133
2 *765:10 *4183:A 9.24915
*END
*D_NET *766 0.00102828
*CONN
*I *4184:A I *D sky130_fd_sc_hd__ebufn_8
*I *4064:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4184:A 0.000202453
2 *4064:LO 0.000202453
3 *4184:A *4211:A 0
4 *3847:A *4184:A 0.00043038
5 *4184:TE_B *4184:A 0.000192991
*RES
1 *4064:LO *4184:A 35.1817
*END
*D_NET *767 0.00330093
*CONN
*I *4185:A I *D sky130_fd_sc_hd__ebufn_8
*I *4065:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4185:A 0
2 *4065:LO 0.000526302
3 *767:13 0.000526302
4 *3705:A *767:13 0.000782758
5 *3788:A *767:13 1.80647e-05
6 *3812:A *767:13 0.000218531
7 *3923:A *767:13 0
8 *4185:TE_B *767:13 0.000678789
9 *506:65 *767:13 0.000550183
10 *734:11 *767:13 0
*RES
1 *4065:LO *767:13 41.2223
2 *767:13 *4185:A 9.24915
*END
*D_NET *768 0.00193355
*CONN
*I *4186:A I *D sky130_fd_sc_hd__ebufn_8
*I *4066:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4186:A 0.000756179
2 *4066:LO 0.000756179
3 *4124:A *4186:A 0
4 *4124:TE_B *4186:A 8.92568e-06
5 *4186:TE_B *4186:A 7.90099e-05
6 *87:8 *4186:A 0.000304998
7 *665:15 *4186:A 2.82583e-05
*RES
1 *4066:LO *4186:A 45.9754
*END
*D_NET *769 0.00143124
*CONN
*I *4187:A I *D sky130_fd_sc_hd__ebufn_8
*I *4067:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4187:A 0.000172046
2 *4067:LO 0.000172046
3 *82:6 *4187:A 0.000543573
4 *731:14 *4187:A 0.000543573
*RES
1 *4067:LO *4187:A 37.2201
*END
*D_NET *770 0.00044744
*CONN
*I *4188:A I *D sky130_fd_sc_hd__ebufn_8
*I *4068:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4188:A 0.00017116
2 *4068:LO 0.00017116
3 *4188:TE_B *4188:A 5.56461e-05
4 *645:14 *4188:A 4.94747e-05
*RES
1 *4068:LO *4188:A 32.1327
*END
*D_NET *771 0.000816346
*CONN
*I *4189:A I *D sky130_fd_sc_hd__ebufn_8
*I *4069:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4189:A 0.000342784
2 *4069:LO 0.000342784
3 *222:24 *4189:A 0.000130777
*RES
1 *4069:LO *4189:A 33.7966
*END
*D_NET *772 0.000343503
*CONN
*I *4190:A I *D sky130_fd_sc_hd__ebufn_8
*I *4070:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4190:A 8.03174e-05
2 *4070:LO 8.03174e-05
3 io_oeb[34] *4190:A 0.000182869
*RES
1 *4070:LO *4190:A 30.576
*END
*D_NET *773 0.00380823
*CONN
*I *4191:A I *D sky130_fd_sc_hd__ebufn_8
*I *4071:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4191:A 0
2 *4071:LO 0.000862688
3 *773:13 0.000862688
4 *4191:TE_B *773:13 0.000831667
5 *76:13 *773:13 2.75805e-05
6 *425:65 *773:13 0.00118605
7 *425:71 *773:13 3.75603e-05
8 *520:15 *773:13 0
*RES
1 *4071:LO *773:13 46.7683
2 *773:13 *4191:A 9.24915
*END
*D_NET *774 0.0022828
*CONN
*I *4192:A I *D sky130_fd_sc_hd__ebufn_8
*I *4072:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4192:A 0.000582945
2 *4072:LO 0.000582945
3 *4192:A *4200:A 0
4 *4192:A *889:6 0
5 io_oeb[9] *4192:A 6.08467e-05
6 *3889:A *4192:A 0
7 *4136:A *4192:A 0.000100926
8 *4197:TE_B *4192:A 5.96936e-05
9 *425:65 *4192:A 0.000895445
*RES
1 *4072:LO *4192:A 46.1501
*END
*D_NET *775 0.00140944
*CONN
*I *4193:A I *D sky130_fd_sc_hd__ebufn_8
*I *4073:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4193:A 0.000518467
2 *4073:LO 0.000518467
3 *3880:A *4193:A 4.31703e-05
4 *3906:A *4193:A 0.000101148
5 *4193:TE_B *4193:A 0.000127034
6 *444:40 *4193:A 0.000101148
*RES
1 *4073:LO *4193:A 40.6153
*END
*D_NET *776 0.00183012
*CONN
*I *4194:A I *D sky130_fd_sc_hd__ebufn_8
*I *4074:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4194:A 0.000536187
2 *4074:LO 0.000536187
3 *3649:B *4194:A 0
4 *3798:A *4194:A 0
5 *3881:A *4194:A 0.000148234
6 *4149:A *4194:A 0
7 *4194:TE_B *4194:A 9.40969e-05
8 *219:49 *4194:A 0.000187055
9 *391:11 *4194:A 0.000328363
*RES
1 *4074:LO *4194:A 43.653
*END
*D_NET *777 0.000385088
*CONN
*I *4195:A I *D sky130_fd_sc_hd__ebufn_8
*I *4075:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4195:A 9.94665e-05
2 *4075:LO 9.94665e-05
3 *4195:A *4196:A 0.000130777
4 *4196:TE_B *4195:A 5.53789e-05
*RES
1 *4075:LO *4195:A 30.4689
*END
*D_NET *778 0.00128219
*CONN
*I *4196:A I *D sky130_fd_sc_hd__ebufn_8
*I *4076:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4196:A 0.000505549
2 *4076:LO 0.000505549
3 *3887:A *4196:A 4.70005e-05
4 *4195:A *4196:A 0.000130777
5 *4196:TE_B *4196:A 9.3309e-05
6 *462:47 *4196:A 0
7 *524:14 *4196:A 0
*RES
1 *4076:LO *4196:A 40.8853
*END
*D_NET *779 0.00279857
*CONN
*I *4197:A I *D sky130_fd_sc_hd__ebufn_8
*I *4077:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4197:A 0.000405619
2 *4077:LO 0.000405619
3 io_oeb[14] *4197:A 0.00101818
4 *3896:A *4197:A 0.00012316
5 *4197:TE_B *4197:A 0.000530151
6 *456:29 *4197:A 0.000315845
*RES
1 *4077:LO *4197:A 40.3449
*END
*D_NET *780 0.00111735
*CONN
*I *4198:A I *D sky130_fd_sc_hd__ebufn_8
*I *4078:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4198:A 7.12481e-05
2 *4078:LO 7.12481e-05
3 *3903:A *4198:A 0.000334292
4 *438:9 *4198:A 0.000640564
*RES
1 *4078:LO *4198:A 25.3223
*END
*D_NET *781 0.00100907
*CONN
*I *4199:A I *D sky130_fd_sc_hd__ebufn_8
*I *4079:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4199:A 0.00010908
2 *4079:LO 0.00010908
3 *4199:A *885:5 0.000629762
4 *524:19 *4199:A 0.000161143
*RES
1 *4079:LO *4199:A 25.3223
*END
*D_NET *782 0.00205218
*CONN
*I *4200:A I *D sky130_fd_sc_hd__ebufn_8
*I *4080:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4200:A 0.000748599
2 *4080:LO 0.000748599
3 io_oeb[17] *4200:A 0.000398164
4 la1_data_out[23] *4200:A 0
5 *3889:A *4200:A 0.000156823
6 *3896:A *4200:A 0
7 *4192:A *4200:A 0
8 *4200:TE_B *4200:A 0
9 *530:37 *4200:A 0
*RES
1 *4080:LO *4200:A 47.0846
*END
*D_NET *783 0.000464495
*CONN
*I *4201:A I *D sky130_fd_sc_hd__ebufn_8
*I *4081:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4201:A 3.64797e-05
2 *4081:LO 3.64797e-05
3 *4201:TE_B *4201:A 0.00027329
4 *536:55 *4201:A 0.000118245
*RES
1 *4081:LO *4201:A 21.4401
*END
*D_NET *784 0.00354088
*CONN
*I *4202:A I *D sky130_fd_sc_hd__ebufn_8
*I *4082:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4202:A 0.000866612
2 *4082:LO 0.000866612
3 *4202:A *872:16 0.00110999
4 io_oeb[19] *4202:A 0.000324137
5 *4202:TE_B *4202:A 0.000373525
*RES
1 *4082:LO *4202:A 46.8847
*END
*D_NET *785 0.000567664
*CONN
*I *4203:A I *D sky130_fd_sc_hd__ebufn_8
*I *4083:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4203:A 0.000249806
2 *4083:LO 0.000249806
3 *3902:A *4203:A 9.18559e-06
4 *4119:TE_B *4203:A 5.88662e-05
5 *462:47 *4203:A 0
*RES
1 *4083:LO *4203:A 33.6572
*END
*D_NET *786 0.0039503
*CONN
*I *4204:A I *D sky130_fd_sc_hd__ebufn_8
*I *4084:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4204:A 0.00060742
2 *4084:LO 0.000653794
3 *786:10 0.00126121
4 io_oeb[21] *4204:A 5.0715e-05
5 *3729:A *786:10 0.000463336
6 *3904:A *786:10 0
7 *4126:TE_B *4204:A 0.000271058
8 *4131:A *786:10 6.92705e-05
9 *4131:TE_B *786:10 5.75768e-05
10 *4204:TE_B *4204:A 0.000316073
11 *444:33 *786:10 0.000199842
*RES
1 *4084:LO *786:10 37.8411
2 *786:10 *4204:A 26.0802
*END
*D_NET *787 0.00073761
*CONN
*I *4205:A I *D sky130_fd_sc_hd__ebufn_8
*I *4085:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4205:A 0.000165883
2 *4085:LO 0.000165883
3 *154:10 *4205:A 3.1218e-05
4 *487:41 *4205:A 0.000324151
5 *518:22 *4205:A 5.04734e-05
*RES
1 *4085:LO *4205:A 33.242
*END
*D_NET *788 0.00303334
*CONN
*I *4206:A I *D sky130_fd_sc_hd__ebufn_8
*I *4086:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4206:A 0.00100136
2 *4086:LO 0.00100136
3 *4206:A *4207:A 0
4 *4206:A *874:13 7.86643e-05
5 io_oeb[24] *4206:A 0
6 la1_data_out[14] *4206:A 0.000310094
7 *3731:A *4206:A 0.000143047
8 *3786:A *4206:A 0
9 *4127:TE_B *4206:A 1.90191e-05
10 *4206:TE_B *4206:A 0.000470454
11 *438:39 *4206:A 0
12 *469:48 *4206:A 9.34396e-06
*RES
1 *4086:LO *4206:A 48.6391
*END
*D_NET *789 0.0024592
*CONN
*I *4207:A I *D sky130_fd_sc_hd__ebufn_8
*I *4087:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4207:A 0.000861842
2 *4087:LO 0.000861842
3 la1_data_out[14] *4207:A 0
4 *4127:A *4207:A 0
5 *4206:A *4207:A 0
6 *4207:TE_B *4207:A 4.27003e-05
7 *56:11 *4207:A 0
8 *469:48 *4207:A 4.58124e-05
9 *536:55 *4207:A 0.000647008
*RES
1 *4087:LO *4207:A 46.5356
*END
*D_NET *790 0.000889191
*CONN
*I *4208:A I *D sky130_fd_sc_hd__ebufn_8
*I *4088:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4208:A 0.00035563
2 *4088:LO 0.00035563
3 *4123:A *4208:A 0.000101133
4 *4208:TE_B *4208:A 7.67988e-05
5 *524:14 *4208:A 0
*RES
1 *4088:LO *4208:A 37.3409
*END
*D_NET *791 0.000243068
*CONN
*I *4209:A I *D sky130_fd_sc_hd__ebufn_8
*I *4089:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4209:A 0.000121534
2 *4089:LO 0.000121534
*RES
1 *4089:LO *4209:A 21.4401
*END
*D_NET *792 0.000316118
*CONN
*I *4210:A I *D sky130_fd_sc_hd__ebufn_8
*I *4090:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4210:A 3.24335e-05
2 *4090:LO 3.24335e-05
3 *462:32 *4210:A 0.000128091
4 *469:67 *4210:A 0.00012316
*RES
1 *4090:LO *4210:A 21.4401
*END
*D_NET *793 0.000946722
*CONN
*I *4211:A I *D sky130_fd_sc_hd__ebufn_8
*I *4091:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4211:A 0.000469686
2 *4091:LO 0.000469686
3 *4211:A *4214:A 0
4 *3847:A *4211:A 0
5 *4184:A *4211:A 0
6 *4211:TE_B *4211:A 7.34948e-06
*RES
1 *4091:LO *4211:A 37.6676
*END
*D_NET *794 0.000318626
*CONN
*I *4212:A I *D sky130_fd_sc_hd__ebufn_8
*I *4092:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4212:A 0.000159313
2 *4092:LO 0.000159313
3 *462:47 *4212:A 0
*RES
1 *4092:LO *4212:A 31.2994
*END
*D_NET *795 0.00220607
*CONN
*I *4213:A I *D sky130_fd_sc_hd__ebufn_8
*I *4093:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4213:A 0.000795605
2 *4093:LO 0.000795605
3 *4148:A *4213:A 0
4 *4213:TE_B *4213:A 0.000325405
5 *462:11 *4213:A 0.000224381
6 *462:15 *4213:A 6.50727e-05
7 *462:16 *4213:A 0
*RES
1 *4093:LO *4213:A 48.9074
*END
*D_NET *796 0.00119832
*CONN
*I *4214:A I *D sky130_fd_sc_hd__ebufn_8
*I *4094:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4214:A 0.00031347
2 *4094:LO 0.00031347
3 *4214:A *798:7 0.000324151
4 *3847:A *4214:A 0.000122098
5 *4211:A *4214:A 0
6 *4214:TE_B *4214:A 9.9028e-05
7 *425:59 *4214:A 2.61012e-05
*RES
1 *4094:LO *4214:A 37.3945
*END
*D_NET *797 0.000545881
*CONN
*I *4215:A I *D sky130_fd_sc_hd__ebufn_8
*I *4095:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4215:A 0.00027294
2 *4095:LO 0.00027294
3 *512:57 *4215:A 0
*RES
1 *4095:LO *4215:A 32.1327
*END
*D_NET *798 0.00625992
*CONN
*I *4216:A I *D sky130_fd_sc_hd__ebufn_8
*I *4096:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4216:A 0.000713969
2 *4096:LO 0.00114116
3 *798:7 0.00185513
4 io_oeb[4] *4216:A 5.8261e-05
5 *4117:A *4216:A 0.00011413
6 *4117:A *798:7 0.000164829
7 *4139:A *4216:A 0.000116971
8 *4139:TE_B *4216:A 2.55493e-05
9 *4199:TE_B *4216:A 0.000130946
10 *4214:A *798:7 0.000324151
11 *4214:TE_B *798:7 0.000159317
12 *425:45 *4216:A 0.000122098
13 *425:45 *798:7 1.67988e-05
14 *425:59 *798:7 0.00131661
15 *431:18 *4216:A 0
16 *488:11 *4216:A 0
17 *524:14 *4216:A 0
*RES
1 *4096:LO *798:7 46.0849
2 *798:7 *4216:A 33.7451
*END
*D_NET *799 0.000600074
*CONN
*I *4217:A I *D sky130_fd_sc_hd__ebufn_8
*I *4097:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4217:A 0.000293454
2 *4097:LO 0.000293454
3 *3858:A *4217:A 0
4 *444:33 *4217:A 1.31657e-05
5 *506:80 *4217:A 0
*RES
1 *4097:LO *4217:A 33.791
*END
*D_NET *800 0.00121326
*CONN
*I *4218:A I *D sky130_fd_sc_hd__ebufn_8
*I *4098:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4218:A 0.000522334
2 *4098:LO 0.000522334
3 *3862:A *4218:A 1.00846e-05
4 *3863:A *4218:A 0.000118166
5 *512:71 *4218:A 4.03381e-05
*RES
1 *4098:LO *4218:A 36.015
*END
*D_NET *801 0.000859575
*CONN
*I *4219:A I *D sky130_fd_sc_hd__ebufn_8
*I *4099:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4219:A 0.000424617
2 *4099:LO 0.000424617
3 *3819:A *4219:A 0
4 *4133:A *4219:A 1.03403e-05
*RES
1 *4099:LO *4219:A 36.8455
*END
*D_NET *802 0.00129083
*CONN
*I *4220:A I *D sky130_fd_sc_hd__ebufn_8
*I *4100:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4220:A 0.000573484
2 *4100:LO 0.000573484
3 *444:40 *4220:A 4.27148e-05
4 *536:34 *4220:A 0.000101148
*RES
1 *4100:LO *4220:A 40.0607
*END
*D_NET *803 0.0127527
*CONN
*I *4278:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4277:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4276:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *4278:A 0.00135529
2 *4277:A 0.000453652
3 *4276:X 0
4 *803:21 0.00213871
5 *803:4 0.00304034
6 *4277:A *804:7 0.000251655
7 *4277:A *817:15 0.000164815
8 *4278:A *4249:CLK 8.46129e-05
9 *803:21 *3942:A 0.000233011
10 *803:21 *4263:CLK 0.000436541
11 *803:21 *4275:CLK 0.000213676
12 *803:21 *810:10 6.08467e-05
13 *803:21 *859:60 7.92757e-06
14 *3529:A *4277:A 6.36477e-05
15 *3529:A *803:21 1.41853e-05
16 *3529:B *803:21 0.000419522
17 *3547:B1 *4277:A 0.000211478
18 *3550:B1 *4277:A 9.55447e-05
19 *3553:B *4277:A 0.000400335
20 *3942:C *803:21 0.000277502
21 *3997:A *803:21 0.000749011
22 *4249:D *4278:A 5.68237e-06
23 *4263:D *803:21 1.87611e-05
24 *4276:A *4278:A 0.000709703
25 *4276:A *803:21 5.93367e-05
26 *301:18 *4277:A 0.000111722
27 *304:16 *803:21 1.5613e-05
28 *321:5 *4277:A 5.73406e-05
29 *325:5 *4277:A 0.000205006
30 *345:26 *803:21 9.28854e-05
31 *354:14 *4277:A 0.000132274
32 *481:21 *4278:A 0.00045837
33 *556:19 *803:21 0.000213725
*RES
1 *4276:X *803:4 9.24915
2 *803:4 *803:21 49.0138
3 *803:21 *4277:A 24.9468
4 *803:4 *4278:A 34.8333
*END
*D_NET *804 0.00684708
*CONN
*I *4280:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4279:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4277:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4280:A 0.000656027
2 *4279:A 0.000515208
3 *4277:X 0.000179553
4 *804:7 0.00135079
5 *4279:A *3626:B1 0.0007864
6 *4279:A *806:5 0.000481227
7 *4279:A *806:10 0.000730572
8 *4279:A *818:10 1.58551e-05
9 *4279:A *869:25 3.25394e-05
10 *4279:A *888:21 0.000144531
11 *4280:A *3527:A 0.000404547
12 *4280:A *863:21 1.65872e-05
13 *4280:A *869:25 0.000207765
14 *3535:A *4279:A 0.000383717
15 *4277:A *804:7 0.000251655
16 *301:10 *4280:A 7.39749e-05
17 *301:18 *4279:A 0.000255959
18 *301:18 *4280:A 3.5577e-05
19 *304:35 *4280:A 0.000149643
20 *377:14 *4280:A 0.000124536
21 *377:47 *4280:A 5.04195e-05
*RES
1 *4277:X *804:7 16.691
2 *804:7 *4279:A 38.182
3 *804:7 *4280:A 32.7837
*END
*D_NET *805 0.0041777
*CONN
*I *4281:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4282:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4278:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4281:A 0.000610336
2 *4282:A 0.000634762
3 *4278:X 0
4 *805:4 0.0012451
5 *4281:A *4102:A0 0
6 *4281:A *4227:CLK 0.000244182
7 *4281:A *834:21 0.000194432
8 *4281:A *883:47 2.02035e-05
9 *4282:A *3632:B2 0
10 *4282:A *3633:A1 4.30503e-05
11 *4282:A *3633:A2 0.000340575
12 *4282:A *840:75 0.000118485
13 *3576:A *4281:A 1.5714e-05
14 *3610:A *4282:A 8.94007e-05
15 *4250:D *4282:A 0.000145521
16 *4276:A *4281:A 4.81452e-05
17 *4276:A *4282:A 0.000360626
18 *385:55 *4282:A 3.20384e-05
19 *387:18 *4282:A 3.51299e-05
20 *393:11 *4282:A 0
*RES
1 *4278:X *805:4 9.24915
2 *805:4 *4282:A 34.9287
3 *805:4 *4281:A 32.8469
*END
*D_NET *806 0.0279524
*CONN
*I *4236:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4235:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4274:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4273:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4262:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4260:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4271:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4270:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4272:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4259:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4269:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4254:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4223:CLK I *D sky130_fd_sc_hd__dfxtp_4
*I *4268:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4261:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4256:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4279:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4236:CLK 0.000393846
2 *4235:CLK 8.88817e-05
3 *4274:CLK 0
4 *4273:CLK 4.14302e-05
5 *4262:CLK 2.06324e-05
6 *4260:CLK 0.000320529
7 *4271:CLK 0
8 *4270:CLK 0.000307068
9 *4272:CLK 0
10 *4259:CLK 0.000174514
11 *4269:CLK 0.000184336
12 *4254:CLK 0
13 *4223:CLK 0.0005872
14 *4268:CLK 0.000194806
15 *4261:CLK 0.000377361
16 *4256:CLK 7.48307e-05
17 *4279:X 0
18 *806:155 0.000763478
19 *806:112 0.0012101
20 *806:100 0.000953341
21 *806:88 0.000438715
22 *806:84 0.000657785
23 *806:82 0.000702664
24 *806:75 0.000875346
25 *806:72 0.000316505
26 *806:68 0.000854521
27 *806:46 0.00112808
28 *806:25 0.0005928
29 *806:15 0.000311837
30 *806:10 0.00121917
31 *806:5 0.000715813
32 *806:4 0.000602074
33 *4223:CLK *865:7 7.41002e-05
34 *4259:CLK *3621:A2 4.31371e-05
35 *4260:CLK *870:18 0
36 *4260:CLK *888:163 3.07542e-05
37 *4261:CLK *3617:A2 5.46286e-05
38 *4261:CLK *3617:B1 3.29463e-05
39 *4269:CLK *818:10 5.05841e-05
40 *4270:CLK *3580:A 0
41 *4270:CLK *888:21 0
42 *4273:CLK *3569:A 0.000107496
43 *4273:CLK *811:7 1.82679e-05
44 *806:5 *818:10 4.91225e-06
45 *806:10 *3624:A2 1.32509e-05
46 *806:10 *857:131 1.28704e-05
47 *806:10 *888:21 7.77309e-06
48 *806:46 *3598:B1 0.000164815
49 *806:68 *857:69 1.58551e-05
50 *806:75 *3564:A1 0.000280466
51 *806:82 *3521:A 8.16827e-05
52 *806:82 *3574:B1 0.000148129
53 *806:82 *862:25 0
54 *806:82 *871:24 2.7961e-05
55 *3535:A *806:5 4.33655e-05
56 *3535:A *806:68 5.04829e-06
57 *3551:B *4268:CLK 1.65872e-05
58 *3559:B1 *806:68 5.21301e-05
59 *3559:B1 *806:72 1.03403e-05
60 *3559:B1 *806:155 6.37669e-06
61 *3560:A *4269:CLK 0.000178885
62 *3560:A *806:5 0.000636338
63 *3560:A *806:68 0.00104413
64 *3562:A *806:68 6.36477e-05
65 *3562:C_N *806:68 0.00023777
66 *3563:B1 *806:68 0.000211478
67 *3565:C1 *806:75 0.000139435
68 *3568:A2 *4236:CLK 6.50727e-05
69 *3570:A *806:82 7.44269e-05
70 *3579:A *806:88 3.82228e-05
71 *3582:A2 *4270:CLK 3.10576e-06
72 *3584:A *4270:CLK 0.000188066
73 *3592:A *4261:CLK 1.47102e-05
74 *3594:A1 *806:10 9.91932e-05
75 *3598:A1 *806:15 0.00036013
76 *3598:A1 *806:46 9.32983e-05
77 *3598:A3 *806:46 6.08467e-05
78 *3598:B2 *806:46 3.07726e-05
79 *3599:B *4256:CLK 6.06823e-05
80 *3599:B *806:15 7.92156e-05
81 *3617:A1 *4261:CLK 0
82 *3663:A *4236:CLK 0.000415203
83 *3663:B *4236:CLK 4.33819e-05
84 *4254:D *4223:CLK 0.000319045
85 *4256:D *4256:CLK 6.54102e-05
86 *4256:D *4261:CLK 8.95237e-05
87 *4259:D *4259:CLK 0.000143128
88 *4268:D *4256:CLK 7.98648e-05
89 *4268:D *4261:CLK 3.31882e-05
90 *4268:D *4268:CLK 0.0004124
91 *4268:D *806:15 1.65872e-05
92 *4269:D *4269:CLK 0.000151146
93 *4270:D *4270:CLK 1.87611e-05
94 *4271:D *806:112 0.000269781
95 *4274:D *806:155 0.000481607
96 *4279:A *806:5 0.000481227
97 *4279:A *806:10 0.000730572
98 *80:13 *806:82 7.66853e-05
99 *80:15 *806:82 1.71154e-05
100 *80:15 *806:84 8.26312e-05
101 *80:15 *806:88 0.000122834
102 *221:11 *4235:CLK 6.4628e-05
103 *221:11 *4236:CLK 0.000165989
104 *296:15 *806:75 5.05252e-05
105 *296:15 *806:82 0.000174175
106 *296:29 *806:75 8.89094e-05
107 *301:18 *806:10 4.7918e-05
108 *301:22 *806:10 0.000110844
109 *303:12 *806:155 1.80122e-05
110 *310:8 *4270:CLK 1.77537e-06
111 *312:8 *806:75 9.71065e-05
112 *312:8 *806:82 1.5254e-05
113 *324:28 *806:15 9.44634e-05
114 *326:11 *4268:CLK 0.000100493
115 *335:19 *4270:CLK 9.75356e-05
116 *341:15 *4236:CLK 0.000328368
117 *342:24 *4236:CLK 5.23916e-05
118 *352:11 *806:88 0.000307587
119 *352:11 *806:100 0.000151726
120 *352:11 *806:112 0.000122083
121 *356:7 *4270:CLK 7.48114e-05
122 *366:11 *806:15 0.000411006
123 *366:11 *806:46 0.000154145
124 *377:19 *4270:CLK 0.00017046
125 *377:19 *806:10 0
126 *377:29 *4259:CLK 0.000423908
127 *378:8 *4261:CLK 1.92855e-05
128 *378:8 *4268:CLK 7.48793e-05
129 *380:39 *4261:CLK 5.12232e-05
130 *402:8 *4236:CLK 7.92757e-06
131 *450:59 *4260:CLK 0
132 *487:36 *4235:CLK 0.000153225
133 *487:36 *4236:CLK 0.000403686
134 *500:34 *4259:CLK 0.000195139
135 *500:34 *806:100 0.000167047
136 *500:34 *806:112 0.000111358
137 *512:12 *4259:CLK 8.01741e-05
138 *512:12 *806:100 9.2346e-06
139 *524:8 *806:75 9.21721e-05
140 *524:8 *806:82 0.000122235
*RES
1 *4279:X *806:4 9.24915
2 *806:4 *806:5 6.82404
3 *806:5 *806:10 23.2896
4 *806:10 *806:15 9.23536
5 *806:15 *4256:CLK 12.191
6 *806:15 *806:25 5.07872
7 *806:25 *4261:CLK 22.279
8 *806:25 *4268:CLK 20.5992
9 *806:10 *806:46 12.3701
10 *806:46 *4223:CLK 23.3071
11 *806:46 *4254:CLK 9.24915
12 *806:5 *4269:CLK 16.0973
13 *806:4 *806:68 18.4707
14 *806:68 *806:72 1.30211
15 *806:72 *806:75 14.2218
16 *806:75 *806:82 18.9909
17 *806:82 *806:84 3.49641
18 *806:84 *806:88 11.324
19 *806:88 *4259:CLK 22.4265
20 *806:88 *806:100 3.07775
21 *806:100 *4272:CLK 13.7491
22 *806:100 *806:112 19.5514
23 *806:112 *4270:CLK 28.5606
24 *806:112 *4271:CLK 9.24915
25 *806:84 *4260:CLK 25.4794
26 *806:82 *4262:CLK 9.82786
27 *806:75 *4273:CLK 15.0271
28 *806:72 *4274:CLK 9.24915
29 *806:68 *806:155 11.8786
30 *806:155 *4235:CLK 17.135
31 *806:155 *4236:CLK 30.4371
*END
*D_NET *807 0.0219626
*CONN
*I *4228:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4233:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4234:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4229:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4264:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4221:CLK I *D sky130_fd_sc_hd__dfxtp_4
*I *4263:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4253:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4265:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4257:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4255:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4258:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4266:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4252:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4251:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4267:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4280:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4228:CLK 0
2 *4233:CLK 0.000314578
3 *4234:CLK 0
4 *4229:CLK 0.000188238
5 *4264:CLK 0.000179369
6 *4221:CLK 2.09358e-05
7 *4263:CLK 0.000355793
8 *4253:CLK 0
9 *4265:CLK 0
10 *4257:CLK 0
11 *4255:CLK 0
12 *4258:CLK 0.000233647
13 *4266:CLK 0.000245486
14 *4252:CLK 0
15 *4251:CLK 0
16 *4267:CLK 0.000322614
17 *4280:X 0
18 *807:140 0.000465293
19 *807:131 0.000398332
20 *807:102 0.00050851
21 *807:100 0.000882376
22 *807:99 0.000630604
23 *807:97 0.000596424
24 *807:88 0.000857324
25 *807:77 0.000385734
26 *807:56 0.000298336
27 *807:51 0.000277966
28 *807:50 0.00048671
29 *807:36 0.000394086
30 *807:12 0.000609638
31 *807:7 0.00132274
32 *807:4 0.00103571
33 *4221:CLK *857:34 7.48797e-05
34 *4258:CLK *3622:A2 0.000285174
35 *4258:CLK *883:122 0.000430366
36 *4263:CLK *3942:B 0
37 *4264:CLK *3543:C1 7.34948e-06
38 *4264:CLK *813:10 0.000134524
39 *4266:CLK *3542:A 1.9613e-05
40 *4266:CLK *868:8 8.30273e-05
41 *4267:CLK *3600:A 2.88791e-05
42 *4267:CLK *3629:A2 0.000431115
43 *4267:CLK *816:10 0.000311263
44 *4267:CLK *888:63 5.02338e-05
45 *807:7 *3628:A2 0.000168755
46 *807:7 *863:21 0.000151302
47 *807:12 *3628:A2 0.00011818
48 *807:12 *888:92 8.03393e-06
49 *807:50 *866:9 0.000191526
50 *807:50 *868:8 4.34379e-05
51 *807:50 *888:108 0.000165481
52 *807:77 *814:7 1.92172e-05
53 *807:88 *814:7 9.02865e-05
54 *807:97 *864:7 6.03582e-05
55 *3605:B *4258:CLK 0.000143875
56 *3611:C1 *807:100 0
57 *3623:B1 *807:56 0.000191541
58 *3623:C1 *807:56 0.000195124
59 *3629:A1 *4267:CLK 0.000135738
60 *3629:A1 *807:12 0.000219556
61 *3688:A1 *807:100 0
62 *3688:A2 *807:100 0
63 *3689:B *807:100 5.54078e-05
64 *3784:A *807:100 2.57465e-06
65 *3989:B1 *4233:CLK 6.15995e-05
66 *3990:A *4233:CLK 0
67 *3994:A2 *4233:CLK 4.34143e-05
68 *4221:D *4221:CLK 0.000171288
69 *4228:D *4233:CLK 0.000331044
70 *4228:D *807:100 1.07248e-05
71 *4228:D *807:131 0.00017419
72 *4228:D *807:140 0.000612468
73 *4253:D *807:97 0.000217153
74 *4257:D *807:51 7.02539e-05
75 *4258:D *4258:CLK 7.50872e-05
76 *4263:D *4263:CLK 1.87611e-05
77 *4264:D *4264:CLK 0.000429103
78 *4264:D *807:100 0.000135905
79 *4264:D *807:102 2.95757e-05
80 *4265:D *807:88 9.17771e-05
81 *216:11 *4267:CLK 1.00937e-05
82 *278:11 *4258:CLK 0.000100688
83 *278:11 *4266:CLK 0.000100177
84 *294:11 *4263:CLK 0.00011522
85 *294:11 *4264:CLK 1.92172e-05
86 *294:11 *807:102 4.0007e-05
87 *302:5 *807:7 0.000406794
88 *303:48 *807:100 0.000131599
89 *304:16 *4263:CLK 1.61631e-05
90 *306:38 *807:51 0.00014446
91 *306:38 *807:77 7.78606e-05
92 *306:38 *807:88 5.04829e-06
93 *307:8 *4267:CLK 0
94 *307:8 *807:12 0
95 *314:8 *807:100 0
96 *345:26 *4263:CLK 7.65374e-05
97 *378:30 *4258:CLK 5.77352e-05
98 *378:30 *807:12 2.49085e-05
99 *378:42 *4258:CLK 2.64881e-05
100 *410:12 *807:100 4.62971e-05
101 *417:10 *4233:CLK 0.000377522
102 *417:10 *807:100 0.000416794
103 *417:10 *807:131 0.000167062
104 *417:10 *807:140 0.000605326
105 *424:8 *4258:CLK 0
106 *450:55 *807:88 0.000226281
107 *487:34 *807:100 0
108 *493:19 *807:51 0.00030499
109 *493:19 *807:77 0.000279895
110 *493:19 *807:88 5.5493e-05
111 *493:21 *807:51 0.000115934
112 *524:8 *807:100 0
113 *524:8 *807:102 0
114 *524:53 *4233:CLK 0.000151079
115 *542:8 *4266:CLK 8.79472e-05
116 *542:8 *807:50 3.88655e-05
117 *803:21 *4263:CLK 0.000436541
*RES
1 *4280:X *807:4 9.24915
2 *807:4 *807:7 17.2409
3 *807:7 *807:12 12.593
4 *807:12 *4267:CLK 24.3931
5 *807:12 *4251:CLK 13.7491
6 *807:7 *4252:CLK 9.24915
7 *807:4 *807:36 4.5
8 *807:36 *4266:CLK 20.6233
9 *807:36 *807:50 10.0693
10 *807:50 *807:51 7.93324
11 *807:51 *807:56 12.493
12 *807:56 *4258:CLK 29.2789
13 *807:56 *4255:CLK 9.24915
14 *807:51 *4257:CLK 9.24915
15 *807:50 *807:77 4.05102
16 *807:77 *4265:CLK 9.24915
17 *807:77 *807:88 16.9593
18 *807:88 *4253:CLK 9.24915
19 *807:88 *807:97 12.9729
20 *807:97 *807:99 4.5
21 *807:99 *807:100 17.1963
22 *807:100 *807:102 3.07775
23 *807:102 *4263:CLK 24.8306
24 *807:102 *4221:CLK 15.5817
25 *807:100 *4264:CLK 20.5732
26 *807:99 *807:131 3.07775
27 *807:131 *4229:CLK 17.8002
28 *807:131 *807:140 10.5523
29 *807:140 *4234:CLK 13.7491
30 *807:140 *4233:CLK 26.7929
31 *807:97 *4228:CLK 9.24915
*END
*D_NET *808 0.0164071
*CONN
*I *4227:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *4248:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4247:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4243:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4222:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4275:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *4244:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4237:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4238:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4245:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4246:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4281:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4227:CLK 0.000321757
2 *4248:CLK 4.22183e-05
3 *4247:CLK 0
4 *4243:CLK 1.96859e-05
5 *4222:CLK 0.000240705
6 *4275:CLK 9.0775e-05
7 *4244:CLK 0
8 *4237:CLK 0
9 *4238:CLK 0.000423124
10 *4245:CLK 0.000167196
11 *4246:CLK 6.79595e-05
12 *4281:X 0
13 *808:74 0.000786177
14 *808:70 0.000787109
15 *808:60 0.000506572
16 *808:38 0.000849765
17 *808:31 0.000552713
18 *808:12 0.00031288
19 *808:10 0.00043073
20 *808:9 0.000509678
21 *808:5 0.000857904
22 *808:4 0.00104854
23 *4222:CLK *858:5 1.41307e-05
24 *4227:CLK *834:21 0.000249293
25 *808:10 *840:43 0.000129742
26 *808:10 *859:33 4.69495e-06
27 *808:12 *840:43 1.66626e-05
28 *808:31 *3638:A2 0.000311221
29 *808:38 *3638:A1 1.02368e-05
30 *808:38 *3638:A2 0.00011408
31 *808:38 *3639:A1 4.0752e-05
32 *808:74 *3641:A1 6.08467e-05
33 *808:74 *3641:A2 3.1616e-05
34 *808:74 *3641:B2 0.00012171
35 *3576:A *808:70 0.000678803
36 *3636:C1 *808:60 7.42334e-05
37 *3639:B1 *808:38 0.000459915
38 *3639:C1 *808:38 7.98425e-06
39 *3641:B1 *4243:CLK 2.16355e-05
40 *3641:B1 *808:74 6.63489e-05
41 *3641:C1 *808:74 0.000422197
42 *3662:B1 *4238:CLK 6.36477e-05
43 *3667:C *4238:CLK 8.74104e-05
44 *3668:B1 *4238:CLK 6.28334e-05
45 *3668:B1 *808:38 7.09251e-05
46 *3673:A2 *4238:CLK 0
47 *3901:A *4238:CLK 4.69495e-06
48 *3997:A *4275:CLK 2.29454e-05
49 *4112:A1 *4222:CLK 0.000165839
50 *4112:A1 *808:74 0.000159159
51 *4227:D *4227:CLK 5.59587e-06
52 *4237:D *4238:CLK 0.000218233
53 *4243:D *4243:CLK 1.92172e-05
54 *4243:D *808:74 7.63448e-05
55 *4245:D *4245:CLK 0.000100285
56 *4245:D *808:38 0.000324151
57 *4248:D *808:5 0.000269781
58 *4275:D *808:70 0.000208462
59 *4281:A *4227:CLK 0.000244182
60 *256:19 *4245:CLK 2.63704e-05
61 *256:19 *808:31 0.000103827
62 *256:19 *808:38 0.000213725
63 *341:14 *4238:CLK 8.20492e-06
64 *342:24 *808:10 7.09666e-06
65 *342:24 *808:60 8.61737e-06
66 *381:19 *808:5 0.000387202
67 *381:26 *808:70 0.000144354
68 *381:28 *808:70 5.56367e-05
69 *396:15 *4248:CLK 9.60833e-06
70 *396:15 *808:5 7.2562e-05
71 *396:15 *808:9 2.23259e-05
72 *397:17 *4238:CLK 1.81626e-05
73 *397:17 *4246:CLK 7.38598e-05
74 *397:17 *808:10 5.38132e-05
75 *397:17 *808:12 0.000100872
76 *397:37 *808:10 4.96202e-06
77 *397:37 *808:60 4.09738e-05
78 *400:8 *4238:CLK 2.95757e-05
79 *475:16 *808:10 5.93269e-06
80 *475:16 *808:60 2.32106e-05
81 *475:20 *4246:CLK 0.000172651
82 *475:20 *808:10 0.000337559
83 *475:20 *808:12 0.000253826
84 *556:10 *808:60 9.49135e-05
85 *556:19 *808:70 9.86838e-05
86 *593:14 *808:70 0.000869626
87 *803:21 *4275:CLK 0.000213676
*RES
1 *4281:X *808:4 9.24915
2 *808:4 *808:5 12.3701
3 *808:5 *808:9 5.778
4 *808:9 *808:10 7.23027
5 *808:10 *808:12 4.32351
6 *808:12 *4246:CLK 16.8269
7 *808:12 *4245:CLK 17.8002
8 *808:10 *808:31 8.98505
9 *808:31 *808:38 19.9719
10 *808:38 *4238:CLK 24.1943
11 *808:38 *4237:CLK 13.7491
12 *808:31 *4244:CLK 9.24915
13 *808:9 *808:60 10.0693
14 *808:60 *808:70 24.7719
15 *808:70 *808:74 19.2483
16 *808:74 *4275:CLK 16.691
17 *808:74 *4222:CLK 20.8723
18 *808:70 *4243:CLK 9.97254
19 *808:60 *4247:CLK 9.24915
20 *808:5 *4248:CLK 10.2378
21 *808:4 *4227:CLK 26.3638
*END
*D_NET *809 0.0164861
*CONN
*I *4224:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4226:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4225:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4240:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4232:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4231:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4230:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *4242:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4239:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4250:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4241:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4249:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4282:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4224:CLK 0.00024126
2 *4226:CLK 4.17153e-05
3 *4225:CLK 0.000452505
4 *4240:CLK 0
5 *4232:CLK 0.0005361
6 *4231:CLK 2.69454e-05
7 *4230:CLK 2.0758e-05
8 *4242:CLK 3.22458e-05
9 *4239:CLK 0.00021773
10 *4250:CLK 0.000128272
11 *4241:CLK 7.04169e-05
12 *4249:CLK 0.000173073
13 *4282:X 2.1308e-05
14 *809:119 0.000343237
15 *809:110 0.000807488
16 *809:79 0.000905061
17 *809:70 0.00106665
18 *809:54 0.000810063
19 *809:43 0.000432508
20 *809:16 0.000321305
21 *809:14 0.000508236
22 *809:13 0.000461893
23 *809:9 0.000339887
24 *809:5 0.000637005
25 *4224:CLK *838:8 7.41058e-05
26 *4241:CLK *858:56 2.23924e-05
27 *4242:CLK *3647:A1 6.08467e-05
28 *4249:CLK *839:8 0.000137921
29 *4250:CLK *3633:A2 7.34948e-06
30 *4250:CLK *3650:A1 0.000168314
31 *809:14 *839:8 0.000318756
32 *809:16 *839:8 0.000217655
33 *809:43 *839:8 0.000208261
34 *809:54 *839:8 7.51846e-05
35 *809:70 *824:51 0.000223881
36 *809:70 *825:7 2.63143e-05
37 *809:70 *839:8 0.000412344
38 *809:79 *824:39 0.000130653
39 *809:110 *838:8 7.58739e-05
40 *809:119 *838:8 9.17188e-05
41 *3647:B2 *809:9 0.00084843
42 *3647:B2 *809:13 1.92336e-05
43 *3649:B *4224:CLK 0.000192228
44 *3649:B *809:110 0.000170592
45 *3649:B *809:119 0.000201734
46 *3652:B *809:110 6.44576e-05
47 *3656:B2 *4239:CLK 1.8839e-06
48 *3794:A *4250:CLK 0.000111722
49 *3936:B *4232:CLK 5.94909e-05
50 *3975:B1 *809:70 0.000191556
51 *3979:A1 *4232:CLK 1.23028e-05
52 *3979:B1 *4232:CLK 9.69016e-05
53 *3985:A2 *4232:CLK 4.15559e-05
54 *3991:B *4232:CLK 0
55 *4107:A0 *809:79 6.29349e-05
56 *4107:A1 *4232:CLK 0.000183732
57 *4107:A1 *809:79 0.000335596
58 *4230:D *809:70 1.87611e-05
59 *4232:D *4232:CLK 7.50722e-05
60 *4239:D *4239:CLK 1.58226e-05
61 *4240:D *809:9 1.87611e-05
62 *4240:D *809:14 0
63 *4241:D *4241:CLK 0.000110833
64 *4276:A *4249:CLK 0.000364356
65 *4278:A *4249:CLK 8.46129e-05
66 *215:15 *4241:CLK 0.00027103
67 *219:49 *4225:CLK 6.06688e-05
68 *226:61 *4231:CLK 9.77936e-05
69 *227:16 *809:70 3.60268e-05
70 *380:12 *4249:CLK 2.7645e-05
71 *380:12 *809:16 9.35753e-06
72 *380:23 *809:14 6.39153e-06
73 *380:23 *809:16 3.60501e-05
74 *385:55 *809:110 0.000216467
75 *386:13 *809:9 0.000350218
76 *386:13 *809:13 1.43983e-05
77 *386:13 *809:110 3.74856e-05
78 *391:11 *4250:CLK 7.68538e-06
79 *395:14 *809:43 4.59164e-06
80 *397:60 *809:14 0
81 *397:60 *809:43 0
82 *397:60 *809:54 0
83 *397:60 *809:70 0
84 *412:25 *4242:CLK 4.71815e-05
85 *413:37 *4232:CLK 0
86 *413:37 *809:79 0
87 *475:8 *4250:CLK 4.0752e-05
88 *493:56 *809:43 9.4218e-05
89 *493:56 *809:54 5.01835e-05
90 *493:65 *4224:CLK 0.000284653
91 *500:68 *4231:CLK 4.13445e-05
92 *500:76 *4231:CLK 1.41976e-05
93 *506:61 *4230:CLK 2.07365e-05
94 *506:61 *809:70 0.000258142
95 *518:78 *4250:CLK 0.000157849
96 *518:78 *809:14 0.000111995
97 *518:78 *809:16 5.92192e-05
*RES
1 *4282:X *809:5 9.82786
2 *809:5 *809:9 13.5034
3 *809:9 *809:13 5.2234
4 *809:13 *809:14 7.23027
5 *809:14 *809:16 3.90826
6 *809:16 *4249:CLK 20.4627
7 *809:16 *4241:CLK 17.2697
8 *809:14 *4250:CLK 18.9094
9 *809:13 *809:43 4.32351
10 *809:43 *4239:CLK 17.125
11 *809:43 *809:54 1.832
12 *809:54 *4242:CLK 15.0513
13 *809:54 *809:70 24.4004
14 *809:70 *4230:CLK 9.97254
15 *809:70 *809:79 13.8065
16 *809:79 *4231:CLK 15.2622
17 *809:79 *4232:CLK 26.2922
18 *809:9 *4240:CLK 9.24915
19 *809:5 *809:110 13.8472
20 *809:110 *4225:CLK 24.4554
21 *809:110 *809:119 3.493
22 *809:119 *4226:CLK 14.4725
23 *809:119 *4224:CLK 23.6509
*END
*D_NET *810 0.00542369
*CONN
*I *3528:A I *D sky130_fd_sc_hd__inv_2
*I *3612:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4263:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3528:A 0
2 *3612:B1 0
3 *4263:Q 0.000118938
4 *810:25 0.000750905
5 *810:10 0.000869843
6 *810:10 *883:70 2.02035e-05
7 *810:25 *3659:A 0.000307023
8 *810:25 *3659:C 0.000205006
9 *810:25 *843:11 0.000113968
10 *810:25 *859:10 0.000157854
11 *810:25 *859:47 0.000222684
12 *810:25 *883:49 0.000174631
13 *810:25 *883:230 0.000840716
14 *3529:B *810:25 5.07314e-05
15 *3572:A *810:25 6.50586e-05
16 *3612:A1 *810:25 0.000458912
17 *3612:A2 *810:25 1.03286e-05
18 *3663:B *810:25 0.000134323
19 *3673:C1 *810:25 3.90689e-06
20 *3942:C *810:10 0.000118485
21 *4263:D *810:25 7.5004e-05
22 *294:11 *810:10 0
23 *303:13 *810:25 0.000188594
24 *303:42 *810:25 0.000260388
25 *314:35 *810:25 0
26 *399:31 *810:25 0.000198477
27 *556:19 *810:25 1.44467e-05
28 *593:14 *810:25 2.41274e-06
29 *803:21 *810:10 6.08467e-05
*RES
1 *4263:Q *810:10 21.2198
2 *810:10 *3612:B1 9.24915
3 *810:10 *810:25 41.2859
4 *810:25 *3528:A 9.24915
*END
*D_NET *811 0.00266758
*CONN
*I *3521:A I *D sky130_fd_sc_hd__inv_2
*I *3574:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4273:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3521:A 8.76386e-05
2 *3574:B1 4.55623e-05
3 *4273:Q 0.000734333
4 *811:7 0.000867534
5 *3521:A *3569:A 0.000264572
6 *811:7 *3569:A 5.48272e-05
7 *3582:A1 *3521:A 0.000118166
8 *4273:D *811:7 2.0589e-05
9 *4273:CLK *811:7 1.82679e-05
10 *524:8 *3521:A 7.45404e-05
11 *524:8 *3574:B1 0.000151741
12 *806:82 *3521:A 8.16827e-05
13 *806:82 *3574:B1 0.000148129
*RES
1 *4273:Q *811:7 23.9008
2 *811:7 *3574:B1 16.4116
3 *811:7 *3521:A 18.1077
*END
*D_NET *812 0.000891115
*CONN
*I *3520:A I *D sky130_fd_sc_hd__inv_2
*I *4274:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3520:A 0.000196096
2 *4274:Q 0.000196096
3 *4274:D *3520:A 0.000143047
4 *303:12 *3520:A 0.000355877
*RES
1 *4274:Q *3520:A 32.548
*END
*D_NET *813 0.00553131
*CONN
*I *3527:A I *D sky130_fd_sc_hd__inv_2
*I *4264:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3527:A 0.000275578
2 *4264:Q 0.00113472
3 *813:10 0.0014103
4 *3527:A *863:21 0.000932879
5 *813:10 *3543:C1 0.000647083
6 *3603:B1 *3527:A 4.58003e-05
7 *3603:B1 *813:10 0.000103772
8 *3604:A1 *813:10 6.76857e-06
9 *4264:CLK *813:10 0.000134524
10 *4280:A *3527:A 0.000404547
11 *294:11 *813:10 1.75637e-06
12 *303:48 *813:10 0.00011818
13 *373:12 *813:10 0.000298734
14 *500:33 *813:10 1.66626e-05
*RES
1 *4264:Q *813:10 39.5161
2 *813:10 *3527:A 19.9554
*END
*D_NET *814 0.00412208
*CONN
*I *3541:A I *D sky130_fd_sc_hd__inv_2
*I *3606:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3531:A I *D sky130_fd_sc_hd__and3_1
*I *4265:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3541:A 0.000169173
2 *3606:A1 0.000470171
3 *3531:A 0
4 *4265:Q 0.000665191
5 *814:10 0.000521495
6 *814:7 0.000885687
7 *3606:A1 *3531:C 2.23105e-05
8 *3606:A2 *3606:A1 1.37189e-05
9 *3606:B1 *3606:A1 0.000161243
10 *4265:D *814:7 9.5989e-05
11 *304:35 *3606:A1 0.000143651
12 *371:8 *3541:A 0.000261053
13 *371:8 *814:10 0.000104731
14 *493:19 *814:7 0.000137563
15 *512:11 *3541:A 0.000264583
16 *512:11 *814:10 9.60216e-05
17 *807:77 *814:7 1.92172e-05
18 *807:88 *814:7 9.02865e-05
*RES
1 *4265:Q *814:7 23.9008
2 *814:7 *814:10 6.332
3 *814:10 *3531:A 9.24915
4 *814:10 *3606:A1 17.2306
5 *814:7 *3541:A 20.3205
*END
*D_NET *815 0.00665621
*CONN
*I *3531:C I *D sky130_fd_sc_hd__and3_1
*I *3542:A I *D sky130_fd_sc_hd__inv_2
*I *4266:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3531:C 0.000170771
2 *3542:A 0.000930577
3 *4266:Q 0.000201762
4 *815:5 0.00130311
5 *3542:A *3613:A 9.14505e-05
6 *3604:A1 *3531:C 0.000408326
7 *3606:A1 *3531:C 2.23105e-05
8 *4266:CLK *3542:A 1.9613e-05
9 *278:11 *3542:A 2.32988e-05
10 *302:26 *3542:A 1.82679e-05
11 *302:26 *815:5 4.82656e-05
12 *304:35 *3531:C 2.23105e-05
13 *304:35 *3542:A 0.00136726
14 *306:38 *3531:C 5.23737e-05
15 *317:10 *3542:A 0.00142361
16 *376:5 *3542:A 2.35405e-05
17 *377:7 *3542:A 6.50586e-05
18 *512:11 *3531:C 0.000464312
*RES
1 *4266:Q *815:5 12.191
2 *815:5 *3542:A 39.2219
3 *815:5 *3531:C 26.9904
*END
*D_NET *816 0.00639581
*CONN
*I *3532:A I *D sky130_fd_sc_hd__nand2_1
*I *3600:A I *D sky130_fd_sc_hd__nor2_1
*I *3546:A I *D sky130_fd_sc_hd__inv_2
*I *4267:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3532:A 0.000560156
2 *3600:A 0.000262258
3 *3546:A 0.000191157
4 *4267:Q 0.000583095
5 *816:10 0.000707881
6 *816:5 0.00139772
7 *3532:A *3629:A2 0.000160384
8 *3532:A *876:8 5.2051e-05
9 *3546:A *3597:A 0.000266846
10 *3600:A *3629:A2 7.18317e-05
11 *3600:A *3629:B2 0.00016578
12 *3600:A *883:137 8.01837e-05
13 *3600:A *888:63 3.24624e-05
14 *3601:A1 *3546:A 3.42931e-05
15 *3601:A1 *816:10 6.48294e-05
16 *4267:D *3532:A 7.14746e-05
17 *4267:D *816:5 4.54818e-05
18 *4267:CLK *3600:A 2.88791e-05
19 *4267:CLK *816:10 0.000311263
20 *216:11 *3600:A 0.000566692
21 *216:11 *816:5 3.65289e-05
22 *307:8 *3600:A 4.66386e-05
23 *317:10 *3532:A 0
24 *324:28 *3546:A 0
25 *366:11 *3546:A 0.000271058
26 *377:47 *3532:A 0
27 *378:8 *3546:A 1.77225e-05
28 *380:39 *3546:A 5.61344e-05
29 *380:39 *816:10 3.92275e-05
30 *424:8 *3532:A 0.000273781
*RES
1 *4267:Q *816:5 17.737
2 *816:5 *816:10 9.82841
3 *816:10 *3546:A 20.6725
4 *816:10 *3600:A 32.9268
5 *816:5 *3532:A 33.7845
*END
*D_NET *817 0.0037308
*CONN
*I *3597:A I *D sky130_fd_sc_hd__nor2_1
*I *3526:A I *D sky130_fd_sc_hd__inv_2
*I *4268:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3597:A 0.000177494
2 *3526:A 0
3 *4268:Q 0.000453374
4 *817:15 0.000630869
5 *817:15 *3626:B1 0.000154145
6 *3533:B *817:15 4.0752e-05
7 *3546:A *3597:A 0.000266846
8 *3551:B *817:15 5.46928e-05
9 *3597:B *3597:A 0.00035524
10 *3601:A1 *3597:A 0.000145518
11 *4277:A *817:15 0.000164815
12 *301:18 *817:15 7.59712e-05
13 *321:5 *3597:A 0.000321919
14 *321:5 *817:15 0.00023805
15 *366:11 *3597:A 0.000306979
16 *366:11 *817:15 0.000264586
17 *377:14 *817:15 7.95517e-05
*RES
1 *4268:Q *817:15 31.166
2 *817:15 *3526:A 9.24915
3 *817:15 *3597:A 21.1128
*END
*D_NET *818 0.00312283
*CONN
*I *3593:A I *D sky130_fd_sc_hd__nor2_1
*I *3525:A I *D sky130_fd_sc_hd__inv_2
*I *4269:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3593:A 0.000196477
2 *3525:A 0
3 *4269:Q 0.000382822
4 *818:10 0.000579299
5 *3535:A *818:10 2.65831e-05
6 *3593:B *3593:A 0.000434145
7 *3594:A1 *818:10 5.8261e-05
8 *3594:A2 *3593:A 0.00023167
9 *3595:B *3593:A 0.000464141
10 *3598:A1 *3593:A 0.000413266
11 *4269:CLK *818:10 5.05841e-05
12 *4279:A *818:10 1.58551e-05
13 *362:5 *3593:A 0.000118166
14 *377:19 *818:10 0.000146645
15 *806:5 *818:10 4.91225e-06
*RES
1 *4269:Q *818:10 25.5173
2 *818:10 *3525:A 9.24915
3 *818:10 *3593:A 21.1128
*END
*D_NET *819 0.00427809
*CONN
*I *3589:A I *D sky130_fd_sc_hd__nor2_1
*I *3524:A I *D sky130_fd_sc_hd__inv_2
*I *4270:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3589:A 0.00107181
2 *3524:A 0.000262718
3 *4270:Q 4.60404e-05
4 *819:6 0.00138057
5 *3535:A *3589:A 8.62321e-06
6 *3588:A *3589:A 0.000307898
7 *3589:B *3589:A 4.65954e-06
8 *3590:A1 *3589:A 1.69932e-05
9 *3590:A1 *819:6 1.2693e-05
10 *3590:A2 *3524:A 0.00011818
11 *3590:A2 *3589:A 6.29602e-05
12 *3591:B *819:6 7.86847e-05
13 *309:11 *3524:A 3.18857e-05
14 *309:11 *3589:A 0.000523679
15 *354:19 *3524:A 0.000317707
16 *354:30 *3589:A 6.08697e-06
17 *354:30 *819:6 2.69064e-05
*RES
1 *4270:Q *819:6 15.5811
2 *819:6 *3524:A 21.0072
3 *819:6 *3589:A 32.8221
*END
*D_NET *820 0.00186078
*CONN
*I *3523:A I *D sky130_fd_sc_hd__inv_2
*I *3585:A I *D sky130_fd_sc_hd__nor2_1
*I *4271:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3523:A 0.000148994
2 *3585:A 0.000212919
3 *4271:Q 0
4 *820:5 0.000361913
5 *3580:B *3523:A 0.000139764
6 *3583:B *3585:A 1.41291e-05
7 *3585:B *3523:A 0
8 *3586:A2 *3585:A 0.000326398
9 *3587:B *3523:A 1.92336e-05
10 *3587:B *3585:A 0
11 *4272:D *3585:A 7.34948e-06
12 *298:11 *3523:A 0.000271044
13 *354:30 *3523:A 4.88764e-06
14 *542:8 *3523:A 0.00013298
15 *542:8 *3585:A 0.00022117
*RES
1 *4271:Q *820:5 13.7491
2 *820:5 *3585:A 21.7325
3 *820:5 *3523:A 19.9081
*END
*D_NET *821 0.00739662
*CONN
*I *3564:A1 I *D sky130_fd_sc_hd__o211ai_1
*I *3557:B1 I *D sky130_fd_sc_hd__a2bb2o_1
*I *3580:A I *D sky130_fd_sc_hd__nor2_1
*I *3522:A I *D sky130_fd_sc_hd__inv_2
*I *4272:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3564:A1 0.000530255
2 *3557:B1 2.01161e-05
3 *3580:A 0.00101477
4 *3522:A 6.22072e-05
5 *4272:Q 0.00012835
6 *821:20 0.00111496
7 *821:19 0.000762228
8 *821:8 0.000342456
9 *3580:A *888:21 0.000115448
10 *821:8 *3558:A2 4.90694e-05
11 *821:8 *870:18 0
12 *821:8 *883:241 6.92705e-05
13 *821:19 *3558:A2 8.9863e-05
14 *3561:B1 *3564:A1 0.000264572
15 *3561:C1 *3557:B1 2.91008e-06
16 *3561:C1 *3564:A1 6.99486e-05
17 *3561:C1 *3580:A 0.00136999
18 *3561:C1 *821:20 3.82228e-05
19 *3564:B1 *3564:A1 0.000130555
20 *3564:C1 *3564:A1 9.00962e-06
21 *3565:C1 *3564:A1 6.50586e-05
22 *3582:A2 *3580:A 2.02035e-05
23 *4270:CLK *3580:A 0
24 *297:8 *3522:A 1.41181e-05
25 *312:7 *3564:A1 7.5032e-05
26 *331:8 *821:8 0.00013278
27 *331:8 *821:19 0.00031882
28 *335:19 *3580:A 2.18741e-05
29 *506:12 *821:8 0
30 *524:8 *3564:A1 0.000284063
31 *806:75 *3564:A1 0.000280466
*RES
1 *4272:Q *821:8 18.3808
2 *821:8 *3522:A 15.0271
3 *821:8 *821:19 10.4845
4 *821:19 *821:20 1.278
5 *821:20 *3580:A 36.7487
6 *821:20 *3557:B1 9.82786
7 *821:19 *3564:A1 32.2527
*END
*D_NET *822 0.00479908
*CONN
*I *4161:A I *D sky130_fd_sc_hd__ebufn_8
*I *4104:S I *D sky130_fd_sc_hd__mux2_2
*I *4103:S I *D sky130_fd_sc_hd__mux2_2
*I *4102:S I *D sky130_fd_sc_hd__mux2_1
*I *4101:S I *D sky130_fd_sc_hd__mux2_2
*I *3691:B I *D sky130_fd_sc_hd__nor2_1
*I *4227:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *4161:A 0.000190132
2 *4104:S 0
3 *4103:S 0
4 *4102:S 0.000118961
5 *4101:S 7.86006e-05
6 *3691:B 0.000143564
7 *4227:Q 0
8 *822:26 0.000290586
9 *822:25 0.000327641
10 *822:21 0.000317558
11 *822:8 0.000475762
12 *822:4 0.000439389
13 *3691:B *883:24 6.76857e-06
14 *3691:B *883:33 6.08467e-05
15 *4101:S *3637:A2 0.000258114
16 *4101:S *4103:A0 1.65872e-05
17 *4101:S *4103:A1 0.000247443
18 *4102:S *3637:A2 8.91956e-05
19 *4102:S *848:12 7.28157e-05
20 *822:21 *3630:A 3.31733e-05
21 *822:21 *883:24 5.99802e-05
22 *822:25 *3630:A 0.000145228
23 *822:25 *838:8 1.54067e-05
24 *822:25 *883:24 6.50059e-05
25 *822:26 *4103:A0 0.000153964
26 *822:26 *4103:A1 0.000405388
27 *3619:A *3691:B 6.08467e-05
28 *3940:B *4161:A 0
29 *4227:D *822:8 3.072e-06
30 *214:10 *3691:B 0.000227695
31 *214:10 *822:21 5.90539e-05
32 *214:10 *822:25 0.000111304
33 *219:49 *4161:A 0.000104056
34 *287:11 *822:26 2.42138e-05
35 *379:11 *3691:B 0.0001881
36 *557:10 *4161:A 8.62625e-06
37 *557:10 *822:25 0
*RES
1 *4227:Q *822:4 9.24915
2 *822:4 *822:8 8.17461
3 *822:8 *3691:B 19.5288
4 *822:8 *822:21 3.07775
5 *822:21 *822:25 11.315
6 *822:25 *822:26 5.16022
7 *822:26 *4101:S 12.7456
8 *822:26 *4102:S 21.3269
9 *822:25 *4103:S 9.24915
10 *822:21 *4104:S 13.7491
11 *822:4 *4161:A 23.2961
*END
*D_NET *823 0.00311116
*CONN
*I *3654:A I *D sky130_fd_sc_hd__inv_2
*I *3688:B1 I *D sky130_fd_sc_hd__a32o_1
*I *4228:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3654:A 0.00059172
2 *3688:B1 0.000224391
3 *4228:Q 0
4 *823:4 0.000816111
5 *3654:A *3680:A1 0.000212491
6 *3654:A *829:7 2.16355e-05
7 *3654:A *859:106 0
8 *3688:A1 *3654:A 0
9 *3688:A3 *3688:B1 0.000408025
10 *3688:B2 *3688:B1 0.000324151
11 *3996:A1 *3654:A 8.88219e-05
12 *3996:A1 *3688:B1 0
13 *4110:A0 *3654:A 0
14 *4234:D *3654:A 0.000169078
15 *394:8 *3654:A 3.6455e-05
16 *409:19 *3688:B1 0.000162767
17 *409:32 *3654:A 0
18 *487:34 *3654:A 0
19 *487:34 *3688:B1 0
20 *493:42 *3654:A 1.92926e-05
21 *493:42 *3688:B1 3.62225e-05
*RES
1 *4228:Q *823:4 9.24915
2 *823:4 *3688:B1 27.7315
3 *823:4 *3654:A 33.9883
*END
*D_NET *824 0.00785057
*CONN
*I *3934:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3980:A2 I *D sky130_fd_sc_hd__o311a_1
*I *3973:A I *D sky130_fd_sc_hd__nor2_1
*I *3651:A I *D sky130_fd_sc_hd__inv_2
*I *3685:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3976:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4229:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3934:A2 0
2 *3980:A2 4.59804e-05
3 *3973:A 0.000133506
4 *3651:A 0
5 *3685:A1 0.0001435
6 *3976:A2 0.000249266
7 *4229:Q 0.000523715
8 *824:51 0.000473429
9 *824:41 0.000385903
10 *824:39 0.000321378
11 *824:12 0.000473352
12 *824:10 0.000925679
13 *3973:A *825:62 7.68538e-06
14 *3976:A2 *3976:A1 0.000423074
15 *3980:A2 *3980:A1 0.000139517
16 *3980:A2 *826:13 0.000148144
17 *824:10 *859:97 0
18 *824:12 *3976:A1 0.00013592
19 *824:12 *859:97 0
20 *824:51 *3980:A1 3.31733e-05
21 *824:51 *825:7 9.90116e-05
22 *824:51 *825:48 0.000206663
23 *824:51 *825:62 0.00015142
24 *824:51 *826:13 8.62625e-06
25 *3656:B1 *3976:A2 0.000191547
26 *3656:C1 *3976:A2 1.2693e-05
27 *3684:A2 *824:10 0.00016553
28 *3684:B1 *3685:A1 0.000219249
29 *3684:B2 *824:10 0.000124128
30 *3684:C1 *824:10 2.44829e-05
31 *3685:C1 *3685:A1 2.51527e-05
32 *3975:B1 *3973:A 1.50262e-05
33 *3976:B2 *3976:A2 8.6297e-06
34 *4106:A0 *3976:A2 1.9101e-05
35 *4106:A0 *824:12 8.62625e-06
36 *4107:A1 *824:39 3.31882e-05
37 *4107:S *824:39 6.08467e-05
38 *4229:D *3685:A1 6.50586e-05
39 *4229:D *824:10 2.60597e-05
40 *4230:D *824:39 0.000153225
41 *226:34 *3976:A2 4.55535e-05
42 *226:43 *3976:A2 9.90599e-06
43 *226:43 *824:12 7.31014e-05
44 *226:43 *824:39 0.000131467
45 *228:10 *3976:A2 1.71306e-05
46 *228:10 *824:39 7.13972e-05
47 *392:7 *3973:A 0.000122378
48 *394:23 *824:39 1.58551e-05
49 *397:60 *3973:A 7.0954e-05
50 *411:30 *824:10 2.77625e-06
51 *412:25 *3685:A1 0.000503331
52 *414:15 *824:51 0
53 *481:31 *824:51 0
54 *506:64 *824:39 0.000154145
55 *580:7 *3973:A 6.99486e-05
56 *580:25 *3973:A 2.66039e-05
57 *809:70 *824:51 0.000223881
58 *809:79 *824:39 0.000130653
*RES
1 *4229:Q *824:10 22.6544
2 *824:10 *824:12 3.28538
3 *824:12 *3976:A2 22.2252
4 *824:12 *3685:A1 20.2115
5 *824:10 *824:39 15.5076
6 *824:39 *824:41 4.5
7 *824:41 *824:51 17.1373
8 *824:51 *3651:A 9.24915
9 *824:51 *3973:A 23.1595
10 *824:41 *3980:A2 16.4116
11 *824:39 *3934:A2 9.24915
*END
*D_NET *825 0.0074088
*CONN
*I *3974:A I *D sky130_fd_sc_hd__nand2_1
*I *3648:A I *D sky130_fd_sc_hd__inv_2
*I *3980:A1 I *D sky130_fd_sc_hd__o311a_1
*I *3934:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3975:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3976:A1 I *D sky130_fd_sc_hd__o22a_1
*I *3684:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4230:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *3974:A 0.00021489
2 *3648:A 7.99277e-05
3 *3980:A1 8.54405e-05
4 *3934:A1 0
5 *3975:A1 6.93592e-05
6 *3976:A1 0.000471544
7 *3684:A1 1.39582e-05
8 *4230:Q 0
9 *825:62 0.000909956
10 *825:48 0.000166404
11 *825:11 0.000559144
12 *825:8 0.000236739
13 *825:7 0.000419327
14 *825:4 0.000859764
15 *3976:A1 *859:97 0
16 *3980:A1 *826:13 2.04806e-05
17 *3684:B2 *3684:A1 2.57847e-05
18 *3684:B2 *825:11 0.000124658
19 *3973:A *825:62 7.68538e-06
20 *3974:B *3974:A 0.000107829
21 *3975:A2 *3975:A1 6.92705e-05
22 *3975:B1 *3975:A1 4.10158e-05
23 *3976:A2 *3976:A1 0.000423074
24 *3976:B1 *3648:A 1.87469e-05
25 *3976:B1 *3976:A1 2.57465e-06
26 *3976:B2 *3976:A1 4.99006e-05
27 *3980:A2 *3980:A1 0.000139517
28 *219:23 *3976:A1 6.87743e-05
29 *226:61 *3980:A1 0
30 *228:10 *825:8 1.32509e-05
31 *392:7 *3974:A 4.80635e-06
32 *392:7 *825:62 0.000177886
33 *392:16 *3648:A 0.000143032
34 *392:16 *3974:A 6.50586e-05
35 *392:16 *825:62 2.1801e-05
36 *411:30 *3684:A1 6.36477e-05
37 *411:30 *3975:A1 0.000125098
38 *411:30 *825:11 0.000350159
39 *414:15 *3975:A1 3.45535e-05
40 *414:15 *825:8 2.01503e-05
41 *414:15 *825:11 1.92172e-05
42 *414:31 *3980:A1 0.000158368
43 *414:31 *825:8 0.000101148
44 *414:31 *825:48 0.000235007
45 *481:31 *825:8 0
46 *481:31 *825:48 0
47 *579:9 *3648:A 2.32176e-05
48 *580:7 *3974:A 1.41291e-05
49 *809:70 *825:7 2.63143e-05
50 *824:12 *3976:A1 0.00013592
51 *824:51 *3980:A1 3.31733e-05
52 *824:51 *825:7 9.90116e-05
53 *824:51 *825:48 0.000206663
54 *824:51 *825:62 0.00015142
*RES
1 *4230:Q *825:4 9.24915
2 *825:4 *825:7 8.55102
3 *825:7 *825:8 2.6625
4 *825:8 *825:11 8.55102
5 *825:11 *3684:A1 9.97254
6 *825:11 *3976:A1 31.2369
7 *825:8 *3975:A1 16.8522
8 *825:7 *825:48 4.32351
9 *825:48 *3934:A1 13.7491
10 *825:48 *3980:A1 17.6574
11 *825:4 *825:62 10.1517
12 *825:62 *3648:A 20.9116
13 *825:62 *3974:A 15.4221
*END
*D_NET *826 0.00278164
*CONN
*I *3980:A3 I *D sky130_fd_sc_hd__o311a_1
*I *3683:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3645:A I *D sky130_fd_sc_hd__inv_2
*I *3934:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4231:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3980:A3 0
2 *3683:A1 0.000182284
3 *3645:A 5.29336e-05
4 *3934:B1 0
5 *4231:Q 0.000281908
6 *826:27 0.000182284
7 *826:13 0.000179569
8 *826:8 0.000408544
9 *3683:A1 *3980:B1 0.000237598
10 *3973:B *3645:A 0
11 *3979:A2 *826:8 0
12 *3980:A1 *826:13 2.04806e-05
13 *3980:A2 *826:13 0.000148144
14 *226:61 *826:8 0
15 *228:10 *3645:A 0.000113197
16 *228:10 *826:13 3.14978e-05
17 *414:31 *3683:A1 0.000413882
18 *414:35 *3683:A1 2.91008e-06
19 *500:76 *826:8 0.000110701
20 *506:64 *3645:A 0.000275256
21 *506:64 *826:13 6.50727e-05
22 *552:8 *826:8 3.67528e-06
23 *552:8 *826:13 4.01573e-05
24 *552:18 *826:8 2.29151e-05
25 *824:51 *826:13 8.62625e-06
*RES
1 *4231:Q *826:8 18.9382
2 *826:8 *826:13 8.7164
3 *826:13 *3934:B1 9.24915
4 *826:13 *3645:A 12.7697
5 *826:8 *826:27 4.5
6 *826:27 *3683:A1 16.676
7 *826:27 *3980:A3 9.24915
*END
*D_NET *827 0.00319641
*CONN
*I *3981:A I *D sky130_fd_sc_hd__nand2_1
*I *3933:A I *D sky130_fd_sc_hd__inv_2
*I *3982:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3682:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4232:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3981:A 0.000259296
2 *3933:A 0.000103856
3 *3982:A1 8.1126e-05
4 *3682:A1 0.000193828
5 *4232:Q 0
6 *827:8 0.000320868
7 *827:7 0.000348194
8 *827:4 0.00045772
9 *3682:A2 *3682:A1 1.59756e-05
10 *3936:B *3682:A1 0
11 *3936:B *827:8 0
12 *3979:B1 *3682:A1 0.000329834
13 *3979:B1 *3982:A1 3.59302e-05
14 *3982:B1 *3682:A1 3.31733e-05
15 *3982:B1 *3933:A 0.000111326
16 *3982:B1 *827:8 0.000123582
17 *3985:A2 *3933:A 2.39535e-05
18 *4108:A1 *3933:A 0.000194529
19 *4108:A1 *827:8 7.86847e-05
20 *444:65 *3981:A 2.50842e-05
21 *444:65 *827:7 1.4091e-06
22 *582:11 *3982:A1 6.50727e-05
23 *583:7 *3981:A 0.000221284
24 *583:7 *827:7 3.42853e-05
25 *583:22 *3933:A 0.000137404
*RES
1 *4232:Q *827:4 9.24915
2 *827:4 *827:7 7.44181
3 *827:7 *827:8 2.24725
4 *827:8 *3682:A1 19.935
5 *827:8 *3982:A1 16.1364
6 *827:7 *3933:A 18.7961
7 *827:4 *3981:A 17.0859
*END
*D_NET *828 0.00302272
*CONN
*I *3986:A I *D sky130_fd_sc_hd__inv_2
*I *3681:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3936:A I *D sky130_fd_sc_hd__or2_1
*I *4233:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3986:A 0.000408568
2 *3681:A1 0
3 *3936:A 0.000492972
4 *4233:Q 1.98947e-05
5 *828:8 0.000601443
6 *828:7 0.000536934
7 *3986:A *3992:B1 0
8 *3681:B1 *3936:A 0
9 *3681:B1 *3986:A 0
10 *3681:B1 *828:8 0
11 *3681:C1 *3936:A 6.45975e-05
12 *3936:B *3936:A 4.81015e-05
13 *3990:A *3936:A 0
14 *3991:B *828:7 6.08467e-05
15 *3992:A1 *3986:A 0
16 *3994:A2 *3936:A 1.00846e-05
17 *3994:B2 *3936:A 0.000116986
18 *3994:B2 *828:8 3.31733e-05
19 *3995:A *3936:A 0.000107496
20 *4109:A0 *3986:A 0.000148129
21 *4233:D *828:7 2.16355e-05
22 *554:7 *3936:A 4.31884e-05
23 *586:8 *3986:A 2.65831e-05
24 *587:12 *3986:A 0.000156392
25 *587:12 *828:8 0.000125697
*RES
1 *4233:Q *828:7 14.4725
2 *828:7 *828:8 3.07775
3 *828:8 *3936:A 22.8445
4 *828:8 *3681:A1 13.7491
5 *828:7 *3986:A 25.0248
*END
*D_NET *829 0.00384594
*CONN
*I *3994:A1 I *D sky130_fd_sc_hd__o22a_1
*I *3937:A I *D sky130_fd_sc_hd__or2_1
*I *3993:A I *D sky130_fd_sc_hd__inv_2
*I *3680:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4234:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3994:A1 0.000122419
2 *3937:A 0
3 *3993:A 0.000225407
4 *3680:A1 0.000158556
5 *4234:Q 0.000124222
6 *829:20 0.000508943
7 *829:17 0.000478176
8 *829:7 0.000355
9 *3680:A1 *859:106 6.77e-05
10 *3994:A1 *859:121 5.94138e-05
11 *829:17 *859:106 1.77537e-06
12 *829:17 *859:121 2.18741e-05
13 *3654:A *3680:A1 0.000212491
14 *3654:A *829:7 2.16355e-05
15 *3680:A2 *3680:A1 0.000217923
16 *3680:B1 *829:20 6.50586e-05
17 *3680:B2 *3680:A1 0.000222149
18 *3681:C1 *3680:A1 0
19 *3681:C1 *3994:A1 0
20 *3681:C1 *829:17 0
21 *3937:B *3993:A 0.000111722
22 *3937:B *829:20 0.000122378
23 *4110:A1 *829:20 5.0715e-05
24 *4110:S *829:20 6.92705e-05
25 *4234:D *829:7 2.65667e-05
26 *226:61 *829:20 4.88955e-05
27 *226:75 *3994:A1 0.000208263
28 *394:8 *829:7 9.80242e-07
29 *500:68 *3993:A 0.000143725
30 *500:68 *829:20 0.000200682
*RES
1 *4234:Q *829:7 15.5817
2 *829:7 *3680:A1 20.8751
3 *829:7 *829:17 1.832
4 *829:17 *829:20 13.5424
5 *829:20 *3993:A 14.9881
6 *829:20 *3937:A 9.24915
7 *829:17 *3994:A1 18.0727
*END
*D_NET *830 0.000308254
*CONN
*I *4283:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1
*I *4224:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4283:A 3.92258e-05
2 *4224:Q 3.92258e-05
3 *4283:A *3675:A_N 7.50872e-05
4 *438:45 *4283:A 2.3939e-05
5 *450:28 *4283:A 0.000130777
*RES
1 *4224:Q *4283:A 29.7455
*END
*D_NET *831 0.00206392
*CONN
*I *3675:B I *D sky130_fd_sc_hd__and2b_1
*I *4284:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1
*I *4225:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3675:B 0.000171316
2 *4284:A 0.000122593
3 *4225:Q 0.000161344
4 *831:7 0.000455252
5 *3675:B *882:13 8.92568e-06
6 *4284:A *881:11 4.58003e-05
7 *831:7 *4225:D 4.65396e-05
8 *3785:A *4284:A 2.85274e-05
9 *410:12 *3675:B 0.000461289
10 *410:12 *4284:A 0.000243648
11 *450:28 *3675:B 0.000209639
12 *450:28 *4284:A 0.000109048
*RES
1 *4225:Q *831:7 16.1364
2 *831:7 *4284:A 19.3507
3 *831:7 *3675:B 22.2252
*END
*D_NET *832 0.00163285
*CONN
*I *3675:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4226:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3675:A_N 0.00048371
2 *4226:Q 0.00048371
3 *3675:A_N *881:11 0.000172954
4 *4283:A *3675:A_N 7.50872e-05
5 *438:45 *3675:A_N 0.000310094
6 *450:28 *3675:A_N 0.000107294
*RES
1 *4226:Q *3675:A_N 38.7824
*END
*D_NET *833 0.00119252
*CONN
*I *4154:A I *D sky130_fd_sc_hd__ebufn_8
*I *3957:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4154:A 0.000398585
2 *3957:X 0.000398585
3 *3799:A *4154:A 0
4 *3957:A *4154:A 0.000375848
5 *512:32 *4154:A 1.9503e-05
*RES
1 *3957:X *4154:A 34.9058
*END
*D_NET *834 0.00682552
*CONN
*I *4155:A I *D sky130_fd_sc_hd__ebufn_8
*I *3959:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *4155:A 0
2 *3959:X 0.000647019
3 *834:21 0.00117256
4 *834:14 0.00181958
5 *834:21 *4102:A0 0
6 *834:21 *838:8 0.00205674
7 *834:21 *858:56 0.000169862
8 *3949:B1 *834:14 6.57987e-05
9 *3954:A *834:14 0.000102899
10 *3967:A *834:21 3.07542e-05
11 *3968:A *834:14 6.61829e-06
12 *3968:B *834:14 7.81623e-05
13 *3969:A *834:14 0.00010801
14 *3969:A *834:21 3.88655e-06
15 *4155:TE_B *834:21 6.50586e-05
16 *4227:CLK *834:21 0.000249293
17 *4281:A *834:21 0.000194432
18 *215:15 *834:21 2.51844e-05
19 *222:15 *834:21 0
20 *562:10 *834:14 8.03393e-06
21 *566:52 *834:14 2.16355e-05
*RES
1 *3959:X *834:14 26.3401
2 *834:14 *834:21 44.6626
3 *834:21 *4155:A 9.24915
*END
*D_NET *835 0.00156402
*CONN
*I *4156:A I *D sky130_fd_sc_hd__ebufn_8
*I *3960:Y O *D sky130_fd_sc_hd__o22ai_1
*CAP
1 *4156:A 0.000414004
2 *3960:Y 0.000414004
3 *4156:A *3667:B 4.3116e-06
4 *4156:A *3669:A1 5.25402e-05
5 *3671:B *4156:A 0.00022778
6 *3800:A *4156:A 2.41274e-06
7 *3960:B1 *4156:A 1.03403e-05
8 *3960:B2 *4156:A 1.61631e-05
9 *3961:B *4156:A 1.37925e-05
10 *3961:C *4156:A 0.00017419
11 *80:13 *4156:A 0.000224381
12 *225:30 *4156:A 1.00981e-05
13 *400:8 *4156:A 0
*RES
1 *3960:Y *4156:A 39.3636
*END
*D_NET *836 0.000818508
*CONN
*I *4157:A I *D sky130_fd_sc_hd__ebufn_8
*I *3964:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4157:A 0.000409254
2 *3964:X 0.000409254
3 *4157:A *4158:A 0
*RES
1 *3964:X *4157:A 37.1242
*END
*D_NET *837 0.000945131
*CONN
*I *4158:A I *D sky130_fd_sc_hd__ebufn_8
*I *3965:X O *D sky130_fd_sc_hd__a41o_1
*CAP
1 *4158:A 0.000435098
2 *3965:X 0.000435098
3 *3964:A *4158:A 0
4 *4157:A *4158:A 0
5 *4158:TE_B *4158:A 0
6 *81:8 *4158:A 4.80148e-05
7 *475:20 *4158:A 2.692e-05
*RES
1 *3965:X *4158:A 38.2222
*END
*D_NET *838 0.0107391
*CONN
*I *4159:A I *D sky130_fd_sc_hd__ebufn_8
*I *3969:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4159:A 3.87359e-05
2 *3969:X 6.33677e-05
3 *838:8 0.00322154
4 *838:7 0.00324617
5 *3610:A *838:8 0
6 *3611:C1 *838:8 2.55516e-05
7 *3649:B *838:8 6.85178e-05
8 *3806:A *4159:A 5.0715e-05
9 *3806:A *838:8 1.1246e-05
10 *3938:A *838:8 0.000575414
11 *3954:A *838:7 1.03403e-05
12 *4155:TE_B *838:8 0
13 *4194:TE_B *838:8 0
14 *4224:CLK *838:8 7.41058e-05
15 *214:10 *838:8 0.000905615
16 *219:49 *838:8 0
17 *385:55 *838:8 0
18 *387:12 *838:8 0
19 *391:11 *838:8 0
20 *393:11 *838:8 4.25324e-05
21 *424:53 *838:8 0.000165495
22 *500:77 *838:8 0
23 *557:10 *838:8 0
24 *809:110 *838:8 7.58739e-05
25 *809:119 *838:8 9.17188e-05
26 *822:25 *838:8 1.54067e-05
27 *834:21 *838:8 0.00205674
*RES
1 *3969:X *838:7 15.0271
2 *838:7 *838:8 99.4163
3 *838:8 *4159:A 15.0271
*END
*D_NET *839 0.011738
*CONN
*I *4160:A I *D sky130_fd_sc_hd__ebufn_8
*I *3970:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4160:A 4.01915e-05
2 *3970:X 0.000311575
3 *839:8 0.00384704
4 *839:7 0.00411842
5 *839:8 *3538:A 1.83204e-05
6 *839:8 *3637:B2 0
7 *839:8 *3638:B2 0
8 *839:8 *3647:A1 0.000270659
9 *839:8 *859:33 1.30227e-05
10 *3576:A *839:8 3.9207e-05
11 *3637:B1 *839:8 0
12 *3637:C1 *839:8 0
13 *3639:B1 *839:8 0
14 *3653:A2 *839:8 0
15 *3671:A *839:7 6.92705e-05
16 *3672:A *839:8 0
17 *3975:B1 *839:8 1.12605e-05
18 *3979:A1 *839:8 7.66257e-05
19 *3981:B *839:8 9.80738e-05
20 *4241:D *839:8 3.51113e-05
21 *4242:D *839:8 0
22 *4245:D *839:8 7.5845e-05
23 *4249:CLK *839:8 0.000137921
24 *84:7 *4160:A 0.000113968
25 *256:19 *839:8 1.8202e-05
26 *380:12 *839:8 0.000131403
27 *380:32 *839:8 0.000207174
28 *381:28 *839:8 0
29 *383:8 *839:8 0
30 *383:10 *839:8 0
31 *388:6 *839:8 4.90694e-05
32 *481:21 *839:8 0
33 *481:31 *839:8 0
34 *481:35 *839:8 0.000246112
35 *481:51 *839:8 0.0001154
36 *481:56 *839:8 8.50941e-05
37 *493:42 *839:8 8.03699e-06
38 *530:85 *839:8 0
39 *580:25 *839:8 0.000139833
40 *582:8 *839:8 0.000229005
41 *809:14 *839:8 0.000318756
42 *809:16 *839:8 0.000217655
43 *809:43 *839:8 0.000208261
44 *809:54 *839:8 7.51846e-05
45 *809:70 *839:8 0.000412344
*RES
1 *3970:X *839:7 18.3548
2 *839:7 *839:8 101.908
3 *839:8 *4160:A 15.0271
*END
*D_NET *840 0.0148358
*CONN
*I *3641:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3630:A I *D sky130_fd_sc_hd__inv_2
*I *3632:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3633:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3636:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3635:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3637:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3638:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3639:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4275:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *3641:A1 1.98947e-05
2 *3630:A 0.000296063
3 *3632:A1 0
4 *3633:A1 0.000466349
5 *3636:A1 0
6 *3635:A1 0
7 *3637:A1 0
8 *3638:A1 3.04553e-05
9 *3639:A1 3.83233e-05
10 *4275:Q 0.000558304
11 *840:75 0.000742909
12 *840:64 0.000461539
13 *840:59 0.000527825
14 *840:54 0.000349137
15 *840:43 0.000847501
16 *840:25 0.000936718
17 *840:12 0.000634032
18 *840:8 0.000812792
19 *3630:A *3635:A2 6.08467e-05
20 *3630:A *4104:A0 0.000595368
21 *3630:A *4104:A1 0.000289415
22 *3630:A *883:24 0.000167677
23 *3633:A1 *3632:A2 6.08467e-05
24 *3633:A1 *3632:B2 0.000129995
25 *3633:A1 *3633:A2 0.000227119
26 *3633:A1 *3636:B2 5.68225e-06
27 *3633:A1 *3653:A1 2.50479e-05
28 *3638:A1 *3638:A2 7.03155e-06
29 *3639:A1 *846:17 6.50727e-05
30 *3641:A1 *3641:A2 2.16355e-05
31 *840:43 *3637:A2 6.08467e-05
32 *840:43 *846:17 6.08467e-05
33 *840:43 *859:33 0
34 *840:54 *3637:A2 0.000413238
35 *840:54 *4101:A0 0
36 *840:54 *846:17 0.000403266
37 *840:59 *3635:A2 0.000260374
38 *840:59 *4104:A1 0.000205198
39 *840:64 *3635:A2 2.95757e-05
40 *840:64 *3636:A2 0.000192752
41 *840:64 *4101:A0 0.000345734
42 *840:64 *4104:A0 4.20607e-05
43 *840:75 *3632:A2 0.000107496
44 *840:75 *3633:A2 3.25539e-05
45 *840:75 *3636:A2 6.08467e-05
46 *840:75 *4101:A0 5.68055e-05
47 *3610:A *840:75 0.000366734
48 *3620:A *840:12 1.76268e-05
49 *3638:C1 *840:25 5.39635e-06
50 *3639:C1 *3639:A1 3.58044e-05
51 *3639:C1 *840:25 3.82228e-05
52 *3668:B1 *840:12 0.000361627
53 *3901:A *840:25 0
54 *3901:A *840:43 0
55 *3997:A *840:8 3.09155e-05
56 *4248:D *840:54 3.07029e-05
57 *4249:D *3633:A1 6.50727e-05
58 *4275:D *840:8 0.000200794
59 *4282:A *3633:A1 4.30503e-05
60 *4282:A *840:75 0.000118485
61 *215:15 *3633:A1 6.50586e-05
62 *215:15 *840:75 9.32983e-05
63 *224:10 *840:54 1.87469e-05
64 *256:19 *3639:A1 6.50727e-05
65 *256:19 *840:25 9.97706e-05
66 *341:14 *840:12 0.00023344
67 *341:14 *840:25 2.01653e-05
68 *350:44 *840:8 0.000125697
69 *350:44 *840:12 0.000158932
70 *381:19 *840:54 9.98029e-06
71 *381:25 *840:8 0.000271058
72 *384:10 *840:8 5.05252e-05
73 *384:10 *840:12 0.0001403
74 *384:26 *840:8 0.000256505
75 *393:11 *3633:A1 0.000268597
76 *397:17 *840:43 0.000150017
77 *542:60 *840:54 0.000345188
78 *556:10 *3630:A 3.76831e-05
79 *594:11 *840:12 2.54757e-05
80 *594:11 *840:25 0
81 *594:11 *840:43 0
82 *808:10 *840:43 0.000129742
83 *808:12 *840:43 1.66626e-05
84 *808:38 *3638:A1 1.02368e-05
85 *808:38 *3639:A1 4.0752e-05
86 *808:74 *3641:A1 6.08467e-05
87 *822:21 *3630:A 3.31733e-05
88 *822:25 *3630:A 0.000145228
*RES
1 *4275:Q *840:8 26.0031
2 *840:8 *840:12 14.2218
3 *840:12 *3639:A1 11.0817
4 *840:12 *840:25 10.1872
5 *840:25 *3638:A1 17.7316
6 *840:25 *840:43 12.5066
7 *840:43 *3637:A1 9.24915
8 *840:43 *840:54 20.4206
9 *840:54 *3635:A1 9.24915
10 *840:54 *840:59 2.94181
11 *840:59 *840:64 15.815
12 *840:64 *3636:A1 9.24915
13 *840:64 *840:75 18.9256
14 *840:75 *3633:A1 32.3893
15 *840:75 *3632:A1 9.24915
16 *840:59 *3630:A 30.924
17 *840:8 *3641:A1 14.4725
*END
*D_NET *841 0.00948305
*CONN
*I *3641:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3659:B I *D sky130_fd_sc_hd__and3_1
*I *3669:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3667:B I *D sky130_fd_sc_hd__and3_1
*I *3670:A I *D sky130_fd_sc_hd__inv_2
*I *4235:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3641:B2 0.000561812
2 *3659:B 0.00014582
3 *3669:A2 1.7125e-05
4 *3667:B 0.000805726
5 *3670:A 0.000120429
6 *4235:Q 0.00016494
7 *841:44 0.000813761
8 *841:22 0.000912173
9 *841:8 0.000444925
10 *841:7 0.000506243
11 *3641:B2 *3641:A2 3.60363e-05
12 *3641:B2 *883:230 0.000618307
13 *3667:B *3669:A1 0.00101957
14 *3667:B *842:53 8.52968e-05
15 *3669:A2 *3669:A1 6.64392e-05
16 *3670:A *3666:A 6.92705e-05
17 *3670:A *883:236 1.70077e-05
18 *3670:A *883:241 1.41291e-05
19 *841:8 *3668:A1 9.45864e-05
20 *841:8 *883:230 0.000216045
21 *841:44 *883:230 0.000161706
22 *3566:B *3667:B 0.000181072
23 *3662:A2 *841:8 4.86647e-05
24 *3664:B_N *841:7 6.08467e-05
25 *3666:B *3670:A 0
26 *3669:B1 *3670:A 9.34396e-06
27 *3669:B1 *841:8 3.12316e-05
28 *3669:B1 *841:22 6.50727e-05
29 *3671:A *3667:B 0.000217937
30 *3673:A2 *3641:B2 0
31 *3673:A2 *841:8 0
32 *3673:A2 *841:44 0
33 *3673:C1 *3641:B2 0.000445517
34 *4156:A *3667:B 4.3116e-06
35 *303:12 *3659:B 0.000205006
36 *314:47 *3667:B 4.3116e-06
37 *314:47 *3669:A2 4.36956e-05
38 *314:47 *841:22 0.000266846
39 *399:11 *3670:A 0.000113968
40 *407:8 *3670:A 0.000156823
41 *407:8 *841:8 0.000382619
42 *530:60 *841:7 3.31745e-05
43 *530:60 *841:8 5.92192e-05
44 *530:60 *841:44 0.000140333
45 *808:74 *3641:B2 0.00012171
*RES
1 *4235:Q *841:7 16.1364
2 *841:7 *841:8 10.9675
3 *841:8 *3670:A 18.5201
4 *841:8 *841:22 8.02053
5 *841:22 *3667:B 37.8949
6 *841:22 *3669:A2 10.5271
7 *841:7 *841:44 3.493
8 *841:44 *3659:B 16.1364
9 *841:44 *3641:B2 31.8407
*END
*D_NET *842 0.0081445
*CONN
*I *3667:A I *D sky130_fd_sc_hd__and3_1
*I *3669:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3639:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3659:A I *D sky130_fd_sc_hd__and3_1
*I *3673:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4236:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3667:A 9.34923e-06
2 *3669:A1 0.000294266
3 *3639:B2 0
4 *3659:A 0.000303914
5 *3673:B1 0.000231928
6 *4236:Q 0
7 *842:53 0.000757893
8 *842:20 0.000589366
9 *842:15 0.000502971
10 *842:4 0.000903726
11 *3659:A *3659:C 3.23526e-05
12 *3659:A *843:11 6.6641e-05
13 *842:15 *846:17 0.000486536
14 *842:53 *3638:B2 8.18789e-05
15 *842:53 *3665:A1_N 0
16 *842:53 *844:6 0
17 *3540:C *3673:B1 4.80635e-06
18 *3566:B *3659:A 2.57847e-05
19 *3566:B *3669:A1 9.62395e-05
20 *3566:B *842:53 9.41583e-05
21 *3638:C1 *842:53 5.25994e-05
22 *3639:B1 *842:15 5.99414e-05
23 *3639:B1 *842:53 7.74397e-05
24 *3639:C1 *3673:B1 1.03403e-05
25 *3639:C1 *842:15 9.95542e-06
26 *3663:A *842:15 1.65872e-05
27 *3667:B *3669:A1 0.00101957
28 *3667:B *842:53 8.52968e-05
29 *3667:C *3667:A 6.50727e-05
30 *3668:B1 *842:15 7.77309e-06
31 *3669:A2 *3669:A1 6.64392e-05
32 *3671:B *3669:A1 7.61802e-05
33 *3671:B *842:53 6.08697e-06
34 *3673:A1 *3673:B1 6.08467e-05
35 *3673:A2 *3659:A 1.25301e-05
36 *3673:A2 *3673:B1 0.000143741
37 *3673:B2 *3673:B1 3.55078e-05
38 *3673:C1 *3673:B1 2.34089e-05
39 *4156:A *3669:A1 5.25402e-05
40 *4236:D *3659:A 3.42037e-06
41 *4236:D *3673:B1 0.000284622
42 *256:19 *842:53 4.5539e-05
43 *303:12 *3659:A 0.000553763
44 *314:35 *3673:B1 1.63611e-05
45 *314:47 *3669:A1 0.000132086
46 *340:30 *3659:A 6.65668e-05
47 *340:30 *3673:B1 0.000123484
48 *381:28 *842:53 0.00011142
49 *383:29 *842:53 7.14746e-05
50 *408:15 *3667:A 6.50727e-05
51 *810:25 *3659:A 0.000307023
*RES
1 *4236:Q *842:4 9.24915
2 *842:4 *842:15 18.4424
3 *842:15 *842:20 5.61141
4 *842:20 *3673:B1 22.5044
5 *842:20 *3659:A 24.9866
6 *842:15 *3639:B2 9.24915
7 *842:4 *842:53 17.5438
8 *842:53 *3669:A1 27.9428
9 *842:53 *3667:A 14.4725
*END
*D_NET *843 0.00492171
*CONN
*I *3638:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3668:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3659:C I *D sky130_fd_sc_hd__and3_1
*I *4237:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3638:B2 0.000148977
2 *3668:A1 0.000216245
3 *3659:C 8.20808e-05
4 *4237:Q 0.000188598
5 *843:11 0.000899504
6 *843:5 0.000938754
7 *3566:B *843:11 3.95649e-05
8 *3639:B1 *3638:B2 5.41377e-05
9 *3659:A *3659:C 3.23526e-05
10 *3659:A *843:11 6.6641e-05
11 *3662:A2 *3668:A1 0.000242149
12 *3671:A *3668:A1 0.000455496
13 *3673:A2 *3668:A1 7.77309e-06
14 *4237:D *843:11 9.17771e-05
15 *256:19 *843:5 1.77955e-05
16 *256:19 *843:11 8.3247e-06
17 *398:8 *3659:C 0.000205006
18 *398:8 *3668:A1 8.52968e-05
19 *398:8 *843:11 4.66492e-05
20 *408:15 *3668:A1 0.000459708
21 *530:60 *3668:A1 0.000139435
22 *810:25 *3659:C 0.000205006
23 *810:25 *843:11 0.000113968
24 *839:8 *3638:B2 0
25 *841:8 *3668:A1 9.45864e-05
26 *842:53 *3638:B2 8.18789e-05
*RES
1 *4237:Q *843:5 12.191
2 *843:5 *843:11 11.4538
3 *843:11 *3659:C 12.5527
4 *843:11 *3668:A1 29.8091
5 *843:5 *3638:B2 22.5727
*END
*D_NET *844 0.00436393
*CONN
*I *3665:A1_N I *D sky130_fd_sc_hd__o2bb2a_1
*I *3637:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3664:A I *D sky130_fd_sc_hd__or2b_1
*I *4238:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3665:A1_N 0.00022341
2 *3637:B2 0.000691248
3 *3664:A 4.18965e-05
4 *4238:Q 0.000243574
5 *844:8 0.000733145
6 *844:6 0.000466984
7 *3637:B1 *3637:B2 1.07248e-05
8 *3664:B_N *3665:A1_N 0.000485311
9 *3665:B1 *3665:A1_N 0.000251905
10 *3665:B2 *3637:B2 6.50727e-05
11 *3665:B2 *3664:A 6.50586e-05
12 *3665:B2 *3665:A1_N 0.000356574
13 *3671:B *844:6 2.65904e-05
14 *4245:D *3637:B2 2.64881e-05
15 *256:19 *3637:B2 6.27614e-05
16 *397:17 *3637:B2 0.000613184
17 *839:8 *3637:B2 0
18 *842:53 *3665:A1_N 0
19 *842:53 *844:6 0
*RES
1 *4238:Q *844:6 18.4879
2 *844:6 *844:8 4.5
3 *844:8 *3664:A 9.97254
4 *844:8 *3637:B2 34.9315
5 *844:6 *3665:A1_N 23.98
*END
*D_NET *845 0.00520373
*CONN
*I *4104:A1 I *D sky130_fd_sc_hd__mux2_2
*I *3641:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4243:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4104:A1 0.000940701
2 *3641:A2 0.000862793
3 *4243:Q 0
4 *845:4 0.00180349
5 *4104:A1 *3635:A2 3.81056e-05
6 *3630:A *4104:A1 0.000289415
7 *3635:B1 *4104:A1 8.21824e-05
8 *3641:A1 *3641:A2 2.16355e-05
9 *3641:B2 *3641:A2 3.60363e-05
10 *4243:D *3641:A2 0.000393111
11 *383:50 *3641:A2 0.000211272
12 *383:50 *4104:A1 0.000271058
13 *556:10 *4104:A1 1.71154e-05
14 *808:74 *3641:A2 3.1616e-05
15 *840:59 *4104:A1 0.000205198
*RES
1 *4243:Q *845:4 9.24915
2 *845:4 *3641:A2 23.7171
3 *845:4 *4104:A1 27.9369
*END
*D_NET *846 0.00658209
*CONN
*I *4103:A1 I *D sky130_fd_sc_hd__mux2_2
*I *3639:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4244:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4103:A1 0.000682542
2 *3639:A2 0
3 *4244:Q 0
4 *846:17 0.000753422
5 *846:4 0.00143596
6 *846:17 *3637:A2 3.58044e-05
7 *846:17 *4101:A1 0.000132321
8 *846:17 *847:6 8.62625e-06
9 *3620:A *846:17 2.41483e-05
10 *3637:C1 *846:17 0.000381471
11 *3639:A1 *846:17 6.50727e-05
12 *3639:B1 *846:17 9.44022e-06
13 *3639:C1 *846:17 0.000161234
14 *3663:A *846:17 0.000563884
15 *3668:B1 *846:17 0.000113374
16 *4101:S *4103:A1 0.000247443
17 *4246:D *846:17 6.08467e-05
18 *4275:D *846:17 4.42081e-05
19 *223:8 *4103:A1 7.14746e-05
20 *224:10 *846:17 9.24241e-05
21 *287:11 *846:17 4.77168e-06
22 *542:60 *846:17 9.22013e-06
23 *557:19 *4103:A1 0.000328363
24 *822:26 *4103:A1 0.000405388
25 *840:43 *846:17 6.08467e-05
26 *840:54 *846:17 0.000403266
27 *842:15 *846:17 0.000486536
*RES
1 *4244:Q *846:4 9.24915
2 *846:4 *846:17 44.0705
3 *846:17 *3639:A2 9.24915
4 *846:4 *4103:A1 30.5409
*END
*D_NET *847 0.00365614
*CONN
*I *4101:A1 I *D sky130_fd_sc_hd__mux2_2
*I *3638:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4245:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4101:A1 0.000212027
2 *3638:A2 0.000923109
3 *4245:Q 0.000110648
4 *847:6 0.00124578
5 *4101:A1 *3637:A2 8.03945e-05
6 *3638:A1 *3638:A2 7.03155e-06
7 *4246:D *847:6 0
8 *224:10 *847:6 8.04319e-05
9 *542:60 *4101:A1 6.64609e-05
10 *542:60 *847:6 5.05252e-05
11 *557:19 *3638:A2 0.000313481
12 *808:31 *3638:A2 0.000311221
13 *808:38 *3638:A2 0.00011408
14 *846:17 *4101:A1 0.000132321
15 *846:17 *847:6 8.62625e-06
*RES
1 *4245:Q *847:6 17.2421
2 *847:6 *3638:A2 30.0738
3 *847:6 *4101:A1 18.1077
*END
*D_NET *848 0.00343372
*CONN
*I *3637:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4102:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4246:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3637:A2 0.000311597
2 *4102:A1 0
3 *4246:Q 0.000384054
4 *848:12 0.000695651
5 *3637:A2 *4102:A0 9.88127e-05
6 *3637:A2 *4103:A0 0.000258114
7 *848:12 *4102:A0 9.89388e-06
8 *4101:A1 *3637:A2 8.03945e-05
9 *4101:S *3637:A2 0.000258114
10 *4102:S *3637:A2 8.91956e-05
11 *4102:S *848:12 7.28157e-05
12 *222:15 *848:12 0.000297437
13 *224:10 *3637:A2 0.000113968
14 *287:11 *3637:A2 0.000253783
15 *840:43 *3637:A2 6.08467e-05
16 *840:54 *3637:A2 0.000413238
17 *846:17 *3637:A2 3.58044e-05
*RES
1 *4246:Q *848:12 23.3006
2 *848:12 *4102:A1 13.7491
3 *848:12 *3637:A2 28.5594
*END
*D_NET *849 0.00559577
*CONN
*I *3636:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3656:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4239:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3636:B2 0.000799095
2 *3656:A1 0.000478867
3 *4239:Q 0
4 *849:4 0.00127796
5 *3636:B2 *3538:A 1.91391e-05
6 *3636:B2 *3632:B2 0.000543718
7 *3636:B2 *3633:A2 0
8 *3636:B2 *4101:A0 1.1573e-05
9 *3632:B1 *3636:B2 0.000351978
10 *3633:A1 *3636:B2 5.68225e-06
11 *3647:B2 *3636:B2 2.652e-05
12 *3656:A2 *3656:A1 0.000118166
13 *3656:B2 *3656:A1 4.56667e-05
14 *3672:A *3656:A1 1.9101e-05
15 *3976:B1 *3656:A1 0.000163679
16 *3999:B1 *3656:A1 0.000709388
17 *4239:D *3656:A1 0.000111722
18 *395:14 *3656:A1 1.24122e-05
19 *397:60 *3656:A1 3.6549e-05
20 *475:72 *3636:B2 6.48865e-05
21 *481:21 *3636:B2 3.49128e-05
22 *481:31 *3656:A1 1.5714e-05
23 *493:56 *3636:B2 0.000211464
24 *493:56 *3656:A1 0.000317707
25 *542:60 *3636:B2 0.000219864
*RES
1 *4239:Q *849:4 9.24915
2 *849:4 *3656:A1 33.0106
3 *849:4 *3636:B2 40.9593
*END
*D_NET *850 0.00471319
*CONN
*I *3635:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3653:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4240:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3635:B2 0.00069579
2 *3653:A1 0.000339677
3 *4240:Q 0.000289047
4 *850:8 0.00132451
5 *3653:A1 *3633:A2 8.04137e-05
6 *3633:A1 *3653:A1 2.50479e-05
7 *3633:B1 *3653:A1 6.08467e-05
8 *3652:B *850:8 0.000164829
9 *3653:B1 *3653:A1 0
10 *3976:B2 *850:8 1.5714e-05
11 *4249:D *3635:B2 0.000184388
12 *4249:D *3653:A1 1.07248e-05
13 *380:32 *3653:A1 0.000260374
14 *385:55 *3653:A1 0.000550939
15 *385:55 *850:8 0
16 *386:13 *850:8 2.27957e-05
17 *393:11 *3653:A1 0.000105164
18 *397:60 *850:8 0
19 *475:8 *3635:B2 0
20 *475:16 *3635:B2 0.000131165
21 *542:60 *3635:B2 0.000412135
22 *542:60 *850:8 3.96199e-05
*RES
1 *4240:Q *850:8 19.0065
2 *850:8 *3653:A1 24.9355
3 *850:8 *3635:B2 33.2294
*END
*D_NET *851 0.00284141
*CONN
*I *3633:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3650:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4241:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3633:B2 0.000107702
2 *3650:A1 0.00016057
3 *4241:Q 0.00021691
4 *851:8 0.000485182
5 *3633:B2 *3633:A2 2.36273e-05
6 *851:8 *858:56 0.000217937
7 *3794:A *3650:A1 0.000442483
8 *4250:CLK *3650:A1 0.000168314
9 *385:55 *3633:B2 4.76283e-05
10 *391:11 *3650:A1 0.00053098
11 *397:60 *3633:B2 0.000135543
12 *397:60 *851:8 0.000136827
13 *475:8 *3633:B2 7.26959e-06
14 *475:8 *3650:A1 0.000107496
15 *475:8 *851:8 5.2936e-05
*RES
1 *4241:Q *851:8 18.7989
2 *851:8 *3650:A1 22.454
3 *851:8 *3633:B2 16.8269
*END
*D_NET *852 0.00534844
*CONN
*I *3632:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3647:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4242:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3632:B2 0.000517717
2 *3647:A1 0.000852208
3 *4242:Q 0
4 *852:4 0.00136993
5 *3633:A1 *3632:B2 0.000129995
6 *3636:B2 *3632:B2 0.000543718
7 *3646:B *3632:B2 5.33121e-05
8 *3647:B2 *3632:B2 7.50872e-05
9 *3647:B2 *3647:A1 0.000275256
10 *3655:A *3632:B2 0.000104747
11 *4242:D *3647:A1 6.84784e-06
12 *4242:CLK *3647:A1 6.08467e-05
13 *4282:A *3632:B2 0
14 *219:23 *3647:A1 0.000427628
15 *387:18 *3632:B2 0.000341872
16 *412:25 *3632:B2 4.58003e-05
17 *412:25 *3647:A1 0.000253681
18 *493:42 *3647:A1 1.91391e-05
19 *542:60 *3632:B2 0
20 *839:8 *3647:A1 0.000270659
*RES
1 *4242:Q *852:4 9.24915
2 *852:4 *3647:A1 36.9119
3 *852:4 *3632:B2 35.0625
*END
*D_NET *853 0.00245116
*CONN
*I *4104:A0 I *D sky130_fd_sc_hd__mux2_2
*I *3636:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4247:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4104:A0 0.000196038
2 *3636:A2 0.000255348
3 *4247:Q 0
4 *853:5 0.000451387
5 *3636:A2 *4101:A0 0
6 *4104:A0 *3635:A2 8.62625e-06
7 *3610:A *3636:A2 2.23384e-05
8 *3610:A *4104:A0 3.60268e-05
9 *3630:A *4104:A0 0.000595368
10 *4247:D *3636:A2 8.42687e-05
11 *222:10 *4104:A0 0.000391099
12 *381:19 *3636:A2 1.41976e-05
13 *382:9 *3636:A2 1.47978e-05
14 *475:72 *3636:A2 3.072e-06
15 *556:10 *4104:A0 8.29362e-05
16 *840:64 *3636:A2 0.000192752
17 *840:64 *4104:A0 4.20607e-05
18 *840:75 *3636:A2 6.08467e-05
*RES
1 *4247:Q *853:5 13.7491
2 *853:5 *3636:A2 20.8015
3 *853:5 *4104:A0 22.8204
*END
*D_NET *854 0.00315754
*CONN
*I *3635:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4103:A0 I *D sky130_fd_sc_hd__mux2_2
*I *4248:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3635:A2 0.000303259
2 *4103:A0 0.00022548
3 *4248:Q 2.52542e-05
4 *854:7 0.000553994
5 *3635:A2 *4101:A0 6.23017e-05
6 *4103:A0 *4101:A0 5.88512e-05
7 *854:7 *859:33 0.000163428
8 *3630:A *3635:A2 6.08467e-05
9 *3637:A2 *4103:A0 0.000258114
10 *4101:S *4103:A0 1.65872e-05
11 *4104:A0 *3635:A2 8.62625e-06
12 *4104:A1 *3635:A2 3.81056e-05
13 *222:10 *3635:A2 6.77164e-05
14 *222:10 *4103:A0 2.85689e-05
15 *222:15 *4103:A0 6.04912e-06
16 *223:8 *4103:A0 0.000376584
17 *287:11 *4103:A0 0.000131053
18 *396:15 *854:7 0.000163428
19 *556:10 *3635:A2 0.000165377
20 *822:26 *4103:A0 0.000153964
21 *840:59 *3635:A2 0.000260374
22 *840:64 *3635:A2 2.95757e-05
*RES
1 *4248:Q *854:7 15.5817
2 *854:7 *4103:A0 23.7903
3 *854:7 *3635:A2 22.6783
*END
*D_NET *855 0.00524746
*CONN
*I *3633:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4101:A0 I *D sky130_fd_sc_hd__mux2_2
*I *4249:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3633:A2 0.000473964
2 *4101:A0 0.000591431
3 *4249:Q 9.34923e-06
4 *855:7 0.00107474
5 *3632:B1 *4101:A0 6.3191e-06
6 *3633:A1 *3633:A2 0.000227119
7 *3633:B2 *3633:A2 2.36273e-05
8 *3635:A2 *4101:A0 6.23017e-05
9 *3636:A2 *4101:A0 0
10 *3636:B2 *3633:A2 0
11 *3636:B2 *4101:A0 1.1573e-05
12 *3653:A1 *3633:A2 8.04137e-05
13 *4103:A0 *4101:A0 5.88512e-05
14 *4249:D *3633:A2 5.51478e-05
15 *4250:D *3633:A2 0.000464479
16 *4250:CLK *3633:A2 7.34948e-06
17 *4282:A *3633:A2 0.000340575
18 *224:10 *4101:A0 1.09738e-05
19 *381:19 *4101:A0 0.000418702
20 *385:55 *3633:A2 4.76283e-05
21 *391:11 *3633:A2 0.000606335
22 *393:11 *3633:A2 3.75221e-05
23 *475:8 *3633:A2 7.09666e-06
24 *475:72 *4101:A0 2.15893e-05
25 *475:72 *855:7 6.50727e-05
26 *481:21 *3633:A2 2.652e-05
27 *481:21 *855:7 6.50727e-05
28 *518:78 *3633:A2 1.86064e-05
29 *840:54 *4101:A0 0
30 *840:64 *4101:A0 0.000345734
31 *840:75 *3633:A2 3.25539e-05
32 *840:75 *4101:A0 5.68055e-05
*RES
1 *4249:Q *855:7 14.4725
2 *855:7 *4101:A0 31.1653
3 *855:7 *3633:A2 41.6463
*END
*D_NET *856 0.00398567
*CONN
*I *3632:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4102:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4250:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3632:A2 7.50038e-05
2 *4102:A0 0.000892027
3 *4250:Q 0.000134133
4 *856:8 0.00110116
5 *3632:A2 *858:56 0.000274715
6 *3610:A *4102:A0 0.000789003
7 *3610:A *856:8 9.75548e-05
8 *3633:A1 *3632:A2 6.08467e-05
9 *3637:A2 *4102:A0 9.88127e-05
10 *3881:A *856:8 6.08467e-05
11 *4281:A *4102:A0 0
12 *215:15 *3632:A2 5.73228e-05
13 *222:10 *4102:A0 4.98596e-05
14 *222:15 *4102:A0 0.000176995
15 *834:21 *4102:A0 0
16 *840:75 *3632:A2 0.000107496
17 *848:12 *4102:A0 9.89388e-06
*RES
1 *4250:Q *856:8 16.7198
2 *856:8 *4102:A0 36.3438
3 *856:8 *3632:A2 17.2456
*END
*D_NET *857 0.0300675
*CONN
*I *3538:A I *D sky130_fd_sc_hd__inv_2
*I *3999:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3607:A1 I *D sky130_fd_sc_hd__o22a_1
*I *3604:B1 I *D sky130_fd_sc_hd__o32a_1
*I *3601:B1 I *D sky130_fd_sc_hd__o32a_1
*I *3598:B1 I *D sky130_fd_sc_hd__o32a_1
*I *3571:A I *D sky130_fd_sc_hd__nand2_1
*I *3594:B1 I *D sky130_fd_sc_hd__o32a_1
*I *3590:B1 I *D sky130_fd_sc_hd__o32a_1
*I *3569:A I *D sky130_fd_sc_hd__nor2_1
*I *3582:B1 I *D sky130_fd_sc_hd__o32a_1
*I *3586:B1 I *D sky130_fd_sc_hd__o32a_1
*I *3518:B I *D sky130_fd_sc_hd__or2_1
*I *4112:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4221:Q O *D sky130_fd_sc_hd__dfxtp_4
*CAP
1 *3538:A 0.000933216
2 *3999:A2 0
3 *3607:A1 0
4 *3604:B1 0
5 *3601:B1 0.000108927
6 *3598:B1 5.87272e-05
7 *3571:A 0
8 *3594:B1 0
9 *3590:B1 2.33806e-05
10 *3569:A 0.000573248
11 *3582:B1 1.22643e-05
12 *3586:B1 0
13 *3518:B 0.000220525
14 *4112:A0 0.000225907
15 *4221:Q 0
16 *857:167 0.00174708
17 *857:131 0.00111426
18 *857:88 0.000978946
19 *857:76 0.000659552
20 *857:69 0.00060283
21 *857:61 0.000380526
22 *857:59 0.00134269
23 *857:57 0.000485077
24 *857:56 0.000562751
25 *857:50 0.00057837
26 *857:34 0.00149911
27 *857:7 0.000446432
28 *857:5 0.00120987
29 *857:4 0.000914277
30 *3518:B *3518:A 4.31539e-05
31 *3518:B *858:13 6.63489e-05
32 *3601:B1 *3543:C1 2.16355e-05
33 *3601:B1 *868:8 0.000184384
34 *857:57 *868:8 0.000527082
35 *857:57 *869:30 0.00021436
36 *857:59 *3549:B2 0.000216088
37 *857:59 *868:8 0.000168047
38 *857:59 *869:30 0.000302468
39 *857:131 *3624:A2 0.000312173
40 *857:131 *3624:B2 7.76848e-05
41 *857:131 *3624:C1 0.00016553
42 *857:131 *861:20 9.06422e-05
43 *857:167 *3686:A 1.65082e-05
44 *857:167 *859:60 0.000361063
45 *857:167 *859:65 0.000223086
46 *3521:A *3569:A 0.000264572
47 *3529:A *857:50 6.90431e-05
48 *3529:A *857:56 3.55126e-05
49 *3533:B *857:131 6.08467e-05
50 *3535:A *857:69 0.000118166
51 *3547:A1 *857:59 2.79471e-05
52 *3547:B1 *857:57 4.67559e-05
53 *3554:B1 *857:131 0.000195315
54 *3555:A1 *857:50 4.69495e-06
55 *3578:A1 *3569:A 7.58595e-05
56 *3580:B *857:76 6.08467e-05
57 *3582:A1 *3569:A 0.00013243
58 *3582:A1 *3582:B1 3.75603e-05
59 *3582:A1 *857:88 0.000232483
60 *3582:A3 *857:88 1.0159e-05
61 *3586:A1 *857:76 2.69795e-05
62 *3601:A3 *3601:B1 6.08467e-05
63 *3604:A1 *857:50 0
64 *3604:A1 *857:56 2.16355e-05
65 *3604:A2 *857:34 0.000162583
66 *3604:A2 *857:50 7.98705e-05
67 *3604:A2 *857:56 0.00023185
68 *3607:B2 *857:50 1.5714e-05
69 *3609:B1 *857:167 0.000139435
70 *3611:C1 *3538:A 0.000182645
71 *3636:B2 *3538:A 1.91391e-05
72 *3653:A2 *3538:A 2.1309e-05
73 *3943:A *857:50 4.22558e-05
74 *3996:A1 *857:167 0
75 *4221:D *857:34 0.000383878
76 *4221:D *857:50 6.92705e-05
77 *4221:CLK *857:34 7.48797e-05
78 *4273:D *3569:A 9.73548e-05
79 *4273:CLK *3569:A 0.000107496
80 *216:11 *857:56 0.000146388
81 *218:12 *3518:B 0.000111358
82 *218:12 *4112:A0 0.000741681
83 *294:11 *3518:B 0.000211478
84 *296:7 *3569:A 0.000311235
85 *298:11 *857:76 0.00016432
86 *298:19 *857:76 2.81262e-05
87 *298:19 *857:88 0.000247443
88 *299:34 *857:59 3.20069e-06
89 *299:34 *857:61 5.04734e-05
90 *299:34 *857:69 6.91241e-05
91 *299:45 *857:59 1.90335e-05
92 *300:12 *857:69 8.10016e-06
93 *300:29 *857:59 1.3807e-05
94 *300:29 *857:61 4.52469e-05
95 *300:29 *857:69 1.44611e-05
96 *303:48 *3518:B 2.20702e-05
97 *309:11 *3590:B1 0.000107496
98 *313:5 *3538:A 0.000694126
99 *313:5 *857:167 0.000723103
100 *314:26 *3518:B 0.000118485
101 *314:26 *4112:A0 0.000365704
102 *314:35 *4112:A0 0.000362201
103 *317:14 *857:50 2.37478e-05
104 *324:7 *857:131 6.92705e-05
105 *340:13 *857:131 5.09965e-05
106 *345:14 *857:131 0.000470393
107 *346:11 *857:50 0.000606159
108 *347:39 *3569:A 0
109 *350:8 *857:167 8.2456e-05
110 *352:11 *857:76 0.000193069
111 *354:19 *3590:B1 0.000111708
112 *354:19 *857:69 0.000200805
113 *354:19 *857:76 2.95757e-05
114 *377:19 *857:131 0.000258892
115 *380:32 *3538:A 1.91391e-05
116 *410:12 *3538:A 0.00110007
117 *481:31 *3538:A 3.10576e-06
118 *487:36 *3569:A 0.000252312
119 *500:34 *857:76 7.27589e-05
120 *518:78 *3538:A 0.000254077
121 *530:60 *857:69 0
122 *542:8 *3601:B1 5.0124e-05
123 *542:8 *857:57 5.24652e-05
124 *542:8 *857:69 0.000192179
125 *542:8 *857:76 0.000344149
126 *542:60 *3538:A 7.13655e-06
127 *806:10 *857:131 1.28704e-05
128 *806:46 *3598:B1 0.000164815
129 *806:68 *857:69 1.58551e-05
130 *811:7 *3569:A 5.48272e-05
131 *839:8 *3538:A 1.83204e-05
*RES
1 *4221:Q *857:4 9.24915
2 *857:4 *857:5 1.8326
3 *857:5 *857:7 4.5
4 *857:7 *4112:A0 26.7929
5 *857:7 *3518:B 20.602
6 *857:5 *857:34 19.9898
7 *857:34 *857:50 19.4116
8 *857:50 *857:56 11.3481
9 *857:56 *857:57 10.137
10 *857:57 *857:59 7.64553
11 *857:59 *857:61 2.24725
12 *857:61 *857:69 18.3732
13 *857:69 *857:76 14.9749
14 *857:76 *3586:B1 9.24915
15 *857:76 *857:88 8.56019
16 *857:88 *3582:B1 9.82786
17 *857:88 *3569:A 36.0519
18 *857:69 *3590:B1 15.0271
19 *857:61 *3594:B1 13.7491
20 *857:59 *857:131 40.9944
21 *857:131 *3571:A 9.24915
22 *857:57 *3598:B1 15.5817
23 *857:56 *3601:B1 17.9655
24 *857:50 *3604:B1 9.24915
25 *857:34 *3607:A1 9.24915
26 *857:4 *857:167 29.3181
27 *857:167 *3999:A2 9.24915
28 *857:167 *3538:A 36.4261
*END
*D_NET *858 0.0101878
*CONN
*I *3644:A I *D sky130_fd_sc_hd__inv_2
*I *3686:A I *D sky130_fd_sc_hd__nor2_4
*I *3942:A I *D sky130_fd_sc_hd__or3_1
*I *3518:A I *D sky130_fd_sc_hd__or2_1
*I *4222:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3644:A 0
2 *3686:A 0.000102911
3 *3942:A 0.000180271
4 *3518:A 4.85403e-05
5 *4222:Q 0
6 *858:56 0.0017679
7 *858:13 0.00041141
8 *858:5 0.00117113
9 *858:4 0.00265352
10 *3686:A *859:65 7.09666e-06
11 io_out[10] *858:56 0
12 *3518:B *3518:A 4.31539e-05
13 *3518:B *858:13 6.63489e-05
14 *3609:A2 *3518:A 4.66492e-05
15 *3609:A2 *858:13 0.000300565
16 *3609:B1 *3686:A 0.000109011
17 *3609:B1 *858:5 1.92172e-05
18 *3609:B1 *858:13 1.61631e-05
19 *3611:B1 *3686:A 0.000177818
20 *3632:A2 *858:56 0.000274715
21 *3632:B1 *858:56 2.16355e-05
22 *3632:C1 *858:56 0.000229594
23 *3642:B *3942:A 0.00011741
24 *3798:A *858:56 0
25 *3996:A1 *3686:A 5.93547e-06
26 *4155:TE_B *858:56 0.000103217
27 *4194:TE_B *858:56 0.000253916
28 *4222:D *858:5 0.000106304
29 *4222:D *858:56 1.00937e-05
30 *4222:CLK *858:5 1.41307e-05
31 *4241:D *858:56 2.54559e-05
32 *4241:CLK *858:56 2.23924e-05
33 *215:15 *858:56 8.07497e-05
34 *219:49 *858:56 9.91788e-05
35 *303:42 *3942:A 0.000372135
36 *303:48 *3518:A 6.50586e-05
37 *345:26 *3942:A 0.000258128
38 *346:6 *3942:A 0.000254725
39 *468:23 *3686:A 0.000113968
40 *803:21 *3942:A 0.000233011
41 *834:21 *858:56 0.000169862
42 *851:8 *858:56 0.000217937
43 *857:167 *3686:A 1.65082e-05
*RES
1 *4222:Q *858:4 9.24915
2 *858:4 *858:5 12.9247
3 *858:5 *858:13 5.93185
4 *858:13 *3518:A 11.1059
5 *858:13 *3942:A 28.006
6 *858:5 *3686:A 22.1896
7 *858:4 *858:56 43.8267
8 *858:56 *3644:A 9.24915
*END
*D_NET *859 0.0218031
*CONN
*I *3686:B I *D sky130_fd_sc_hd__nor2_4
*I *3992:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3985:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3980:B1 I *D sky130_fd_sc_hd__o311a_1
*I *3996:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3687:A I *D sky130_fd_sc_hd__or2_1
*I *4111:S I *D sky130_fd_sc_hd__mux2_1
*I *3997:B I *D sky130_fd_sc_hd__and3_1
*I *3657:A I *D sky130_fd_sc_hd__inv_2
*I *4112:S I *D sky130_fd_sc_hd__mux2_1
*I *4223:Q O *D sky130_fd_sc_hd__dfxtp_4
*CAP
1 *3686:B 0
2 *3992:B1 0.000306362
3 *3985:B1 3.96421e-05
4 *3980:B1 0.000679278
5 *3996:B1 6.90245e-05
6 *3687:A 1.09091e-05
7 *4111:S 3.50289e-05
8 *3997:B 5.0318e-05
9 *3657:A 0.000465609
10 *4112:S 0
11 *4223:Q 0.000101967
12 *859:121 0.000829883
13 *859:106 0.00143508
14 *859:97 0.000909045
15 *859:75 0.00076615
16 *859:65 0.000445116
17 *859:60 0.000599472
18 *859:47 0.000645168
19 *859:33 0.00170502
20 *859:10 0.00133028
21 *859:7 0.000411162
22 *3577:A *859:33 0.000361645
23 *3609:B1 *859:60 5.04829e-06
24 *3640:A *859:33 0.000118166
25 *3654:A *859:106 0
26 *3663:A *859:33 0.000199749
27 *3678:A *859:97 3.99925e-05
28 *3680:A1 *859:106 6.77e-05
29 *3683:A1 *3980:B1 0.000237598
30 *3684:A2 *859:97 4.72727e-05
31 *3684:B1 *859:97 0.000113374
32 *3684:C1 *859:97 7.77309e-06
33 *3686:A *859:65 7.09666e-06
34 *3688:B2 *3687:A 6.3657e-05
35 *3689:A *4111:S 0.000211478
36 *3689:A *859:75 0.000152878
37 *3941:A2 *859:33 0.00177133
38 *3976:A1 *859:97 0
39 *3976:B2 *859:75 0
40 *3979:A2 *3980:B1 0.000328363
41 *3986:A *3992:B1 0
42 *3989:A2 *3992:B1 6.80025e-05
43 *3991:B *3985:B1 4.26566e-05
44 *3992:A1 *3992:B1 0.000156014
45 *3992:A1 *859:121 6.31665e-05
46 *3992:A2 *3992:B1 5.56461e-05
47 *3994:A1 *859:121 5.94138e-05
48 *3995:B *859:121 0
49 *3996:A1 *3996:B1 0.000118166
50 *3996:A1 *859:47 4.9073e-05
51 *3996:A1 *859:60 0
52 *3996:A1 *859:65 5.95249e-06
53 *3997:C *859:47 6.88675e-05
54 *4105:A0 *3687:A 6.3657e-05
55 *4108:A0 *3985:B1 0.000122378
56 *4111:A1 *4111:S 0.000211478
57 *4111:A1 *859:75 0.000213725
58 *4229:D *859:97 9.24241e-05
59 *4231:D *3980:B1 0.000111722
60 *219:23 *859:75 6.32364e-05
61 *226:6 *859:65 0.000111361
62 *226:75 *859:121 0
63 *314:26 *859:47 3.74883e-05
64 *314:35 *859:10 5.88803e-05
65 *314:35 *859:47 0.000115067
66 *342:24 *859:33 0.000179857
67 *350:8 *859:47 0
68 *350:8 *859:60 0.000533192
69 *350:8 *859:65 1.73782e-05
70 *350:19 *859:65 2.55661e-06
71 *350:19 *859:97 9.08838e-05
72 *380:12 *859:33 3.29488e-05
73 *380:32 *859:60 1.58551e-05
74 *384:10 *859:33 7.92757e-06
75 *394:8 *3980:B1 4.28856e-07
76 *396:15 *3657:A 0.00109601
77 *396:15 *859:33 0.00017522
78 *397:17 *859:33 1.5714e-05
79 *409:32 *859:106 9.57348e-05
80 *409:72 *3980:B1 8.65358e-05
81 *409:72 *859:106 5.99658e-05
82 *411:10 *859:75 0.000190962
83 *413:7 *859:97 2.65667e-05
84 *414:31 *3980:B1 1.57593e-05
85 *414:35 *3980:B1 3.99086e-06
86 *414:42 *3980:B1 2.59398e-05
87 *414:42 *859:106 1.45944e-05
88 *414:42 *859:121 0.000108643
89 *444:65 *3992:B1 0
90 *444:65 *859:121 0
91 *468:23 *859:60 0.000107496
92 *506:61 *3996:B1 0.000139764
93 *506:61 *859:97 5.39559e-05
94 *556:19 *3997:B 2.16355e-05
95 *556:19 *859:47 3.48249e-05
96 *556:19 *859:60 6.49003e-05
97 *557:19 *859:33 0.000207266
98 *584:12 *3992:B1 0
99 *587:12 *3992:B1 3.21548e-05
100 *592:15 *3996:B1 3.34189e-05
101 *592:15 *859:97 0.000347269
102 *593:14 *859:47 7.86847e-05
103 *594:11 *859:33 0.000251592
104 *803:21 *859:60 7.92757e-06
105 *808:10 *859:33 4.69495e-06
106 *810:25 *859:10 0.000157854
107 *810:25 *859:47 0.000222684
108 *824:10 *859:97 0
109 *824:12 *859:97 0
110 *829:17 *859:106 1.77537e-06
111 *829:17 *859:121 2.18741e-05
112 *839:8 *859:33 1.30227e-05
113 *840:43 *859:33 0
114 *854:7 *859:33 0.000163428
115 *857:167 *859:60 0.000361063
116 *857:167 *859:65 0.000223086
*RES
1 *4223:Q *859:7 15.5817
2 *859:7 *859:10 7.57775
3 *859:10 *4112:S 9.24915
4 *859:10 *859:33 49.4329
5 *859:33 *3657:A 23.3313
6 *859:7 *859:47 12.976
7 *859:47 *3997:B 9.97254
8 *859:47 *859:60 21.9717
9 *859:60 *859:65 15.3998
10 *859:65 *4111:S 11.6364
11 *859:65 *859:75 11.0742
12 *859:75 *3687:A 14.4725
13 *859:75 *859:97 20.935
14 *859:97 *3996:B1 12.7456
15 *859:97 *859:106 12.1455
16 *859:106 *3980:B1 28.5547
17 *859:106 *859:121 12.6286
18 *859:121 *3985:B1 15.5817
19 *859:121 *3992:B1 23.506
20 *859:60 *3686:B 9.24915
*END
*D_NET *860 0.00566586
*CONN
*I *3543:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3629:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4251:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3543:C1 0.000750855
2 *3629:A2 0.000238805
3 *4251:Q 0
4 *860:4 0.00098966
5 *3543:C1 *3545:A2 1.00846e-05
6 *3532:A *3629:A2 0.000160384
7 *3545:B1 *3543:C1 1.61015e-05
8 *3545:C1 *3543:C1 0.000507136
9 *3555:A1 *3543:C1 6.11359e-06
10 *3600:A *3629:A2 7.18317e-05
11 *3601:A1 *3543:C1 2.51195e-05
12 *3601:A2 *3543:C1 0.000514412
13 *3601:A2 *3629:A2 0.000217937
14 *3601:A3 *3543:C1 9.19151e-05
15 *3601:B1 *3543:C1 2.16355e-05
16 *3601:B2 *3543:C1 2.16355e-05
17 *3602:B *3543:C1 0.000228716
18 *3602:B *3629:A2 9.14669e-05
19 *3604:A2 *3543:C1 0.000156578
20 *4264:CLK *3543:C1 7.34948e-06
21 *4267:D *3629:A2 1.55462e-05
22 *4267:CLK *3629:A2 0.000431115
23 *216:11 *3629:A2 5.61259e-05
24 *304:16 *3543:C1 0.000156578
25 *317:11 *3543:C1 2.57919e-05
26 *424:8 *3629:A2 0.00020588
27 *813:10 *3543:C1 0.000647083
*RES
1 *4251:Q *860:4 9.24915
2 *860:4 *3629:A2 29.4275
3 *860:4 *3543:C1 44.6203
*END
*D_NET *861 0.00673839
*CONN
*I *3559:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3561:B2 I *D sky130_fd_sc_hd__a221oi_1
*I *3617:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4261:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3559:B2 0
2 *3561:B2 0.000206265
3 *3617:A2 0.000391331
4 *4261:Q 4.03843e-05
5 *861:20 0.00122691
6 *861:5 0.00145236
7 *3561:B2 *3558:A2 0.000207379
8 *3561:B2 *862:30 0.000119312
9 *861:20 *3554:A2 0.000557425
10 *3554:B1 *861:20 0.000119991
11 *3561:A1 *3561:B2 8.92568e-06
12 *3562:C_N *3561:B2 6.28334e-05
13 *3562:C_N *861:20 7.36804e-06
14 *3563:B1 *3561:B2 5.41377e-05
15 *3563:B1 *861:20 0.000384067
16 *3565:A1 *861:20 0
17 *3565:B1 *861:20 5.61994e-05
18 *3617:A1 *3617:A2 0.000287937
19 *4261:D *3617:A2 0.000402316
20 *4261:CLK *3617:A2 5.46286e-05
21 *324:7 *861:20 1.60354e-05
22 *324:28 *3617:A2 4.43826e-05
23 *324:28 *861:20 0.000318977
24 *328:21 *861:20 0.000628581
25 *506:12 *861:20 0
26 *857:131 *861:20 9.06422e-05
*RES
1 *4261:Q *861:5 10.2378
2 *861:5 *3617:A2 21.3419
3 *861:5 *861:20 35.6559
4 *861:20 *3561:B2 20.5642
5 *861:20 *3559:B2 13.7491
*END
*D_NET *862 0.00629709
*CONN
*I *3561:A2 I *D sky130_fd_sc_hd__a221oi_1
*I *3563:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3559:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3615:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4262:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3561:A2 2.06324e-05
2 *3563:A2 0.00017907
3 *3559:A2 0
4 *3615:A1 0.000248999
5 *4262:Q 0.000117298
6 *862:30 0.000373746
7 *862:25 0.000774097
8 *862:5 0.000925085
9 *3615:A1 *3618:A1 0.000368218
10 *3615:A1 *871:24 4.20662e-05
11 *862:25 *871:24 0.000238567
12 *3561:A1 *862:30 0.000352038
13 *3561:B1 *862:25 8.55661e-05
14 *3561:B1 *862:30 5.56461e-05
15 *3561:B2 *862:30 0.000119312
16 *3563:A1 *3563:A2 8.89094e-05
17 *3563:B1 *3563:A2 0.00035004
18 *3563:B1 *862:30 7.74397e-05
19 *3564:C1 *862:25 0.000150255
20 *3564:C1 *862:30 4.31703e-05
21 *3570:A *862:25 7.09395e-05
22 *3578:A2 *862:25 0.00030861
23 *3578:B1 *862:25 5.04829e-06
24 *3615:C1 *3615:A1 2.41483e-05
25 *3618:B1 *3615:A1 0.000364342
26 *4273:D *862:25 0.000118485
27 *80:13 *3615:A1 4.97911e-05
28 *80:13 *862:5 2.32967e-05
29 *295:10 *3563:A2 0.000218163
30 *295:10 *862:30 2.3302e-05
31 *296:41 *3561:A2 0
32 *296:41 *862:25 0.000100906
33 *312:8 *862:25 0.000103473
34 *351:18 *862:25 2.04806e-05
35 *351:25 *862:25 0.000223793
36 *506:12 *3563:A2 1.45944e-05
37 *524:8 *3615:A1 1.75625e-05
38 *806:82 *862:25 0
*RES
1 *4262:Q *862:5 11.0817
2 *862:5 *3615:A1 26.38
3 *862:5 *862:25 29.3044
4 *862:25 *862:30 12.593
5 *862:30 *3559:A2 13.7491
6 *862:30 *3563:A2 21.4269
7 *862:25 *3561:A2 9.82786
*END
*D_NET *863 0.0056996
*CONN
*I *3543:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3544:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3628:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4252:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3543:A2 0.000213321
2 *3544:B2 0
3 *3628:A2 0.000313074
4 *4252:Q 7.7684e-05
5 *863:21 0.000568604
6 *863:7 0.000746041
7 *3628:A2 *888:92 0.000193142
8 *3527:A *863:21 0.000932879
9 *3543:B1 *3543:A2 1.09551e-05
10 *3544:A1 *863:21 1.03434e-05
11 *3544:B1 *3543:A2 4.61421e-05
12 *3544:B1 *863:21 4.57019e-05
13 *3544:C1 *3543:A2 0.000110306
14 *3603:B1 *863:21 5.51483e-06
15 *4252:D *3628:A2 0.000404487
16 *4280:A *863:21 1.65872e-05
17 *302:5 *863:21 0.000599863
18 *302:26 *3543:A2 0.000263126
19 *302:30 *3543:A2 1.84381e-05
20 *302:54 *863:21 0.000335437
21 *316:13 *3543:A2 2.14552e-05
22 *316:13 *863:21 6.52389e-05
23 *316:24 *3543:A2 0.0001251
24 *450:55 *3543:A2 0.000137921
25 *807:7 *3628:A2 0.000168755
26 *807:7 *863:21 0.000151302
27 *807:12 *3628:A2 0.00011818
*RES
1 *4252:Q *863:7 10.9612
2 *863:7 *3628:A2 20.7873
3 *863:7 *863:21 17.0299
4 *863:21 *3544:B2 9.24915
5 *863:21 *3543:A2 25.7041
*END
*D_NET *864 0.00418087
*CONN
*I *3544:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3545:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3627:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4253:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3544:A2 2.83843e-05
2 *3545:A2 0.000281813
3 *3627:A2 0.000196597
4 *4253:Q 0.00031295
5 *864:19 0.000693617
6 *864:7 0.000892966
7 *3543:C1 *3545:A2 1.00846e-05
8 *3545:B1 *3545:A2 1.30021e-05
9 *3545:C1 *3545:A2 0.000415033
10 *3555:A1 *3545:A2 0.00016763
11 *3603:A1 *3544:A2 0.000188887
12 *3603:B1 *3544:A2 0.00017337
13 *3607:B2 *3545:A2 3.2464e-05
14 *3607:B2 *864:19 4.97651e-05
15 *4253:D *3627:A2 0.000122098
16 *4253:D *864:7 2.41274e-06
17 *304:16 *3545:A2 0.000386496
18 *304:16 *864:19 7.65861e-05
19 *373:12 *3545:A2 3.81793e-05
20 *378:58 *3627:A2 3.81793e-05
21 *378:58 *864:19 0
22 *450:55 *3627:A2 0
23 *450:55 *864:19 0
24 *807:97 *864:7 6.03582e-05
*RES
1 *4253:Q *864:7 18.3548
2 *864:7 *3627:A2 19.2515
3 *864:7 *864:19 9.30653
4 *864:19 *3545:A2 25.3319
5 *864:19 *3544:A2 15.8591
*END
*D_NET *865 0.00376283
*CONN
*I *3545:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3626:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3552:A2 I *D sky130_fd_sc_hd__a2111o_1
*I *4254:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3545:B2 0.000566539
2 *3626:A2 3.26263e-06
3 *3552:A2 0.0003197
4 *4254:Q 0.000280767
5 *865:27 0.000706422
6 *865:7 0.000737087
7 *3626:A2 *875:17 8.2304e-06
8 *3529:A *3545:B2 1.37189e-05
9 *3529:B *3545:B2 3.63086e-05
10 *3529:B *865:27 5.47232e-06
11 *3553:A *3552:A2 1.65872e-05
12 *3555:A1 *3545:B2 0
13 *3555:A1 *865:27 5.97205e-06
14 *3555:B1 *3552:A2 0.000267404
15 *3607:A2 *3545:B2 1.65078e-05
16 *3607:B1 *3545:B2 7.03124e-05
17 *4223:CLK *865:7 7.41002e-05
18 *4254:D *3552:A2 6.38532e-05
19 *4254:D *865:27 6.96979e-05
20 *304:16 *3545:B2 2.57847e-05
21 *323:9 *3552:A2 1.64789e-05
22 *328:21 *3552:A2 2.25229e-05
23 *354:14 *3545:B2 0.000101654
24 *373:12 *3545:B2 3.46827e-05
25 *378:58 *3626:A2 1.67329e-05
26 *450:59 *3545:B2 0.000283028
27 *450:59 *3552:A2 0
28 *450:59 *865:27 0
*RES
1 *4254:Q *865:7 17.8002
2 *865:7 *3552:A2 22.6363
3 *865:7 *865:27 3.56628
4 *865:27 *3626:A2 13.9481
5 *865:27 *3545:B2 35.7472
*END
*D_NET *866 0.00515979
*CONN
*I *3550:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3547:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3625:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4255:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3550:A2 0
2 *3547:B2 0.000214346
3 *3625:A2 5.37111e-05
4 *4255:Q 0.00019041
5 *866:18 0.000585516
6 *866:9 0.00061529
7 *3547:B2 *3550:B2 1.35264e-05
8 *3547:B2 *3626:B1 6.2316e-05
9 *3547:B2 *868:8 7.21232e-05
10 *3625:A2 *888:97 4.82966e-05
11 *3625:A2 *888:108 0.000264586
12 *866:9 *888:108 0.000218351
13 *866:18 *868:8 7.63171e-05
14 *3547:A1 *3547:B2 2.71226e-06
15 *3551:B *3547:B2 0.000107063
16 *3601:B2 *3547:B2 3.31882e-05
17 *3601:B2 *866:18 0.000304968
18 *278:11 *866:18 0.000336689
19 *304:35 *3625:A2 0.000260374
20 *304:35 *866:9 0.000243944
21 *305:9 *3625:A2 4.56667e-05
22 *530:47 *3547:B2 5.23728e-05
23 *530:47 *866:18 0.000830221
24 *530:60 *3547:B2 5.23351e-06
25 *542:8 *866:9 0.000331044
26 *807:50 *866:9 0.000191526
*RES
1 *4255:Q *866:9 27.1755
2 *866:9 *3625:A2 13.3002
3 *866:9 *866:18 20.0353
4 *866:18 *3547:B2 20.4357
5 *866:18 *3550:A2 13.7491
*END
*D_NET *867 0.00443174
*CONN
*I *3550:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3548:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3624:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4256:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3550:B2 0.00024356
2 *3548:B2 7.64039e-05
3 *3624:A2 0.000260318
4 *4256:Q 8.10069e-05
5 *867:21 0.000593747
6 *867:5 0.000615108
7 *3550:B2 *868:8 0
8 *3533:A *3624:A2 5.04829e-06
9 *3533:B *3624:A2 6.50586e-05
10 *3547:B2 *3550:B2 1.35264e-05
11 *3548:B1 *3548:B2 6.08467e-05
12 *3551:B *3550:B2 0.000107063
13 *3598:A1 *867:5 4.58003e-05
14 *3598:A1 *867:21 4.0752e-05
15 *3598:B2 *867:21 0.000300565
16 *301:22 *3624:A2 3.25371e-05
17 *326:11 *3548:B2 0.000110844
18 *326:11 *3550:B2 0.000177563
19 *340:13 *3548:B2 2.65831e-05
20 *340:13 *3624:A2 0.000741069
21 *377:19 *3624:A2 2.93365e-05
22 *530:60 *3548:B2 0.000110844
23 *530:60 *3550:B2 0.000368736
24 *806:10 *3624:A2 1.32509e-05
25 *857:131 *3624:A2 0.000312173
*RES
1 *4256:Q *867:5 10.5271
2 *867:5 *3624:A2 29.7009
3 *867:5 *867:21 9.10562
4 *867:21 *3548:B2 16.7198
5 *867:21 *3550:B2 21.3947
*END
*D_NET *868 0.00599482
*CONN
*I *3623:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3548:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3549:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4257:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3623:A2 0.000184693
2 *3548:A2 2.86212e-05
3 *3549:B2 7.33765e-05
4 *4257:Q 0.000114467
5 *868:8 0.000932751
6 *868:7 0.00112991
7 *3623:A2 *883:117 2.65667e-05
8 *3623:A2 *888:108 0.000426549
9 *868:8 *888:108 0.000123582
10 *3547:B2 *868:8 7.21232e-05
11 *3548:A1 *3549:B2 0.000216088
12 *3550:B2 *868:8 0
13 *3601:B1 *868:8 0.000184384
14 *3601:B2 *868:8 0.000332528
15 *4257:D *3623:A2 2.65667e-05
16 *4266:CLK *868:8 8.30273e-05
17 *278:11 *3623:A2 0.000177804
18 *278:11 *868:8 0.000468996
19 *300:29 *3549:B2 1.07248e-05
20 *326:11 *3548:A2 6.08467e-05
21 *326:11 *868:8 7.12199e-05
22 *493:19 *868:7 0.000154145
23 *530:47 *3623:A2 6.487e-05
24 *542:8 *868:8 0
25 *807:50 *868:8 4.34379e-05
26 *857:57 *868:8 0.000527082
27 *857:59 *3549:B2 0.000216088
28 *857:59 *868:8 0.000168047
29 *866:18 *868:8 7.63171e-05
*RES
1 *4257:Q *868:7 15.5817
2 *868:7 *868:8 28.8234
3 *868:8 *3549:B2 17.6574
4 *868:8 *3548:A2 14.4725
5 *868:7 *3623:A2 22.1181
*END
*D_NET *869 0.00971228
*CONN
*I *3547:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3554:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3549:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3622:A2 I *D sky130_fd_sc_hd__a221o_1
*I *4258:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3547:A2 8.23044e-05
2 *3554:A2 0.000415324
3 *3549:A2 0
4 *3622:A2 0.000459557
5 *4258:Q 9.17494e-05
6 *869:30 0.000617292
7 *869:25 0.00147557
8 *869:8 0.0017426
9 *3622:A2 *883:122 2.86778e-05
10 *869:8 *876:8 0
11 *869:8 *883:117 7.50872e-05
12 *869:25 *3626:B1 1.9101e-05
13 *3547:A1 *869:30 0.000454233
14 *3547:B1 *869:30 2.02035e-05
15 *3605:B *3622:A2 0.000501701
16 *3605:B *869:25 0.000201774
17 *3623:B1 *869:8 9.24241e-05
18 *3623:B1 *869:25 0
19 *3623:C1 *869:8 5.66868e-06
20 *3943:A *3547:A2 3.50469e-05
21 *3943:A *869:25 9.33738e-05
22 *4255:D *869:25 0.000331044
23 *4257:D *869:8 2.33193e-05
24 *4257:D *869:25 0.000116186
25 *4258:D *3622:A2 2.65831e-05
26 *4258:CLK *3622:A2 0.000285174
27 *4279:A *869:25 3.25394e-05
28 *4280:A *869:25 0.000207765
29 *301:10 *869:25 7.39749e-05
30 *304:35 *869:25 0.00032235
31 *347:15 *3547:A2 5.94321e-05
32 *347:15 *869:25 0.000173336
33 *354:14 *3547:A2 1.91246e-05
34 *377:47 *869:25 0
35 *450:59 *3554:A2 7.50722e-05
36 *512:12 *3554:A2 3.14544e-05
37 *530:47 *869:8 0
38 *530:47 *869:25 0.00024803
39 *530:60 *869:25 0.000162865
40 *542:8 *3547:A2 1.91246e-05
41 *542:8 *869:30 1.89644e-05
42 *857:57 *869:30 0.00021436
43 *857:59 *869:30 0.000302468
44 *861:20 *3554:A2 0.000557425
*RES
1 *4258:Q *869:8 21.3269
2 *869:8 *3622:A2 19.7263
3 *869:8 *869:25 38.2878
4 *869:25 *869:30 17.3829
5 *869:30 *3549:A2 9.24915
6 *869:30 *3554:A2 25.9353
7 *869:25 *3547:A2 17.7759
*END
*D_NET *870 0.00575268
*CONN
*I *3557:A2_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *3558:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3621:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4259:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3557:A2_N 4.15425e-05
2 *3558:B2 0.000208001
3 *3621:A2 0.000870039
4 *4259:Q 4.35201e-05
5 *870:18 0.000722477
6 *870:5 0.00138649
7 *3621:A2 *3621:A1 1.43983e-05
8 *3621:A2 *3621:B2 2.50864e-05
9 *3536:A *870:18 0.000191526
10 *3537:B *3558:B2 0.000365949
11 *3561:C1 *3557:A2_N 9.61086e-05
12 *3561:C1 *3558:B2 0.000158357
13 *4259:D *3621:A2 0.000167185
14 *4259:CLK *3621:A2 4.31371e-05
15 *4260:CLK *870:18 0
16 *298:29 *870:18 0.000170162
17 *331:8 *870:18 0
18 *332:11 *3557:A2_N 2.41274e-06
19 *332:11 *3558:B2 1.03403e-05
20 *377:29 *3621:A2 1.58833e-05
21 *377:29 *870:5 3.17192e-06
22 *450:59 *3558:B2 9.22013e-06
23 *450:59 *870:18 0.000972705
24 *512:12 *3558:B2 0.000234961
25 *821:8 *870:18 0
*RES
1 *4259:Q *870:5 9.97254
2 *870:5 *3621:A2 23.1625
3 *870:5 *870:18 26.6116
4 *870:18 *3558:B2 26.3772
5 *870:18 *3557:A2_N 10.5271
*END
*D_NET *871 0.00460123
*CONN
*I *3556:A I *D sky130_fd_sc_hd__inv_2
*I *3558:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3618:A2 I *D sky130_fd_sc_hd__o221a_1
*I *4260:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3556:A 0
2 *3558:A2 0.000540988
3 *3618:A2 6.87768e-05
4 *4260:Q 0.000165758
5 *871:24 0.000969782
6 *871:8 0.000663329
7 *3558:A2 *883:241 4.23874e-05
8 *3618:A2 *3618:A1 2.65345e-05
9 *871:8 *885:8 3.42931e-05
10 *871:24 *3618:A1 4.3116e-06
11 *871:24 *883:241 0.000197103
12 *871:24 *885:8 1.44611e-05
13 *3537:A *3558:A2 0.000252635
14 *3537:B *3558:A2 0.000316282
15 *3558:A1 *3558:A2 6.36477e-05
16 *3561:B2 *3558:A2 0.000207379
17 *3562:C_N *3558:A2 0.000134323
18 *3564:A2 *3558:A2 0
19 *3582:A1 *3558:A2 0
20 *3615:A1 *871:24 4.20662e-05
21 *3618:B1 *3618:A2 1.43983e-05
22 *3618:C1 *3618:A2 0
23 *4260:D *871:8 1.19721e-05
24 *115:12 *871:8 6.50727e-05
25 *331:8 *3558:A2 7.48797e-05
26 *331:8 *871:24 1.41291e-05
27 *344:8 *3558:A2 0
28 *344:8 *871:24 8.05819e-05
29 *351:25 *3618:A2 0.000160617
30 *351:25 *871:24 3.00654e-05
31 *506:12 *3558:A2 0
32 *524:8 *871:8 0
33 *524:8 *871:24 0
34 *806:82 *871:24 2.7961e-05
35 *821:8 *3558:A2 4.90694e-05
36 *821:19 *3558:A2 8.9863e-05
37 *862:25 *871:24 0.000238567
*RES
1 *4260:Q *871:8 16.8591
2 *871:8 *3618:A2 16.1605
3 *871:8 *871:24 17.4692
4 *871:24 *3558:A2 37.0372
5 *871:24 *3556:A 9.24915
*END
*D_NET *872 0.00935674
*CONN
*I *3692:A I *D sky130_fd_sc_hd__clkinv_2
*I *4285:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3692:A 0
2 *4285:X 0.0020369
3 *872:16 0.00113972
4 *872:9 0.00317662
5 *872:16 *876:8 0
6 *4126:A *872:9 0.00141424
7 *4190:TE_B *872:16 0.000479276
8 *4202:A *872:16 0.00110999
*RES
1 *4285:X *872:9 42.3204
2 *872:9 *872:16 40.8086
3 *872:16 *3692:A 9.24915
*END
*D_NET *873 0.00641855
*CONN
*I *3628:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4286:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3628:B2 0.000319246
2 *4286:X 2.06324e-05
3 *873:7 0.00269616
4 *873:5 0.00239755
5 *3628:B2 *3622:B1 0
6 *3628:B2 *3622:B2 0
7 *3628:B2 *883:127 0.000570569
8 *3628:B2 *883:129 1.07248e-05
9 *3628:B2 *888:79 5.22859e-06
10 *3600:B *3628:B2 0.00039844
11 *530:37 *3628:B2 0
*RES
1 *4286:X *873:5 9.82786
2 *873:5 *873:7 50.6377
3 *873:7 *3628:B2 31.4678
*END
*D_NET *874 0.0182935
*CONN
*I *3627:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4287:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3627:B2 6.0654e-05
2 *4287:X 0.000781063
3 *874:16 0.00186115
4 *874:15 0.0018005
5 *874:13 0.00225583
6 *874:11 0.00303689
7 *3627:B2 *3627:B1 8.48074e-05
8 io_oeb[23] *874:16 0
9 io_out[27] *874:13 6.50727e-05
10 io_out[5] *874:16 0
11 io_out[6] *874:11 0.000511668
12 *3627:A1 *3627:B2 9.9774e-06
13 *3733:A *874:16 0
14 *3898:A *874:13 0.000128091
15 *3908:A *874:16 7.41058e-05
16 *4135:A *874:13 0.000330979
17 *4150:TE_B *874:16 0
18 *4151:TE_B *874:11 6.73022e-05
19 *4172:A *874:13 7.48797e-05
20 *4206:A *874:13 7.86643e-05
21 *4206:TE_B *874:13 0.000106797
22 *4206:TE_B *874:16 0
23 *4287:A *874:11 0.000837997
24 *378:42 *3627:B2 6.54803e-05
25 *438:39 *874:16 0
26 *450:11 *874:16 0.000144531
27 *450:55 *874:16 0.00369853
28 *462:6 *874:16 0
29 *462:10 *874:16 0
30 *499:8 *874:16 0.000123582
31 *499:10 *874:16 0.000243648
32 *499:14 *874:16 0.000144546
33 *500:33 *874:16 7.20535e-05
34 *500:55 *874:16 2.96862e-05
35 *500:84 *874:16 0.00134922
36 *536:52 *874:16 8.04463e-05
37 *654:11 *874:13 0.000175334
*RES
1 *4287:X *874:11 35.5566
2 *874:11 *874:13 54.5199
3 *874:13 *874:15 4.5
4 *874:15 *874:16 80.3147
5 *874:16 *3627:B2 16.3353
*END
*D_NET *875 0.0142575
*CONN
*I *3626:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4288:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3626:B2 0
2 *4288:X 0.00358261
3 *875:17 0.00358261
4 *875:17 *3626:B1 2.32625e-05
5 *875:17 *3626:C1 3.09265e-06
6 *3529:B *875:17 0.000217937
7 *3553:A *875:17 0.000308166
8 *3553:B *875:17 6.78364e-06
9 *3555:A1 *875:17 8.19586e-05
10 *3610:A *875:17 0.00107324
11 *3626:A2 *875:17 8.2304e-06
12 *3940:A *875:17 0
13 *3998:A *875:17 0
14 *4288:A *875:17 7.50228e-05
15 *328:21 *875:17 0.000213725
16 *345:14 *875:17 0.000154288
17 *347:15 *875:17 0
18 *351:13 *875:17 0.00029891
19 *378:58 *875:17 4.47306e-05
20 *379:11 *875:17 0
21 *379:15 *875:17 0
22 *450:38 *875:17 7.86825e-06
23 *594:11 *875:17 0.00457506
*RES
1 *4288:X *875:17 47.9798
2 *875:17 *3626:B2 9.24915
*END
*D_NET *876 0.0088694
*CONN
*I *3625:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4289:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3625:B2 3.77783e-05
2 *4289:X 5.86929e-05
3 *876:8 0.00305675
4 *876:7 0.00307767
5 *3625:B2 *888:97 6.49003e-05
6 *876:8 *883:117 2.65904e-05
7 *876:8 *883:122 1.36691e-05
8 *3532:A *876:8 5.2051e-05
9 *3623:C1 *876:8 0.000534923
10 *4291:A *876:8 0
11 *305:9 *3625:B2 9.63981e-05
12 *377:47 *876:8 4.3116e-06
13 *380:51 *3625:B2 6.50727e-05
14 *418:9 *876:8 0.000346348
15 *418:14 *876:8 0.00077429
16 *418:19 *876:8 0.000659951
17 *424:8 *876:8 0
18 *869:8 *876:8 0
19 *872:16 *876:8 0
*RES
1 *4289:X *876:7 15.0271
2 *876:7 *876:8 89.8655
3 *876:8 *3625:B2 16.1364
*END
*D_NET *877 0.00770963
*CONN
*I *3624:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4290:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3624:B2 0.000337918
2 *4290:X 0
3 *877:5 0.00351211
4 *877:4 0.00317419
5 *3624:B2 *3617:C1 7.2603e-05
6 *3624:B2 *3624:C1 0.00016553
7 *340:13 *3624:B2 0.000369595
8 *530:37 *3624:B2 0
9 *857:131 *3624:B2 7.76848e-05
*RES
1 *4290:X *877:4 9.24915
2 *877:4 *877:5 45.6463
3 *877:5 *3624:B2 28.623
*END
*D_NET *878 0.00803554
*CONN
*I *3623:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4291:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3623:B2 0
2 *4291:X 0.000150361
3 *878:8 0.00252938
4 *878:7 0.00267974
5 *4150:TE_B *878:8 0
6 *418:14 *878:8 0
7 *437:12 *878:8 0
8 *438:6 *878:8 5.33945e-05
9 *438:22 *878:8 7.40982e-05
10 *444:54 *878:8 0
11 *462:10 *878:8 0
12 *530:47 *878:8 0.00254856
13 *542:8 *878:8 0
14 *645:8 *878:8 0
*RES
1 *4291:X *878:7 17.2456
2 *878:7 *878:8 76.1621
3 *878:8 *3623:B2 13.7491
*END
*D_NET *879 0.00954466
*CONN
*I *3622:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4292:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3622:B2 0.00104278
2 *4292:X 0
3 *879:5 0.00474079
4 *879:4 0.00369801
5 *3622:B2 *3622:C1 1.05106e-05
6 *3622:B2 *883:122 2.09517e-05
7 *3622:B2 *883:127 3.16131e-05
8 *3628:B2 *3622:B2 0
*RES
1 *4292:X *879:4 9.24915
2 *879:4 *879:5 52.8561
3 *879:5 *3622:B2 42.5994
*END
*D_NET *880 0.000930521
*CONN
*I *4225:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4283:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1
*CAP
1 *4225:D 0.000421912
2 *4283:X 0.000421912
3 *410:12 *4225:D 4.01573e-05
4 *831:7 *4225:D 4.65396e-05
*RES
1 *4283:X *4225:D 37.0391
*END
*D_NET *881 0.00271617
*CONN
*I *4226:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4284:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1
*CAP
1 *4226:D 0
2 *4284:X 0.000990516
3 *881:11 0.000990516
4 *3675:A_N *881:11 0.000172954
5 *3785:A *881:11 1.87434e-05
6 *4284:A *881:11 4.58003e-05
7 *431:27 *881:11 0.0001549
8 *438:45 *881:11 0.000342736
*RES
1 *4284:X *881:11 41.8182
2 *881:11 *4226:D 9.24915
*END
*D_NET *882 0.00246663
*CONN
*I *4224:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4293:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4224:D 0
2 *4293:X 0.000704243
3 *882:13 0.000704243
4 *3675:B *882:13 8.92568e-06
5 *3829:A *882:13 0.000549605
6 *4212:TE_B *882:13 5.54078e-05
7 *4293:A *882:13 5.15213e-05
8 *410:12 *882:13 5.54078e-05
9 *450:28 *882:13 0
10 *493:65 *882:13 0.000304453
11 *493:71 *882:13 1.43983e-05
12 *493:81 *882:13 1.84293e-05
*RES
1 *4293:X *882:13 41.4159
2 *882:13 *4224:D 9.24915
*END
*D_NET *883 0.0585835
*CONN
*I *3575:A I *D sky130_fd_sc_hd__inv_2
*I *3674:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *3666:A I *D sky130_fd_sc_hd__nor2_1
*I *3583:A I *D sky130_fd_sc_hd__nor2_1
*I *3591:A I *D sky130_fd_sc_hd__nor2_1
*I *3595:A I *D sky130_fd_sc_hd__nor2_1
*I *3587:A I *D sky130_fd_sc_hd__nor2_1
*I *3942:B I *D sky130_fd_sc_hd__or3_1
*I *3626:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3605:A I *D sky130_fd_sc_hd__nor2_1
*I *3622:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3628:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3602:A I *D sky130_fd_sc_hd__nor2_1
*I *3629:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3599:A I *D sky130_fd_sc_hd__nor2_1
*I *3624:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3617:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3608:A I *D sky130_fd_sc_hd__nor2_1
*I *3627:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3567:A I *D sky130_fd_sc_hd__or2_1
*I *3691:A I *D sky130_fd_sc_hd__nor2_1
*I *4000:A I *D sky130_fd_sc_hd__nor2_1
*I *4294:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *3575:A 0
2 *3674:B1 0.000134068
3 *3666:A 0.000141064
4 *3583:A 5.64948e-05
5 *3591:A 9.34923e-06
6 *3595:A 0.000814536
7 *3587:A 0
8 *3942:B 0.000222773
9 *3626:C1 6.44425e-05
10 *3605:A 0
11 *3622:C1 3.4121e-05
12 *3628:C1 0
13 *3602:A 3.43419e-05
14 *3629:C1 4.77254e-05
15 *3599:A 2.00521e-05
16 *3624:C1 2.17856e-05
17 *3617:C1 0.000401541
18 *3608:A 0
19 *3627:C1 0.000214742
20 *3567:A 0.00029532
21 *3691:A 0
22 *4000:A 0
23 *4294:X 0.000351329
24 *883:256 0.00138346
25 *883:245 0.000720792
26 *883:241 0.00232365
27 *883:236 0.00246419
28 *883:230 0.000898397
29 *883:139 0.000583678
30 *883:137 0.000604991
31 *883:134 0.000617886
32 *883:129 0.000384286
33 *883:127 0.00064795
34 *883:122 0.000796685
35 *883:117 0.000947998
36 *883:94 0.000653833
37 *883:81 0.00171831
38 *883:70 0.00215448
39 *883:53 0.00116846
40 *883:49 0.000943854
41 *883:47 0.00253253
42 *883:33 0.00178568
43 *883:24 0.00116028
44 *883:11 0.00127616
45 *883:8 0.00211825
46 *883:7 0.00209418
47 *3617:C1 *3617:B1 1.00937e-05
48 *3617:C1 *3617:B2 2.73807e-05
49 *3617:C1 *886:11 1.41291e-05
50 *3617:C1 *888:33 0
51 *3617:C1 *888:46 0
52 *3626:C1 *3626:B1 0.000118631
53 *3627:C1 *3627:B1 0.000125893
54 *3629:C1 *3629:B2 7.07934e-05
55 *3629:C1 *888:63 0.000172559
56 *883:117 *3627:B1 0.000530137
57 *883:129 *888:63 0.000243648
58 *883:129 *888:79 8.74104e-05
59 *883:134 *3629:B2 8.38894e-05
60 *883:134 *888:63 0.000281786
61 *883:137 *888:55 0
62 *883:139 *888:55 0
63 *3529:B *883:53 0.00011818
64 *3533:B *883:137 4.76283e-05
65 *3558:A2 *883:241 4.23874e-05
66 *3567:B *3567:A 6.08467e-05
67 *3573:A *883:137 4.95875e-05
68 *3576:A *883:33 7.92757e-06
69 *3576:A *883:47 0.00222248
70 *3583:B *3583:A 5.05252e-05
71 *3583:B *883:241 6.50727e-05
72 *3586:A2 *3583:A 2.16355e-05
73 *3587:B *883:256 2.65667e-05
74 *3591:B *3591:A 6.50727e-05
75 *3595:B *3595:A 0.000216458
76 *3599:B *3599:A 1.41307e-05
77 *3600:A *883:137 8.01837e-05
78 *3600:B *883:129 2.01595e-05
79 *3602:B *3602:A 0.000118166
80 *3608:B *3627:C1 0.000107496
81 *3612:C1 *3567:A 0.000136288
82 *3612:C1 *883:70 4.91201e-05
83 *3617:A1 *3617:C1 1.43848e-05
84 *3619:A *883:24 1.07248e-05
85 *3619:A *883:33 0.000123004
86 *3622:A2 *883:122 2.86778e-05
87 *3622:B2 *3622:C1 1.05106e-05
88 *3622:B2 *883:122 2.09517e-05
89 *3622:B2 *883:127 3.16131e-05
90 *3623:A2 *883:117 2.65667e-05
91 *3623:B1 *883:117 0.00013171
92 *3623:C1 *883:117 0.000265147
93 *3624:B2 *3617:C1 7.2603e-05
94 *3624:B2 *3624:C1 0.00016553
95 *3628:B2 *883:127 0.000570569
96 *3628:B2 *883:129 1.07248e-05
97 *3630:A *883:24 0.000167677
98 *3641:B2 *883:230 0.000618307
99 *3670:A *3666:A 6.92705e-05
100 *3670:A *883:236 1.70077e-05
101 *3670:A *883:241 1.41291e-05
102 *3673:C1 *883:230 7.55384e-05
103 *3674:A1 *3674:B1 2.50842e-05
104 *3691:B *883:24 6.76857e-06
105 *3691:B *883:33 6.08467e-05
106 *3830:A *883:7 0
107 *3942:C *3942:B 0
108 *3942:C *883:70 5.07629e-06
109 *3943:A *883:70 0.000276827
110 *3943:A *883:81 6.88205e-06
111 *3999:A1 *883:47 1.81863e-06
112 *4216:TE_B *883:7 0
113 *4223:D *3567:A 0.000127179
114 *4227:D *883:24 9.0819e-05
115 *4257:D *883:117 2.64085e-05
116 *4258:CLK *883:122 0.000430366
117 *4261:D *3617:C1 0
118 *4263:CLK *3942:B 0
119 *4265:D *883:81 0.000362186
120 *4268:D *3599:A 2.57986e-05
121 *4270:D *3595:A 3.20069e-06
122 *4272:D *3583:A 6.08467e-05
123 *4272:D *883:241 4.68176e-05
124 *4275:D *883:47 0.000110766
125 *4281:A *883:47 2.02035e-05
126 *57:8 *883:8 0.000441695
127 *80:15 *883:245 0.000381471
128 *80:15 *883:256 0.000167002
129 *219:49 *883:24 0.000164007
130 *278:11 *883:122 0.00011818
131 *287:11 *883:24 0.00134987
132 *294:11 *883:70 2.60879e-06
133 *303:12 *3942:B 1.09738e-05
134 *303:13 *883:53 0.000201774
135 *303:42 *883:49 0.000164829
136 *303:42 *883:53 9.97706e-05
137 *306:13 *883:81 2.56662e-05
138 *306:38 *883:81 2.86673e-05
139 *307:8 *883:134 5.68225e-06
140 *307:8 *883:137 0.00023432
141 *331:8 *883:241 9.77611e-06
142 *335:19 *3591:A 6.50727e-05
143 *335:19 *3595:A 0.000671517
144 *347:15 *883:70 0.00137938
145 *347:15 *883:81 2.6486e-05
146 *347:15 *883:137 1.05746e-05
147 *347:16 *883:70 1.23746e-05
148 *347:39 *3567:A 4.83099e-05
149 *347:39 *883:70 5.08731e-06
150 *351:18 *3567:A 0.000161167
151 *351:54 *3567:A 7.98597e-05
152 *377:19 *3595:A 8.20492e-06
153 *377:19 *883:256 0.000255839
154 *378:42 *883:117 0.000937634
155 *379:11 *883:24 3.43177e-05
156 *379:11 *883:47 9.32276e-05
157 *379:15 *883:47 5.65213e-05
158 *380:39 *3595:A 0
159 *399:11 *3666:A 0.000165848
160 *399:11 *883:236 9.75356e-05
161 *399:11 *883:241 1.00937e-05
162 *399:31 *883:230 0.000255338
163 *399:31 *883:236 0.000394828
164 *407:8 *883:230 7.65861e-05
165 *407:8 *883:236 0.00046637
166 *408:15 *3674:B1 0.000149268
167 *424:8 *883:117 4.37999e-05
168 *424:8 *883:122 2.22342e-05
169 *424:12 *3595:A 5.41227e-05
170 *424:12 *883:256 0.000262463
171 *444:84 *883:8 0.00180829
172 *450:55 *3627:C1 5.41377e-05
173 *450:59 *3626:C1 1.5714e-05
174 *487:36 *3942:B 0.000292498
175 *493:82 *883:8 0.000651882
176 *500:33 *883:81 0.000718543
177 *500:33 *883:94 5.41377e-05
178 *500:33 *883:117 5.41227e-05
179 *500:34 *3583:A 4.3116e-06
180 *500:34 *883:245 4.15008e-05
181 *512:11 *883:94 0
182 *512:11 *883:117 0
183 *512:12 *3626:C1 1.05601e-05
184 *524:8 *3567:A 0
185 *530:37 *883:127 0
186 *530:37 *883:129 0
187 *530:37 *883:134 0
188 *542:8 *3583:A 6.43474e-05
189 *542:8 *883:245 0.00015324
190 *556:19 *883:47 3.38808e-05
191 *557:10 *883:24 0
192 *593:14 *883:47 0.000898954
193 *593:14 *883:49 9.80242e-07
194 *594:11 *883:47 0.000124913
195 *810:10 *883:70 2.02035e-05
196 *810:25 *883:49 0.000174631
197 *810:25 *883:230 0.000840716
198 *821:8 *883:241 6.92705e-05
199 *822:21 *883:24 5.99802e-05
200 *822:25 *883:24 6.50059e-05
201 *841:8 *883:230 0.000216045
202 *841:44 *883:230 0.000161706
203 *857:131 *3624:C1 0.00016553
204 *869:8 *883:117 7.50872e-05
205 *871:24 *883:241 0.000197103
206 *875:17 *3626:C1 3.09265e-06
207 *876:8 *883:117 2.65904e-05
208 *876:8 *883:122 1.36691e-05
*RES
1 *4294:X *883:7 26.4315
2 *883:7 *883:8 4229.98
3 *883:8 *883:11 18.2916
4 *883:11 *4000:A 9.24915
5 *883:11 *883:24 38.3202
6 *883:24 *3691:A 9.24915
7 *883:24 *883:33 6.31766
8 *883:33 *883:47 33.8702
9 *883:47 *883:49 7.37864
10 *883:49 *883:53 9.10562
11 *883:53 *3567:A 24.1943
12 *883:53 *883:70 7.85908
13 *883:70 *883:81 15.136
14 *883:81 *3627:C1 26.6924
15 *883:81 *883:94 1.00149
16 *883:94 *3608:A 13.7491
17 *883:94 *883:117 39.2795
18 *883:117 *883:122 13.9893
19 *883:122 *883:127 18.2728
20 *883:127 *883:129 6.81502
21 *883:129 *883:134 9.54971
22 *883:134 *883:137 15.4675
23 *883:137 *883:139 3.07775
24 *883:139 *3617:C1 22.7559
25 *883:139 *3624:C1 15.5817
26 *883:137 *3599:A 14.4725
27 *883:134 *3629:C1 11.6605
28 *883:129 *3602:A 15.0271
29 *883:127 *3628:C1 13.7491
30 *883:122 *3622:C1 10.2378
31 *883:117 *3605:A 13.7491
32 *883:70 *3626:C1 19.3472
33 *883:49 *3942:B 23.8184
34 *883:47 *883:230 28.8289
35 *883:230 *883:236 14.5393
36 *883:236 *883:241 36.1606
37 *883:241 *883:245 11.2135
38 *883:245 *3587:A 9.24915
39 *883:245 *883:256 23.1437
40 *883:256 *3595:A 42.0314
41 *883:256 *3591:A 14.4725
42 *883:241 *3583:A 15.8893
43 *883:236 *3666:A 14.4335
44 *883:230 *3674:B1 16.5704
45 *883:33 *3575:A 9.24915
*END
*D_NET *884 0.0053234
*CONN
*I *3621:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4295:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3621:B2 7.80818e-05
2 *4295:X 0
3 *884:6 0.00234523
4 *884:5 0.00226714
5 *3621:B2 *3621:A1 6.50727e-05
6 *884:6 *888:6 0
7 *884:6 *888:12 0
8 *3621:A2 *3621:B2 2.50864e-05
9 *3621:B1 *3621:B2 3.75603e-05
10 *4259:D *3621:B2 7.48633e-05
11 *377:29 *3621:B2 0.000430366
12 *542:8 *884:6 0
*RES
1 *4295:X *884:5 13.7491
2 *884:5 *884:6 56.23
3 *884:6 *3621:B2 18.9335
*END
*D_NET *885 0.0125424
*CONN
*I *3618:B2 I *D sky130_fd_sc_hd__o221a_1
*I *4296:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3618:B2 0
2 *4296:X 0
3 *885:8 0.00206167
4 *885:7 0.00206167
5 *885:5 0.00235044
6 *885:4 0.00235044
7 *3860:A *885:5 1.53125e-05
8 *4139:A *885:5 0.00123344
9 *4199:A *885:5 0.000629762
10 *4199:TE_B *885:5 0.000185701
11 *115:12 *885:8 4.12119e-05
12 *351:25 *885:8 5.41377e-05
13 *487:36 *885:8 0
14 *487:65 *885:8 0.00073425
15 *506:12 *885:8 0
16 *512:20 *885:8 6.42805e-05
17 *524:8 *885:8 0
18 *524:19 *885:5 0.000711312
19 *871:8 *885:8 3.42931e-05
20 *871:24 *885:8 1.44611e-05
*RES
1 *4296:X *885:4 9.24915
2 *885:4 *885:5 67.8304
3 *885:5 *885:7 4.5
4 *885:7 *885:8 60.3826
5 *885:8 *3618:B2 13.7491
*END
*D_NET *886 0.0118054
*CONN
*I *3617:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4297:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3617:B2 2.50094e-05
2 *4297:X 9.65896e-05
3 *886:11 0.00200451
4 *886:8 0.00356626
5 *886:7 0.00168335
6 *3617:B2 *3617:B1 2.81515e-05
7 *3617:C1 *3617:B2 2.73807e-05
8 *3617:C1 *886:11 1.41291e-05
9 *4164:TE_B *886:8 0.000119538
10 *4188:TE_B *886:8 0.000101133
11 *487:18 *886:8 0.000796589
12 *500:12 *886:8 0
13 *518:12 *886:8 0.00107779
14 *536:18 *886:8 0.00189419
15 *536:34 *886:11 0.000370801
*RES
1 *4297:X *886:7 15.5817
2 *886:7 *886:8 72.4249
3 *886:8 *886:11 49.0371
4 *886:11 *3617:B2 10.4126
*END
*D_NET *887 0.0100165
*CONN
*I *3615:B1 I *D sky130_fd_sc_hd__o221a_1
*I *4298:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3615:B1 0
2 *4298:X 0.00119684
3 *887:10 0.0030382
4 *887:9 0.00423504
5 *887:10 *888:163 0.000118485
6 io_oeb[0] *887:9 0.000742581
7 io_out[24] *887:10 0
8 *3722:A *887:10 6.66318e-05
9 *3917:A *887:10 0
10 *3918:A *887:9 4.87439e-05
11 *3922:A *887:10 8.99876e-05
12 *4167:TE_B *887:9 0.000324137
13 *4186:TE_B *887:10 0.000101118
14 *4298:A *887:9 2.65831e-05
15 *450:65 *887:10 0
16 *506:12 *887:10 0
17 *665:15 *887:9 2.81584e-05
*RES
1 *4298:X *887:9 44.9999
2 *887:9 *887:10 76.1621
3 *887:10 *3615:B1 13.7491
*END
*D_NET *888 0.0329355
*CONN
*I *3618:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3615:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3626:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3629:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3622:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3627:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3623:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3625:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3628:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3613:A I *D sky130_fd_sc_hd__inv_2
*I *3624:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3617:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3621:A1 I *D sky130_fd_sc_hd__o221a_1
*I *4299:X O *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 *3618:A1 0.000363015
2 *3615:A2 0
3 *3626:B1 0.0010195
4 *3629:B1 0
5 *3622:B1 0.000254253
6 *3627:B1 0.000311009
7 *3623:A1 0
8 *3625:A1 0
9 *3628:B1 0
10 *3613:A 0.000160624
11 *3624:B1 0
12 *3617:B1 0.00019641
13 *3621:A1 1.59645e-05
14 *4299:X 0
15 *888:163 0.00155891
16 *888:108 0.000675369
17 *888:97 0.000845865
18 *888:92 0.00108519
19 *888:79 0.000524885
20 *888:63 0.00078572
21 *888:55 0.00102929
22 *888:46 0.000672443
23 *888:33 0.0013236
24 *888:21 0.00294007
25 *888:12 0.00113688
26 *888:8 0.00143064
27 *888:6 0.00236003
28 *888:5 0.00236003
29 *888:63 *3629:B2 2.72869e-05
30 *3535:A *888:21 0.000179834
31 *3542:A *3613:A 9.14505e-05
32 *3547:A1 *3626:B1 6.4036e-06
33 *3547:B2 *3626:B1 6.2316e-05
34 *3551:B *3626:B1 0.000207266
35 *3553:B *3626:B1 0.000649259
36 *3573:A *888:55 3.9207e-05
37 *3580:A *888:21 0.000115448
38 *3585:B *888:21 0.000259756
39 *3587:B *888:21 0
40 *3590:A1 *888:21 5.32922e-05
41 *3592:A *888:33 5.96796e-05
42 *3594:A1 *888:21 0
43 *3594:A2 *888:33 0.00036785
44 *3598:A3 *3626:B1 3.55859e-05
45 *3600:A *888:63 3.24624e-05
46 *3600:B *3622:B1 0
47 *3600:B *888:63 0.000247231
48 *3600:B *888:79 9.24241e-05
49 *3608:B *3627:B1 0.000126117
50 *3615:A1 *3618:A1 0.000368218
51 *3615:B2 *3618:A1 6.92705e-05
52 *3615:B2 *888:163 6.92705e-05
53 *3615:C1 *3618:A1 0.000411957
54 *3615:C1 *888:163 0.000164829
55 *3617:A1 *3617:B1 0.000402493
56 *3617:A1 *888:33 3.0821e-05
57 *3617:A1 *888:46 0.000140255
58 *3617:A1 *888:55 9.89388e-06
59 *3617:B2 *3617:B1 2.81515e-05
60 *3617:C1 *3617:B1 1.00937e-05
61 *3617:C1 *888:33 0
62 *3617:C1 *888:46 0
63 *3618:A2 *3618:A1 2.65345e-05
64 *3618:B1 *3618:A1 0.000265852
65 *3621:A2 *3621:A1 1.43983e-05
66 *3621:B2 *3621:A1 6.50727e-05
67 *3623:A2 *888:108 0.000426549
68 *3623:B1 *3627:B1 0
69 *3625:A2 *888:97 4.82966e-05
70 *3625:A2 *888:108 0.000264586
71 *3625:B2 *888:97 6.49003e-05
72 *3626:C1 *3626:B1 0.000118631
73 *3627:B2 *3627:B1 8.48074e-05
74 *3627:C1 *3627:B1 0.000125893
75 *3628:A2 *888:92 0.000193142
76 *3628:B2 *3622:B1 0
77 *3628:B2 *888:79 5.22859e-06
78 *3629:A1 *888:55 8.03393e-06
79 *3629:C1 *888:63 0.000172559
80 *4163:A *888:6 0.000130777
81 *4252:D *888:79 0
82 *4257:D *3627:B1 2.85274e-05
83 *4260:D *888:163 5.68237e-06
84 *4260:CLK *888:163 3.07542e-05
85 *4261:CLK *3617:B1 3.29463e-05
86 *4267:CLK *888:63 5.02338e-05
87 *4270:CLK *888:21 0
88 *4271:D *888:21 4.43395e-05
89 *4279:A *3626:B1 0.0007864
90 *4279:A *888:21 0.000144531
91 *4295:A *888:6 7.08276e-05
92 *299:34 *888:21 0
93 *300:12 *888:33 0.000258128
94 *305:9 *888:97 7.93367e-05
95 *307:8 *3622:B1 0
96 *307:8 *888:63 4.0327e-05
97 *307:8 *888:92 0
98 *310:8 *888:21 6.31809e-05
99 *345:14 *888:55 1.77894e-05
100 *376:5 *3613:A 0.000532369
101 *377:19 *888:21 0
102 *377:29 *888:163 1.80257e-05
103 *378:8 *888:55 0.000145001
104 *378:30 *3622:B1 8.29176e-05
105 *378:42 *3627:B1 5.77987e-05
106 *380:51 *888:97 0.000413266
107 *512:20 *888:163 0.000118122
108 *512:32 *3618:A1 6.50727e-05
109 *524:8 *3618:A1 3.00073e-05
110 *530:60 *3626:B1 0.000296379
111 *530:60 *888:21 4.41125e-05
112 *542:8 *888:6 0
113 *542:8 *888:108 7.08276e-05
114 *806:10 *888:21 7.77309e-06
115 *807:12 *888:92 8.03393e-06
116 *807:50 *888:108 0.000165481
117 *817:15 *3626:B1 0.000154145
118 *866:9 *888:108 0.000218351
119 *868:8 *888:108 0.000123582
120 *869:25 *3626:B1 1.9101e-05
121 *871:24 *3618:A1 4.3116e-06
122 *875:17 *3626:B1 2.32625e-05
123 *883:117 *3627:B1 0.000530137
124 *883:129 *888:63 0.000243648
125 *883:129 *888:79 8.74104e-05
126 *883:134 *888:63 0.000281786
127 *883:137 *888:55 0
128 *883:139 *888:55 0
129 *884:6 *888:6 0
130 *884:6 *888:12 0
131 *887:10 *888:163 0.000118485
*RES
1 *4299:X *888:5 13.7491
2 *888:5 *888:6 60.7978
3 *888:6 *888:8 4.5
4 *888:8 *888:12 8.4405
5 *888:12 *3621:A1 14.4725
6 *888:12 *888:21 25.5014
7 *888:21 *888:33 28.5759
8 *888:33 *3617:B1 19.9221
9 *888:33 *888:46 2.6625
10 *888:46 *3624:B1 13.7491
11 *888:46 *888:55 17.9591
12 *888:55 *888:63 17.2174
13 *888:63 *3613:A 20.5973
14 *888:63 *888:79 8.59864
15 *888:79 *3628:B1 9.24915
16 *888:79 *888:92 13.2162
17 *888:92 *888:97 17.4488
18 *888:97 *3625:A1 9.24915
19 *888:97 *888:108 23.3274
20 *888:108 *3623:A1 9.24915
21 *888:108 *3627:B1 21.4745
22 *888:92 *3622:B1 20.1489
23 *888:55 *3629:B1 9.24915
24 *888:21 *3626:B1 44.3981
25 *888:8 *888:163 29.3321
26 *888:163 *3615:A2 9.24915
27 *888:163 *3618:A1 30.0346
*END
*D_NET *889 0.011859
*CONN
*I *3629:B2 I *D sky130_fd_sc_hd__a221o_1
*I *4300:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3629:B2 0.000726728
2 *4300:X 0
3 *889:6 0.00556399
4 *889:5 0.00483726
5 la1_data_out[23] *889:6 3.90891e-05
6 *3600:A *3629:B2 0.00016578
7 *3629:C1 *3629:B2 7.07934e-05
8 *3756:A *889:6 0
9 *3878:A *889:6 0
10 *3889:A *889:6 0
11 *4136:A *889:6 0
12 *4136:TE_B *889:6 0.000186778
13 *4192:A *889:6 0
14 *4197:TE_B *889:6 5.96936e-05
15 *216:11 *3629:B2 9.76563e-05
16 *450:78 *889:6 0
17 *883:134 *3629:B2 8.38894e-05
18 *888:63 *3629:B2 2.72869e-05
*RES
1 *4300:X *889:5 13.7491
2 *889:5 *889:6 121.84
3 *889:6 *3629:B2 33.528
*END