blob: cfdfcaaf10e62df6080de8d12b22297cc7cc2b4a [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN chip_controller ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 200000 200000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 410 BY 1 STEP 460 0 ;
TRACKS X 230 DO 435 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER li1 ;
TRACKS X 170 DO 588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER met1 ;
TRACKS X 230 DO 435 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 435 STEP 460 LAYER met2 ;
TRACKS X 340 DO 294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 294 STEP 680 LAYER met3 ;
TRACKS X 460 DO 217 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 217 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 59 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 59 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 28 STEP 6900 ;
GCELLGRID Y 0 DO 29 STEP 6900 ;
VIAS 3 ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 4073 ;
- ANTENNA_0 sky130_fd_sc_hd__diode_2 + PLACED ( 46460 97920 ) N ;
- ANTENNA_1 sky130_fd_sc_hd__diode_2 + PLACED ( 188140 35360 ) FS ;
- ANTENNA_2 sky130_fd_sc_hd__diode_2 + PLACED ( 188140 68000 ) FS ;
- ANTENNA_3 sky130_fd_sc_hd__diode_2 + PLACED ( 188140 136000 ) N ;
- FILLER_0_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 10880 ) N ;
- FILLER_0_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 10880 ) N ;
- FILLER_0_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 10880 ) N ;
- FILLER_0_127 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 10880 ) N ;
- FILLER_0_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 10880 ) N ;
- FILLER_0_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 10880 ) N ;
- FILLER_0_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 10880 ) N ;
- FILLER_0_141 sky130_fd_sc_hd__decap_6 + PLACED ( 70380 10880 ) N ;
- FILLER_0_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 10880 ) N ;
- FILLER_0_159 sky130_fd_sc_hd__decap_8 + PLACED ( 78660 10880 ) N ;
- FILLER_0_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 10880 ) N ;
- FILLER_0_169 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 10880 ) N ;
- FILLER_0_173 sky130_fd_sc_hd__decap_4 + PLACED ( 85100 10880 ) N ;
- FILLER_0_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 10880 ) N ;
- FILLER_0_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 10880 ) N ;
- FILLER_0_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 10880 ) N ;
- FILLER_0_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 10880 ) N ;
- FILLER_0_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 10880 ) N ;
- FILLER_0_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 10880 ) N ;
- FILLER_0_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 10880 ) N ;
- FILLER_0_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 10880 ) N ;
- FILLER_0_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 10880 ) N ;
- FILLER_0_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 10880 ) N ;
- FILLER_0_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 10880 ) N ;
- FILLER_0_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 10880 ) N ;
- FILLER_0_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 10880 ) N ;
- FILLER_0_261 sky130_fd_sc_hd__decap_8 + PLACED ( 125580 10880 ) N ;
- FILLER_0_269 sky130_fd_sc_hd__decap_3 + PLACED ( 129260 10880 ) N ;
- FILLER_0_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 10880 ) N ;
- FILLER_0_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 10880 ) N ;
- FILLER_0_281 sky130_fd_sc_hd__decap_6 + PLACED ( 134780 10880 ) N ;
- FILLER_0_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 10880 ) N ;
- FILLER_0_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 10880 ) N ;
- FILLER_0_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 10880 ) N ;
- FILLER_0_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 10880 ) N ;
- FILLER_0_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 10880 ) N ;
- FILLER_0_321 sky130_fd_sc_hd__fill_1 + PLACED ( 153180 10880 ) N ;
- FILLER_0_33 sky130_fd_sc_hd__fill_1 + PLACED ( 20700 10880 ) N ;
- FILLER_0_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 10880 ) N ;
- FILLER_0_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 10880 ) N ;
- FILLER_0_355 sky130_fd_sc_hd__decap_8 + PLACED ( 168820 10880 ) N ;
- FILLER_0_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 10880 ) N ;
- FILLER_0_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 10880 ) N ;
- FILLER_0_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 10880 ) N ;
- FILLER_0_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 10880 ) N ;
- FILLER_0_381 sky130_fd_sc_hd__decap_8 + PLACED ( 180780 10880 ) N ;
- FILLER_0_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 10880 ) N ;
- FILLER_0_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 10880 ) N ;
- FILLER_0_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 10880 ) N ;
- FILLER_0_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 10880 ) N ;
- FILLER_0_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 10880 ) N ;
- FILLER_0_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 10880 ) N ;
- FILLER_0_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 10880 ) N ;
- FILLER_0_85 sky130_fd_sc_hd__decap_3 + PLACED ( 44620 10880 ) N ;
- FILLER_0_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 10880 ) N ;
- FILLER_0_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 10880 ) N ;
- FILLER_10_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 38080 ) N ;
- FILLER_10_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 38080 ) N ;
- FILLER_10_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 38080 ) N ;
- FILLER_10_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 38080 ) N ;
- FILLER_10_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 38080 ) N ;
- FILLER_10_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 38080 ) N ;
- FILLER_10_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 38080 ) N ;
- FILLER_10_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 38080 ) N ;
- FILLER_10_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 38080 ) N ;
- FILLER_10_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 38080 ) N ;
- FILLER_10_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 38080 ) N ;
- FILLER_10_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 38080 ) N ;
- FILLER_10_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 38080 ) N ;
- FILLER_10_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 38080 ) N ;
- FILLER_10_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 38080 ) N ;
- FILLER_10_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 38080 ) N ;
- FILLER_10_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 38080 ) N ;
- FILLER_10_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 38080 ) N ;
- FILLER_10_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 38080 ) N ;
- FILLER_10_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 38080 ) N ;
- FILLER_10_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 38080 ) N ;
- FILLER_10_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 38080 ) N ;
- FILLER_10_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 38080 ) N ;
- FILLER_10_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 38080 ) N ;
- FILLER_10_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 38080 ) N ;
- FILLER_10_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 38080 ) N ;
- FILLER_10_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 38080 ) N ;
- FILLER_10_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 38080 ) N ;
- FILLER_10_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 38080 ) N ;
- FILLER_10_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 38080 ) N ;
- FILLER_10_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 38080 ) N ;
- FILLER_10_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 38080 ) N ;
- FILLER_10_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 38080 ) N ;
- FILLER_10_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 38080 ) N ;
- FILLER_10_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 38080 ) N ;
- FILLER_10_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 38080 ) N ;
- FILLER_10_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 38080 ) N ;
- FILLER_10_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 38080 ) N ;
- FILLER_10_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 38080 ) N ;
- FILLER_10_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 38080 ) N ;
- FILLER_10_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 38080 ) N ;
- FILLER_10_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 38080 ) N ;
- FILLER_10_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 38080 ) N ;
- FILLER_10_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 38080 ) N ;
- FILLER_11_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 40800 ) FS ;
- FILLER_11_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 40800 ) FS ;
- FILLER_11_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 40800 ) FS ;
- FILLER_11_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 40800 ) FS ;
- FILLER_11_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 40800 ) FS ;
- FILLER_11_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 40800 ) FS ;
- FILLER_11_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 40800 ) FS ;
- FILLER_11_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 40800 ) FS ;
- FILLER_11_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 40800 ) FS ;
- FILLER_11_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 40800 ) FS ;
- FILLER_11_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 40800 ) FS ;
- FILLER_11_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 40800 ) FS ;
- FILLER_11_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 40800 ) FS ;
- FILLER_11_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 40800 ) FS ;
- FILLER_11_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 40800 ) FS ;
- FILLER_11_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 40800 ) FS ;
- FILLER_11_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 40800 ) FS ;
- FILLER_11_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 40800 ) FS ;
- FILLER_11_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 40800 ) FS ;
- FILLER_11_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 40800 ) FS ;
- FILLER_11_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 40800 ) FS ;
- FILLER_11_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 40800 ) FS ;
- FILLER_11_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 40800 ) FS ;
- FILLER_11_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 40800 ) FS ;
- FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) FS ;
- FILLER_11_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 40800 ) FS ;
- FILLER_11_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 40800 ) FS ;
- FILLER_11_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 40800 ) FS ;
- FILLER_11_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 40800 ) FS ;
- FILLER_11_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 40800 ) FS ;
- FILLER_11_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 40800 ) FS ;
- FILLER_11_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 40800 ) FS ;
- FILLER_11_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 40800 ) FS ;
- FILLER_11_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 40800 ) FS ;
- FILLER_11_377 sky130_fd_sc_hd__fill_1 + PLACED ( 178940 40800 ) FS ;
- FILLER_11_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 40800 ) FS ;
- FILLER_11_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 40800 ) FS ;
- FILLER_11_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 40800 ) FS ;
- FILLER_11_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 40800 ) FS ;
- FILLER_11_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 40800 ) FS ;
- FILLER_11_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 40800 ) FS ;
- FILLER_11_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 40800 ) FS ;
- FILLER_11_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 40800 ) FS ;
- FILLER_11_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 40800 ) FS ;
- FILLER_11_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 40800 ) FS ;
- FILLER_12_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 43520 ) N ;
- FILLER_12_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 43520 ) N ;
- FILLER_12_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 43520 ) N ;
- FILLER_12_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 43520 ) N ;
- FILLER_12_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 43520 ) N ;
- FILLER_12_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 43520 ) N ;
- FILLER_12_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 43520 ) N ;
- FILLER_12_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 43520 ) N ;
- FILLER_12_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 43520 ) N ;
- FILLER_12_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 43520 ) N ;
- FILLER_12_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 43520 ) N ;
- FILLER_12_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 43520 ) N ;
- FILLER_12_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 43520 ) N ;
- FILLER_12_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 43520 ) N ;
- FILLER_12_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 43520 ) N ;
- FILLER_12_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 43520 ) N ;
- FILLER_12_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 43520 ) N ;
- FILLER_12_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 43520 ) N ;
- FILLER_12_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 43520 ) N ;
- FILLER_12_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 43520 ) N ;
- FILLER_12_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 43520 ) N ;
- FILLER_12_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 43520 ) N ;
- FILLER_12_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 43520 ) N ;
- FILLER_12_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 43520 ) N ;
- FILLER_12_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 43520 ) N ;
- FILLER_12_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 43520 ) N ;
- FILLER_12_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 43520 ) N ;
- FILLER_12_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 43520 ) N ;
- FILLER_12_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 43520 ) N ;
- FILLER_12_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 43520 ) N ;
- FILLER_12_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 43520 ) N ;
- FILLER_12_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 43520 ) N ;
- FILLER_12_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 43520 ) N ;
- FILLER_12_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 43520 ) N ;
- FILLER_12_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 43520 ) N ;
- FILLER_12_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 43520 ) N ;
- FILLER_12_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 43520 ) N ;
- FILLER_13_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 46240 ) FS ;
- FILLER_13_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 46240 ) FS ;
- FILLER_13_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 46240 ) FS ;
- FILLER_13_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 46240 ) FS ;
- FILLER_13_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 46240 ) FS ;
- FILLER_13_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 46240 ) FS ;
- FILLER_13_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 46240 ) FS ;
- FILLER_13_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 46240 ) FS ;
- FILLER_13_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 46240 ) FS ;
- FILLER_13_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 46240 ) FS ;
- FILLER_13_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 46240 ) FS ;
- FILLER_13_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 46240 ) FS ;
- FILLER_13_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 46240 ) FS ;
- FILLER_13_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 46240 ) FS ;
- FILLER_13_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 46240 ) FS ;
- FILLER_13_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 46240 ) FS ;
- FILLER_13_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 46240 ) FS ;
- FILLER_13_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 46240 ) FS ;
- FILLER_13_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 46240 ) FS ;
- FILLER_13_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 46240 ) FS ;
- FILLER_13_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 46240 ) FS ;
- FILLER_13_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 46240 ) FS ;
- FILLER_13_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 46240 ) FS ;
- FILLER_13_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 46240 ) FS ;
- FILLER_13_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 46240 ) FS ;
- FILLER_13_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 46240 ) FS ;
- FILLER_13_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 46240 ) FS ;
- FILLER_13_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 46240 ) FS ;
- FILLER_13_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 46240 ) FS ;
- FILLER_13_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 46240 ) FS ;
- FILLER_13_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 46240 ) FS ;
- FILLER_13_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 46240 ) FS ;
- FILLER_13_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 46240 ) FS ;
- FILLER_13_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 46240 ) FS ;
- FILLER_13_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 46240 ) FS ;
- FILLER_13_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 46240 ) FS ;
- FILLER_13_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 46240 ) FS ;
- FILLER_13_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 46240 ) FS ;
- FILLER_13_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 46240 ) FS ;
- FILLER_13_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 46240 ) FS ;
- FILLER_13_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 46240 ) FS ;
- FILLER_14_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 48960 ) N ;
- FILLER_14_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 48960 ) N ;
- FILLER_14_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 48960 ) N ;
- FILLER_14_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 48960 ) N ;
- FILLER_14_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 48960 ) N ;
- FILLER_14_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 48960 ) N ;
- FILLER_14_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 48960 ) N ;
- FILLER_14_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 48960 ) N ;
- FILLER_14_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 48960 ) N ;
- FILLER_14_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 48960 ) N ;
- FILLER_14_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 48960 ) N ;
- FILLER_14_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 48960 ) N ;
- FILLER_14_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 48960 ) N ;
- FILLER_14_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 48960 ) N ;
- FILLER_14_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 48960 ) N ;
- FILLER_14_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 48960 ) N ;
- FILLER_14_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 48960 ) N ;
- FILLER_14_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 48960 ) N ;
- FILLER_14_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 48960 ) N ;
- FILLER_14_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 48960 ) N ;
- FILLER_14_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 48960 ) N ;
- FILLER_14_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 48960 ) N ;
- FILLER_14_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 48960 ) N ;
- FILLER_14_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 48960 ) N ;
- FILLER_14_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 48960 ) N ;
- FILLER_14_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 48960 ) N ;
- FILLER_14_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 48960 ) N ;
- FILLER_14_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 48960 ) N ;
- FILLER_14_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 48960 ) N ;
- FILLER_14_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 48960 ) N ;
- FILLER_14_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 48960 ) N ;
- FILLER_14_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 48960 ) N ;
- FILLER_14_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 48960 ) N ;
- FILLER_14_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 48960 ) N ;
- FILLER_14_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 48960 ) N ;
- FILLER_14_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 48960 ) N ;
- FILLER_14_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 48960 ) N ;
- FILLER_14_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 48960 ) N ;
- FILLER_14_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 48960 ) N ;
- FILLER_14_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 48960 ) N ;
- FILLER_14_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 48960 ) N ;
- FILLER_14_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 48960 ) N ;
- FILLER_14_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 48960 ) N ;
- FILLER_15_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 51680 ) FS ;
- FILLER_15_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 51680 ) FS ;
- FILLER_15_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 51680 ) FS ;
- FILLER_15_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 51680 ) FS ;
- FILLER_15_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 51680 ) FS ;
- FILLER_15_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 51680 ) FS ;
- FILLER_15_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 51680 ) FS ;
- FILLER_15_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 51680 ) FS ;
- FILLER_15_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 51680 ) FS ;
- FILLER_15_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 51680 ) FS ;
- FILLER_15_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 51680 ) FS ;
- FILLER_15_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 51680 ) FS ;
- FILLER_15_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 51680 ) FS ;
- FILLER_15_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 51680 ) FS ;
- FILLER_15_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 51680 ) FS ;
- FILLER_15_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 51680 ) FS ;
- FILLER_15_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 51680 ) FS ;
- FILLER_15_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 51680 ) FS ;
- FILLER_15_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 51680 ) FS ;
- FILLER_15_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 51680 ) FS ;
- FILLER_15_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 51680 ) FS ;
- FILLER_15_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 51680 ) FS ;
- FILLER_15_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 51680 ) FS ;
- FILLER_15_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 51680 ) FS ;
- FILLER_15_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 51680 ) FS ;
- FILLER_15_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 51680 ) FS ;
- FILLER_15_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 51680 ) FS ;
- FILLER_15_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 51680 ) FS ;
- FILLER_15_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 51680 ) FS ;
- FILLER_15_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 51680 ) FS ;
- FILLER_15_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 51680 ) FS ;
- FILLER_15_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 51680 ) FS ;
- FILLER_15_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 51680 ) FS ;
- FILLER_15_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 51680 ) FS ;
- FILLER_15_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 51680 ) FS ;
- FILLER_15_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 51680 ) FS ;
- FILLER_16_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 54400 ) N ;
- FILLER_16_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 54400 ) N ;
- FILLER_16_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 54400 ) N ;
- FILLER_16_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 54400 ) N ;
- FILLER_16_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 54400 ) N ;
- FILLER_16_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 54400 ) N ;
- FILLER_16_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 54400 ) N ;
- FILLER_16_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 54400 ) N ;
- FILLER_16_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 54400 ) N ;
- FILLER_16_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 54400 ) N ;
- FILLER_16_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 54400 ) N ;
- FILLER_16_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 54400 ) N ;
- FILLER_16_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 54400 ) N ;
- FILLER_16_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 54400 ) N ;
- FILLER_16_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 54400 ) N ;
- FILLER_16_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 54400 ) N ;
- FILLER_16_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 54400 ) N ;
- FILLER_16_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 54400 ) N ;
- FILLER_16_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 54400 ) N ;
- FILLER_16_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 54400 ) N ;
- FILLER_16_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 54400 ) N ;
- FILLER_16_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 54400 ) N ;
- FILLER_16_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 54400 ) N ;
- FILLER_16_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 54400 ) N ;
- FILLER_16_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 54400 ) N ;
- FILLER_16_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 54400 ) N ;
- FILLER_16_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 54400 ) N ;
- FILLER_16_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 54400 ) N ;
- FILLER_16_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 54400 ) N ;
- FILLER_16_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 54400 ) N ;
- FILLER_16_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 54400 ) N ;
- FILLER_16_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 54400 ) N ;
- FILLER_16_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 54400 ) N ;
- FILLER_16_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 54400 ) N ;
- FILLER_16_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 54400 ) N ;
- FILLER_16_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 54400 ) N ;
- FILLER_16_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 54400 ) N ;
- FILLER_16_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 54400 ) N ;
- FILLER_16_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 54400 ) N ;
- FILLER_17_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 57120 ) FS ;
- FILLER_17_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 57120 ) FS ;
- FILLER_17_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 57120 ) FS ;
- FILLER_17_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 57120 ) FS ;
- FILLER_17_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 57120 ) FS ;
- FILLER_17_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 57120 ) FS ;
- FILLER_17_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 57120 ) FS ;
- FILLER_17_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 57120 ) FS ;
- FILLER_17_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 57120 ) FS ;
- FILLER_17_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 57120 ) FS ;
- FILLER_17_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 57120 ) FS ;
- FILLER_17_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 57120 ) FS ;
- FILLER_17_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 57120 ) FS ;
- FILLER_17_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 57120 ) FS ;
- FILLER_17_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 57120 ) FS ;
- FILLER_17_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 57120 ) FS ;
- FILLER_17_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 57120 ) FS ;
- FILLER_17_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 57120 ) FS ;
- FILLER_17_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 57120 ) FS ;
- FILLER_17_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 57120 ) FS ;
- FILLER_17_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 57120 ) FS ;
- FILLER_17_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 57120 ) FS ;
- FILLER_17_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 57120 ) FS ;
- FILLER_17_317 sky130_fd_sc_hd__decap_3 + PLACED ( 151340 57120 ) FS ;
- FILLER_17_323 sky130_fd_sc_hd__decap_12 + PLACED ( 154100 57120 ) FS ;
- FILLER_17_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 57120 ) FS ;
- FILLER_17_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 57120 ) FS ;
- FILLER_17_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 57120 ) FS ;
- FILLER_17_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 57120 ) FS ;
- FILLER_17_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 57120 ) FS ;
- FILLER_17_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 57120 ) FS ;
- FILLER_17_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 57120 ) FS ;
- FILLER_17_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 57120 ) FS ;
- FILLER_17_405 sky130_fd_sc_hd__fill_2 + PLACED ( 191820 57120 ) FS ;
- FILLER_17_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 57120 ) FS ;
- FILLER_17_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 57120 ) FS ;
- FILLER_17_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 57120 ) FS ;
- FILLER_17_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 57120 ) FS ;
- FILLER_17_7 sky130_fd_sc_hd__decap_8 + PLACED ( 8740 57120 ) FS ;
- FILLER_17_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 57120 ) FS ;
- FILLER_17_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 57120 ) FS ;
- FILLER_18_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 59840 ) N ;
- FILLER_18_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 59840 ) N ;
- FILLER_18_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 59840 ) N ;
- FILLER_18_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 59840 ) N ;
- FILLER_18_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 59840 ) N ;
- FILLER_18_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 59840 ) N ;
- FILLER_18_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 59840 ) N ;
- FILLER_18_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 59840 ) N ;
- FILLER_18_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 59840 ) N ;
- FILLER_18_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 59840 ) N ;
- FILLER_18_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 59840 ) N ;
- FILLER_18_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 59840 ) N ;
- FILLER_18_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 59840 ) N ;
- FILLER_18_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 59840 ) N ;
- FILLER_18_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 59840 ) N ;
- FILLER_18_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 59840 ) N ;
- FILLER_18_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 59840 ) N ;
- FILLER_18_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 59840 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 59840 ) N ;
- FILLER_18_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 59840 ) N ;
- FILLER_18_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 59840 ) N ;
- FILLER_18_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 59840 ) N ;
- FILLER_18_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 59840 ) N ;
- FILLER_18_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 59840 ) N ;
- FILLER_18_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 59840 ) N ;
- FILLER_18_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 59840 ) N ;
- FILLER_18_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 59840 ) N ;
- FILLER_18_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 59840 ) N ;
- FILLER_18_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 59840 ) N ;
- FILLER_18_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 59840 ) N ;
- FILLER_18_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 59840 ) N ;
- FILLER_18_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 59840 ) N ;
- FILLER_18_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 59840 ) N ;
- FILLER_18_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 59840 ) N ;
- FILLER_18_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 59840 ) N ;
- FILLER_18_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 59840 ) N ;
- FILLER_18_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 62560 ) FS ;
- FILLER_19_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 62560 ) FS ;
- FILLER_19_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 62560 ) FS ;
- FILLER_19_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 62560 ) FS ;
- FILLER_19_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 62560 ) FS ;
- FILLER_19_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 62560 ) FS ;
- FILLER_19_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 62560 ) FS ;
- FILLER_19_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 62560 ) FS ;
- FILLER_19_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 62560 ) FS ;
- FILLER_19_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 62560 ) FS ;
- FILLER_19_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 62560 ) FS ;
- FILLER_19_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 62560 ) FS ;
- FILLER_19_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 62560 ) FS ;
- FILLER_19_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 62560 ) FS ;
- FILLER_19_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 62560 ) FS ;
- FILLER_19_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 62560 ) FS ;
- FILLER_19_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 62560 ) FS ;
- FILLER_19_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 62560 ) FS ;
- FILLER_19_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 62560 ) FS ;
- FILLER_19_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 62560 ) FS ;
- FILLER_19_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 62560 ) FS ;
- FILLER_19_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 62560 ) FS ;
- FILLER_19_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 62560 ) FS ;
- FILLER_19_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 62560 ) FS ;
- FILLER_19_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 62560 ) FS ;
- FILLER_19_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 62560 ) FS ;
- FILLER_19_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 62560 ) FS ;
- FILLER_19_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 62560 ) FS ;
- FILLER_19_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 62560 ) FS ;
- FILLER_19_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 62560 ) FS ;
- FILLER_19_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 62560 ) FS ;
- FILLER_19_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 62560 ) FS ;
- FILLER_1_100 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 13600 ) FS ;
- FILLER_1_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 13600 ) FS ;
- FILLER_1_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 13600 ) FS ;
- FILLER_1_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 13600 ) FS ;
- FILLER_1_123 sky130_fd_sc_hd__decap_6 + PLACED ( 62100 13600 ) FS ;
- FILLER_1_133 sky130_fd_sc_hd__decap_4 + PLACED ( 66700 13600 ) FS ;
- FILLER_1_140 sky130_fd_sc_hd__decap_4 + PLACED ( 69920 13600 ) FS ;
- FILLER_1_147 sky130_fd_sc_hd__decap_4 + PLACED ( 73140 13600 ) FS ;
- FILLER_1_154 sky130_fd_sc_hd__decap_4 + PLACED ( 76360 13600 ) FS ;
- FILLER_1_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 13600 ) FS ;
- FILLER_1_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 13600 ) FS ;
- FILLER_1_169 sky130_fd_sc_hd__decap_6 + PLACED ( 83260 13600 ) FS ;
- FILLER_1_179 sky130_fd_sc_hd__decap_8 + PLACED ( 87860 13600 ) FS ;
- FILLER_1_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 13600 ) FS ;
- FILLER_1_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 13600 ) FS ;
- FILLER_1_198 sky130_fd_sc_hd__decap_4 + PLACED ( 96600 13600 ) FS ;
- FILLER_1_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 13600 ) FS ;
- FILLER_1_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 13600 ) FS ;
- FILLER_1_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 13600 ) FS ;
- FILLER_1_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 13600 ) FS ;
- FILLER_1_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 13600 ) FS ;
- FILLER_1_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 13600 ) FS ;
- FILLER_1_255 sky130_fd_sc_hd__decap_6 + PLACED ( 122820 13600 ) FS ;
- FILLER_1_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 13600 ) FS ;
- FILLER_1_27 sky130_fd_sc_hd__fill_2 + PLACED ( 17940 13600 ) FS ;
- FILLER_1_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 13600 ) FS ;
- FILLER_1_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 13600 ) FS ;
- FILLER_1_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 13600 ) FS ;
- FILLER_1_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 13600 ) FS ;
- FILLER_1_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 13600 ) FS ;
- FILLER_1_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 13600 ) FS ;
- FILLER_1_305 sky130_fd_sc_hd__decap_4 + PLACED ( 145820 13600 ) FS ;
- FILLER_1_312 sky130_fd_sc_hd__decap_4 + PLACED ( 149040 13600 ) FS ;
- FILLER_1_319 sky130_fd_sc_hd__decap_8 + PLACED ( 152260 13600 ) FS ;
- FILLER_1_327 sky130_fd_sc_hd__fill_1 + PLACED ( 155940 13600 ) FS ;
- FILLER_1_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 13600 ) FS ;
- FILLER_1_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 13600 ) FS ;
- FILLER_1_337 sky130_fd_sc_hd__fill_2 + PLACED ( 160540 13600 ) FS ;
- FILLER_1_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 13600 ) FS ;
- FILLER_1_356 sky130_fd_sc_hd__decap_8 + PLACED ( 169280 13600 ) FS ;
- FILLER_1_364 sky130_fd_sc_hd__fill_2 + PLACED ( 172960 13600 ) FS ;
- FILLER_1_369 sky130_fd_sc_hd__decap_4 + PLACED ( 175260 13600 ) FS ;
- FILLER_1_377 sky130_fd_sc_hd__decap_6 + PLACED ( 178940 13600 ) FS ;
- FILLER_1_383 sky130_fd_sc_hd__fill_1 + PLACED ( 181700 13600 ) FS ;
- FILLER_1_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 13600 ) FS ;
- FILLER_1_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 13600 ) FS ;
- FILLER_1_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 13600 ) FS ;
- FILLER_1_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 13600 ) FS ;
- FILLER_1_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 13600 ) FS ;
- FILLER_1_61 sky130_fd_sc_hd__decap_6 + PLACED ( 33580 13600 ) FS ;
- FILLER_1_67 sky130_fd_sc_hd__fill_1 + PLACED ( 36340 13600 ) FS ;
- FILLER_1_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 13600 ) FS ;
- FILLER_1_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 13600 ) FS ;
- FILLER_1_86 sky130_fd_sc_hd__decap_4 + PLACED ( 45080 13600 ) FS ;
- FILLER_1_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 13600 ) FS ;
- FILLER_20_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 65280 ) N ;
- FILLER_20_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 65280 ) N ;
- FILLER_20_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 65280 ) N ;
- FILLER_20_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 65280 ) N ;
- FILLER_20_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 65280 ) N ;
- FILLER_20_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 65280 ) N ;
- FILLER_20_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 65280 ) N ;
- FILLER_20_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 65280 ) N ;
- FILLER_20_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 65280 ) N ;
- FILLER_20_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 65280 ) N ;
- FILLER_20_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 65280 ) N ;
- FILLER_20_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 65280 ) N ;
- FILLER_20_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 65280 ) N ;
- FILLER_20_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 65280 ) N ;
- FILLER_20_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 65280 ) N ;
- FILLER_20_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 65280 ) N ;
- FILLER_20_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 65280 ) N ;
- FILLER_20_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 65280 ) N ;
- FILLER_20_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 65280 ) N ;
- FILLER_20_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 65280 ) N ;
- FILLER_20_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 65280 ) N ;
- FILLER_20_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 65280 ) N ;
- FILLER_20_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 65280 ) N ;
- FILLER_20_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 65280 ) N ;
- FILLER_20_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 65280 ) N ;
- FILLER_20_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 65280 ) N ;
- FILLER_20_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 65280 ) N ;
- FILLER_20_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 65280 ) N ;
- FILLER_20_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 65280 ) N ;
- FILLER_20_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 65280 ) N ;
- FILLER_20_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 65280 ) N ;
- FILLER_20_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 65280 ) N ;
- FILLER_20_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 65280 ) N ;
- FILLER_20_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 65280 ) N ;
- FILLER_20_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 65280 ) N ;
- FILLER_20_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 65280 ) N ;
- FILLER_20_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 65280 ) N ;
- FILLER_21_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 68000 ) FS ;
- FILLER_21_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 68000 ) FS ;
- FILLER_21_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 68000 ) FS ;
- FILLER_21_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 68000 ) FS ;
- FILLER_21_193 sky130_fd_sc_hd__decap_8 + PLACED ( 94300 68000 ) FS ;
- FILLER_21_201 sky130_fd_sc_hd__fill_2 + PLACED ( 97980 68000 ) FS ;
- FILLER_21_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 68000 ) FS ;
- FILLER_21_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 68000 ) FS ;
- FILLER_21_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 68000 ) FS ;
- FILLER_21_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 68000 ) FS ;
- FILLER_21_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 68000 ) FS ;
- FILLER_21_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 68000 ) FS ;
- FILLER_21_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 68000 ) FS ;
- FILLER_21_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 68000 ) FS ;
- FILLER_21_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 68000 ) FS ;
- FILLER_21_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 68000 ) FS ;
- FILLER_21_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 68000 ) FS ;
- FILLER_21_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 68000 ) FS ;
- FILLER_21_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 68000 ) FS ;
- FILLER_21_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 68000 ) FS ;
- FILLER_21_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 68000 ) FS ;
- FILLER_21_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 68000 ) FS ;
- FILLER_21_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 68000 ) FS ;
- FILLER_21_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 68000 ) FS ;
- FILLER_21_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 68000 ) FS ;
- FILLER_21_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 68000 ) FS ;
- FILLER_21_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 68000 ) FS ;
- FILLER_21_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 68000 ) FS ;
- FILLER_21_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 68000 ) FS ;
- FILLER_21_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 68000 ) FS ;
- FILLER_21_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 68000 ) FS ;
- FILLER_21_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 68000 ) FS ;
- FILLER_21_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 68000 ) FS ;
- FILLER_21_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 68000 ) FS ;
- FILLER_21_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 68000 ) FS ;
- FILLER_21_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 68000 ) FS ;
- FILLER_22_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 70720 ) N ;
- FILLER_22_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 70720 ) N ;
- FILLER_22_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 70720 ) N ;
- FILLER_22_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 70720 ) N ;
- FILLER_22_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 70720 ) N ;
- FILLER_22_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 70720 ) N ;
- FILLER_22_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 70720 ) N ;
- FILLER_22_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 70720 ) N ;
- FILLER_22_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 70720 ) N ;
- FILLER_22_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 70720 ) N ;
- FILLER_22_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 70720 ) N ;
- FILLER_22_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 70720 ) N ;
- FILLER_22_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 70720 ) N ;
- FILLER_22_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 70720 ) N ;
- FILLER_22_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 70720 ) N ;
- FILLER_22_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 70720 ) N ;
- FILLER_22_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 70720 ) N ;
- FILLER_22_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 70720 ) N ;
- FILLER_22_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 70720 ) N ;
- FILLER_22_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 70720 ) N ;
- FILLER_22_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 70720 ) N ;
- FILLER_22_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 70720 ) N ;
- FILLER_22_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 70720 ) N ;
- FILLER_22_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 70720 ) N ;
- FILLER_22_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 70720 ) N ;
- FILLER_22_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 70720 ) N ;
- FILLER_22_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 70720 ) N ;
- FILLER_22_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 70720 ) N ;
- FILLER_22_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 70720 ) N ;
- FILLER_22_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 70720 ) N ;
- FILLER_22_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 70720 ) N ;
- FILLER_22_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 70720 ) N ;
- FILLER_22_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 70720 ) N ;
- FILLER_22_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 70720 ) N ;
- FILLER_23_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 73440 ) FS ;
- FILLER_23_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 73440 ) FS ;
- FILLER_23_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 73440 ) FS ;
- FILLER_23_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 73440 ) FS ;
- FILLER_23_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 73440 ) FS ;
- FILLER_23_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 73440 ) FS ;
- FILLER_23_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 73440 ) FS ;
- FILLER_23_205 sky130_fd_sc_hd__decap_8 + PLACED ( 99820 73440 ) FS ;
- FILLER_23_213 sky130_fd_sc_hd__fill_1 + PLACED ( 103500 73440 ) FS ;
- FILLER_23_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 73440 ) FS ;
- FILLER_23_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 73440 ) FS ;
- FILLER_23_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 73440 ) FS ;
- FILLER_23_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 73440 ) FS ;
- FILLER_23_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 73440 ) FS ;
- FILLER_23_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 73440 ) FS ;
- FILLER_23_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 73440 ) FS ;
- FILLER_23_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 73440 ) FS ;
- FILLER_23_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 73440 ) FS ;
- FILLER_23_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 73440 ) FS ;
- FILLER_23_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 73440 ) FS ;
- FILLER_23_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 73440 ) FS ;
- FILLER_23_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 73440 ) FS ;
- FILLER_23_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 73440 ) FS ;
- FILLER_23_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 73440 ) FS ;
- FILLER_23_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 73440 ) FS ;
- FILLER_23_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 73440 ) FS ;
- FILLER_23_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 73440 ) FS ;
- FILLER_23_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 73440 ) FS ;
- FILLER_23_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 73440 ) FS ;
- FILLER_23_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 73440 ) FS ;
- FILLER_23_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 73440 ) FS ;
- FILLER_23_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 73440 ) FS ;
- FILLER_23_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 73440 ) FS ;
- FILLER_23_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 73440 ) FS ;
- FILLER_23_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 73440 ) FS ;
- FILLER_23_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 73440 ) FS ;
- FILLER_23_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 73440 ) FS ;
- FILLER_24_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 76160 ) N ;
- FILLER_24_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 76160 ) N ;
- FILLER_24_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 76160 ) N ;
- FILLER_24_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 76160 ) N ;
- FILLER_24_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 76160 ) N ;
- FILLER_24_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 76160 ) N ;
- FILLER_24_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 76160 ) N ;
- FILLER_24_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 76160 ) N ;
- FILLER_24_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 76160 ) N ;
- FILLER_24_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 76160 ) N ;
- FILLER_24_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 76160 ) N ;
- FILLER_24_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 76160 ) N ;
- FILLER_24_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 76160 ) N ;
- FILLER_24_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 76160 ) N ;
- FILLER_24_257 sky130_fd_sc_hd__fill_1 + PLACED ( 123740 76160 ) N ;
- FILLER_24_262 sky130_fd_sc_hd__decap_12 + PLACED ( 126040 76160 ) N ;
- FILLER_24_274 sky130_fd_sc_hd__decap_12 + PLACED ( 131560 76160 ) N ;
- FILLER_24_286 sky130_fd_sc_hd__decap_12 + PLACED ( 137080 76160 ) N ;
- FILLER_24_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 76160 ) N ;
- FILLER_24_298 sky130_fd_sc_hd__decap_8 + PLACED ( 142600 76160 ) N ;
- FILLER_24_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 76160 ) N ;
- FILLER_24_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 76160 ) N ;
- FILLER_24_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 76160 ) N ;
- FILLER_24_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 76160 ) N ;
- FILLER_24_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 76160 ) N ;
- FILLER_24_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 76160 ) N ;
- FILLER_24_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 76160 ) N ;
- FILLER_24_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 76160 ) N ;
- FILLER_24_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 76160 ) N ;
- FILLER_24_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 76160 ) N ;
- FILLER_24_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 76160 ) N ;
- FILLER_24_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 76160 ) N ;
- FILLER_24_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 76160 ) N ;
- FILLER_24_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 76160 ) N ;
- FILLER_24_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 76160 ) N ;
- FILLER_24_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 76160 ) N ;
- FILLER_24_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 76160 ) N ;
- FILLER_24_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 76160 ) N ;
- FILLER_25_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 78880 ) FS ;
- FILLER_25_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 78880 ) FS ;
- FILLER_25_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 78880 ) FS ;
- FILLER_25_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 78880 ) FS ;
- FILLER_25_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 78880 ) FS ;
- FILLER_25_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 78880 ) FS ;
- FILLER_25_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 78880 ) FS ;
- FILLER_25_193 sky130_fd_sc_hd__decap_8 + PLACED ( 94300 78880 ) FS ;
- FILLER_25_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 78880 ) FS ;
- FILLER_25_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 78880 ) FS ;
- FILLER_25_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 78880 ) FS ;
- FILLER_25_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 78880 ) FS ;
- FILLER_25_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 78880 ) FS ;
- FILLER_25_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 78880 ) FS ;
- FILLER_25_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 78880 ) FS ;
- FILLER_25_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 78880 ) FS ;
- FILLER_25_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 78880 ) FS ;
- FILLER_25_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 78880 ) FS ;
- FILLER_25_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 78880 ) FS ;
- FILLER_25_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 78880 ) FS ;
- FILLER_25_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 78880 ) FS ;
- FILLER_25_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 78880 ) FS ;
- FILLER_25_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 78880 ) FS ;
- FILLER_25_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 78880 ) FS ;
- FILLER_25_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 78880 ) FS ;
- FILLER_25_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 78880 ) FS ;
- FILLER_25_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 78880 ) FS ;
- FILLER_25_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 78880 ) FS ;
- FILLER_25_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 78880 ) FS ;
- FILLER_25_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 78880 ) FS ;
- FILLER_25_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 78880 ) FS ;
- FILLER_25_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 78880 ) FS ;
- FILLER_25_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 78880 ) FS ;
- FILLER_25_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 78880 ) FS ;
- FILLER_25_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 78880 ) FS ;
- FILLER_25_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 78880 ) FS ;
- FILLER_25_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 78880 ) FS ;
- FILLER_25_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 78880 ) FS ;
- FILLER_25_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 78880 ) FS ;
- FILLER_26_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 81600 ) N ;
- FILLER_26_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 81600 ) N ;
- FILLER_26_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 81600 ) N ;
- FILLER_26_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 81600 ) N ;
- FILLER_26_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 81600 ) N ;
- FILLER_26_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 81600 ) N ;
- FILLER_26_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 81600 ) N ;
- FILLER_26_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 81600 ) N ;
- FILLER_26_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 81600 ) N ;
- FILLER_26_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 81600 ) N ;
- FILLER_26_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 81600 ) N ;
- FILLER_26_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 81600 ) N ;
- FILLER_26_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 81600 ) N ;
- FILLER_26_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 81600 ) N ;
- FILLER_26_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 81600 ) N ;
- FILLER_26_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 81600 ) N ;
- FILLER_26_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 81600 ) N ;
- FILLER_26_265 sky130_fd_sc_hd__decap_8 + PLACED ( 127420 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 81600 ) N ;
- FILLER_26_273 sky130_fd_sc_hd__fill_1 + PLACED ( 131100 81600 ) N ;
- FILLER_26_278 sky130_fd_sc_hd__decap_12 + PLACED ( 133400 81600 ) N ;
- FILLER_26_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 81600 ) N ;
- FILLER_26_290 sky130_fd_sc_hd__decap_12 + PLACED ( 138920 81600 ) N ;
- FILLER_26_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 81600 ) N ;
- FILLER_26_302 sky130_fd_sc_hd__decap_6 + PLACED ( 144440 81600 ) N ;
- FILLER_26_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 81600 ) N ;
- FILLER_26_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 81600 ) N ;
- FILLER_26_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 81600 ) N ;
- FILLER_26_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 81600 ) N ;
- FILLER_26_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 81600 ) N ;
- FILLER_26_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 81600 ) N ;
- FILLER_26_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 81600 ) N ;
- FILLER_26_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 81600 ) N ;
- FILLER_26_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 81600 ) N ;
- FILLER_26_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 81600 ) N ;
- FILLER_26_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 81600 ) N ;
- FILLER_26_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 81600 ) N ;
- FILLER_26_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 81600 ) N ;
- FILLER_26_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 81600 ) N ;
- FILLER_26_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 81600 ) N ;
- FILLER_26_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 81600 ) N ;
- FILLER_26_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 81600 ) N ;
- FILLER_26_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 81600 ) N ;
- FILLER_27_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 84320 ) FS ;
- FILLER_27_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 84320 ) FS ;
- FILLER_27_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 84320 ) FS ;
- FILLER_27_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 84320 ) FS ;
- FILLER_27_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 84320 ) FS ;
- FILLER_27_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 84320 ) FS ;
- FILLER_27_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 84320 ) FS ;
- FILLER_27_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 84320 ) FS ;
- FILLER_27_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 84320 ) FS ;
- FILLER_27_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 84320 ) FS ;
- FILLER_27_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 84320 ) FS ;
- FILLER_27_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 84320 ) FS ;
- FILLER_27_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 84320 ) FS ;
- FILLER_27_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 84320 ) FS ;
- FILLER_27_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 84320 ) FS ;
- FILLER_27_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 84320 ) FS ;
- FILLER_27_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 84320 ) FS ;
- FILLER_27_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 84320 ) FS ;
- FILLER_27_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 84320 ) FS ;
- FILLER_27_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 84320 ) FS ;
- FILLER_27_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 84320 ) FS ;
- FILLER_27_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 84320 ) FS ;
- FILLER_27_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 84320 ) FS ;
- FILLER_27_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 84320 ) FS ;
- FILLER_27_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 84320 ) FS ;
- FILLER_27_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 84320 ) FS ;
- FILLER_27_373 sky130_fd_sc_hd__decap_8 + PLACED ( 177100 84320 ) FS ;
- FILLER_27_381 sky130_fd_sc_hd__decap_3 + PLACED ( 180780 84320 ) FS ;
- FILLER_27_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 84320 ) FS ;
- FILLER_27_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 84320 ) FS ;
- FILLER_27_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 84320 ) FS ;
- FILLER_27_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 84320 ) FS ;
- FILLER_27_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 84320 ) FS ;
- FILLER_27_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 84320 ) FS ;
- FILLER_27_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 84320 ) FS ;
- FILLER_27_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 84320 ) FS ;
- FILLER_27_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 84320 ) FS ;
- FILLER_28_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 87040 ) N ;
- FILLER_28_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 87040 ) N ;
- FILLER_28_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 87040 ) N ;
- FILLER_28_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 87040 ) N ;
- FILLER_28_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 87040 ) N ;
- FILLER_28_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 87040 ) N ;
- FILLER_28_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 87040 ) N ;
- FILLER_28_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 87040 ) N ;
- FILLER_28_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 87040 ) N ;
- FILLER_28_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 87040 ) N ;
- FILLER_28_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 87040 ) N ;
- FILLER_28_214 sky130_fd_sc_hd__decap_12 + PLACED ( 103960 87040 ) N ;
- FILLER_28_226 sky130_fd_sc_hd__decap_12 + PLACED ( 109480 87040 ) N ;
- FILLER_28_238 sky130_fd_sc_hd__decap_12 + PLACED ( 115000 87040 ) N ;
- FILLER_28_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 87040 ) N ;
- FILLER_28_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 87040 ) N ;
- FILLER_28_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 87040 ) N ;
- FILLER_28_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 87040 ) N ;
- FILLER_28_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 87040 ) N ;
- FILLER_28_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 87040 ) N ;
- FILLER_28_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 87040 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 87040 ) N ;
- FILLER_28_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 87040 ) N ;
- FILLER_28_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 87040 ) N ;
- FILLER_28_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 87040 ) N ;
- FILLER_28_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 87040 ) N ;
- FILLER_28_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 87040 ) N ;
- FILLER_28_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 87040 ) N ;
- FILLER_28_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 87040 ) N ;
- FILLER_28_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 87040 ) N ;
- FILLER_28_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 87040 ) N ;
- FILLER_28_377 sky130_fd_sc_hd__decap_8 + PLACED ( 178940 87040 ) N ;
- FILLER_28_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 87040 ) N ;
- FILLER_28_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 87040 ) N ;
- FILLER_28_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 87040 ) N ;
- FILLER_28_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 87040 ) N ;
- FILLER_28_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 87040 ) N ;
- FILLER_28_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 87040 ) N ;
- FILLER_28_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 87040 ) N ;
- FILLER_29_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 89760 ) FS ;
- FILLER_29_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 89760 ) FS ;
- FILLER_29_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 89760 ) FS ;
- FILLER_29_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 89760 ) FS ;
- FILLER_29_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 89760 ) FS ;
- FILLER_29_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 89760 ) FS ;
- FILLER_29_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 89760 ) FS ;
- FILLER_29_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 89760 ) FS ;
- FILLER_29_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 89760 ) FS ;
- FILLER_29_193 sky130_fd_sc_hd__fill_1 + PLACED ( 94300 89760 ) FS ;
- FILLER_29_198 sky130_fd_sc_hd__decap_4 + PLACED ( 96600 89760 ) FS ;
- FILLER_29_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 89760 ) FS ;
- FILLER_29_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 89760 ) FS ;
- FILLER_29_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 89760 ) FS ;
- FILLER_29_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 89760 ) FS ;
- FILLER_29_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 89760 ) FS ;
- FILLER_29_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 89760 ) FS ;
- FILLER_29_25 sky130_fd_sc_hd__decap_12 + PLACED ( 17020 89760 ) FS ;
- FILLER_29_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 89760 ) FS ;
- FILLER_29_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 89760 ) FS ;
- FILLER_29_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 89760 ) FS ;
- FILLER_29_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 89760 ) FS ;
- FILLER_29_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 89760 ) FS ;
- FILLER_29_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 89760 ) FS ;
- FILLER_29_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 89760 ) FS ;
- FILLER_29_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 89760 ) FS ;
- FILLER_29_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 89760 ) FS ;
- FILLER_29_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 89760 ) FS ;
- FILLER_29_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 89760 ) FS ;
- FILLER_29_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 89760 ) FS ;
- FILLER_29_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 89760 ) FS ;
- FILLER_29_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 89760 ) FS ;
- FILLER_29_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 89760 ) FS ;
- FILLER_29_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 89760 ) FS ;
- FILLER_29_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 89760 ) FS ;
- FILLER_29_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 89760 ) FS ;
- FILLER_29_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 89760 ) FS ;
- FILLER_29_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 89760 ) FS ;
- FILLER_29_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 89760 ) FS ;
- FILLER_29_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 89760 ) FS ;
- FILLER_29_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 89760 ) FS ;
- FILLER_29_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 89760 ) FS ;
- FILLER_2_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 16320 ) N ;
- FILLER_2_124 sky130_fd_sc_hd__decap_4 + PLACED ( 62560 16320 ) N ;
- FILLER_2_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 16320 ) N ;
- FILLER_2_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 16320 ) N ;
- FILLER_2_141 sky130_fd_sc_hd__fill_1 + PLACED ( 70380 16320 ) N ;
- FILLER_2_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 16320 ) N ;
- FILLER_2_149 sky130_fd_sc_hd__fill_1 + PLACED ( 74060 16320 ) N ;
- FILLER_2_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 16320 ) N ;
- FILLER_2_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 16320 ) N ;
- FILLER_2_165 sky130_fd_sc_hd__fill_1 + PLACED ( 81420 16320 ) N ;
- FILLER_2_169 sky130_fd_sc_hd__decap_6 + PLACED ( 83260 16320 ) N ;
- FILLER_2_175 sky130_fd_sc_hd__fill_1 + PLACED ( 86020 16320 ) N ;
- FILLER_2_179 sky130_fd_sc_hd__decap_6 + PLACED ( 87860 16320 ) N ;
- FILLER_2_185 sky130_fd_sc_hd__fill_1 + PLACED ( 90620 16320 ) N ;
- FILLER_2_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 16320 ) N ;
- FILLER_2_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 16320 ) N ;
- FILLER_2_200 sky130_fd_sc_hd__decap_6 + PLACED ( 97520 16320 ) N ;
- FILLER_2_206 sky130_fd_sc_hd__fill_1 + PLACED ( 100280 16320 ) N ;
- FILLER_2_211 sky130_fd_sc_hd__decap_4 + PLACED ( 102580 16320 ) N ;
- FILLER_2_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 16320 ) N ;
- FILLER_2_226 sky130_fd_sc_hd__decap_4 + PLACED ( 109480 16320 ) N ;
- FILLER_2_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 16320 ) N ;
- FILLER_2_233 sky130_fd_sc_hd__decap_6 + PLACED ( 112700 16320 ) N ;
- FILLER_2_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 16320 ) N ;
- FILLER_2_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 16320 ) N ;
- FILLER_2_257 sky130_fd_sc_hd__decap_4 + PLACED ( 123740 16320 ) N ;
- FILLER_2_264 sky130_fd_sc_hd__decap_8 + PLACED ( 126960 16320 ) N ;
- FILLER_2_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 16320 ) N ;
- FILLER_2_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 16320 ) N ;
- FILLER_2_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 16320 ) N ;
- FILLER_2_291 sky130_fd_sc_hd__decap_6 + PLACED ( 139380 16320 ) N ;
- FILLER_2_297 sky130_fd_sc_hd__fill_1 + PLACED ( 142140 16320 ) N ;
- FILLER_2_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 16320 ) N ;
- FILLER_2_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 16320 ) N ;
- FILLER_2_309 sky130_fd_sc_hd__decap_6 + PLACED ( 147660 16320 ) N ;
- FILLER_2_318 sky130_fd_sc_hd__decap_8 + PLACED ( 151800 16320 ) N ;
- FILLER_2_326 sky130_fd_sc_hd__fill_1 + PLACED ( 155480 16320 ) N ;
- FILLER_2_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 16320 ) N ;
- FILLER_2_330 sky130_fd_sc_hd__decap_4 + PLACED ( 157320 16320 ) N ;
- FILLER_2_338 sky130_fd_sc_hd__decap_4 + PLACED ( 161000 16320 ) N ;
- FILLER_2_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 16320 ) N ;
- FILLER_2_349 sky130_fd_sc_hd__fill_1 + PLACED ( 166060 16320 ) N ;
- FILLER_2_353 sky130_fd_sc_hd__decap_8 + PLACED ( 167900 16320 ) N ;
- FILLER_2_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 16320 ) N ;
- FILLER_2_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 16320 ) N ;
- FILLER_2_369 sky130_fd_sc_hd__decap_4 + PLACED ( 175260 16320 ) N ;
- FILLER_2_373 sky130_fd_sc_hd__fill_1 + PLACED ( 177100 16320 ) N ;
- FILLER_2_377 sky130_fd_sc_hd__decap_4 + PLACED ( 178940 16320 ) N ;
- FILLER_2_385 sky130_fd_sc_hd__decap_8 + PLACED ( 182620 16320 ) N ;
- FILLER_2_393 sky130_fd_sc_hd__fill_1 + PLACED ( 186300 16320 ) N ;
- FILLER_2_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 16320 ) N ;
- FILLER_2_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 16320 ) N ;
- FILLER_2_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 16320 ) N ;
- FILLER_2_58 sky130_fd_sc_hd__decap_12 + PLACED ( 32200 16320 ) N ;
- FILLER_2_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 16320 ) N ;
- FILLER_2_70 sky130_fd_sc_hd__decap_12 + PLACED ( 37720 16320 ) N ;
- FILLER_2_82 sky130_fd_sc_hd__fill_2 + PLACED ( 43240 16320 ) N ;
- FILLER_2_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 16320 ) N ;
- FILLER_2_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 16320 ) N ;
- FILLER_30_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 92480 ) N ;
- FILLER_30_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 92480 ) N ;
- FILLER_30_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 92480 ) N ;
- FILLER_30_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 92480 ) N ;
- FILLER_30_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 92480 ) N ;
- FILLER_30_177 sky130_fd_sc_hd__decap_8 + PLACED ( 86940 92480 ) N ;
- FILLER_30_185 sky130_fd_sc_hd__decap_3 + PLACED ( 90620 92480 ) N ;
- FILLER_30_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 92480 ) N ;
- FILLER_30_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 92480 ) N ;
- FILLER_30_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 92480 ) N ;
- FILLER_30_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 92480 ) N ;
- FILLER_30_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 92480 ) N ;
- FILLER_30_222 sky130_fd_sc_hd__decap_4 + PLACED ( 107640 92480 ) N ;
- FILLER_30_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 92480 ) N ;
- FILLER_30_230 sky130_fd_sc_hd__decap_12 + PLACED ( 111320 92480 ) N ;
- FILLER_30_242 sky130_fd_sc_hd__decap_8 + PLACED ( 116840 92480 ) N ;
- FILLER_30_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 92480 ) N ;
- FILLER_30_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 92480 ) N ;
- FILLER_30_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 92480 ) N ;
- FILLER_30_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 92480 ) N ;
- FILLER_30_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 92480 ) N ;
- FILLER_30_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 92480 ) N ;
- FILLER_30_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 92480 ) N ;
- FILLER_30_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 92480 ) N ;
- FILLER_30_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 92480 ) N ;
- FILLER_30_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 92480 ) N ;
- FILLER_30_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 92480 ) N ;
- FILLER_30_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 92480 ) N ;
- FILLER_30_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 92480 ) N ;
- FILLER_30_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 92480 ) N ;
- FILLER_30_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 92480 ) N ;
- FILLER_30_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 92480 ) N ;
- FILLER_30_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 92480 ) N ;
- FILLER_30_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 92480 ) N ;
- FILLER_30_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 92480 ) N ;
- FILLER_30_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 92480 ) N ;
- FILLER_30_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 92480 ) N ;
- FILLER_30_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 92480 ) N ;
- FILLER_30_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 92480 ) N ;
- FILLER_30_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 92480 ) N ;
- FILLER_30_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 92480 ) N ;
- FILLER_31_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 95200 ) FS ;
- FILLER_31_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 95200 ) FS ;
- FILLER_31_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 95200 ) FS ;
- FILLER_31_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 95200 ) FS ;
- FILLER_31_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 95200 ) FS ;
- FILLER_31_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 95200 ) FS ;
- FILLER_31_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 95200 ) FS ;
- FILLER_31_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_fd_sc_hd__decap_8 + PLACED ( 83260 95200 ) FS ;
- FILLER_31_177 sky130_fd_sc_hd__fill_1 + PLACED ( 86940 95200 ) FS ;
- FILLER_31_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 95200 ) FS ;
- FILLER_31_182 sky130_fd_sc_hd__decap_4 + PLACED ( 89240 95200 ) FS ;
- FILLER_31_190 sky130_fd_sc_hd__decap_4 + PLACED ( 92920 95200 ) FS ;
- FILLER_31_194 sky130_fd_sc_hd__fill_1 + PLACED ( 94760 95200 ) FS ;
- FILLER_31_199 sky130_fd_sc_hd__decap_4 + PLACED ( 97060 95200 ) FS ;
- FILLER_31_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 95200 ) FS ;
- FILLER_31_215 sky130_fd_sc_hd__decap_8 + PLACED ( 104420 95200 ) FS ;
- FILLER_31_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 95200 ) FS ;
- FILLER_31_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 95200 ) FS ;
- FILLER_31_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 95200 ) FS ;
- FILLER_31_241 sky130_fd_sc_hd__decap_12 + PLACED ( 116380 95200 ) FS ;
- FILLER_31_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 95200 ) FS ;
- FILLER_31_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 95200 ) FS ;
- FILLER_31_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 95200 ) FS ;
- FILLER_31_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 95200 ) FS ;
- FILLER_31_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 95200 ) FS ;
- FILLER_31_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 95200 ) FS ;
- FILLER_31_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 95200 ) FS ;
- FILLER_31_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 95200 ) FS ;
- FILLER_31_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 95200 ) FS ;
- FILLER_31_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 95200 ) FS ;
- FILLER_31_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 95200 ) FS ;
- FILLER_31_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 95200 ) FS ;
- FILLER_31_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 95200 ) FS ;
- FILLER_31_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 95200 ) FS ;
- FILLER_31_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 95200 ) FS ;
- FILLER_31_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 95200 ) FS ;
- FILLER_31_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 95200 ) FS ;
- FILLER_31_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 95200 ) FS ;
- FILLER_31_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 95200 ) FS ;
- FILLER_31_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 95200 ) FS ;
- FILLER_31_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 95200 ) FS ;
- FILLER_31_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 95200 ) FS ;
- FILLER_31_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 95200 ) FS ;
- FILLER_31_89 sky130_fd_sc_hd__fill_2 + PLACED ( 46460 95200 ) FS ;
- FILLER_31_95 sky130_fd_sc_hd__decap_12 + PLACED ( 49220 95200 ) FS ;
- FILLER_32_100 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 97920 ) N ;
- FILLER_32_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 97920 ) N ;
- FILLER_32_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 97920 ) N ;
- FILLER_32_112 sky130_fd_sc_hd__fill_1 + PLACED ( 57040 97920 ) N ;
- FILLER_32_117 sky130_fd_sc_hd__decap_12 + PLACED ( 59340 97920 ) N ;
- FILLER_32_129 sky130_fd_sc_hd__decap_8 + PLACED ( 64860 97920 ) N ;
- FILLER_32_137 sky130_fd_sc_hd__decap_3 + PLACED ( 68540 97920 ) N ;
- FILLER_32_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 97920 ) N ;
- FILLER_32_145 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 97920 ) N ;
- FILLER_32_150 sky130_fd_sc_hd__decap_6 + PLACED ( 74520 97920 ) N ;
- FILLER_32_160 sky130_fd_sc_hd__decap_4 + PLACED ( 79120 97920 ) N ;
- FILLER_32_168 sky130_fd_sc_hd__decap_6 + PLACED ( 82800 97920 ) N ;
- FILLER_32_178 sky130_fd_sc_hd__decap_4 + PLACED ( 87400 97920 ) N ;
- FILLER_32_186 sky130_fd_sc_hd__decap_8 + PLACED ( 91080 97920 ) N ;
- FILLER_32_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 97920 ) N ;
- FILLER_32_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 97920 ) N ;
- FILLER_32_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 97920 ) N ;
- FILLER_32_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 97920 ) N ;
- FILLER_32_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 97920 ) N ;
- FILLER_32_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 97920 ) N ;
- FILLER_32_228 sky130_fd_sc_hd__decap_6 + PLACED ( 110400 97920 ) N ;
- FILLER_32_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 97920 ) N ;
- FILLER_32_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 97920 ) N ;
- FILLER_32_246 sky130_fd_sc_hd__decap_6 + PLACED ( 118680 97920 ) N ;
- FILLER_32_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 97920 ) N ;
- FILLER_32_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 97920 ) N ;
- FILLER_32_269 sky130_fd_sc_hd__decap_6 + PLACED ( 129260 97920 ) N ;
- FILLER_32_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 97920 ) N ;
- FILLER_32_275 sky130_fd_sc_hd__fill_1 + PLACED ( 132020 97920 ) N ;
- FILLER_32_280 sky130_fd_sc_hd__decap_8 + PLACED ( 134320 97920 ) N ;
- FILLER_32_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 97920 ) N ;
- FILLER_32_292 sky130_fd_sc_hd__decap_12 + PLACED ( 139840 97920 ) N ;
- FILLER_32_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 97920 ) N ;
- FILLER_32_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 97920 ) N ;
- FILLER_32_309 sky130_fd_sc_hd__decap_8 + PLACED ( 147660 97920 ) N ;
- FILLER_32_317 sky130_fd_sc_hd__decap_3 + PLACED ( 151340 97920 ) N ;
- FILLER_32_324 sky130_fd_sc_hd__decap_6 + PLACED ( 154560 97920 ) N ;
- FILLER_32_334 sky130_fd_sc_hd__decap_12 + PLACED ( 159160 97920 ) N ;
- FILLER_32_346 sky130_fd_sc_hd__decap_12 + PLACED ( 164680 97920 ) N ;
- FILLER_32_358 sky130_fd_sc_hd__decap_6 + PLACED ( 170200 97920 ) N ;
- FILLER_32_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 97920 ) N ;
- FILLER_32_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 97920 ) N ;
- FILLER_32_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 97920 ) N ;
- FILLER_32_385 sky130_fd_sc_hd__fill_1 + PLACED ( 182620 97920 ) N ;
- FILLER_32_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 97920 ) N ;
- FILLER_32_397 sky130_fd_sc_hd__decap_8 + PLACED ( 188140 97920 ) N ;
- FILLER_32_405 sky130_fd_sc_hd__fill_2 + PLACED ( 191820 97920 ) N ;
- FILLER_32_49 sky130_fd_sc_hd__decap_12 + PLACED ( 28060 97920 ) N ;
- FILLER_32_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 97920 ) N ;
- FILLER_32_73 sky130_fd_sc_hd__decap_3 + PLACED ( 39100 97920 ) N ;
- FILLER_32_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 97920 ) N ;
- FILLER_32_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 97920 ) N ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 100640 ) FS ;
- FILLER_33_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 100640 ) FS ;
- FILLER_33_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 100640 ) FS ;
- FILLER_33_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 100640 ) FS ;
- FILLER_33_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 100640 ) FS ;
- FILLER_33_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 100640 ) FS ;
- FILLER_33_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 100640 ) FS ;
- FILLER_33_169 sky130_fd_sc_hd__decap_8 + PLACED ( 83260 100640 ) FS ;
- FILLER_33_177 sky130_fd_sc_hd__decap_3 + PLACED ( 86940 100640 ) FS ;
- FILLER_33_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 100640 ) FS ;
- FILLER_33_184 sky130_fd_sc_hd__decap_8 + PLACED ( 90160 100640 ) FS ;
- FILLER_33_192 sky130_fd_sc_hd__fill_2 + PLACED ( 93840 100640 ) FS ;
- FILLER_33_198 sky130_fd_sc_hd__decap_4 + PLACED ( 96600 100640 ) FS ;
- FILLER_33_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 100640 ) FS ;
- FILLER_33_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 100640 ) FS ;
- FILLER_33_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 100640 ) FS ;
- FILLER_33_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 100640 ) FS ;
- FILLER_33_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 100640 ) FS ;
- FILLER_33_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 100640 ) FS ;
- FILLER_33_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 100640 ) FS ;
- FILLER_33_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 100640 ) FS ;
- FILLER_33_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 100640 ) FS ;
- FILLER_33_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 100640 ) FS ;
- FILLER_33_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 100640 ) FS ;
- FILLER_33_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 100640 ) FS ;
- FILLER_33_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 100640 ) FS ;
- FILLER_33_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 100640 ) FS ;
- FILLER_33_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 100640 ) FS ;
- FILLER_33_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 100640 ) FS ;
- FILLER_33_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 100640 ) FS ;
- FILLER_33_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 100640 ) FS ;
- FILLER_33_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 100640 ) FS ;
- FILLER_33_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 100640 ) FS ;
- FILLER_33_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 100640 ) FS ;
- FILLER_33_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 100640 ) FS ;
- FILLER_33_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 100640 ) FS ;
- FILLER_33_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 100640 ) FS ;
- FILLER_33_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 100640 ) FS ;
- FILLER_33_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 100640 ) FS ;
- FILLER_33_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 100640 ) FS ;
- FILLER_33_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 103360 ) N ;
- FILLER_34_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 103360 ) N ;
- FILLER_34_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 103360 ) N ;
- FILLER_34_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_fd_sc_hd__decap_8 + PLACED ( 86940 103360 ) N ;
- FILLER_34_185 sky130_fd_sc_hd__decap_3 + PLACED ( 90620 103360 ) N ;
- FILLER_34_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 103360 ) N ;
- FILLER_34_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 103360 ) N ;
- FILLER_34_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 103360 ) N ;
- FILLER_34_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 103360 ) N ;
- FILLER_34_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 103360 ) N ;
- FILLER_34_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 103360 ) N ;
- FILLER_34_222 sky130_fd_sc_hd__decap_12 + PLACED ( 107640 103360 ) N ;
- FILLER_34_234 sky130_fd_sc_hd__decap_12 + PLACED ( 113160 103360 ) N ;
- FILLER_34_246 sky130_fd_sc_hd__decap_6 + PLACED ( 118680 103360 ) N ;
- FILLER_34_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 103360 ) N ;
- FILLER_34_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 103360 ) N ;
- FILLER_34_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 103360 ) N ;
- FILLER_34_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 103360 ) N ;
- FILLER_34_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 103360 ) N ;
- FILLER_34_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 103360 ) N ;
- FILLER_34_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 103360 ) N ;
- FILLER_34_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 103360 ) N ;
- FILLER_34_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 103360 ) N ;
- FILLER_34_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 103360 ) N ;
- FILLER_34_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 103360 ) N ;
- FILLER_34_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 103360 ) N ;
- FILLER_34_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 103360 ) N ;
- FILLER_34_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 103360 ) N ;
- FILLER_34_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 103360 ) N ;
- FILLER_34_389 sky130_fd_sc_hd__fill_2 + PLACED ( 184460 103360 ) N ;
- FILLER_34_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 103360 ) N ;
- FILLER_34_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 103360 ) N ;
- FILLER_34_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 103360 ) N ;
- FILLER_34_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 103360 ) N ;
- FILLER_34_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 103360 ) N ;
- FILLER_34_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 103360 ) N ;
- FILLER_34_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 103360 ) N ;
- FILLER_34_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 103360 ) N ;
- FILLER_34_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 106080 ) FS ;
- FILLER_35_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 106080 ) FS ;
- FILLER_35_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 106080 ) FS ;
- FILLER_35_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 106080 ) FS ;
- FILLER_35_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 106080 ) FS ;
- FILLER_35_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 106080 ) FS ;
- FILLER_35_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 106080 ) FS ;
- FILLER_35_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 106080 ) FS ;
- FILLER_35_193 sky130_fd_sc_hd__fill_1 + PLACED ( 94300 106080 ) FS ;
- FILLER_35_198 sky130_fd_sc_hd__decap_4 + PLACED ( 96600 106080 ) FS ;
- FILLER_35_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 106080 ) FS ;
- FILLER_35_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 106080 ) FS ;
- FILLER_35_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 106080 ) FS ;
- FILLER_35_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 106080 ) FS ;
- FILLER_35_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 106080 ) FS ;
- FILLER_35_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 106080 ) FS ;
- FILLER_35_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 106080 ) FS ;
- FILLER_35_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 106080 ) FS ;
- FILLER_35_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 106080 ) FS ;
- FILLER_35_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 106080 ) FS ;
- FILLER_35_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 106080 ) FS ;
- FILLER_35_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 106080 ) FS ;
- FILLER_35_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 106080 ) FS ;
- FILLER_35_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 106080 ) FS ;
- FILLER_35_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 106080 ) FS ;
- FILLER_35_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 106080 ) FS ;
- FILLER_35_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 106080 ) FS ;
- FILLER_35_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 106080 ) FS ;
- FILLER_35_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 106080 ) FS ;
- FILLER_35_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 106080 ) FS ;
- FILLER_35_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 106080 ) FS ;
- FILLER_35_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 106080 ) FS ;
- FILLER_35_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 106080 ) FS ;
- FILLER_35_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 106080 ) FS ;
- FILLER_35_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 106080 ) FS ;
- FILLER_35_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 106080 ) FS ;
- FILLER_35_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 106080 ) FS ;
- FILLER_35_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 106080 ) FS ;
- FILLER_35_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 108800 ) N ;
- FILLER_36_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 108800 ) N ;
- FILLER_36_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 108800 ) N ;
- FILLER_36_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 108800 ) N ;
- FILLER_36_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 108800 ) N ;
- FILLER_36_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 108800 ) N ;
- FILLER_36_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 108800 ) N ;
- FILLER_36_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 108800 ) N ;
- FILLER_36_228 sky130_fd_sc_hd__decap_12 + PLACED ( 110400 108800 ) N ;
- FILLER_36_240 sky130_fd_sc_hd__decap_12 + PLACED ( 115920 108800 ) N ;
- FILLER_36_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 108800 ) N ;
- FILLER_36_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 108800 ) N ;
- FILLER_36_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 108800 ) N ;
- FILLER_36_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 108800 ) N ;
- FILLER_36_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 108800 ) N ;
- FILLER_36_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 108800 ) N ;
- FILLER_36_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 108800 ) N ;
- FILLER_36_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 108800 ) N ;
- FILLER_36_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 108800 ) N ;
- FILLER_36_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 108800 ) N ;
- FILLER_36_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 108800 ) N ;
- FILLER_36_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 108800 ) N ;
- FILLER_36_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 108800 ) N ;
- FILLER_36_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 108800 ) N ;
- FILLER_36_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 108800 ) N ;
- FILLER_36_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 108800 ) N ;
- FILLER_36_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 108800 ) N ;
- FILLER_36_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 108800 ) N ;
- FILLER_36_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 108800 ) N ;
- FILLER_36_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 108800 ) N ;
- FILLER_36_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 108800 ) N ;
- FILLER_36_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 108800 ) N ;
- FILLER_36_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 108800 ) N ;
- FILLER_36_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 111520 ) FS ;
- FILLER_37_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 111520 ) FS ;
- FILLER_37_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 111520 ) FS ;
- FILLER_37_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 111520 ) FS ;
- FILLER_37_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 111520 ) FS ;
- FILLER_37_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 111520 ) FS ;
- FILLER_37_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 111520 ) FS ;
- FILLER_37_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 111520 ) FS ;
- FILLER_37_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 111520 ) FS ;
- FILLER_37_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 111520 ) FS ;
- FILLER_37_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 111520 ) FS ;
- FILLER_37_229 sky130_fd_sc_hd__fill_1 + PLACED ( 110860 111520 ) FS ;
- FILLER_37_234 sky130_fd_sc_hd__decap_12 + PLACED ( 113160 111520 ) FS ;
- FILLER_37_246 sky130_fd_sc_hd__decap_12 + PLACED ( 118680 111520 ) FS ;
- FILLER_37_258 sky130_fd_sc_hd__decap_12 + PLACED ( 124200 111520 ) FS ;
- FILLER_37_270 sky130_fd_sc_hd__decap_8 + PLACED ( 129720 111520 ) FS ;
- FILLER_37_278 sky130_fd_sc_hd__fill_2 + PLACED ( 133400 111520 ) FS ;
- FILLER_37_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 111520 ) FS ;
- FILLER_37_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 111520 ) FS ;
- FILLER_37_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 111520 ) FS ;
- FILLER_37_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 111520 ) FS ;
- FILLER_37_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 111520 ) FS ;
- FILLER_37_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 111520 ) FS ;
- FILLER_37_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 111520 ) FS ;
- FILLER_37_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 111520 ) FS ;
- FILLER_37_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 111520 ) FS ;
- FILLER_37_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 111520 ) FS ;
- FILLER_37_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 111520 ) FS ;
- FILLER_37_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 111520 ) FS ;
- FILLER_37_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 111520 ) FS ;
- FILLER_37_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 111520 ) FS ;
- FILLER_37_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 111520 ) FS ;
- FILLER_37_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 111520 ) FS ;
- FILLER_37_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 111520 ) FS ;
- FILLER_37_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 111520 ) FS ;
- FILLER_37_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 111520 ) FS ;
- FILLER_37_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 111520 ) FS ;
- FILLER_37_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 111520 ) FS ;
- FILLER_37_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 114240 ) N ;
- FILLER_38_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 114240 ) N ;
- FILLER_38_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 114240 ) N ;
- FILLER_38_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 114240 ) N ;
- FILLER_38_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 114240 ) N ;
- FILLER_38_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 114240 ) N ;
- FILLER_38_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 114240 ) N ;
- FILLER_38_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 114240 ) N ;
- FILLER_38_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 114240 ) N ;
- FILLER_38_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 114240 ) N ;
- FILLER_38_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 114240 ) N ;
- FILLER_38_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 114240 ) N ;
- FILLER_38_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 114240 ) N ;
- FILLER_38_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 114240 ) N ;
- FILLER_38_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 114240 ) N ;
- FILLER_38_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 114240 ) N ;
- FILLER_38_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 114240 ) N ;
- FILLER_38_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 114240 ) N ;
- FILLER_38_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 114240 ) N ;
- FILLER_38_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 114240 ) N ;
- FILLER_38_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 114240 ) N ;
- FILLER_38_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 114240 ) N ;
- FILLER_38_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 114240 ) N ;
- FILLER_38_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 114240 ) N ;
- FILLER_38_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 114240 ) N ;
- FILLER_38_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 114240 ) N ;
- FILLER_38_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 114240 ) N ;
- FILLER_38_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 114240 ) N ;
- FILLER_38_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 114240 ) N ;
- FILLER_38_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 114240 ) N ;
- FILLER_38_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 114240 ) N ;
- FILLER_38_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 114240 ) N ;
- FILLER_38_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 114240 ) N ;
- FILLER_38_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 114240 ) N ;
- FILLER_38_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 114240 ) N ;
- FILLER_38_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 114240 ) N ;
- FILLER_39_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 116960 ) FS ;
- FILLER_39_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 116960 ) FS ;
- FILLER_39_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 116960 ) FS ;
- FILLER_39_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 116960 ) FS ;
- FILLER_39_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 116960 ) FS ;
- FILLER_39_193 sky130_fd_sc_hd__decap_8 + PLACED ( 94300 116960 ) FS ;
- FILLER_39_201 sky130_fd_sc_hd__fill_2 + PLACED ( 97980 116960 ) FS ;
- FILLER_39_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 116960 ) FS ;
- FILLER_39_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 116960 ) FS ;
- FILLER_39_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 116960 ) FS ;
- FILLER_39_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 116960 ) FS ;
- FILLER_39_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 116960 ) FS ;
- FILLER_39_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 116960 ) FS ;
- FILLER_39_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 116960 ) FS ;
- FILLER_39_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 116960 ) FS ;
- FILLER_39_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 116960 ) FS ;
- FILLER_39_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 116960 ) FS ;
- FILLER_39_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 116960 ) FS ;
- FILLER_39_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 116960 ) FS ;
- FILLER_39_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 116960 ) FS ;
- FILLER_39_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 116960 ) FS ;
- FILLER_39_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 116960 ) FS ;
- FILLER_39_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 116960 ) FS ;
- FILLER_39_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 116960 ) FS ;
- FILLER_39_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 116960 ) FS ;
- FILLER_39_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 116960 ) FS ;
- FILLER_39_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 116960 ) FS ;
- FILLER_39_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 116960 ) FS ;
- FILLER_39_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 116960 ) FS ;
- FILLER_39_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 116960 ) FS ;
- FILLER_39_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 116960 ) FS ;
- FILLER_39_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 116960 ) FS ;
- FILLER_39_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 116960 ) FS ;
- FILLER_39_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 116960 ) FS ;
- FILLER_39_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 116960 ) FS ;
- FILLER_39_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 116960 ) FS ;
- FILLER_3_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 19040 ) FS ;
- FILLER_3_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 19040 ) FS ;
- FILLER_3_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 19040 ) FS ;
- FILLER_3_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 19040 ) FS ;
- FILLER_3_137 sky130_fd_sc_hd__fill_2 + PLACED ( 68540 19040 ) FS ;
- FILLER_3_142 sky130_fd_sc_hd__decap_12 + PLACED ( 70840 19040 ) FS ;
- FILLER_3_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 19040 ) FS ;
- FILLER_3_154 sky130_fd_sc_hd__decap_12 + PLACED ( 76360 19040 ) FS ;
- FILLER_3_166 sky130_fd_sc_hd__fill_2 + PLACED ( 81880 19040 ) FS ;
- FILLER_3_169 sky130_fd_sc_hd__decap_8 + PLACED ( 83260 19040 ) FS ;
- FILLER_3_177 sky130_fd_sc_hd__fill_1 + PLACED ( 86940 19040 ) FS ;
- FILLER_3_181 sky130_fd_sc_hd__decap_6 + PLACED ( 88780 19040 ) FS ;
- FILLER_3_187 sky130_fd_sc_hd__fill_1 + PLACED ( 91540 19040 ) FS ;
- FILLER_3_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 19040 ) FS ;
- FILLER_3_198 sky130_fd_sc_hd__decap_4 + PLACED ( 96600 19040 ) FS ;
- FILLER_3_205 sky130_fd_sc_hd__decap_6 + PLACED ( 99820 19040 ) FS ;
- FILLER_3_215 sky130_fd_sc_hd__decap_8 + PLACED ( 104420 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 19040 ) FS ;
- FILLER_3_228 sky130_fd_sc_hd__decap_6 + PLACED ( 110400 19040 ) FS ;
- FILLER_3_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 19040 ) FS ;
- FILLER_3_234 sky130_fd_sc_hd__fill_1 + PLACED ( 113160 19040 ) FS ;
- FILLER_3_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 19040 ) FS ;
- FILLER_3_245 sky130_fd_sc_hd__decap_4 + PLACED ( 118220 19040 ) FS ;
- FILLER_3_252 sky130_fd_sc_hd__decap_12 + PLACED ( 121440 19040 ) FS ;
- FILLER_3_264 sky130_fd_sc_hd__decap_4 + PLACED ( 126960 19040 ) FS ;
- FILLER_3_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 19040 ) FS ;
- FILLER_3_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 19040 ) FS ;
- FILLER_3_284 sky130_fd_sc_hd__decap_12 + PLACED ( 136160 19040 ) FS ;
- FILLER_3_296 sky130_fd_sc_hd__decap_12 + PLACED ( 141680 19040 ) FS ;
- FILLER_3_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 19040 ) FS ;
- FILLER_3_308 sky130_fd_sc_hd__decap_8 + PLACED ( 147200 19040 ) FS ;
- FILLER_3_316 sky130_fd_sc_hd__fill_1 + PLACED ( 150880 19040 ) FS ;
- FILLER_3_320 sky130_fd_sc_hd__decap_12 + PLACED ( 152720 19040 ) FS ;
- FILLER_3_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 19040 ) FS ;
- FILLER_3_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 19040 ) FS ;
- FILLER_3_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 19040 ) FS ;
- FILLER_3_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 19040 ) FS ;
- FILLER_3_367 sky130_fd_sc_hd__decap_6 + PLACED ( 174340 19040 ) FS ;
- FILLER_3_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 19040 ) FS ;
- FILLER_3_373 sky130_fd_sc_hd__fill_1 + PLACED ( 177100 19040 ) FS ;
- FILLER_3_377 sky130_fd_sc_hd__decap_4 + PLACED ( 178940 19040 ) FS ;
- FILLER_3_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 19040 ) FS ;
- FILLER_3_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 19040 ) FS ;
- FILLER_3_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 19040 ) FS ;
- FILLER_3_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 19040 ) FS ;
- FILLER_3_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 19040 ) FS ;
- FILLER_3_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 19040 ) FS ;
- FILLER_3_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 19040 ) FS ;
- FILLER_3_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 19040 ) FS ;
- FILLER_3_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 19040 ) FS ;
- FILLER_3_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 19040 ) FS ;
- FILLER_40_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 119680 ) N ;
- FILLER_40_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 119680 ) N ;
- FILLER_40_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 119680 ) N ;
- FILLER_40_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 119680 ) N ;
- FILLER_40_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 119680 ) N ;
- FILLER_40_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 119680 ) N ;
- FILLER_40_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 119680 ) N ;
- FILLER_40_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 119680 ) N ;
- FILLER_40_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 119680 ) N ;
- FILLER_40_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 119680 ) N ;
- FILLER_40_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 119680 ) N ;
- FILLER_40_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 119680 ) N ;
- FILLER_40_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 119680 ) N ;
- FILLER_40_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 119680 ) N ;
- FILLER_40_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 119680 ) N ;
- FILLER_40_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 119680 ) N ;
- FILLER_40_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 119680 ) N ;
- FILLER_40_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 119680 ) N ;
- FILLER_40_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 119680 ) N ;
- FILLER_40_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 119680 ) N ;
- FILLER_40_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 119680 ) N ;
- FILLER_40_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 119680 ) N ;
- FILLER_40_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 119680 ) N ;
- FILLER_40_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 119680 ) N ;
- FILLER_40_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 119680 ) N ;
- FILLER_40_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 119680 ) N ;
- FILLER_40_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 119680 ) N ;
- FILLER_40_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 119680 ) N ;
- FILLER_40_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 119680 ) N ;
- FILLER_40_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 119680 ) N ;
- FILLER_40_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 119680 ) N ;
- FILLER_40_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 119680 ) N ;
- FILLER_40_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 119680 ) N ;
- FILLER_40_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 119680 ) N ;
- FILLER_40_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 119680 ) N ;
- FILLER_40_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 119680 ) N ;
- FILLER_40_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 119680 ) N ;
- FILLER_40_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 119680 ) N ;
- FILLER_40_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 119680 ) N ;
- FILLER_40_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 119680 ) N ;
- FILLER_41_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 122400 ) FS ;
- FILLER_41_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 122400 ) FS ;
- FILLER_41_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 122400 ) FS ;
- FILLER_41_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 122400 ) FS ;
- FILLER_41_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 122400 ) FS ;
- FILLER_41_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 122400 ) FS ;
- FILLER_41_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 122400 ) FS ;
- FILLER_41_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 122400 ) FS ;
- FILLER_41_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 122400 ) FS ;
- FILLER_41_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 122400 ) FS ;
- FILLER_41_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 122400 ) FS ;
- FILLER_41_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 122400 ) FS ;
- FILLER_41_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 122400 ) FS ;
- FILLER_41_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 122400 ) FS ;
- FILLER_41_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 122400 ) FS ;
- FILLER_41_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 122400 ) FS ;
- FILLER_41_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 122400 ) FS ;
- FILLER_41_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 122400 ) FS ;
- FILLER_41_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 122400 ) FS ;
- FILLER_41_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 122400 ) FS ;
- FILLER_41_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 122400 ) FS ;
- FILLER_41_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 122400 ) FS ;
- FILLER_41_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 122400 ) FS ;
- FILLER_41_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 122400 ) FS ;
- FILLER_41_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 122400 ) FS ;
- FILLER_41_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 122400 ) FS ;
- FILLER_41_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 122400 ) FS ;
- FILLER_41_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 122400 ) FS ;
- FILLER_41_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 122400 ) FS ;
- FILLER_41_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 122400 ) FS ;
- FILLER_41_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 122400 ) FS ;
- FILLER_41_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 122400 ) FS ;
- FILLER_41_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 122400 ) FS ;
- FILLER_41_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 122400 ) FS ;
- FILLER_41_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 122400 ) FS ;
- FILLER_41_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 122400 ) FS ;
- FILLER_41_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 122400 ) FS ;
- FILLER_41_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 122400 ) FS ;
- FILLER_42_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 125120 ) N ;
- FILLER_42_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 125120 ) N ;
- FILLER_42_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 125120 ) N ;
- FILLER_42_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 125120 ) N ;
- FILLER_42_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 125120 ) N ;
- FILLER_42_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 125120 ) N ;
- FILLER_42_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 125120 ) N ;
- FILLER_42_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 125120 ) N ;
- FILLER_42_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 125120 ) N ;
- FILLER_42_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 125120 ) N ;
- FILLER_42_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 125120 ) N ;
- FILLER_42_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 125120 ) N ;
- FILLER_42_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 125120 ) N ;
- FILLER_42_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 125120 ) N ;
- FILLER_42_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 125120 ) N ;
- FILLER_42_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 125120 ) N ;
- FILLER_42_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 125120 ) N ;
- FILLER_42_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 125120 ) N ;
- FILLER_42_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 125120 ) N ;
- FILLER_42_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 125120 ) N ;
- FILLER_42_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 125120 ) N ;
- FILLER_42_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 125120 ) N ;
- FILLER_42_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 125120 ) N ;
- FILLER_42_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 125120 ) N ;
- FILLER_42_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 125120 ) N ;
- FILLER_42_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 125120 ) N ;
- FILLER_42_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 125120 ) N ;
- FILLER_42_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 125120 ) N ;
- FILLER_42_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 125120 ) N ;
- FILLER_42_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 125120 ) N ;
- FILLER_42_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 125120 ) N ;
- FILLER_42_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 125120 ) N ;
- FILLER_42_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 125120 ) N ;
- FILLER_42_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 125120 ) N ;
- FILLER_42_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 125120 ) N ;
- FILLER_42_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 125120 ) N ;
- FILLER_42_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 125120 ) N ;
- FILLER_42_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 125120 ) N ;
- FILLER_42_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 125120 ) N ;
- FILLER_42_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 125120 ) N ;
- FILLER_42_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 125120 ) N ;
- FILLER_43_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 127840 ) FS ;
- FILLER_43_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 127840 ) FS ;
- FILLER_43_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 127840 ) FS ;
- FILLER_43_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 127840 ) FS ;
- FILLER_43_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 127840 ) FS ;
- FILLER_43_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 127840 ) FS ;
- FILLER_43_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 127840 ) FS ;
- FILLER_43_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 127840 ) FS ;
- FILLER_43_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 127840 ) FS ;
- FILLER_43_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 127840 ) FS ;
- FILLER_43_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 127840 ) FS ;
- FILLER_43_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 127840 ) FS ;
- FILLER_43_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 127840 ) FS ;
- FILLER_43_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 127840 ) FS ;
- FILLER_43_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 127840 ) FS ;
- FILLER_43_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 127840 ) FS ;
- FILLER_43_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 127840 ) FS ;
- FILLER_43_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 127840 ) FS ;
- FILLER_43_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 127840 ) FS ;
- FILLER_43_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 127840 ) FS ;
- FILLER_43_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 127840 ) FS ;
- FILLER_43_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 127840 ) FS ;
- FILLER_43_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 127840 ) FS ;
- FILLER_43_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 127840 ) FS ;
- FILLER_43_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 127840 ) FS ;
- FILLER_43_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 127840 ) FS ;
- FILLER_43_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 127840 ) FS ;
- FILLER_43_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 127840 ) FS ;
- FILLER_43_373 sky130_fd_sc_hd__decap_8 + PLACED ( 177100 127840 ) FS ;
- FILLER_43_381 sky130_fd_sc_hd__fill_1 + PLACED ( 180780 127840 ) FS ;
- FILLER_43_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 127840 ) FS ;
- FILLER_43_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 127840 ) FS ;
- FILLER_43_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 127840 ) FS ;
- FILLER_43_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 127840 ) FS ;
- FILLER_43_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 127840 ) FS ;
- FILLER_43_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 127840 ) FS ;
- FILLER_43_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 127840 ) FS ;
- FILLER_43_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 127840 ) FS ;
- FILLER_43_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 127840 ) FS ;
- FILLER_43_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 127840 ) FS ;
- FILLER_44_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 130560 ) N ;
- FILLER_44_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 130560 ) N ;
- FILLER_44_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 130560 ) N ;
- FILLER_44_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 130560 ) N ;
- FILLER_44_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 130560 ) N ;
- FILLER_44_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 130560 ) N ;
- FILLER_44_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 130560 ) N ;
- FILLER_44_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 130560 ) N ;
- FILLER_44_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 130560 ) N ;
- FILLER_44_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 130560 ) N ;
- FILLER_44_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 130560 ) N ;
- FILLER_44_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 130560 ) N ;
- FILLER_44_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 130560 ) N ;
- FILLER_44_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 130560 ) N ;
- FILLER_44_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 130560 ) N ;
- FILLER_44_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 130560 ) N ;
- FILLER_44_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 130560 ) N ;
- FILLER_44_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 130560 ) N ;
- FILLER_44_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 130560 ) N ;
- FILLER_44_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 130560 ) N ;
- FILLER_44_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 130560 ) N ;
- FILLER_44_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 130560 ) N ;
- FILLER_44_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 130560 ) N ;
- FILLER_44_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 130560 ) N ;
- FILLER_44_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 130560 ) N ;
- FILLER_44_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 130560 ) N ;
- FILLER_44_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 130560 ) N ;
- FILLER_44_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 130560 ) N ;
- FILLER_44_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 130560 ) N ;
- FILLER_44_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 130560 ) N ;
- FILLER_44_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 130560 ) N ;
- FILLER_44_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 130560 ) N ;
- FILLER_44_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 130560 ) N ;
- FILLER_44_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 130560 ) N ;
- FILLER_44_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 130560 ) N ;
- FILLER_44_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 130560 ) N ;
- FILLER_44_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 130560 ) N ;
- FILLER_44_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 130560 ) N ;
- FILLER_44_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 130560 ) N ;
- FILLER_44_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 133280 ) FS ;
- FILLER_45_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 133280 ) FS ;
- FILLER_45_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 133280 ) FS ;
- FILLER_45_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 133280 ) FS ;
- FILLER_45_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 133280 ) FS ;
- FILLER_45_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 133280 ) FS ;
- FILLER_45_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 133280 ) FS ;
- FILLER_45_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 133280 ) FS ;
- FILLER_45_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 133280 ) FS ;
- FILLER_45_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 133280 ) FS ;
- FILLER_45_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 133280 ) FS ;
- FILLER_45_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 133280 ) FS ;
- FILLER_45_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 133280 ) FS ;
- FILLER_45_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 133280 ) FS ;
- FILLER_45_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 133280 ) FS ;
- FILLER_45_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 133280 ) FS ;
- FILLER_45_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 133280 ) FS ;
- FILLER_45_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 133280 ) FS ;
- FILLER_45_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 133280 ) FS ;
- FILLER_45_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 133280 ) FS ;
- FILLER_45_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 133280 ) FS ;
- FILLER_45_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 133280 ) FS ;
- FILLER_45_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 133280 ) FS ;
- FILLER_45_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 133280 ) FS ;
- FILLER_45_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 133280 ) FS ;
- FILLER_45_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 133280 ) FS ;
- FILLER_45_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 133280 ) FS ;
- FILLER_45_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 133280 ) FS ;
- FILLER_45_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 133280 ) FS ;
- FILLER_45_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 133280 ) FS ;
- FILLER_45_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 133280 ) FS ;
- FILLER_45_399 sky130_fd_sc_hd__fill_1 + PLACED ( 189060 133280 ) FS ;
- FILLER_45_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 133280 ) FS ;
- FILLER_45_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 133280 ) FS ;
- FILLER_45_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 133280 ) FS ;
- FILLER_45_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 133280 ) FS ;
- FILLER_45_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 133280 ) FS ;
- FILLER_45_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 136000 ) N ;
- FILLER_46_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 136000 ) N ;
- FILLER_46_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 136000 ) N ;
- FILLER_46_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 136000 ) N ;
- FILLER_46_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 136000 ) N ;
- FILLER_46_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 136000 ) N ;
- FILLER_46_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 136000 ) N ;
- FILLER_46_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 136000 ) N ;
- FILLER_46_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 136000 ) N ;
- FILLER_46_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 136000 ) N ;
- FILLER_46_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 136000 ) N ;
- FILLER_46_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 136000 ) N ;
- FILLER_46_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 136000 ) N ;
- FILLER_46_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 136000 ) N ;
- FILLER_46_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 136000 ) N ;
- FILLER_46_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 136000 ) N ;
- FILLER_46_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 136000 ) N ;
- FILLER_46_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 136000 ) N ;
- FILLER_46_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 136000 ) N ;
- FILLER_46_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 136000 ) N ;
- FILLER_46_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 136000 ) N ;
- FILLER_46_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 136000 ) N ;
- FILLER_46_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 136000 ) N ;
- FILLER_46_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 136000 ) N ;
- FILLER_46_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 136000 ) N ;
- FILLER_46_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 136000 ) N ;
- FILLER_46_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 136000 ) N ;
- FILLER_46_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 136000 ) N ;
- FILLER_46_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 136000 ) N ;
- FILLER_46_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 136000 ) N ;
- FILLER_46_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 136000 ) N ;
- FILLER_46_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 136000 ) N ;
- FILLER_46_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 136000 ) N ;
- FILLER_46_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 136000 ) N ;
- FILLER_46_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 136000 ) N ;
- FILLER_46_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 136000 ) N ;
- FILLER_46_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 136000 ) N ;
- FILLER_46_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 136000 ) N ;
- FILLER_46_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 136000 ) N ;
- FILLER_46_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 136000 ) N ;
- FILLER_47_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 138720 ) FS ;
- FILLER_47_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 138720 ) FS ;
- FILLER_47_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 138720 ) FS ;
- FILLER_47_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 138720 ) FS ;
- FILLER_47_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 138720 ) FS ;
- FILLER_47_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 138720 ) FS ;
- FILLER_47_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 138720 ) FS ;
- FILLER_47_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 138720 ) FS ;
- FILLER_47_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 138720 ) FS ;
- FILLER_47_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 138720 ) FS ;
- FILLER_47_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 138720 ) FS ;
- FILLER_47_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 138720 ) FS ;
- FILLER_47_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 138720 ) FS ;
- FILLER_47_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 138720 ) FS ;
- FILLER_47_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 138720 ) FS ;
- FILLER_47_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 138720 ) FS ;
- FILLER_47_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 138720 ) FS ;
- FILLER_47_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 138720 ) FS ;
- FILLER_47_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 138720 ) FS ;
- FILLER_47_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 138720 ) FS ;
- FILLER_47_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 138720 ) FS ;
- FILLER_47_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 138720 ) FS ;
- FILLER_47_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 138720 ) FS ;
- FILLER_47_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 138720 ) FS ;
- FILLER_47_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 138720 ) FS ;
- FILLER_47_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 138720 ) FS ;
- FILLER_47_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 138720 ) FS ;
- FILLER_47_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 138720 ) FS ;
- FILLER_47_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 138720 ) FS ;
- FILLER_47_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 138720 ) FS ;
- FILLER_47_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 138720 ) FS ;
- FILLER_47_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 138720 ) FS ;
- FILLER_47_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 138720 ) FS ;
- FILLER_47_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 138720 ) FS ;
- FILLER_47_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 138720 ) FS ;
- FILLER_47_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 138720 ) FS ;
- FILLER_47_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 138720 ) FS ;
- FILLER_47_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 138720 ) FS ;
- FILLER_47_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 138720 ) FS ;
- FILLER_47_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 138720 ) FS ;
- FILLER_48_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 141440 ) N ;
- FILLER_48_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 141440 ) N ;
- FILLER_48_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 141440 ) N ;
- FILLER_48_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 141440 ) N ;
- FILLER_48_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 141440 ) N ;
- FILLER_48_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 141440 ) N ;
- FILLER_48_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 141440 ) N ;
- FILLER_48_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 141440 ) N ;
- FILLER_48_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 141440 ) N ;
- FILLER_48_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 141440 ) N ;
- FILLER_48_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 141440 ) N ;
- FILLER_48_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 141440 ) N ;
- FILLER_48_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 141440 ) N ;
- FILLER_48_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 141440 ) N ;
- FILLER_48_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 141440 ) N ;
- FILLER_48_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 141440 ) N ;
- FILLER_48_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 141440 ) N ;
- FILLER_48_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 141440 ) N ;
- FILLER_48_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 141440 ) N ;
- FILLER_48_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 141440 ) N ;
- FILLER_48_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 141440 ) N ;
- FILLER_48_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 141440 ) N ;
- FILLER_48_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 141440 ) N ;
- FILLER_48_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 141440 ) N ;
- FILLER_48_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 141440 ) N ;
- FILLER_48_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 141440 ) N ;
- FILLER_48_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 141440 ) N ;
- FILLER_48_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 141440 ) N ;
- FILLER_48_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 141440 ) N ;
- FILLER_48_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 141440 ) N ;
- FILLER_48_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 141440 ) N ;
- FILLER_48_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 141440 ) N ;
- FILLER_48_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 141440 ) N ;
- FILLER_48_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 141440 ) N ;
- FILLER_48_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 141440 ) N ;
- FILLER_48_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 141440 ) N ;
- FILLER_48_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 141440 ) N ;
- FILLER_48_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 141440 ) N ;
- FILLER_48_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 141440 ) N ;
- FILLER_48_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 141440 ) N ;
- FILLER_48_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 141440 ) N ;
- FILLER_48_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 141440 ) N ;
- FILLER_48_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 141440 ) N ;
- FILLER_49_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 144160 ) FS ;
- FILLER_49_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 144160 ) FS ;
- FILLER_49_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 144160 ) FS ;
- FILLER_49_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 144160 ) FS ;
- FILLER_49_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 144160 ) FS ;
- FILLER_49_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 144160 ) FS ;
- FILLER_49_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 144160 ) FS ;
- FILLER_49_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 144160 ) FS ;
- FILLER_49_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 144160 ) FS ;
- FILLER_49_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 144160 ) FS ;
- FILLER_49_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 144160 ) FS ;
- FILLER_49_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 144160 ) FS ;
- FILLER_49_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 144160 ) FS ;
- FILLER_49_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 144160 ) FS ;
- FILLER_49_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 144160 ) FS ;
- FILLER_49_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 144160 ) FS ;
- FILLER_49_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 144160 ) FS ;
- FILLER_49_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 144160 ) FS ;
- FILLER_49_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 144160 ) FS ;
- FILLER_49_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 144160 ) FS ;
- FILLER_49_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 144160 ) FS ;
- FILLER_49_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 144160 ) FS ;
- FILLER_49_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 144160 ) FS ;
- FILLER_49_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 144160 ) FS ;
- FILLER_49_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 144160 ) FS ;
- FILLER_49_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 144160 ) FS ;
- FILLER_49_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 144160 ) FS ;
- FILLER_49_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 144160 ) FS ;
- FILLER_49_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 144160 ) FS ;
- FILLER_49_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 144160 ) FS ;
- FILLER_49_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 144160 ) FS ;
- FILLER_49_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 144160 ) FS ;
- FILLER_49_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 144160 ) FS ;
- FILLER_49_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 144160 ) FS ;
- FILLER_49_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 144160 ) FS ;
- FILLER_49_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 144160 ) FS ;
- FILLER_49_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 144160 ) FS ;
- FILLER_49_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 144160 ) FS ;
- FILLER_49_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 144160 ) FS ;
- FILLER_4_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 21760 ) N ;
- FILLER_4_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 21760 ) N ;
- FILLER_4_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 21760 ) N ;
- FILLER_4_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 21760 ) N ;
- FILLER_4_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 21760 ) N ;
- FILLER_4_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 21760 ) N ;
- FILLER_4_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 21760 ) N ;
- FILLER_4_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 21760 ) N ;
- FILLER_4_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 21760 ) N ;
- FILLER_4_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 21760 ) N ;
- FILLER_4_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 21760 ) N ;
- FILLER_4_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 21760 ) N ;
- FILLER_4_200 sky130_fd_sc_hd__decap_4 + PLACED ( 97520 21760 ) N ;
- FILLER_4_208 sky130_fd_sc_hd__decap_4 + PLACED ( 101200 21760 ) N ;
- FILLER_4_215 sky130_fd_sc_hd__decap_12 + PLACED ( 104420 21760 ) N ;
- FILLER_4_227 sky130_fd_sc_hd__decap_12 + PLACED ( 109940 21760 ) N ;
- FILLER_4_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 21760 ) N ;
- FILLER_4_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 21760 ) N ;
- FILLER_4_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 21760 ) N ;
- FILLER_4_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 21760 ) N ;
- FILLER_4_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 21760 ) N ;
- FILLER_4_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 21760 ) N ;
- FILLER_4_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 21760 ) N ;
- FILLER_4_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 21760 ) N ;
- FILLER_4_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 21760 ) N ;
- FILLER_4_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 21760 ) N ;
- FILLER_4_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 21760 ) N ;
- FILLER_4_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 21760 ) N ;
- FILLER_4_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 21760 ) N ;
- FILLER_4_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 21760 ) N ;
- FILLER_4_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 21760 ) N ;
- FILLER_4_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 21760 ) N ;
- FILLER_4_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 21760 ) N ;
- FILLER_4_369 sky130_fd_sc_hd__fill_1 + PLACED ( 175260 21760 ) N ;
- FILLER_4_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 21760 ) N ;
- FILLER_4_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 21760 ) N ;
- FILLER_4_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 21760 ) N ;
- FILLER_4_394 sky130_fd_sc_hd__decap_4 + PLACED ( 186760 21760 ) N ;
- FILLER_4_40 sky130_fd_sc_hd__decap_12 + PLACED ( 23920 21760 ) N ;
- FILLER_4_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 21760 ) N ;
- FILLER_4_52 sky130_fd_sc_hd__decap_12 + PLACED ( 29440 21760 ) N ;
- FILLER_4_64 sky130_fd_sc_hd__decap_12 + PLACED ( 34960 21760 ) N ;
- FILLER_4_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 21760 ) N ;
- FILLER_4_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 21760 ) N ;
- FILLER_4_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 21760 ) N ;
- FILLER_50_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 146880 ) N ;
- FILLER_50_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 146880 ) N ;
- FILLER_50_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 146880 ) N ;
- FILLER_50_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 146880 ) N ;
- FILLER_50_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 146880 ) N ;
- FILLER_50_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 146880 ) N ;
- FILLER_50_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 146880 ) N ;
- FILLER_50_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 146880 ) N ;
- FILLER_50_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 146880 ) N ;
- FILLER_50_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 146880 ) N ;
- FILLER_50_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 146880 ) N ;
- FILLER_50_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 146880 ) N ;
- FILLER_50_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 146880 ) N ;
- FILLER_50_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 146880 ) N ;
- FILLER_50_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 146880 ) N ;
- FILLER_50_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 146880 ) N ;
- FILLER_50_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 146880 ) N ;
- FILLER_50_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 146880 ) N ;
- FILLER_50_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 146880 ) N ;
- FILLER_50_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 146880 ) N ;
- FILLER_50_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 146880 ) N ;
- FILLER_50_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 146880 ) N ;
- FILLER_50_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 146880 ) N ;
- FILLER_50_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 146880 ) N ;
- FILLER_50_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 146880 ) N ;
- FILLER_50_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 146880 ) N ;
- FILLER_50_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 146880 ) N ;
- FILLER_50_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 146880 ) N ;
- FILLER_50_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 146880 ) N ;
- FILLER_50_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 146880 ) N ;
- FILLER_50_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 146880 ) N ;
- FILLER_50_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 146880 ) N ;
- FILLER_50_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 146880 ) N ;
- FILLER_50_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 146880 ) N ;
- FILLER_50_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 146880 ) N ;
- FILLER_50_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 146880 ) N ;
- FILLER_50_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 146880 ) N ;
- FILLER_50_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 146880 ) N ;
- FILLER_50_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 146880 ) N ;
- FILLER_50_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 146880 ) N ;
- FILLER_50_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 146880 ) N ;
- FILLER_50_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 146880 ) N ;
- FILLER_51_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 149600 ) FS ;
- FILLER_51_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 149600 ) FS ;
- FILLER_51_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 149600 ) FS ;
- FILLER_51_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 149600 ) FS ;
- FILLER_51_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 149600 ) FS ;
- FILLER_51_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 149600 ) FS ;
- FILLER_51_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 149600 ) FS ;
- FILLER_51_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 149600 ) FS ;
- FILLER_51_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 149600 ) FS ;
- FILLER_51_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 149600 ) FS ;
- FILLER_51_193 sky130_fd_sc_hd__decap_8 + PLACED ( 94300 149600 ) FS ;
- FILLER_51_201 sky130_fd_sc_hd__fill_2 + PLACED ( 97980 149600 ) FS ;
- FILLER_51_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 149600 ) FS ;
- FILLER_51_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 149600 ) FS ;
- FILLER_51_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 149600 ) FS ;
- FILLER_51_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 149600 ) FS ;
- FILLER_51_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 149600 ) FS ;
- FILLER_51_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 149600 ) FS ;
- FILLER_51_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 149600 ) FS ;
- FILLER_51_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 149600 ) FS ;
- FILLER_51_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 149600 ) FS ;
- FILLER_51_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 149600 ) FS ;
- FILLER_51_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 149600 ) FS ;
- FILLER_51_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 149600 ) FS ;
- FILLER_51_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 149600 ) FS ;
- FILLER_51_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 149600 ) FS ;
- FILLER_51_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 149600 ) FS ;
- FILLER_51_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 149600 ) FS ;
- FILLER_51_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 149600 ) FS ;
- FILLER_51_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 149600 ) FS ;
- FILLER_51_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 149600 ) FS ;
- FILLER_51_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 149600 ) FS ;
- FILLER_51_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 149600 ) FS ;
- FILLER_51_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 149600 ) FS ;
- FILLER_51_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 149600 ) FS ;
- FILLER_51_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 149600 ) FS ;
- FILLER_51_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 149600 ) FS ;
- FILLER_51_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 149600 ) FS ;
- FILLER_51_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 149600 ) FS ;
- FILLER_51_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 149600 ) FS ;
- FILLER_51_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 149600 ) FS ;
- FILLER_51_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 149600 ) FS ;
- FILLER_51_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 149600 ) FS ;
- FILLER_51_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 149600 ) FS ;
- FILLER_51_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 149600 ) FS ;
- FILLER_52_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 152320 ) N ;
- FILLER_52_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 152320 ) N ;
- FILLER_52_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 152320 ) N ;
- FILLER_52_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 152320 ) N ;
- FILLER_52_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 152320 ) N ;
- FILLER_52_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 152320 ) N ;
- FILLER_52_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 152320 ) N ;
- FILLER_52_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 152320 ) N ;
- FILLER_52_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 152320 ) N ;
- FILLER_52_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 152320 ) N ;
- FILLER_52_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 152320 ) N ;
- FILLER_52_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 152320 ) N ;
- FILLER_52_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 152320 ) N ;
- FILLER_52_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 152320 ) N ;
- FILLER_52_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 152320 ) N ;
- FILLER_52_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 152320 ) N ;
- FILLER_52_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 152320 ) N ;
- FILLER_52_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 152320 ) N ;
- FILLER_52_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 152320 ) N ;
- FILLER_52_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 152320 ) N ;
- FILLER_52_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 152320 ) N ;
- FILLER_52_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 152320 ) N ;
- FILLER_52_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 152320 ) N ;
- FILLER_52_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 152320 ) N ;
- FILLER_52_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 152320 ) N ;
- FILLER_52_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 152320 ) N ;
- FILLER_52_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 152320 ) N ;
- FILLER_52_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 152320 ) N ;
- FILLER_52_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 152320 ) N ;
- FILLER_52_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 152320 ) N ;
- FILLER_52_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 152320 ) N ;
- FILLER_52_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 152320 ) N ;
- FILLER_52_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 152320 ) N ;
- FILLER_52_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 152320 ) N ;
- FILLER_52_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 152320 ) N ;
- FILLER_52_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 152320 ) N ;
- FILLER_52_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 152320 ) N ;
- FILLER_52_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 152320 ) N ;
- FILLER_52_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 152320 ) N ;
- FILLER_52_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 152320 ) N ;
- FILLER_52_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 152320 ) N ;
- FILLER_52_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 152320 ) N ;
- FILLER_52_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 152320 ) N ;
- FILLER_52_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 152320 ) N ;
- FILLER_52_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 152320 ) N ;
- FILLER_53_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 155040 ) FS ;
- FILLER_53_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 155040 ) FS ;
- FILLER_53_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 155040 ) FS ;
- FILLER_53_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 155040 ) FS ;
- FILLER_53_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 155040 ) FS ;
- FILLER_53_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 155040 ) FS ;
- FILLER_53_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 155040 ) FS ;
- FILLER_53_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 155040 ) FS ;
- FILLER_53_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 155040 ) FS ;
- FILLER_53_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 155040 ) FS ;
- FILLER_53_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 155040 ) FS ;
- FILLER_53_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 155040 ) FS ;
- FILLER_53_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 155040 ) FS ;
- FILLER_53_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 155040 ) FS ;
- FILLER_53_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 155040 ) FS ;
- FILLER_53_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 155040 ) FS ;
- FILLER_53_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 155040 ) FS ;
- FILLER_53_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 155040 ) FS ;
- FILLER_53_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 155040 ) FS ;
- FILLER_53_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 155040 ) FS ;
- FILLER_53_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 155040 ) FS ;
- FILLER_53_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 155040 ) FS ;
- FILLER_53_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 155040 ) FS ;
- FILLER_53_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 155040 ) FS ;
- FILLER_53_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 155040 ) FS ;
- FILLER_53_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 155040 ) FS ;
- FILLER_53_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 155040 ) FS ;
- FILLER_53_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 155040 ) FS ;
- FILLER_53_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 155040 ) FS ;
- FILLER_53_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 155040 ) FS ;
- FILLER_53_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 155040 ) FS ;
- FILLER_53_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 155040 ) FS ;
- FILLER_53_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 155040 ) FS ;
- FILLER_53_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 155040 ) FS ;
- FILLER_53_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 155040 ) FS ;
- FILLER_53_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 155040 ) FS ;
- FILLER_53_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 155040 ) FS ;
- FILLER_53_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 155040 ) FS ;
- FILLER_53_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 155040 ) FS ;
- FILLER_53_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 155040 ) FS ;
- FILLER_53_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 155040 ) FS ;
- FILLER_53_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 155040 ) FS ;
- FILLER_53_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 155040 ) FS ;
- FILLER_53_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 155040 ) FS ;
- FILLER_54_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 157760 ) N ;
- FILLER_54_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 157760 ) N ;
- FILLER_54_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 157760 ) N ;
- FILLER_54_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 157760 ) N ;
- FILLER_54_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 157760 ) N ;
- FILLER_54_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 157760 ) N ;
- FILLER_54_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 157760 ) N ;
- FILLER_54_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 157760 ) N ;
- FILLER_54_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 157760 ) N ;
- FILLER_54_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 157760 ) N ;
- FILLER_54_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 157760 ) N ;
- FILLER_54_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 157760 ) N ;
- FILLER_54_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 157760 ) N ;
- FILLER_54_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 157760 ) N ;
- FILLER_54_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 157760 ) N ;
- FILLER_54_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 157760 ) N ;
- FILLER_54_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 157760 ) N ;
- FILLER_54_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 157760 ) N ;
- FILLER_54_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 157760 ) N ;
- FILLER_54_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 157760 ) N ;
- FILLER_54_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 157760 ) N ;
- FILLER_54_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 157760 ) N ;
- FILLER_54_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 157760 ) N ;
- FILLER_54_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 157760 ) N ;
- FILLER_54_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 157760 ) N ;
- FILLER_54_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 157760 ) N ;
- FILLER_54_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 157760 ) N ;
- FILLER_54_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 157760 ) N ;
- FILLER_54_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 157760 ) N ;
- FILLER_54_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 157760 ) N ;
- FILLER_54_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 157760 ) N ;
- FILLER_54_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 157760 ) N ;
- FILLER_54_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 157760 ) N ;
- FILLER_54_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 157760 ) N ;
- FILLER_54_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 157760 ) N ;
- FILLER_54_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 157760 ) N ;
- FILLER_54_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 157760 ) N ;
- FILLER_54_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 157760 ) N ;
- FILLER_54_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 157760 ) N ;
- FILLER_54_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 157760 ) N ;
- FILLER_54_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 157760 ) N ;
- FILLER_54_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 157760 ) N ;
- FILLER_54_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 157760 ) N ;
- FILLER_54_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 157760 ) N ;
- FILLER_54_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 157760 ) N ;
- FILLER_54_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 157760 ) N ;
- FILLER_54_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 157760 ) N ;
- FILLER_55_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 160480 ) FS ;
- FILLER_55_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 160480 ) FS ;
- FILLER_55_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 160480 ) FS ;
- FILLER_55_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 160480 ) FS ;
- FILLER_55_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 160480 ) FS ;
- FILLER_55_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 160480 ) FS ;
- FILLER_55_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 160480 ) FS ;
- FILLER_55_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 160480 ) FS ;
- FILLER_55_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 160480 ) FS ;
- FILLER_55_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 160480 ) FS ;
- FILLER_55_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 160480 ) FS ;
- FILLER_55_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 160480 ) FS ;
- FILLER_55_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 160480 ) FS ;
- FILLER_55_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 160480 ) FS ;
- FILLER_55_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 160480 ) FS ;
- FILLER_55_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 160480 ) FS ;
- FILLER_55_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 160480 ) FS ;
- FILLER_55_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 160480 ) FS ;
- FILLER_55_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 160480 ) FS ;
- FILLER_55_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 160480 ) FS ;
- FILLER_55_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 160480 ) FS ;
- FILLER_55_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 160480 ) FS ;
- FILLER_55_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 160480 ) FS ;
- FILLER_55_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 160480 ) FS ;
- FILLER_55_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 160480 ) FS ;
- FILLER_55_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 160480 ) FS ;
- FILLER_55_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 160480 ) FS ;
- FILLER_55_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 160480 ) FS ;
- FILLER_55_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 160480 ) FS ;
- FILLER_55_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 160480 ) FS ;
- FILLER_55_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 160480 ) FS ;
- FILLER_55_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 160480 ) FS ;
- FILLER_55_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 160480 ) FS ;
- FILLER_55_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 160480 ) FS ;
- FILLER_55_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 160480 ) FS ;
- FILLER_55_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 160480 ) FS ;
- FILLER_55_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 160480 ) FS ;
- FILLER_55_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 160480 ) FS ;
- FILLER_55_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 160480 ) FS ;
- FILLER_55_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 160480 ) FS ;
- FILLER_55_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 160480 ) FS ;
- FILLER_55_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 160480 ) FS ;
- FILLER_55_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 160480 ) FS ;
- FILLER_55_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 160480 ) FS ;
- FILLER_56_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 163200 ) N ;
- FILLER_56_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 163200 ) N ;
- FILLER_56_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 163200 ) N ;
- FILLER_56_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 163200 ) N ;
- FILLER_56_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 163200 ) N ;
- FILLER_56_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 163200 ) N ;
- FILLER_56_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 163200 ) N ;
- FILLER_56_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 163200 ) N ;
- FILLER_56_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 163200 ) N ;
- FILLER_56_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 163200 ) N ;
- FILLER_56_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 163200 ) N ;
- FILLER_56_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 163200 ) N ;
- FILLER_56_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 163200 ) N ;
- FILLER_56_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 163200 ) N ;
- FILLER_56_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 163200 ) N ;
- FILLER_56_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 163200 ) N ;
- FILLER_56_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 163200 ) N ;
- FILLER_56_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 163200 ) N ;
- FILLER_56_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 163200 ) N ;
- FILLER_56_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 163200 ) N ;
- FILLER_56_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 163200 ) N ;
- FILLER_56_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 163200 ) N ;
- FILLER_56_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 163200 ) N ;
- FILLER_56_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 163200 ) N ;
- FILLER_56_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 163200 ) N ;
- FILLER_56_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 163200 ) N ;
- FILLER_56_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 163200 ) N ;
- FILLER_56_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 163200 ) N ;
- FILLER_56_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 163200 ) N ;
- FILLER_56_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 163200 ) N ;
- FILLER_56_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 163200 ) N ;
- FILLER_56_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 163200 ) N ;
- FILLER_56_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 163200 ) N ;
- FILLER_56_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 163200 ) N ;
- FILLER_56_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 163200 ) N ;
- FILLER_56_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 163200 ) N ;
- FILLER_56_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 163200 ) N ;
- FILLER_56_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 163200 ) N ;
- FILLER_56_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 163200 ) N ;
- FILLER_56_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 163200 ) N ;
- FILLER_56_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 163200 ) N ;
- FILLER_56_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 163200 ) N ;
- FILLER_56_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 163200 ) N ;
- FILLER_56_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 163200 ) N ;
- FILLER_57_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 165920 ) FS ;
- FILLER_57_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 165920 ) FS ;
- FILLER_57_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 165920 ) FS ;
- FILLER_57_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 165920 ) FS ;
- FILLER_57_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 165920 ) FS ;
- FILLER_57_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 165920 ) FS ;
- FILLER_57_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 165920 ) FS ;
- FILLER_57_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 165920 ) FS ;
- FILLER_57_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 165920 ) FS ;
- FILLER_57_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 165920 ) FS ;
- FILLER_57_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 165920 ) FS ;
- FILLER_57_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 165920 ) FS ;
- FILLER_57_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 165920 ) FS ;
- FILLER_57_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 165920 ) FS ;
- FILLER_57_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 165920 ) FS ;
- FILLER_57_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 165920 ) FS ;
- FILLER_57_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 165920 ) FS ;
- FILLER_57_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 165920 ) FS ;
- FILLER_57_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 165920 ) FS ;
- FILLER_57_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 165920 ) FS ;
- FILLER_57_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 165920 ) FS ;
- FILLER_57_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 165920 ) FS ;
- FILLER_57_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 165920 ) FS ;
- FILLER_57_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 165920 ) FS ;
- FILLER_57_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 165920 ) FS ;
- FILLER_57_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 165920 ) FS ;
- FILLER_57_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 165920 ) FS ;
- FILLER_57_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 165920 ) FS ;
- FILLER_57_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 165920 ) FS ;
- FILLER_57_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 165920 ) FS ;
- FILLER_57_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 165920 ) FS ;
- FILLER_57_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 165920 ) FS ;
- FILLER_57_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 165920 ) FS ;
- FILLER_57_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 165920 ) FS ;
- FILLER_57_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 165920 ) FS ;
- FILLER_57_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 165920 ) FS ;
- FILLER_57_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 165920 ) FS ;
- FILLER_57_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 165920 ) FS ;
- FILLER_57_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 165920 ) FS ;
- FILLER_57_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 165920 ) FS ;
- FILLER_57_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 165920 ) FS ;
- FILLER_57_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 165920 ) FS ;
- FILLER_57_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 165920 ) FS ;
- FILLER_58_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 168640 ) N ;
- FILLER_58_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 168640 ) N ;
- FILLER_58_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 168640 ) N ;
- FILLER_58_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 168640 ) N ;
- FILLER_58_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 168640 ) N ;
- FILLER_58_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 168640 ) N ;
- FILLER_58_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 168640 ) N ;
- FILLER_58_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 168640 ) N ;
- FILLER_58_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 168640 ) N ;
- FILLER_58_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 168640 ) N ;
- FILLER_58_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 168640 ) N ;
- FILLER_58_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 168640 ) N ;
- FILLER_58_203 sky130_fd_sc_hd__fill_1 + PLACED ( 98900 168640 ) N ;
- FILLER_58_208 sky130_fd_sc_hd__decap_12 + PLACED ( 101200 168640 ) N ;
- FILLER_58_220 sky130_fd_sc_hd__decap_12 + PLACED ( 106720 168640 ) N ;
- FILLER_58_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 168640 ) N ;
- FILLER_58_232 sky130_fd_sc_hd__decap_12 + PLACED ( 112240 168640 ) N ;
- FILLER_58_244 sky130_fd_sc_hd__decap_8 + PLACED ( 117760 168640 ) N ;
- FILLER_58_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 168640 ) N ;
- FILLER_58_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 168640 ) N ;
- FILLER_58_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 168640 ) N ;
- FILLER_58_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 168640 ) N ;
- FILLER_58_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 168640 ) N ;
- FILLER_58_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 168640 ) N ;
- FILLER_58_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 168640 ) N ;
- FILLER_58_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 168640 ) N ;
- FILLER_58_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 168640 ) N ;
- FILLER_58_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 168640 ) N ;
- FILLER_58_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 168640 ) N ;
- FILLER_58_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 168640 ) N ;
- FILLER_58_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 168640 ) N ;
- FILLER_58_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 168640 ) N ;
- FILLER_58_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 168640 ) N ;
- FILLER_58_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 168640 ) N ;
- FILLER_58_377 sky130_fd_sc_hd__fill_1 + PLACED ( 178940 168640 ) N ;
- FILLER_58_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 168640 ) N ;
- FILLER_58_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 168640 ) N ;
- FILLER_58_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 168640 ) N ;
- FILLER_58_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 168640 ) N ;
- FILLER_58_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 168640 ) N ;
- FILLER_58_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 168640 ) N ;
- FILLER_58_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 168640 ) N ;
- FILLER_58_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 168640 ) N ;
- FILLER_58_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 168640 ) N ;
- FILLER_58_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 168640 ) N ;
- FILLER_58_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 168640 ) N ;
- FILLER_58_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 168640 ) N ;
- FILLER_58_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 168640 ) N ;
- FILLER_59_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 171360 ) FS ;
- FILLER_59_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 171360 ) FS ;
- FILLER_59_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 171360 ) FS ;
- FILLER_59_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 171360 ) FS ;
- FILLER_59_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 171360 ) FS ;
- FILLER_59_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 171360 ) FS ;
- FILLER_59_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 171360 ) FS ;
- FILLER_59_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 171360 ) FS ;
- FILLER_59_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 171360 ) FS ;
- FILLER_59_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 171360 ) FS ;
- FILLER_59_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 171360 ) FS ;
- FILLER_59_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 171360 ) FS ;
- FILLER_59_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 171360 ) FS ;
- FILLER_59_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 171360 ) FS ;
- FILLER_59_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 171360 ) FS ;
- FILLER_59_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 171360 ) FS ;
- FILLER_59_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 171360 ) FS ;
- FILLER_59_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 171360 ) FS ;
- FILLER_59_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 171360 ) FS ;
- FILLER_59_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 171360 ) FS ;
- FILLER_59_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 171360 ) FS ;
- FILLER_59_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 171360 ) FS ;
- FILLER_59_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 171360 ) FS ;
- FILLER_59_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 171360 ) FS ;
- FILLER_59_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 171360 ) FS ;
- FILLER_59_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 171360 ) FS ;
- FILLER_59_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 171360 ) FS ;
- FILLER_59_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 171360 ) FS ;
- FILLER_59_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 171360 ) FS ;
- FILLER_59_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 171360 ) FS ;
- FILLER_59_361 sky130_fd_sc_hd__decap_8 + PLACED ( 171580 171360 ) FS ;
- FILLER_59_369 sky130_fd_sc_hd__fill_2 + PLACED ( 175260 171360 ) FS ;
- FILLER_59_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 171360 ) FS ;
- FILLER_59_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 171360 ) FS ;
- FILLER_59_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 171360 ) FS ;
- FILLER_59_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 171360 ) FS ;
- FILLER_59_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 171360 ) FS ;
- FILLER_59_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 171360 ) FS ;
- FILLER_59_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 171360 ) FS ;
- FILLER_59_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 171360 ) FS ;
- FILLER_59_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 171360 ) FS ;
- FILLER_59_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 171360 ) FS ;
- FILLER_59_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 171360 ) FS ;
- FILLER_59_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 171360 ) FS ;
- FILLER_5_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 24480 ) FS ;
- FILLER_5_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 24480 ) FS ;
- FILLER_5_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 24480 ) FS ;
- FILLER_5_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 24480 ) FS ;
- FILLER_5_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 24480 ) FS ;
- FILLER_5_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 24480 ) FS ;
- FILLER_5_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 24480 ) FS ;
- FILLER_5_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 24480 ) FS ;
- FILLER_5_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 24480 ) FS ;
- FILLER_5_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 24480 ) FS ;
- FILLER_5_181 sky130_fd_sc_hd__decap_8 + PLACED ( 88780 24480 ) FS ;
- FILLER_5_189 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 24480 ) FS ;
- FILLER_5_193 sky130_fd_sc_hd__decap_8 + PLACED ( 94300 24480 ) FS ;
- FILLER_5_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 24480 ) FS ;
- FILLER_5_206 sky130_fd_sc_hd__decap_12 + PLACED ( 100280 24480 ) FS ;
- FILLER_5_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 24480 ) FS ;
- FILLER_5_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 24480 ) FS ;
- FILLER_5_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 24480 ) FS ;
- FILLER_5_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 24480 ) FS ;
- FILLER_5_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 24480 ) FS ;
- FILLER_5_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 24480 ) FS ;
- FILLER_5_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 24480 ) FS ;
- FILLER_5_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 24480 ) FS ;
- FILLER_5_28 sky130_fd_sc_hd__decap_12 + PLACED ( 18400 24480 ) FS ;
- FILLER_5_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 24480 ) FS ;
- FILLER_5_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 24480 ) FS ;
- FILLER_5_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 24480 ) FS ;
- FILLER_5_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 24480 ) FS ;
- FILLER_5_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 24480 ) FS ;
- FILLER_5_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 24480 ) FS ;
- FILLER_5_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 24480 ) FS ;
- FILLER_5_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 24480 ) FS ;
- FILLER_5_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 24480 ) FS ;
- FILLER_5_373 sky130_fd_sc_hd__decap_8 + PLACED ( 177100 24480 ) FS ;
- FILLER_5_381 sky130_fd_sc_hd__decap_3 + PLACED ( 180780 24480 ) FS ;
- FILLER_5_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 24480 ) FS ;
- FILLER_5_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 24480 ) FS ;
- FILLER_5_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 24480 ) FS ;
- FILLER_5_40 sky130_fd_sc_hd__decap_12 + PLACED ( 23920 24480 ) FS ;
- FILLER_5_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 24480 ) FS ;
- FILLER_5_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 24480 ) FS ;
- FILLER_5_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 24480 ) FS ;
- FILLER_5_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 24480 ) FS ;
- FILLER_5_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 24480 ) FS ;
- FILLER_5_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 24480 ) FS ;
- FILLER_60_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 174080 ) N ;
- FILLER_60_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 174080 ) N ;
- FILLER_60_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 174080 ) N ;
- FILLER_60_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 174080 ) N ;
- FILLER_60_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 174080 ) N ;
- FILLER_60_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 174080 ) N ;
- FILLER_60_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 174080 ) N ;
- FILLER_60_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 174080 ) N ;
- FILLER_60_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 174080 ) N ;
- FILLER_60_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 174080 ) N ;
- FILLER_60_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 174080 ) N ;
- FILLER_60_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 174080 ) N ;
- FILLER_60_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 174080 ) N ;
- FILLER_60_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 174080 ) N ;
- FILLER_60_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 174080 ) N ;
- FILLER_60_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 174080 ) N ;
- FILLER_60_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 174080 ) N ;
- FILLER_60_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 174080 ) N ;
- FILLER_60_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 174080 ) N ;
- FILLER_60_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 174080 ) N ;
- FILLER_60_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 174080 ) N ;
- FILLER_60_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 174080 ) N ;
- FILLER_60_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 174080 ) N ;
- FILLER_60_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 174080 ) N ;
- FILLER_60_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 174080 ) N ;
- FILLER_60_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 174080 ) N ;
- FILLER_60_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 174080 ) N ;
- FILLER_60_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 174080 ) N ;
- FILLER_60_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 174080 ) N ;
- FILLER_60_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 174080 ) N ;
- FILLER_60_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 174080 ) N ;
- FILLER_60_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 174080 ) N ;
- FILLER_60_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 174080 ) N ;
- FILLER_60_369 sky130_fd_sc_hd__fill_1 + PLACED ( 175260 174080 ) N ;
- FILLER_60_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 174080 ) N ;
- FILLER_60_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 174080 ) N ;
- FILLER_60_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 174080 ) N ;
- FILLER_60_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 174080 ) N ;
- FILLER_60_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 174080 ) N ;
- FILLER_60_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 174080 ) N ;
- FILLER_60_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 174080 ) N ;
- FILLER_60_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 174080 ) N ;
- FILLER_60_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 174080 ) N ;
- FILLER_60_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 174080 ) N ;
- FILLER_60_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 174080 ) N ;
- FILLER_60_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 174080 ) N ;
- FILLER_60_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 174080 ) N ;
- FILLER_61_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 176800 ) FS ;
- FILLER_61_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 176800 ) FS ;
- FILLER_61_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 176800 ) FS ;
- FILLER_61_113 sky130_fd_sc_hd__fill_1 + PLACED ( 57500 176800 ) FS ;
- FILLER_61_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 176800 ) FS ;
- FILLER_61_124 sky130_fd_sc_hd__decap_6 + PLACED ( 62560 176800 ) FS ;
- FILLER_61_133 sky130_fd_sc_hd__decap_12 + PLACED ( 66700 176800 ) FS ;
- FILLER_61_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 176800 ) FS ;
- FILLER_61_145 sky130_fd_sc_hd__decap_12 + PLACED ( 72220 176800 ) FS ;
- FILLER_61_157 sky130_fd_sc_hd__decap_8 + PLACED ( 77740 176800 ) FS ;
- FILLER_61_165 sky130_fd_sc_hd__decap_3 + PLACED ( 81420 176800 ) FS ;
- FILLER_61_169 sky130_fd_sc_hd__decap_8 + PLACED ( 83260 176800 ) FS ;
- FILLER_61_180 sky130_fd_sc_hd__decap_12 + PLACED ( 88320 176800 ) FS ;
- FILLER_61_192 sky130_fd_sc_hd__decap_12 + PLACED ( 93840 176800 ) FS ;
- FILLER_61_204 sky130_fd_sc_hd__decap_3 + PLACED ( 99360 176800 ) FS ;
- FILLER_61_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 176800 ) FS ;
- FILLER_61_210 sky130_fd_sc_hd__decap_12 + PLACED ( 102120 176800 ) FS ;
- FILLER_61_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 176800 ) FS ;
- FILLER_61_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 176800 ) FS ;
- FILLER_61_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 176800 ) FS ;
- FILLER_61_249 sky130_fd_sc_hd__fill_1 + PLACED ( 120060 176800 ) FS ;
- FILLER_61_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 176800 ) FS ;
- FILLER_61_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 176800 ) FS ;
- FILLER_61_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 176800 ) FS ;
- FILLER_61_28 sky130_fd_sc_hd__decap_4 + PLACED ( 18400 176800 ) FS ;
- FILLER_61_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 176800 ) FS ;
- FILLER_61_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 176800 ) FS ;
- FILLER_61_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 176800 ) FS ;
- FILLER_61_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 176800 ) FS ;
- FILLER_61_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 176800 ) FS ;
- FILLER_61_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 176800 ) FS ;
- FILLER_61_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 176800 ) FS ;
- FILLER_61_349 sky130_fd_sc_hd__fill_1 + PLACED ( 166060 176800 ) FS ;
- FILLER_61_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 176800 ) FS ;
- FILLER_61_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 176800 ) FS ;
- FILLER_61_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 176800 ) FS ;
- FILLER_61_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 176800 ) FS ;
- FILLER_61_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 176800 ) FS ;
- FILLER_61_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 176800 ) FS ;
- FILLER_61_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 176800 ) FS ;
- FILLER_61_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 176800 ) FS ;
- FILLER_61_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 176800 ) FS ;
- FILLER_61_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 176800 ) FS ;
- FILLER_61_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 176800 ) FS ;
- FILLER_61_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 176800 ) FS ;
- FILLER_61_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 176800 ) FS ;
- FILLER_61_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 176800 ) FS ;
- FILLER_61_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 176800 ) FS ;
- FILLER_61_93 sky130_fd_sc_hd__decap_3 + PLACED ( 48300 176800 ) FS ;
- FILLER_61_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 176800 ) FS ;
- FILLER_62_105 sky130_fd_sc_hd__decap_8 + PLACED ( 53820 179520 ) N ;
- FILLER_62_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 179520 ) N ;
- FILLER_62_125 sky130_fd_sc_hd__decap_4 + PLACED ( 63020 179520 ) N ;
- FILLER_62_13 sky130_fd_sc_hd__fill_1 + PLACED ( 11500 179520 ) N ;
- FILLER_62_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 179520 ) N ;
- FILLER_62_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 179520 ) N ;
- FILLER_62_144 sky130_fd_sc_hd__decap_6 + PLACED ( 71760 179520 ) N ;
- FILLER_62_153 sky130_fd_sc_hd__decap_6 + PLACED ( 75900 179520 ) N ;
- FILLER_62_159 sky130_fd_sc_hd__fill_1 + PLACED ( 78660 179520 ) N ;
- FILLER_62_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 179520 ) N ;
- FILLER_62_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 179520 ) N ;
- FILLER_62_170 sky130_fd_sc_hd__decap_4 + PLACED ( 83720 179520 ) N ;
- FILLER_62_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 179520 ) N ;
- FILLER_62_184 sky130_fd_sc_hd__decap_12 + PLACED ( 90160 179520 ) N ;
- FILLER_62_200 sky130_fd_sc_hd__decap_4 + PLACED ( 97520 179520 ) N ;
- FILLER_62_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 179520 ) N ;
- FILLER_62_211 sky130_fd_sc_hd__fill_1 + PLACED ( 102580 179520 ) N ;
- FILLER_62_215 sky130_fd_sc_hd__decap_6 + PLACED ( 104420 179520 ) N ;
- FILLER_62_224 sky130_fd_sc_hd__decap_4 + PLACED ( 108560 179520 ) N ;
- FILLER_62_231 sky130_fd_sc_hd__decap_6 + PLACED ( 111780 179520 ) N ;
- FILLER_62_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 179520 ) N ;
- FILLER_62_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 179520 ) N ;
- FILLER_62_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 179520 ) N ;
- FILLER_62_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 179520 ) N ;
- FILLER_62_256 sky130_fd_sc_hd__decap_12 + PLACED ( 123280 179520 ) N ;
- FILLER_62_268 sky130_fd_sc_hd__decap_6 + PLACED ( 128800 179520 ) N ;
- FILLER_62_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 179520 ) N ;
- FILLER_62_289 sky130_fd_sc_hd__fill_1 + PLACED ( 138460 179520 ) N ;
- FILLER_62_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 179520 ) N ;
- FILLER_62_300 sky130_fd_sc_hd__decap_8 + PLACED ( 143520 179520 ) N ;
- FILLER_62_312 sky130_fd_sc_hd__decap_12 + PLACED ( 149040 179520 ) N ;
- FILLER_62_324 sky130_fd_sc_hd__decap_6 + PLACED ( 154560 179520 ) N ;
- FILLER_62_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 179520 ) N ;
- FILLER_62_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 179520 ) N ;
- FILLER_62_345 sky130_fd_sc_hd__fill_1 + PLACED ( 164220 179520 ) N ;
- FILLER_62_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 179520 ) N ;
- FILLER_62_356 sky130_fd_sc_hd__decap_8 + PLACED ( 169280 179520 ) N ;
- FILLER_62_369 sky130_fd_sc_hd__decap_8 + PLACED ( 175260 179520 ) N ;
- FILLER_62_377 sky130_fd_sc_hd__fill_2 + PLACED ( 178940 179520 ) N ;
- FILLER_62_383 sky130_fd_sc_hd__decap_6 + PLACED ( 181700 179520 ) N ;
- FILLER_62_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 179520 ) N ;
- FILLER_62_40 sky130_fd_sc_hd__decap_12 + PLACED ( 23920 179520 ) N ;
- FILLER_62_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 179520 ) N ;
- FILLER_62_52 sky130_fd_sc_hd__decap_12 + PLACED ( 29440 179520 ) N ;
- FILLER_62_64 sky130_fd_sc_hd__decap_12 + PLACED ( 34960 179520 ) N ;
- FILLER_62_7 sky130_fd_sc_hd__decap_6 + PLACED ( 8740 179520 ) N ;
- FILLER_62_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 179520 ) N ;
- FILLER_62_85 sky130_fd_sc_hd__decap_8 + PLACED ( 44620 179520 ) N ;
- FILLER_62_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 179520 ) N ;
- FILLER_63_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 182240 ) FS ;
- FILLER_63_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 182240 ) FS ;
- FILLER_63_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 182240 ) FS ;
- FILLER_63_135 sky130_fd_sc_hd__decap_8 + PLACED ( 67620 182240 ) FS ;
- FILLER_63_143 sky130_fd_sc_hd__fill_2 + PLACED ( 71300 182240 ) FS ;
- FILLER_63_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 182240 ) FS ;
- FILLER_63_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 182240 ) FS ;
- FILLER_63_153 sky130_fd_sc_hd__fill_1 + PLACED ( 75900 182240 ) FS ;
- FILLER_63_157 sky130_fd_sc_hd__decap_4 + PLACED ( 77740 182240 ) FS ;
- FILLER_63_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 182240 ) FS ;
- FILLER_63_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 182240 ) FS ;
- FILLER_63_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 182240 ) FS ;
- FILLER_63_185 sky130_fd_sc_hd__decap_4 + PLACED ( 90620 182240 ) FS ;
- FILLER_63_192 sky130_fd_sc_hd__decap_6 + PLACED ( 93840 182240 ) FS ;
- FILLER_63_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 182240 ) FS ;
- FILLER_63_205 sky130_fd_sc_hd__fill_1 + PLACED ( 99820 182240 ) FS ;
- FILLER_63_209 sky130_fd_sc_hd__decap_8 + PLACED ( 101660 182240 ) FS ;
- FILLER_63_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 182240 ) FS ;
- FILLER_63_225 sky130_fd_sc_hd__decap_6 + PLACED ( 109020 182240 ) FS ;
- FILLER_63_23 sky130_fd_sc_hd__decap_6 + PLACED ( 16100 182240 ) FS ;
- FILLER_63_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 182240 ) FS ;
- FILLER_63_243 sky130_fd_sc_hd__decap_4 + PLACED ( 117300 182240 ) FS ;
- FILLER_63_250 sky130_fd_sc_hd__decap_6 + PLACED ( 120520 182240 ) FS ;
- FILLER_63_259 sky130_fd_sc_hd__decap_4 + PLACED ( 124660 182240 ) FS ;
- FILLER_63_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 182240 ) FS ;
- FILLER_63_274 sky130_fd_sc_hd__decap_6 + PLACED ( 131560 182240 ) FS ;
- FILLER_63_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 182240 ) FS ;
- FILLER_63_288 sky130_fd_sc_hd__fill_1 + PLACED ( 138000 182240 ) FS ;
- FILLER_63_293 sky130_fd_sc_hd__decap_8 + PLACED ( 140300 182240 ) FS ;
- FILLER_63_301 sky130_fd_sc_hd__fill_2 + PLACED ( 143980 182240 ) FS ;
- FILLER_63_307 sky130_fd_sc_hd__decap_4 + PLACED ( 146740 182240 ) FS ;
- FILLER_63_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 182240 ) FS ;
- FILLER_63_319 sky130_fd_sc_hd__fill_1 + PLACED ( 152260 182240 ) FS ;
- FILLER_63_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 182240 ) FS ;
- FILLER_63_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 182240 ) FS ;
- FILLER_63_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 182240 ) FS ;
- FILLER_63_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 182240 ) FS ;
- FILLER_63_341 sky130_fd_sc_hd__decap_6 + PLACED ( 162380 182240 ) FS ;
- FILLER_63_351 sky130_fd_sc_hd__decap_4 + PLACED ( 166980 182240 ) FS ;
- FILLER_63_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 182240 ) FS ;
- FILLER_63_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 182240 ) FS ;
- FILLER_63_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 182240 ) FS ;
- FILLER_63_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 182240 ) FS ;
- FILLER_63_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 182240 ) FS ;
- FILLER_63_41 sky130_fd_sc_hd__decap_8 + PLACED ( 24380 182240 ) FS ;
- FILLER_63_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 182240 ) FS ;
- FILLER_63_60 sky130_fd_sc_hd__decap_4 + PLACED ( 33120 182240 ) FS ;
- FILLER_63_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 182240 ) FS ;
- FILLER_63_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 182240 ) FS ;
- FILLER_63_78 sky130_fd_sc_hd__decap_4 + PLACED ( 41400 182240 ) FS ;
- FILLER_63_86 sky130_fd_sc_hd__decap_4 + PLACED ( 45080 182240 ) FS ;
- FILLER_63_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 182240 ) FS ;
- FILLER_64_103 sky130_fd_sc_hd__decap_8 + PLACED ( 52900 184960 ) N ;
- FILLER_64_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 184960 ) N ;
- FILLER_64_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 184960 ) N ;
- FILLER_64_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 184960 ) N ;
- FILLER_64_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 184960 ) N ;
- FILLER_64_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 184960 ) N ;
- FILLER_64_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 184960 ) N ;
- FILLER_64_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 184960 ) N ;
- FILLER_64_159 sky130_fd_sc_hd__decap_8 + PLACED ( 78660 184960 ) N ;
- FILLER_64_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 184960 ) N ;
- FILLER_64_173 sky130_fd_sc_hd__decap_8 + PLACED ( 85100 184960 ) N ;
- FILLER_64_181 sky130_fd_sc_hd__fill_1 + PLACED ( 88780 184960 ) N ;
- FILLER_64_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 184960 ) N ;
- FILLER_64_197 sky130_fd_sc_hd__decap_3 + PLACED ( 96140 184960 ) N ;
- FILLER_64_203 sky130_fd_sc_hd__decap_8 + PLACED ( 98900 184960 ) N ;
- FILLER_64_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 184960 ) N ;
- FILLER_64_211 sky130_fd_sc_hd__fill_1 + PLACED ( 102580 184960 ) N ;
- FILLER_64_215 sky130_fd_sc_hd__decap_8 + PLACED ( 104420 184960 ) N ;
- FILLER_64_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 184960 ) N ;
- FILLER_64_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 184960 ) N ;
- FILLER_64_229 sky130_fd_sc_hd__fill_1 + PLACED ( 110860 184960 ) N ;
- FILLER_64_234 sky130_fd_sc_hd__decap_4 + PLACED ( 113160 184960 ) N ;
- FILLER_64_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 184960 ) N ;
- FILLER_64_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 184960 ) N ;
- FILLER_64_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 184960 ) N ;
- FILLER_64_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 184960 ) N ;
- FILLER_64_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 184960 ) N ;
- FILLER_64_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 184960 ) N ;
- FILLER_64_285 sky130_fd_sc_hd__decap_6 + PLACED ( 136620 184960 ) N ;
- FILLER_64_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 184960 ) N ;
- FILLER_64_291 sky130_fd_sc_hd__fill_1 + PLACED ( 139380 184960 ) N ;
- FILLER_64_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 184960 ) N ;
- FILLER_64_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 184960 ) N ;
- FILLER_64_309 sky130_fd_sc_hd__decap_6 + PLACED ( 147660 184960 ) N ;
- FILLER_64_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 184960 ) N ;
- FILLER_64_327 sky130_fd_sc_hd__decap_8 + PLACED ( 155940 184960 ) N ;
- FILLER_64_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 184960 ) N ;
- FILLER_64_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 184960 ) N ;
- FILLER_64_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 184960 ) N ;
- FILLER_64_351 sky130_fd_sc_hd__decap_4 + PLACED ( 166980 184960 ) N ;
- FILLER_64_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 184960 ) N ;
- FILLER_64_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 184960 ) N ;
- FILLER_64_365 sky130_fd_sc_hd__decap_3 + PLACED ( 173420 184960 ) N ;
- FILLER_64_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 184960 ) N ;
- FILLER_64_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 184960 ) N ;
- FILLER_64_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 184960 ) N ;
- FILLER_64_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 184960 ) N ;
- FILLER_64_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 184960 ) N ;
- FILLER_64_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 184960 ) N ;
- FILLER_64_60 sky130_fd_sc_hd__decap_6 + PLACED ( 33120 184960 ) N ;
- FILLER_64_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 184960 ) N ;
- FILLER_64_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 184960 ) N ;
- FILLER_64_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 184960 ) N ;
- FILLER_64_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 184960 ) N ;
- FILLER_64_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 184960 ) N ;
- FILLER_6_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 27200 ) N ;
- FILLER_6_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 27200 ) N ;
- FILLER_6_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 27200 ) N ;
- FILLER_6_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 27200 ) N ;
- FILLER_6_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 27200 ) N ;
- FILLER_6_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 27200 ) N ;
- FILLER_6_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 27200 ) N ;
- FILLER_6_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 27200 ) N ;
- FILLER_6_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 27200 ) N ;
- FILLER_6_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 27200 ) N ;
- FILLER_6_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 27200 ) N ;
- FILLER_6_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 27200 ) N ;
- FILLER_6_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 27200 ) N ;
- FILLER_6_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 27200 ) N ;
- FILLER_6_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 27200 ) N ;
- FILLER_6_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 27200 ) N ;
- FILLER_6_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 27200 ) N ;
- FILLER_6_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 27200 ) N ;
- FILLER_6_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 27200 ) N ;
- FILLER_6_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 27200 ) N ;
- FILLER_6_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 27200 ) N ;
- FILLER_6_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 27200 ) N ;
- FILLER_6_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 27200 ) N ;
- FILLER_6_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 27200 ) N ;
- FILLER_6_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 27200 ) N ;
- FILLER_6_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 27200 ) N ;
- FILLER_6_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 27200 ) N ;
- FILLER_6_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 27200 ) N ;
- FILLER_6_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 27200 ) N ;
- FILLER_6_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 27200 ) N ;
- FILLER_6_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 27200 ) N ;
- FILLER_6_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 27200 ) N ;
- FILLER_6_377 sky130_fd_sc_hd__decap_8 + PLACED ( 178940 27200 ) N ;
- FILLER_6_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 27200 ) N ;
- FILLER_6_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 27200 ) N ;
- FILLER_6_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 27200 ) N ;
- FILLER_6_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 27200 ) N ;
- FILLER_6_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 27200 ) N ;
- FILLER_6_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 27200 ) N ;
- FILLER_6_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 27200 ) N ;
- FILLER_6_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 27200 ) N ;
- FILLER_6_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 27200 ) N ;
- FILLER_6_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 27200 ) N ;
- FILLER_6_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 27200 ) N ;
- FILLER_6_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 27200 ) N ;
- FILLER_7_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 29920 ) FS ;
- FILLER_7_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 29920 ) FS ;
- FILLER_7_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 29920 ) FS ;
- FILLER_7_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 29920 ) FS ;
- FILLER_7_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 29920 ) FS ;
- FILLER_7_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 29920 ) FS ;
- FILLER_7_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 29920 ) FS ;
- FILLER_7_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 29920 ) FS ;
- FILLER_7_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 29920 ) FS ;
- FILLER_7_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 29920 ) FS ;
- FILLER_7_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 29920 ) FS ;
- FILLER_7_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 29920 ) FS ;
- FILLER_7_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 29920 ) FS ;
- FILLER_7_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 29920 ) FS ;
- FILLER_7_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 29920 ) FS ;
- FILLER_7_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 29920 ) FS ;
- FILLER_7_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 29920 ) FS ;
- FILLER_7_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 29920 ) FS ;
- FILLER_7_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 29920 ) FS ;
- FILLER_7_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 29920 ) FS ;
- FILLER_7_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 29920 ) FS ;
- FILLER_7_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 29920 ) FS ;
- FILLER_7_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 29920 ) FS ;
- FILLER_7_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 29920 ) FS ;
- FILLER_7_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 29920 ) FS ;
- FILLER_7_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 29920 ) FS ;
- FILLER_7_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 29920 ) FS ;
- FILLER_7_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 29920 ) FS ;
- FILLER_7_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 29920 ) FS ;
- FILLER_7_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 29920 ) FS ;
- FILLER_7_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 29920 ) FS ;
- FILLER_7_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 29920 ) FS ;
- FILLER_7_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 29920 ) FS ;
- FILLER_7_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 29920 ) FS ;
- FILLER_7_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 29920 ) FS ;
- FILLER_7_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 29920 ) FS ;
- FILLER_7_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 29920 ) FS ;
- FILLER_7_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 29920 ) FS ;
- FILLER_7_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 29920 ) FS ;
- FILLER_8_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 32640 ) N ;
- FILLER_8_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 32640 ) N ;
- FILLER_8_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 32640 ) N ;
- FILLER_8_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 32640 ) N ;
- FILLER_8_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 32640 ) N ;
- FILLER_8_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 32640 ) N ;
- FILLER_8_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 32640 ) N ;
- FILLER_8_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 32640 ) N ;
- FILLER_8_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 32640 ) N ;
- FILLER_8_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 32640 ) N ;
- FILLER_8_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 32640 ) N ;
- FILLER_8_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 32640 ) N ;
- FILLER_8_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 32640 ) N ;
- FILLER_8_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 32640 ) N ;
- FILLER_8_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 32640 ) N ;
- FILLER_8_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 32640 ) N ;
- FILLER_8_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 32640 ) N ;
- FILLER_8_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 32640 ) N ;
- FILLER_8_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 32640 ) N ;
- FILLER_8_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 32640 ) N ;
- FILLER_8_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 32640 ) N ;
- FILLER_8_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 32640 ) N ;
- FILLER_8_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 32640 ) N ;
- FILLER_8_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 32640 ) N ;
- FILLER_8_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 32640 ) N ;
- FILLER_8_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 32640 ) N ;
- FILLER_8_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 32640 ) N ;
- FILLER_8_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 32640 ) N ;
- FILLER_8_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 32640 ) N ;
- FILLER_8_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 32640 ) N ;
- FILLER_8_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 32640 ) N ;
- FILLER_8_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 32640 ) N ;
- FILLER_8_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 32640 ) N ;
- FILLER_8_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 32640 ) N ;
- FILLER_8_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 32640 ) N ;
- FILLER_8_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 32640 ) N ;
- FILLER_8_53 sky130_fd_sc_hd__decap_4 + PLACED ( 29900 32640 ) N ;
- FILLER_8_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 32640 ) N ;
- FILLER_8_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 32640 ) N ;
- FILLER_8_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 32640 ) N ;
- FILLER_8_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 32640 ) N ;
- FILLER_8_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 32640 ) N ;
- FILLER_8_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 32640 ) N ;
- FILLER_8_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 32640 ) N ;
- FILLER_9_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 35360 ) FS ;
- FILLER_9_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 35360 ) FS ;
- FILLER_9_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 35360 ) FS ;
- FILLER_9_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 35360 ) FS ;
- FILLER_9_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 35360 ) FS ;
- FILLER_9_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 35360 ) FS ;
- FILLER_9_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 35360 ) FS ;
- FILLER_9_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 35360 ) FS ;
- FILLER_9_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 35360 ) FS ;
- FILLER_9_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 35360 ) FS ;
- FILLER_9_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 35360 ) FS ;
- FILLER_9_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 35360 ) FS ;
- FILLER_9_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 35360 ) FS ;
- FILLER_9_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 35360 ) FS ;
- FILLER_9_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 35360 ) FS ;
- FILLER_9_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 35360 ) FS ;
- FILLER_9_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 35360 ) FS ;
- FILLER_9_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 35360 ) FS ;
- FILLER_9_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 35360 ) FS ;
- FILLER_9_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 35360 ) FS ;
- FILLER_9_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 35360 ) FS ;
- FILLER_9_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 35360 ) FS ;
- FILLER_9_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 35360 ) FS ;
- FILLER_9_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 35360 ) FS ;
- FILLER_9_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 35360 ) FS ;
- FILLER_9_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 35360 ) FS ;
- FILLER_9_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 35360 ) FS ;
- FILLER_9_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 35360 ) FS ;
- FILLER_9_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 35360 ) FS ;
- FILLER_9_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 35360 ) FS ;
- FILLER_9_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 35360 ) FS ;
- FILLER_9_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 35360 ) FS ;
- FILLER_9_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 35360 ) FS ;
- FILLER_9_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 35360 ) FS ;
- FILLER_9_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 35360 ) FS ;
- FILLER_9_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 35360 ) FS ;
- FILLER_9_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 35360 ) FS ;
- FILLER_9_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 35360 ) FS ;
- FILLER_9_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 35360 ) FS ;
- FILLER_9_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 165920 ) S ;
- PHY_116 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 168640 ) N ;
- PHY_117 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 168640 ) FN ;
- PHY_118 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 171360 ) FS ;
- PHY_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 171360 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_120 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 174080 ) N ;
- PHY_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 174080 ) FN ;
- PHY_122 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 176800 ) FS ;
- PHY_123 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 176800 ) S ;
- PHY_124 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 179520 ) N ;
- PHY_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 179520 ) FN ;
- PHY_126 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 182240 ) FS ;
- PHY_127 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 182240 ) S ;
- PHY_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 184960 ) N ;
- PHY_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 184960 ) FN ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 27200 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 29920 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 144160 ) S ;
- TAP_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 10880 ) N ;
- TAP_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 10880 ) N ;
- TAP_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 10880 ) N ;
- TAP_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 10880 ) N ;
- TAP_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 13600 ) FS ;
- TAP_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 13600 ) FS ;
- TAP_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 16320 ) N ;
- TAP_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 16320 ) N ;
- TAP_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 19040 ) FS ;
- TAP_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 19040 ) FS ;
- TAP_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 21760 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 21760 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 24480 ) FS ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 24480 ) FS ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 27200 ) N ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 27200 ) N ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 29920 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 29920 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 32640 ) N ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 32640 ) N ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 35360 ) FS ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 35360 ) FS ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 38080 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 38080 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 40800 ) FS ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 40800 ) FS ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 43520 ) N ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 43520 ) N ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 46240 ) FS ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 46240 ) FS ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 48960 ) N ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 48960 ) N ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 51680 ) FS ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 51680 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 54400 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 54400 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 57120 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 57120 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 59840 ) N ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 59840 ) N ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 62560 ) FS ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 62560 ) FS ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 65280 ) N ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 65280 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 68000 ) FS ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 68000 ) FS ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 70720 ) N ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 70720 ) N ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 73440 ) FS ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 73440 ) FS ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 76160 ) N ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 76160 ) N ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 78880 ) FS ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 78880 ) FS ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 81600 ) N ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 81600 ) N ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 84320 ) FS ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 84320 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 87040 ) N ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 87040 ) N ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 89760 ) FS ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 89760 ) FS ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 92480 ) N ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 92480 ) N ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 95200 ) FS ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 95200 ) FS ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 97920 ) N ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 97920 ) N ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 100640 ) FS ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 100640 ) FS ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 103360 ) N ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 103360 ) N ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 106080 ) FS ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 106080 ) FS ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 108800 ) N ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 108800 ) N ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 111520 ) FS ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 111520 ) FS ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 114240 ) N ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 114240 ) N ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 116960 ) FS ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 116960 ) FS ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 119680 ) N ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 119680 ) N ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 122400 ) FS ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 122400 ) FS ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 125120 ) N ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 125120 ) N ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 127840 ) FS ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 127840 ) FS ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 130560 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 130560 ) N ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 133280 ) FS ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 133280 ) FS ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 136000 ) N ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 136000 ) N ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 138720 ) FS ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 138720 ) FS ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 141440 ) N ;
- TAP_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 141440 ) N ;
- TAP_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 144160 ) FS ;
- TAP_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 144160 ) FS ;
- TAP_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 146880 ) N ;
- TAP_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 146880 ) N ;
- TAP_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 149600 ) FS ;
- TAP_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 149600 ) FS ;
- TAP_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 152320 ) N ;
- TAP_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 152320 ) N ;
- TAP_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- TAP_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 155040 ) FS ;
- TAP_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 155040 ) FS ;
- TAP_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 157760 ) N ;
- TAP_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 157760 ) N ;
- TAP_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 157760 ) N ;
- TAP_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 157760 ) N ;
- TAP_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 157760 ) N ;
- TAP_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 157760 ) N ;
- TAP_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 157760 ) N ;
- TAP_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 160480 ) FS ;
- TAP_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 160480 ) FS ;
- TAP_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 160480 ) FS ;
- TAP_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 160480 ) FS ;
- TAP_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 160480 ) FS ;
- TAP_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 160480 ) FS ;
- TAP_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 160480 ) FS ;
- TAP_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 163200 ) N ;
- TAP_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 163200 ) N ;
- TAP_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 163200 ) N ;
- TAP_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 163200 ) N ;
- TAP_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 163200 ) N ;
- TAP_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 163200 ) N ;
- TAP_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 163200 ) N ;
- TAP_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 165920 ) FS ;
- TAP_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 165920 ) FS ;
- TAP_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 165920 ) FS ;
- TAP_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 165920 ) FS ;
- TAP_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 165920 ) FS ;
- TAP_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 165920 ) FS ;
- TAP_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 165920 ) FS ;
- TAP_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 168640 ) N ;
- TAP_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 168640 ) N ;
- TAP_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 168640 ) N ;
- TAP_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 168640 ) N ;
- TAP_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 168640 ) N ;
- TAP_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 168640 ) N ;
- TAP_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 168640 ) N ;
- TAP_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 171360 ) FS ;
- TAP_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 171360 ) FS ;
- TAP_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 171360 ) FS ;
- TAP_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 171360 ) FS ;
- TAP_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 171360 ) FS ;
- TAP_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 171360 ) FS ;
- TAP_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 171360 ) FS ;
- TAP_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 174080 ) N ;
- TAP_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 174080 ) N ;
- TAP_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 174080 ) N ;
- TAP_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 174080 ) N ;
- TAP_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 174080 ) N ;
- TAP_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 174080 ) N ;
- TAP_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 174080 ) N ;
- TAP_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 176800 ) FS ;
- TAP_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 176800 ) FS ;
- TAP_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 176800 ) FS ;
- TAP_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 176800 ) FS ;
- TAP_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 176800 ) FS ;
- TAP_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 176800 ) FS ;
- TAP_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 176800 ) FS ;
- TAP_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 179520 ) N ;
- TAP_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 179520 ) N ;
- TAP_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 179520 ) N ;
- TAP_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 179520 ) N ;
- TAP_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 179520 ) N ;
- TAP_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 179520 ) N ;
- TAP_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 179520 ) N ;
- TAP_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 182240 ) FS ;
- TAP_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 182240 ) FS ;
- TAP_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 182240 ) FS ;
- TAP_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 182240 ) FS ;
- TAP_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 182240 ) FS ;
- TAP_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 182240 ) FS ;
- TAP_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 182240 ) FS ;
- TAP_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 184960 ) N ;
- TAP_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 184960 ) N ;
- TAP_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 184960 ) N ;
- TAP_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 184960 ) N ;
- TAP_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 184960 ) N ;
- TAP_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 184960 ) N ;
- TAP_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 184960 ) N ;
- TAP_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 184960 ) N ;
- TAP_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 184960 ) N ;
- TAP_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 184960 ) N ;
- TAP_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 184960 ) N ;
- TAP_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 184960 ) N ;
- TAP_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 184960 ) N ;
- TAP_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 184960 ) N ;
- _000_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 48960 ) N ;
- _001_ sky130_fd_sc_hd__conb_1 + PLACED ( 65320 176800 ) FS ;
- _002_ sky130_fd_sc_hd__conb_1 + PLACED ( 110400 179520 ) N ;
- _003_ sky130_fd_sc_hd__conb_1 + PLACED ( 11960 179520 ) N ;
- _004_ sky130_fd_sc_hd__conb_1 + PLACED ( 130180 182240 ) FS ;
- _005_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 130560 ) N ;
- _006_ sky130_fd_sc_hd__conb_1 + PLACED ( 79580 182240 ) FS ;
- _007_ sky130_fd_sc_hd__conb_1 + PLACED ( 108100 16320 ) N ;
- _008_ sky130_fd_sc_hd__conb_1 + PLACED ( 167900 13600 ) FS ;
- _009_ sky130_fd_sc_hd__conb_1 + PLACED ( 182620 95200 ) FS ;
- _010_ sky130_fd_sc_hd__conb_1 + PLACED ( 57960 176800 ) FS ;
- _011_ sky130_fd_sc_hd__conb_1 + PLACED ( 125580 16320 ) N ;
- _012_ sky130_fd_sc_hd__conb_1 + PLACED ( 95220 13600 ) FS ;
- _013_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 157760 ) N ;
- _014_ sky130_fd_sc_hd__conb_1 + PLACED ( 68540 13600 ) FS ;
- _015_ sky130_fd_sc_hd__conb_1 + PLACED ( 64400 16320 ) N ;
- _016_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 141440 ) N ;
- _017_ sky130_fd_sc_hd__conb_1 + PLACED ( 100280 182240 ) FS ;
- _018_ sky130_fd_sc_hd__conb_1 + PLACED ( 22540 16320 ) N ;
- _019_ sky130_fd_sc_hd__conb_1 + PLACED ( 188600 21760 ) N ;
- _020_ sky130_fd_sc_hd__conb_1 + PLACED ( 49680 176800 ) FS ;
- _021_ sky130_fd_sc_hd__conb_1 + PLACED ( 71760 13600 ) FS ;
- _022_ sky130_fd_sc_hd__conb_1 + PLACED ( 92460 182240 ) FS ;
- _023_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 122400 ) FS ;
- _024_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 84320 ) FS ;
- _025_ sky130_fd_sc_hd__conb_1 + PLACED ( 70380 179520 ) N ;
- _026_ sky130_fd_sc_hd__conb_1 + PLACED ( 43700 13600 ) FS ;
- _027_ sky130_fd_sc_hd__conb_1 + PLACED ( 185380 21760 ) N ;
- _028_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 73440 ) FS ;
- _029_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 176800 ) FS ;
- _030_ sky130_fd_sc_hd__conb_1 + PLACED ( 79120 179520 ) N ;
- _031_ sky130_fd_sc_hd__conb_1 + PLACED ( 96600 182240 ) FS ;
- _032_ sky130_fd_sc_hd__conb_1 + PLACED ( 152720 182240 ) FS ;
- _033_ sky130_fd_sc_hd__conb_1 + PLACED ( 105340 182240 ) FS ;
- _034_ sky130_fd_sc_hd__conb_1 + PLACED ( 82340 179520 ) N ;
- _035_ sky130_fd_sc_hd__conb_1 + PLACED ( 178940 182240 ) FS ;
- _036_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 146880 ) N ;
- _037_ sky130_fd_sc_hd__conb_1 + PLACED ( 182160 21760 ) N ;
- _038_ sky130_fd_sc_hd__conb_1 + PLACED ( 182620 176800 ) FS ;
- _039_ sky130_fd_sc_hd__conb_1 + PLACED ( 17940 19040 ) FS ;
- _040_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 168640 ) N ;
- _041_ sky130_fd_sc_hd__conb_1 + PLACED ( 86480 16320 ) N ;
- _042_ sky130_fd_sc_hd__conb_1 + PLACED ( 15180 179520 ) N ;
- _043_ sky130_fd_sc_hd__conb_1 + PLACED ( 96140 16320 ) N ;
- _044_ sky130_fd_sc_hd__conb_1 + PLACED ( 85560 179520 ) N ;
- _045_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 27200 ) N ;
- _046_ sky130_fd_sc_hd__conb_1 + PLACED ( 78200 13600 ) FS ;
- _047_ sky130_fd_sc_hd__conb_1 + PLACED ( 74980 13600 ) FS ;
- _048_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 157760 ) N ;
- _049_ sky130_fd_sc_hd__conb_1 + PLACED ( 182160 174080 ) N ;
- _050_ sky130_fd_sc_hd__conb_1 + PLACED ( 185840 168640 ) N ;
- _051_ sky130_fd_sc_hd__conb_1 + PLACED ( 21160 19040 ) FS ;
- _052_ sky130_fd_sc_hd__conb_1 + PLACED ( 116840 19040 ) FS ;
- _053_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 136000 ) N ;
- _054_ sky130_fd_sc_hd__conb_1 + PLACED ( 173880 13600 ) FS ;
- _055_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 168640 ) N ;
- _056_ sky130_fd_sc_hd__conb_1 + PLACED ( 70840 16320 ) N ;
- _057_ sky130_fd_sc_hd__conb_1 + PLACED ( 111320 16320 ) N ;
- _058_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 133280 ) FS ;
- _059_ sky130_fd_sc_hd__conb_1 + PLACED ( 96140 179520 ) N ;
- _060_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 111520 ) FS ;
- _061_ sky130_fd_sc_hd__conb_1 + PLACED ( 147660 179520 ) N ;
- _062_ sky130_fd_sc_hd__conb_1 + PLACED ( 134780 182240 ) FS ;
- _063_ sky130_fd_sc_hd__conb_1 + PLACED ( 46920 13600 ) FS ;
- _064_ sky130_fd_sc_hd__conb_1 + PLACED ( 74520 16320 ) N ;
- _065_ sky130_fd_sc_hd__conb_1 + PLACED ( 166520 16320 ) N ;
- _066_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 174080 ) N ;
- _067_ sky130_fd_sc_hd__conb_1 + PLACED ( 107180 179520 ) N ;
- _068_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 81600 ) N ;
- _069_ sky130_fd_sc_hd__conb_1 + PLACED ( 123280 182240 ) FS ;
- _070_ sky130_fd_sc_hd__conb_1 + PLACED ( 25760 16320 ) N ;
- _071_ sky130_fd_sc_hd__conb_1 + PLACED ( 109020 19040 ) FS ;
- _072_ sky130_fd_sc_hd__conb_1 + PLACED ( 31740 182240 ) FS ;
- _073_ sky130_fd_sc_hd__conb_1 + PLACED ( 130640 16320 ) N ;
- _074_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 48960 ) N ;
- _075_ sky130_fd_sc_hd__conb_1 + PLACED ( 150880 13600 ) FS ;
- _076_ sky130_fd_sc_hd__conb_1 + PLACED ( 119140 182240 ) FS ;
- _077_ sky130_fd_sc_hd__conb_1 + PLACED ( 34960 182240 ) FS ;
- _078_ sky130_fd_sc_hd__conb_1 + PLACED ( 40020 182240 ) FS ;
- _079_ sky130_fd_sc_hd__conb_1 + PLACED ( 12420 59840 ) N ;
- _080_ sky130_fd_sc_hd__conb_1 + PLACED ( 164680 179520 ) N ;
- _081_ sky130_fd_sc_hd__conb_1 + PLACED ( 113620 19040 ) FS ;
- _082_ sky130_fd_sc_hd__conb_1 + PLACED ( 117760 179520 ) N ;
- _083_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 92480 ) N ;
- _084_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 171360 ) FS ;
- _085_ sky130_fd_sc_hd__conb_1 + PLACED ( 12420 95200 ) FS ;
- _086_ sky130_fd_sc_hd__conb_1 + PLACED ( 177560 16320 ) N ;
- _087_ sky130_fd_sc_hd__conb_1 + PLACED ( 179400 176800 ) FS ;
- _088_ sky130_fd_sc_hd__conb_1 + PLACED ( 97520 184960 ) N ;
- _089_ sky130_fd_sc_hd__conb_1 + PLACED ( 156400 13600 ) FS ;
- _090_ sky130_fd_sc_hd__conb_1 + PLACED ( 28060 182240 ) FS ;
- _091_ sky130_fd_sc_hd__conb_1 + PLACED ( 81880 16320 ) N ;
- _092_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 155040 ) FS ;
- _093_ sky130_fd_sc_hd__conb_1 + PLACED ( 173880 16320 ) N ;
- _094_ sky130_fd_sc_hd__conb_1 + PLACED ( 176180 176800 ) FS ;
- _095_ sky130_fd_sc_hd__conb_1 + PLACED ( 13800 176800 ) FS ;
- _096_ sky130_fd_sc_hd__conb_1 + PLACED ( 182620 171360 ) FS ;
- _097_ sky130_fd_sc_hd__conb_1 + PLACED ( 155940 16320 ) N ;
- _098_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 108800 ) N ;
- _099_ sky130_fd_sc_hd__conb_1 + PLACED ( 178940 174080 ) N ;
- _100_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 149600 ) FS ;
- _101_ sky130_fd_sc_hd__conb_1 + PLACED ( 76360 182240 ) FS ;
- _102_ sky130_fd_sc_hd__conb_1 + PLACED ( 179400 171360 ) FS ;
- _103_ sky130_fd_sc_hd__conb_1 + PLACED ( 12420 157760 ) N ;
- _104_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 152320 ) N ;
- _105_ sky130_fd_sc_hd__conb_1 + PLACED ( 17020 176800 ) FS ;
- _106_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 62560 ) FS ;
- _107_ sky130_fd_sc_hd__conb_1 + PLACED ( 88780 179520 ) N ;
- _108_ sky130_fd_sc_hd__conb_1 + PLACED ( 121900 179520 ) N ;
- _109_ sky130_fd_sc_hd__conb_1 + PLACED ( 131560 179520 ) N ;
- _110_ sky130_fd_sc_hd__conb_1 + PLACED ( 147660 13600 ) FS ;
- _111_ sky130_fd_sc_hd__conb_1 + PLACED ( 182160 24480 ) FS ;
- _112_ sky130_fd_sc_hd__conb_1 + PLACED ( 53360 176800 ) FS ;
- _113_ sky130_fd_sc_hd__conb_1 + PLACED ( 22540 179520 ) N ;
- _114_ sky130_fd_sc_hd__conb_1 + PLACED ( 167900 179520 ) N ;
- _115_ sky130_fd_sc_hd__conb_1 + PLACED ( 74520 179520 ) N ;
- _116_ sky130_fd_sc_hd__conb_1 + PLACED ( 36800 13600 ) FS ;
- _117_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 27200 ) N ;
- _118_ sky130_fd_sc_hd__conb_1 + PLACED ( 177560 19040 ) FS ;
- _119_ sky130_fd_sc_hd__conb_1 + PLACED ( 13800 174080 ) N ;
- _120_ sky130_fd_sc_hd__conb_1 + PLACED ( 185840 27200 ) N ;
- _121_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 125120 ) N ;
- _122_ sky130_fd_sc_hd__conb_1 + PLACED ( 22540 21760 ) N ;
- _123_ sky130_fd_sc_hd__conb_1 + PLACED ( 128800 19040 ) FS ;
- _124_ sky130_fd_sc_hd__conb_1 + PLACED ( 17020 24480 ) FS ;
- _125_ sky130_fd_sc_hd__conb_1 + PLACED ( 103040 179520 ) N ;
- _126_ sky130_fd_sc_hd__conb_1 + PLACED ( 185840 43520 ) N ;
- _127_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 13600 ) FS ;
- _128_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 100640 ) FS ;
- _129_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 131560 81600 ) N ;
- _130_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91080 16320 ) N ;
- _131_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 68000 ) FS ;
- _132_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 97920 ) N ;
- _133_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 130560 ) N ;
- _134_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 87400 95200 ) FS ;
- _135_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98440 19040 ) FS ;
- _136_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102120 13600 ) FS ;
- _137_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 92480 ) N ;
- _138_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 38080 ) N ;
- _139_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 80960 97920 ) N ;
- _140_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 185840 87040 ) N ;
- _141_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 95220 19040 ) FS ;
- _142_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 97920 ) N ;
- _143_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 78880 ) FS ;
- _144_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 108560 108800 ) N ;
- _145_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 108560 97920 ) N ;
- _146_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 95220 95200 ) FS ;
- _147_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 72680 97920 ) N ;
- _148_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 108800 ) N ;
- _149_ sky130_fd_sc_hd__buf_2 + PLACED ( 102120 97920 ) N ;
- _150_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 40480 97920 ) N ;
- _151_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 172960 176800 ) FS ;
- _152_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88320 100640 ) FS ;
- _153_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 168640 ) N ;
- _154_ sky130_fd_sc_hd__buf_2 + PLACED ( 98440 103360 ) N ;
- _155_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175720 174080 ) N ;
- _156_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 91080 95200 ) FS ;
- _157_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 124200 76160 ) N ;
- _158_ sky130_fd_sc_hd__buf_2 + PLACED ( 98900 95200 ) FS ;
- _159_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 119680 ) N ;
- _160_ sky130_fd_sc_hd__buf_2 + PLACED ( 102120 92480 ) N ;
- _161_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 113160 97920 ) N ;
- _162_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 100640 ) FS ;
- _163_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 152720 57120 ) FS ;
- _164_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 89760 ) FS ;
- _165_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 87040 ) N ;
- _166_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 47380 95200 ) FS ;
- _167_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 186300 97920 ) N ;
- _168_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 61180 176800 ) FS ;
- _169_ sky130_fd_sc_hd__buf_2 + PLACED ( 102120 103360 ) N ;
- _170_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 110860 95200 ) FS ;
- _171_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 185840 38080 ) N ;
- _172_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 134780 19040 ) FS ;
- _173_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 10880 ) N ;
- _174_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 152720 97920 ) N ;
- _175_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 116840 97920 ) N ;
- _176_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103040 184960 ) N ;
- _177_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 157320 97920 ) N ;
- _178_ sky130_fd_sc_hd__buf_2 + PLACED ( 102120 100640 ) FS ;
- _179_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102580 95200 ) FS ;
- _180_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 14260 97920 ) N ;
- _181_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 24480 ) FS ;
- _182_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 141440 ) N ;
- _183_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 181240 127840 ) FS ;
- _184_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 103360 ) N ;
- _185_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 87400 19040 ) FS ;
- _186_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 94760 100640 ) FS ;
- _187_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 157320 179520 ) N ;
- _188_ sky130_fd_sc_hd__buf_2 + PLACED ( 98440 106080 ) FS ;
- _189_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 182160 84320 ) FS ;
- _190_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 97920 ) N ;
- _191_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102120 106080 ) FS ;
- _192_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 99360 168640 ) N ;
- _193_ sky130_fd_sc_hd__buf_2 + PLACED ( 114540 95200 ) FS ;
- _194_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 95200 ) FS ;
- _195_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 183080 97920 ) N ;
- _196_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 92480 ) N ;
- _197_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 132480 97920 ) N ;
- _198_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 120520 176800 ) FS ;
- _199_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85100 182240 ) FS ;
- _200_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 50140 13600 ) FS ;
- _201_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 119680 ) N ;
- _202_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 111520 ) FS ;
- _203_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 185840 163200 ) N ;
- _204_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 40800 ) FS ;
- _205_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 123740 97920 ) N ;
- _206_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102120 89760 ) FS ;
- _207_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 54280 174080 ) N ;
- _208_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 57500 97920 ) N ;
- _209_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 116960 ) FS ;
- _210_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 142600 16320 ) N ;
- _211_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 97920 ) N ;
- _212_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 127420 97920 ) N ;
- _213_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 105800 92480 ) N ;
- _214_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 159160 16320 ) N ;
- _215_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 29920 ) FS ;
- _216_ sky130_fd_sc_hd__buf_2 + PLACED ( 105800 103360 ) N ;
- _217_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85560 97920 ) N ;
- _218_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 138920 179520 ) N ;
- _219_ sky130_fd_sc_hd__buf_2 + PLACED ( 109020 100640 ) FS ;
- _220_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 53360 97920 ) N ;
- _221_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 120060 19040 ) FS ;
- _222_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 48960 ) N ;
- _223_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 87040 ) N ;
- _224_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102120 78880 ) FS ;
- _225_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 100640 ) FS ;
- _226_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 57120 ) FS ;
- _227_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 111320 111520 ) FS ;
- _228_ sky130_fd_sc_hd__buf_2 + PLACED ( 94760 89760 ) FS ;
- _229_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103040 21760 ) N ;
- _230_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 112700 100640 ) FS ;
- _231_ sky130_fd_sc_hd__buf_2 + PLACED ( 94760 106080 ) FS ;
- _232_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 103360 ) N ;
- _233_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 65280 ) N ;
- _234_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 32200 32640 ) N ;
- _235_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 109480 92480 ) N ;
- _236_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 77280 97920 ) N ;
- _237_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92920 24480 ) FS ;
- _238_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99360 179520 ) N ;
- _239_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 99360 21760 ) N ;
- _240_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 20700 97920 ) N ;
- _241_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 149600 ) FS ;
- _242_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 114240 ) N ;
- _243_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102120 87040 ) N ;
- _244_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 96140 21760 ) N ;
- _245_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103960 73440 ) FS ;
- _246_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 138000 97920 ) N ;
- _247_ sky130_fd_sc_hd__mux2_2 + PLACED ( 47380 97920 ) N ;
- _248_ sky130_fd_sc_hd__mux2_2 + PLACED ( 186760 16320 ) N ;
- input1 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 10880 ) N ;
- input10 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 51060 184960 ) N ;
- input100 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 10880 ) N ;
- input101 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 32640 ) N ;
- input102 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 168820 184960 ) N ;
- input103 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 19040 ) FS ;
- input104 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 143980 13600 ) FS ;
- input105 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 29920 ) FS ;
- input106 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 23460 184960 ) N ;
- input107 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92000 19040 ) FS ;
- input108 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 18860 13600 ) FS ;
- input109 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 63020 182240 ) FS ;
- input11 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 73140 10880 ) N ;
- input110 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 119680 ) N ;
- input111 sky130_fd_sc_hd__buf_2 + PLACED ( 188140 179520 ) N ;
- input112 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 69460 19040 ) FS ;
- input113 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 59840 ) N ;
- input114 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 76160 ) N ;
- input115 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 137540 10880 ) N ;
- input116 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 142140 179520 ) N ;
- input117 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 130640 10880 ) N ;
- input118 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 57500 13600 ) FS ;
- input119 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 13600 ) FS ;
- input12 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 133280 ) FS ;
- input120 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 178940 10880 ) N ;
- input121 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 87040 ) N ;
- input122 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 64860 179520 ) N ;
- input123 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 65280 ) N ;
- input124 sky130_fd_sc_hd__buf_2 + PLACED ( 150420 184960 ) N ;
- input125 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 172960 19040 ) FS ;
- input13 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 185840 81600 ) N ;
- input14 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 70380 184960 ) N ;
- input15 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 114240 ) N ;
- input16 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 33580 10880 ) N ;
- input17 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 44620 184960 ) N ;
- input18 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 176800 ) FS ;
- input19 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 184960 ) N ;
- input2 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 127840 ) FS ;
- input20 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 179400 168640 ) N ;
- input21 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 100640 ) FS ;
- input22 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 83720 10880 ) N ;
- input23 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 176180 171360 ) FS ;
- input24 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 182240 ) FS ;
- input25 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 78880 ) FS ;
- input26 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 70720 ) N ;
- input27 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 122400 ) FS ;
- input28 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 92480 ) N ;
- input29 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 178480 184960 ) N ;
- input3 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 61180 16320 ) N ;
- input30 sky130_fd_sc_hd__buf_2 + PLACED ( 174800 184960 ) N ;
- input31 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 150420 16320 ) N ;
- input32 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 89760 ) FS ;
- input33 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 73440 ) FS ;
- input34 sky130_fd_sc_hd__buf_2 + PLACED ( 19780 184960 ) N ;
- input35 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 59340 10880 ) N ;
- input36 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 184960 ) N ;
- input37 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 20240 176800 ) FS ;
- input38 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 106080 ) FS ;
- input39 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 109020 10880 ) N ;
- input4 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 51680 ) FS ;
- input40 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 179400 40800 ) FS ;
- input41 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 143980 10880 ) N ;
- input42 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 24840 10880 ) N ;
- input43 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 160540 10880 ) N ;
- input44 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 43520 ) N ;
- input45 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149500 10880 ) N ;
- input46 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 100740 176800 ) FS ;
- input47 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 153640 10880 ) N ;
- input48 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 13600 ) FS ;
- input49 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 138720 ) FS ;
- input5 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 10880 ) N ;
- input50 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 189520 133280 ) FS ;
- input51 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 68000 ) FS ;
- input52 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 161460 13600 ) FS ;
- input53 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 58420 184960 ) N ;
- input54 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 174080 ) N ;
- input55 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 130560 ) N ;
- input56 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 21760 ) N ;
- input57 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 87040 ) N ;
- input58 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 141440 ) N ;
- input59 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 59340 182240 ) FS ;
- input6 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 13600 ) FS ;
- input60 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 162380 184960 ) N ;
- input61 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 115000 184960 ) N ;
- input62 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 146880 ) N ;
- input63 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 182240 ) FS ;
- input64 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 40020 184960 ) N ;
- input65 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 38080 ) N ;
- input66 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 89240 184960 ) N ;
- input67 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 114540 179520 ) N ;
- input68 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 86940 176800 ) FS ;
- input69 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 53360 13600 ) FS ;
- input7 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 123740 10880 ) N ;
- input70 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 51980 179520 ) N ;
- input71 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13800 103360 ) N ;
- input72 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 166520 176800 ) FS ;
- input73 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 21760 ) N ;
- input74 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 144160 ) FS ;
- input75 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 40800 ) FS ;
- input76 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31740 184960 ) N ;
- input77 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 46000 10880 ) N ;
- input78 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 62100 184960 ) N ;
- input79 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 184960 ) N ;
- input8 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 76160 ) N ;
- input80 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175720 21760 ) N ;
- input81 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 168820 182240 ) FS ;
- input82 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 123740 184960 ) N ;
- input83 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 165920 ) FS ;
- input84 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 21160 10880 ) N ;
- input85 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 162840 16320 ) N ;
- input86 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46920 182240 ) FS ;
- input87 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 151340 19040 ) FS ;
- input88 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 30820 16320 ) N ;
- input89 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 50600 182240 ) FS ;
- input9 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 108800 ) N ;
- input90 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 27200 ) N ;
- input91 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 35360 ) FS ;
- input92 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 184960 ) N ;
- input93 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24380 19040 ) FS ;
- input94 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 114240 ) N ;
- input95 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 163200 ) N ;
- input96 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 24480 ) FS ;
- input97 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 114540 13600 ) FS ;
- input98 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 127840 ) FS ;
- input99 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 54400 ) N ;
- output126 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 13600 ) FS ;
- output127 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 89760 ) FS ;
- output128 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 43520 ) N ;
- output129 sky130_fd_sc_hd__buf_2 + PLACED ( 83260 184960 ) N ;
- output130 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 95200 ) FS ;
- output131 sky130_fd_sc_hd__buf_2 + PLACED ( 65780 10880 ) N ;
- output132 sky130_fd_sc_hd__buf_2 + PLACED ( 88780 182240 ) FS ;
- output133 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 87040 ) N ;
- output134 sky130_fd_sc_hd__buf_2 + PLACED ( 111320 184960 ) N ;
- output135 sky130_fd_sc_hd__buf_2 + PLACED ( 115460 10880 ) N ;
- output136 sky130_fd_sc_hd__buf_2 + PLACED ( 154100 184960 ) N ;
- output137 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 48960 ) N ;
- output138 sky130_fd_sc_hd__buf_2 + PLACED ( 130180 184960 ) N ;
- output139 sky130_fd_sc_hd__buf_2 + PLACED ( 90620 10880 ) N ;
- output140 sky130_fd_sc_hd__buf_2 + PLACED ( 49220 10880 ) N ;
- output141 sky130_fd_sc_hd__buf_2 + PLACED ( 165140 182240 ) FS ;
- output142 sky130_fd_sc_hd__buf_2 + PLACED ( 36340 184960 ) N ;
- output143 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 73440 ) FS ;
- output144 sky130_fd_sc_hd__buf_2 + PLACED ( 133860 16320 ) N ;
- output145 sky130_fd_sc_hd__buf_2 + PLACED ( 177100 13600 ) FS ;
- output146 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 10880 ) N ;
- output147 sky130_fd_sc_hd__buf_2 + PLACED ( 76820 10880 ) N ;
- output148 sky130_fd_sc_hd__buf_2 + PLACED ( 125580 13600 ) FS ;
- output149 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 179520 ) N ;
- output150 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 46240 ) FS ;
- output151 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 171360 ) FS ;
- output152 sky130_fd_sc_hd__buf_2 + PLACED ( 110860 13600 ) FS ;
- output153 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 51680 ) FS ;
- output154 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 62560 ) FS ;
- output155 sky130_fd_sc_hd__buf_2 + PLACED ( 143520 184960 ) N ;
- output156 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 84320 ) FS ;
- output157 sky130_fd_sc_hd__buf_2 + PLACED ( 43240 182240 ) FS ;
- output158 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 78880 ) FS ;
- output159 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 32640 ) N ;
- output160 sky130_fd_sc_hd__buf_2 + PLACED ( 61180 179520 ) N ;
- output161 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 16320 ) N ;
- output162 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 136000 ) N ;
- output163 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 40800 ) FS ;
- output164 sky130_fd_sc_hd__buf_2 + PLACED ( 166980 10880 ) N ;
- output165 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 19040 ) FS ;
- output166 sky130_fd_sc_hd__buf_2 + PLACED ( 148580 182240 ) FS ;
- output167 sky130_fd_sc_hd__buf_2 + PLACED ( 115460 182240 ) FS ;
- output168 sky130_fd_sc_hd__buf_2 + PLACED ( 126500 182240 ) FS ;
- output169 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 182240 ) FS ;
- output170 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 176800 ) FS ;
- output171 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 182240 ) FS ;
- output172 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 13600 ) FS ;
- output173 sky130_fd_sc_hd__buf_2 + PLACED ( 175260 182240 ) FS ;
- output174 sky130_fd_sc_hd__buf_2 + PLACED ( 86940 10880 ) N ;
- output175 sky130_fd_sc_hd__buf_2 + PLACED ( 184460 179520 ) N ;
- output176 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 182240 ) FS ;
- output177 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 174080 ) N ;
- output178 sky130_fd_sc_hd__buf_2 + PLACED ( 102120 10880 ) N ;
- output179 sky130_fd_sc_hd__buf_2 + PLACED ( 129260 13600 ) FS ;
- output180 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 182240 ) FS ;
- output181 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 27200 ) N ;
- output182 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 174080 ) N ;
- output183 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 165920 ) FS ;
- output184 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 16320 ) N ;
- output185 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 24480 ) FS ;
- output186 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 103360 ) N ;
- output187 sky130_fd_sc_hd__buf_2 + PLACED ( 100740 16320 ) N ;
- output188 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 184960 ) N ;
- output189 sky130_fd_sc_hd__buf_2 + PLACED ( 173420 179520 ) N ;
- output190 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 182240 ) FS ;
- output191 sky130_fd_sc_hd__buf_2 + PLACED ( 27140 13600 ) FS ;
- output192 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 116960 ) FS ;
- output193 sky130_fd_sc_hd__buf_2 + PLACED ( 179860 179520 ) N ;
- output194 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 116960 ) FS ;
- output195 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 160480 ) FS ;
- output196 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 46240 ) FS ;
- output197 sky130_fd_sc_hd__buf_2 + PLACED ( 120980 13600 ) FS ;
- output198 sky130_fd_sc_hd__buf_2 + PLACED ( 160540 182240 ) FS ;
- output199 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 179520 ) N ;
- output200 sky130_fd_sc_hd__buf_2 + PLACED ( 53360 10880 ) N ;
- output201 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 111520 ) FS ;
- output202 sky130_fd_sc_hd__buf_2 + PLACED ( 140300 13600 ) FS ;
- output203 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 35360 ) FS ;
- output204 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 106080 ) FS ;
- output205 sky130_fd_sc_hd__buf_2 + PLACED ( 180780 16320 ) N ;
- output206 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 13600 ) FS ;
- output207 sky130_fd_sc_hd__buf_2 + PLACED ( 86020 13600 ) FS ;
- output208 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 68000 ) FS ;
- output209 sky130_fd_sc_hd__buf_2 + PLACED ( 155940 182240 ) FS ;
- output210 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 171360 ) FS ;
- output211 sky130_fd_sc_hd__buf_2 + PLACED ( 180780 19040 ) FS ;
- output212 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 16320 ) N ;
- output213 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 103360 ) N ;
- output214 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 19040 ) FS ;
- output215 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 174080 ) N ;
- output216 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 29920 ) FS ;
- output217 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 19040 ) FS ;
- output218 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 57120 ) FS ;
- output219 sky130_fd_sc_hd__buf_2 + PLACED ( 115460 16320 ) N ;
- output220 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 16320 ) N ;
- output221 sky130_fd_sc_hd__buf_2 + PLACED ( 137540 16320 ) N ;
- output222 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 103360 ) N ;
- output223 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 21760 ) N ;
- output224 sky130_fd_sc_hd__buf_2 + PLACED ( 72220 182240 ) FS ;
- output225 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 70720 ) N ;
- output226 sky130_fd_sc_hd__buf_2 + PLACED ( 37260 10880 ) N ;
- output227 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 21760 ) N ;
- output228 sky130_fd_sc_hd__buf_2 + PLACED ( 111780 182240 ) FS ;
- output229 sky130_fd_sc_hd__buf_2 + PLACED ( 76820 184960 ) N ;
- output230 sky130_fd_sc_hd__buf_2 + PLACED ( 91540 13600 ) FS ;
- output231 sky130_fd_sc_hd__buf_2 + PLACED ( 144900 182240 ) FS ;
- output232 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 24480 ) FS ;
- output233 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 155040 ) FS ;
- output234 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 149600 ) FS ;
- output235 sky130_fd_sc_hd__buf_2 + PLACED ( 40020 13600 ) FS ;
- output236 sky130_fd_sc_hd__buf_2 + PLACED ( 64860 13600 ) FS ;
- output237 sky130_fd_sc_hd__buf_2 + PLACED ( 104420 16320 ) N ;
- output238 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 136000 ) N ;
- output239 sky130_fd_sc_hd__buf_2 + PLACED ( 102580 19040 ) FS ;
- output240 sky130_fd_sc_hd__buf_2 + PLACED ( 139840 184960 ) N ;
- output241 sky130_fd_sc_hd__buf_2 + PLACED ( 138460 182240 ) FS ;
- output242 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 179520 ) N ;
- output243 sky130_fd_sc_hd__buf_2 + PLACED ( 48300 179520 ) N ;
- output244 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 16320 ) N ;
- output245 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 54400 ) N ;
- output246 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 176800 ) FS ;
- output247 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 81600 ) N ;
END COMPONENTS
PINS 542 ;
- addr_to_core_mem[0] + NET addr_to_core_mem[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 7140 ) N ;
- addr_to_core_mem[10] + NET addr_to_core_mem[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 90100 ) N ;
- addr_to_core_mem[11] + NET addr_to_core_mem[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 42500 ) N ;
- addr_to_core_mem[12] + NET addr_to_core_mem[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79350 198000 ) N ;
- addr_to_core_mem[13] + NET addr_to_core_mem[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 92820 ) N ;
- addr_to_core_mem[14] + NET addr_to_core_mem[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 2000 ) N ;
- addr_to_core_mem[15] + NET addr_to_core_mem[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 198000 ) N ;
- addr_to_core_mem[16] + NET addr_to_core_mem[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 86020 ) N ;
- addr_to_core_mem[17] + NET addr_to_core_mem[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 198000 ) N ;
- addr_to_core_mem[18] + NET addr_to_core_mem[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 2000 ) N ;
- addr_to_core_mem[19] + NET addr_to_core_mem[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151110 198000 ) N ;
- addr_to_core_mem[1] + NET addr_to_core_mem[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 47940 ) N ;
- addr_to_core_mem[2] + NET addr_to_core_mem[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 198000 ) N ;
- addr_to_core_mem[3] + NET addr_to_core_mem[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 2000 ) N ;
- addr_to_core_mem[4] + NET addr_to_core_mem[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 2000 ) N ;
- addr_to_core_mem[5] + NET addr_to_core_mem[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 198000 ) N ;
- addr_to_core_mem[6] + NET addr_to_core_mem[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 198000 ) N ;
- addr_to_core_mem[7] + NET addr_to_core_mem[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 72420 ) N ;
- addr_to_core_mem[8] + NET addr_to_core_mem[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 2000 ) N ;
- addr_to_core_mem[9] + NET addr_to_core_mem[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 2000 ) N ;
- clk + NET clk + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 2000 ) N ;
- data_to_core_mem[0] + NET data_to_core_mem[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 2000 ) N ;
- data_to_core_mem[10] + NET data_to_core_mem[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 2000 ) N ;
- data_to_core_mem[11] + NET data_to_core_mem[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 182580 ) N ;
- data_to_core_mem[12] + NET data_to_core_mem[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 43860 ) N ;
- data_to_core_mem[13] + NET data_to_core_mem[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 171700 ) N ;
- data_to_core_mem[14] + NET data_to_core_mem[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 2000 ) N ;
- data_to_core_mem[15] + NET data_to_core_mem[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 49300 ) N ;
- data_to_core_mem[16] + NET data_to_core_mem[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 61540 ) N ;
- data_to_core_mem[17] + NET data_to_core_mem[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 198000 ) N ;
- data_to_core_mem[18] + NET data_to_core_mem[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 84660 ) N ;
- data_to_core_mem[19] + NET data_to_core_mem[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 198000 ) N ;
- data_to_core_mem[1] + NET data_to_core_mem[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 77860 ) N ;
- data_to_core_mem[20] + NET data_to_core_mem[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 32980 ) N ;
- data_to_core_mem[21] + NET data_to_core_mem[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 198000 ) N ;
- data_to_core_mem[22] + NET data_to_core_mem[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 7140 ) N ;
- data_to_core_mem[23] + NET data_to_core_mem[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 134980 ) N ;
- data_to_core_mem[24] + NET data_to_core_mem[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 43860 ) N ;
- data_to_core_mem[25] + NET data_to_core_mem[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 2000 ) N ;
- data_to_core_mem[26] + NET data_to_core_mem[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 11220 ) N ;
- data_to_core_mem[27] + NET data_to_core_mem[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 198000 ) N ;
- data_to_core_mem[28] + NET data_to_core_mem[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 198000 ) N ;
- data_to_core_mem[29] + NET data_to_core_mem[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126270 198000 ) N ;
- data_to_core_mem[2] + NET data_to_core_mem[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 198000 ) N ;
- data_to_core_mem[30] + NET data_to_core_mem[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 175780 ) N ;
- data_to_core_mem[31] + NET data_to_core_mem[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 193460 ) N ;
- data_to_core_mem[3] + NET data_to_core_mem[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 11220 ) N ;
- data_to_core_mem[4] + NET data_to_core_mem[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 198000 ) N ;
- data_to_core_mem[5] + NET data_to_core_mem[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86710 2000 ) N ;
- data_to_core_mem[6] + NET data_to_core_mem[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 198000 ) N ;
- data_to_core_mem[7] + NET data_to_core_mem[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 192100 ) N ;
- data_to_core_mem[8] + NET data_to_core_mem[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191590 198000 ) N ;
- data_to_core_mem[9] + NET data_to_core_mem[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 2000 ) N ;
- is_loading_memory_into_core + NET is_loading_memory_into_core + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 2000 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 1700 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 2000 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 126820 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 2000 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 8500 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 148580 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 2000 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 188020 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 60180 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 2000 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 103700 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 128180 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 2000 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 129540 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 56100 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191590 2000 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 2000 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197110 2000 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 81940 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164910 2000 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 2000 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 58820 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 2000 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 13940 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33350 2000 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 198900 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 198000 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 140420 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 198000 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 98260 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 2000 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 50660 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 2000 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 8500 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 2000 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 76500 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 107780 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 198000 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 2000 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 132260 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 54740 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 198000 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 198000 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 124100 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 198000 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 2000 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89470 2000 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14950 198000 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 2000 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 198000 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 80580 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 143140 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 198000 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 198000 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 113220 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 2000 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 198000 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172270 198000 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 198000 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 182580 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 100980 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 2000 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 192100 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 181220 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 79220 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 71060 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 122740 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 91460 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 198000 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 198000 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150190 2000 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 90100 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 72420 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 198000 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 2000 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 198000 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 198000 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 106420 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 2000 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 39780 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 2000 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 2000 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 2000 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 42500 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 2000 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100510 198000 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 2000 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 2000 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 139060 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 126820 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 71060 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 2000 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 198000 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 188020 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 129540 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 22100 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 87380 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 140420 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56350 198000 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162150 198000 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 198000 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 145860 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 197540 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 198000 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 38420 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 198000 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 198000 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86710 198000 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 2000 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 198000 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 102340 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195270 198000 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 5780 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 143140 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 39780 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 198000 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 2000 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 198000 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 186660 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 2000 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168590 198000 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 198000 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 166260 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24150 2000 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162150 2000 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 198000 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151110 2000 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 2000 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 121380 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 198000 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 186660 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 179860 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 198000 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 2000 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 107780 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 198000 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 151300 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 198000 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 198000 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 198000 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 159460 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 151300 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 198000 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 65620 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89470 198000 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 198000 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132710 198000 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 2000 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 23460 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 198000 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 133620 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 198000 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 198000 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75670 198000 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 2000 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 28900 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 12580 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9430 198000 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 22100 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 198000 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 2000 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168590 2000 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 95540 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 198000 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126270 2000 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 2000 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 160820 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 18020 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 2000 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 2000 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 144500 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 198000 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22310 2000 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189750 2000 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 198000 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 2000 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 198000 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 122740 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 2000 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 87380 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 198000 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 2000 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 340 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 75140 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 196180 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 198000 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97750 198000 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 198000 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 198000 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14950 2000 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 198000 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 198000 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 145860 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 2000 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 181220 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11270 2000 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 179860 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 2000 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 198000 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94070 2000 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 198000 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 198000 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 30260 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79350 2000 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 2000 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 163540 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197110 198000 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 170340 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 2000 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 2000 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 139060 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 47940 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 2000 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 170340 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71990 2000 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 2000 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 137700 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 198000 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 111860 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 198000 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 198000 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40710 2000 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 54740 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75670 2000 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 2000 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 197540 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 198000 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 81940 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 198000 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 2000 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 2000 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31510 198000 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 2000 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 198000 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 50660 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 2000 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 198000 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33350 198000 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 198000 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 61540 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 198000 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 2000 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 198000 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 95540 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 198000 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 177140 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 96900 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 1700 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 193460 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 198000 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157550 2000 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29670 198000 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 2000 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 156740 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 2000 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 198000 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 2000 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 65620 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 12580 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 168980 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 190740 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 198000 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 149940 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 2000 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 198000 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 175780 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 56100 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29670 2000 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157550 198000 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 32980 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 2000 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 198000 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 27540 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 2000 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 198000 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 164900 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 2000 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 198000 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 124100 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 198000 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 49300 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 2000 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 2000 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 98260 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 92820 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 38420 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 2000 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 2000 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 2000 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9430 2000 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 2000 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 109140 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 155380 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 37060 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16790 2000 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 19380 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 2000 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 198000 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7590 198000 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 66980 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 174420 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198950 198000 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 198000 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 171700 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 154020 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 2000 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 198000 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 16660 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 144500 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 198000 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 2000 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 132260 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 66980 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 196180 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 154020 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11270 198000 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 159460 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24150 198000 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171350 2000 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 80580 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 2000 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153870 2000 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 198000 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 134980 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134550 198000 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 198000 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 198000 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 91460 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 198000 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 198000 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 198000 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 2000 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 133620 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 198000 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153870 198000 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182390 198000 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189750 198000 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190670 198000 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 156740 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 198000 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5750 2000 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2070 2000 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 162180 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97750 2000 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 2000 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 198000 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 13940 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 45220 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 120020 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 118660 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 2000 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 113220 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 2000 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 2000 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 2000 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 111860 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 100980 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142830 2000 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40710 198000 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182390 2000 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 185300 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 198000 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 84660 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 2000 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 198000 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 3060 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94070 198000 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 2000 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 198000 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 2000 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 109140 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 16660 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 149940 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 2000 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 2000 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 198000 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 198000 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 177140 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 198000 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 198000 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 18020 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 198000 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 64260 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 168980 ) N ;
- output_data_from_elpis_to_controller[0] + NET output_data_from_elpis_to_controller[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 34340 ) N ;
- output_data_from_elpis_to_controller[10] + NET output_data_from_elpis_to_controller[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5750 198000 ) N ;
- output_data_from_elpis_to_controller[11] + NET output_data_from_elpis_to_controller[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 2000 ) N ;
- output_data_from_elpis_to_controller[12] + NET output_data_from_elpis_to_controller[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 114580 ) N ;
- output_data_from_elpis_to_controller[13] + NET output_data_from_elpis_to_controller[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 164900 ) N ;
- output_data_from_elpis_to_controller[14] + NET output_data_from_elpis_to_controller[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 24820 ) N ;
- output_data_from_elpis_to_controller[15] + NET output_data_from_elpis_to_controller[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114310 2000 ) N ;
- output_data_from_elpis_to_controller[16] + NET output_data_from_elpis_to_controller[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 128180 ) N ;
- output_data_from_elpis_to_controller[17] + NET output_data_from_elpis_to_controller[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 53380 ) N ;
- output_data_from_elpis_to_controller[18] + NET output_data_from_elpis_to_controller[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172270 2000 ) N ;
- output_data_from_elpis_to_controller[19] + NET output_data_from_elpis_to_controller[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 35700 ) N ;
- output_data_from_elpis_to_controller[1] + NET output_data_from_elpis_to_controller[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164910 198000 ) N ;
- output_data_from_elpis_to_controller[20] + NET output_data_from_elpis_to_controller[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 19380 ) N ;
- output_data_from_elpis_to_controller[21] + NET output_data_from_elpis_to_controller[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 2000 ) N ;
- output_data_from_elpis_to_controller[22] + NET output_data_from_elpis_to_controller[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 28900 ) N ;
- output_data_from_elpis_to_controller[23] + NET output_data_from_elpis_to_controller[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 198000 ) N ;
- output_data_from_elpis_to_controller[24] + NET output_data_from_elpis_to_controller[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 2000 ) N ;
- output_data_from_elpis_to_controller[25] + NET output_data_from_elpis_to_controller[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 2000 ) N ;
- output_data_from_elpis_to_controller[26] + NET output_data_from_elpis_to_controller[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 198000 ) N ;
- output_data_from_elpis_to_controller[27] + NET output_data_from_elpis_to_controller[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 118660 ) N ;
- output_data_from_elpis_to_controller[28] + NET output_data_from_elpis_to_controller[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 198000 ) N ;
- output_data_from_elpis_to_controller[29] + NET output_data_from_elpis_to_controller[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 2000 ) N ;
- output_data_from_elpis_to_controller[2] + NET output_data_from_elpis_to_controller[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 58820 ) N ;
- output_data_from_elpis_to_controller[30] + NET output_data_from_elpis_to_controller[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 76500 ) N ;
- output_data_from_elpis_to_controller[31] + NET output_data_from_elpis_to_controller[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 2000 ) N ;
- output_data_from_elpis_to_controller[3] + NET output_data_from_elpis_to_controller[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 198000 ) N ;
- output_data_from_elpis_to_controller[4] + NET output_data_from_elpis_to_controller[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132710 2000 ) N ;
- output_data_from_elpis_to_controller[5] + NET output_data_from_elpis_to_controller[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 2000 ) N ;
- output_data_from_elpis_to_controller[6] + NET output_data_from_elpis_to_controller[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 2000 ) N ;
- output_data_from_elpis_to_controller[7] + NET output_data_from_elpis_to_controller[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 2000 ) N ;
- output_data_from_elpis_to_controller[8] + NET output_data_from_elpis_to_controller[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 86020 ) N ;
- output_data_from_elpis_to_controller[9] + NET output_data_from_elpis_to_controller[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 198000 ) N ;
- output_enabled_from_elpis_to_controller + NET output_enabled_from_elpis_to_controller + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 64260 ) N ;
- read_enable_to_Elpis + NET read_enable_to_Elpis + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 27540 ) N ;
- read_value_to_Elpis[0] + NET read_value_to_Elpis[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 174420 ) N ;
- read_value_to_Elpis[10] + NET read_value_to_Elpis[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 166260 ) N ;
- read_value_to_Elpis[11] + NET read_value_to_Elpis[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7590 2000 ) N ;
- read_value_to_Elpis[12] + NET read_value_to_Elpis[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 23460 ) N ;
- read_value_to_Elpis[13] + NET read_value_to_Elpis[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 96900 ) N ;
- read_value_to_Elpis[14] + NET read_value_to_Elpis[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100510 2000 ) N ;
- read_value_to_Elpis[15] + NET read_value_to_Elpis[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 198000 ) N ;
- read_value_to_Elpis[16] + NET read_value_to_Elpis[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 198000 ) N ;
- read_value_to_Elpis[17] + NET read_value_to_Elpis[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22310 198000 ) N ;
- read_value_to_Elpis[18] + NET read_value_to_Elpis[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 2000 ) N ;
- read_value_to_Elpis[19] + NET read_value_to_Elpis[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 117300 ) N ;
- read_value_to_Elpis[1] + NET read_value_to_Elpis[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 198000 ) N ;
- read_value_to_Elpis[20] + NET read_value_to_Elpis[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 117300 ) N ;
- read_value_to_Elpis[21] + NET read_value_to_Elpis[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 160820 ) N ;
- read_value_to_Elpis[22] + NET read_value_to_Elpis[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 45220 ) N ;
- read_value_to_Elpis[23] + NET read_value_to_Elpis[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 2000 ) N ;
- read_value_to_Elpis[24] + NET read_value_to_Elpis[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 198000 ) N ;
- read_value_to_Elpis[25] + NET read_value_to_Elpis[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 198000 ) N ;
- read_value_to_Elpis[26] + NET read_value_to_Elpis[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 2000 ) N ;
- read_value_to_Elpis[27] + NET read_value_to_Elpis[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 114580 ) N ;
- read_value_to_Elpis[28] + NET read_value_to_Elpis[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 2000 ) N ;
- read_value_to_Elpis[29] + NET read_value_to_Elpis[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 34340 ) N ;
- read_value_to_Elpis[2] + NET read_value_to_Elpis[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 106420 ) N ;
- read_value_to_Elpis[30] + NET read_value_to_Elpis[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 2000 ) N ;
- read_value_to_Elpis[31] + NET read_value_to_Elpis[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28750 2000 ) N ;
- read_value_to_Elpis[3] + NET read_value_to_Elpis[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85790 2000 ) N ;
- read_value_to_Elpis[4] + NET read_value_to_Elpis[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 69700 ) N ;
- read_value_to_Elpis[5] + NET read_value_to_Elpis[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 198000 ) N ;
- read_value_to_Elpis[6] + NET read_value_to_Elpis[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 185300 ) N ;
- read_value_to_Elpis[7] + NET read_value_to_Elpis[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 2000 ) N ;
- read_value_to_Elpis[8] + NET read_value_to_Elpis[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 2000 ) N ;
- read_value_to_Elpis[9] + NET read_value_to_Elpis[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 102340 ) N ;
- reset_core + NET reset_core + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3910 2000 ) N ;
- rst + NET rst + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 190740 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ LAYER met4 ( -154400 -88640 ) ( -152800 88640 )
+ FIXED ( 175440 99280 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ FIXED ( 98640 99280 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150190 198000 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190670 2000 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 30260 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 5780 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 60180 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 2000 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230 2000 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134550 2000 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 103700 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 3060 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71990 198000 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 69700 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 2000 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 2000 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 198000 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 198000 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 2000 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 198000 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 24820 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 155380 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 148580 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 2000 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 2000 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 2000 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 137700 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 2000 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 198000 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 198000 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16790 198000 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 198000 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 2000 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 53380 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2070 198000 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 75140 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 200000 200000 ) ;
END BLOCKAGES
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met3 0 + SHAPE STRIPE ( 175440 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 175440 10640 ) ( 175440 187920 )
NEW met4 1600 + SHAPE STRIPE ( 21840 10640 ) ( 21840 187920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 194120 187680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 194120 182240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 194120 176800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 194120 171360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 194120 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 194120 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 194120 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 194120 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 194120 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 194120 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 194120 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 194120 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 194120 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 194120 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 194120 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 194120 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 194120 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 194120 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 194120 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 194120 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 194120 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 194120 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 194120 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 194120 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 194120 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 194120 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 194120 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 194120 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 194120 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 194120 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 194120 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 194120 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 194120 13600 ) ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met3 0 + SHAPE STRIPE ( 98640 184960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 184960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 184960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 179520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 179520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 179520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 174080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 174080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 174080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 168640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 168640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 168640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 163200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 163200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 163200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 157760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 157760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 157760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 152320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 152320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 152320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 146880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 146880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 146880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 141440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 141440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 141440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 136000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 136000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 136000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 130560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 130560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 130560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 125120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 125120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 125120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 119680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 119680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 119680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 114240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 114240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 114240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 10880 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 98640 10640 ) ( 98640 187920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 194120 184960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 194120 179520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 194120 174080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 194120 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 194120 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 194120 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 194120 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 194120 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 194120 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 194120 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 194120 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 194120 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 194120 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 194120 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 194120 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 194120 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 194120 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 194120 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 194120 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 194120 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 194120 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 194120 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 194120 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 194120 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 194120 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 194120 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 194120 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 194120 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 194120 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 194120 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 194120 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 194120 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 194120 10880 ) ;
END SPECIALNETS
NETS 787 ;
- addr_to_core_mem[0] ( PIN addr_to_core_mem[0] ) ( output126 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 7140 ) ( * 14110 )
NEW met3 ( 183310 7140 ) ( 196420 * 0 )
NEW li1 ( 183310 14110 ) L1M1_PR_MR
NEW met1 ( 183310 14110 ) M1M2_PR
NEW met2 ( 183310 7140 ) M2M3_PR_M
NEW met1 ( 183310 14110 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[10] ( PIN addr_to_core_mem[10] ) ( output127 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 90100 ) ( * 90270 )
NEW met3 ( 190210 90100 ) ( 196420 * 0 )
NEW li1 ( 190210 90270 ) L1M1_PR_MR
NEW met1 ( 190210 90270 ) M1M2_PR
NEW met2 ( 190210 90100 ) M2M3_PR_M
NEW met1 ( 190210 90270 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[11] ( PIN addr_to_core_mem[11] ) ( output128 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 42500 ) ( * 44030 )
NEW met3 ( 190210 42500 ) ( 196420 * 0 )
NEW li1 ( 190210 44030 ) L1M1_PR_MR
NEW met1 ( 190210 44030 ) M1M2_PR
NEW met2 ( 190210 42500 ) M2M3_PR_M
NEW met1 ( 190210 44030 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[12] ( PIN addr_to_core_mem[12] ) ( output129 X ) + USE SIGNAL
+ ROUTED met2 ( 79350 185470 ) ( * 196180 0 )
NEW met1 ( 79350 185470 ) ( 84410 * )
NEW li1 ( 84410 185470 ) L1M1_PR_MR
NEW met1 ( 79350 185470 ) M1M2_PR ;
- addr_to_core_mem[13] ( PIN addr_to_core_mem[13] ) ( output130 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 92820 ) ( * 95710 )
NEW met3 ( 190210 92820 ) ( 196420 * 0 )
NEW li1 ( 190210 95710 ) L1M1_PR_MR
NEW met1 ( 190210 95710 ) M1M2_PR
NEW met2 ( 190210 92820 ) M2M3_PR_M
NEW met1 ( 190210 95710 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[14] ( PIN addr_to_core_mem[14] ) ( output131 X ) + USE SIGNAL
+ ROUTED met2 ( 58190 3740 0 ) ( * 11390 )
NEW met1 ( 58190 11390 ) ( 66930 * )
NEW met1 ( 58190 11390 ) M1M2_PR
NEW li1 ( 66930 11390 ) L1M1_PR_MR ;
- addr_to_core_mem[15] ( PIN addr_to_core_mem[15] ) ( output132 X ) + USE SIGNAL
+ ROUTED met1 ( 87630 184450 ) ( 89930 * )
NEW met2 ( 87630 184450 ) ( * 196180 0 )
NEW li1 ( 89930 184450 ) L1M1_PR_MR
NEW met1 ( 87630 184450 ) M1M2_PR ;
- addr_to_core_mem[16] ( PIN addr_to_core_mem[16] ) ( output133 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 86020 ) ( * 87550 )
NEW met3 ( 190210 86020 ) ( 196420 * 0 )
NEW li1 ( 190210 87550 ) L1M1_PR_MR
NEW met1 ( 190210 87550 ) M1M2_PR
NEW met2 ( 190210 86020 ) M2M3_PR_M
NEW met1 ( 190210 87550 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[17] ( PIN addr_to_core_mem[17] ) ( output134 X ) + USE SIGNAL
+ ROUTED met2 ( 112470 185470 ) ( * 196180 0 )
NEW li1 ( 112470 185470 ) L1M1_PR_MR
NEW met1 ( 112470 185470 ) M1M2_PR
NEW met1 ( 112470 185470 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[18] ( PIN addr_to_core_mem[18] ) ( output135 X ) + USE SIGNAL
+ ROUTED met2 ( 112470 3740 0 ) ( * 11390 )
NEW met1 ( 112470 11390 ) ( 116610 * )
NEW met1 ( 112470 11390 ) M1M2_PR
NEW li1 ( 116610 11390 ) L1M1_PR_MR ;
- addr_to_core_mem[19] ( PIN addr_to_core_mem[19] ) ( output136 X ) + USE SIGNAL
+ ROUTED met1 ( 152030 185470 ) ( 155250 * )
NEW met2 ( 152030 185470 ) ( * 185980 )
NEW met2 ( 151110 185980 ) ( 152030 * )
NEW met2 ( 151110 185980 ) ( * 196180 0 )
NEW li1 ( 155250 185470 ) L1M1_PR_MR
NEW met1 ( 152030 185470 ) M1M2_PR ;
- addr_to_core_mem[1] ( PIN addr_to_core_mem[1] ) ( output137 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 47940 0 ) ( 8050 * )
NEW met2 ( 8050 47940 ) ( * 49470 )
NEW met2 ( 8050 47940 ) M2M3_PR_M
NEW li1 ( 8050 49470 ) L1M1_PR_MR
NEW met1 ( 8050 49470 ) M1M2_PR
NEW met1 ( 8050 49470 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[2] ( PIN addr_to_core_mem[2] ) ( output138 X ) + USE SIGNAL
+ ROUTED met2 ( 129950 185470 ) ( * 196180 0 )
NEW met1 ( 129950 185470 ) ( 131330 * )
NEW met1 ( 129950 185470 ) M1M2_PR
NEW li1 ( 131330 185470 ) L1M1_PR_MR ;
- addr_to_core_mem[3] ( PIN addr_to_core_mem[3] ) ( output139 X ) + USE SIGNAL
+ ROUTED met2 ( 90390 3740 0 ) ( * 11390 )
NEW met1 ( 90390 11390 ) ( 91770 * )
NEW met1 ( 90390 11390 ) M1M2_PR
NEW li1 ( 91770 11390 ) L1M1_PR_MR ;
- addr_to_core_mem[4] ( PIN addr_to_core_mem[4] ) ( output140 X ) + USE SIGNAL
+ ROUTED met2 ( 48990 3740 0 ) ( * 11390 )
NEW met1 ( 48990 11390 ) ( 50370 * )
NEW met1 ( 48990 11390 ) M1M2_PR
NEW li1 ( 50370 11390 ) L1M1_PR_MR ;
- addr_to_core_mem[5] ( PIN addr_to_core_mem[5] ) ( output141 X ) + USE SIGNAL
+ ROUTED met1 ( 166290 184450 ) ( 169510 * )
NEW met2 ( 169510 184450 ) ( * 196180 0 )
NEW li1 ( 166290 184450 ) L1M1_PR_MR
NEW met1 ( 169510 184450 ) M1M2_PR ;
- addr_to_core_mem[6] ( PIN addr_to_core_mem[6] ) ( output142 X ) + USE SIGNAL
+ ROUTED met1 ( 36110 185470 ) ( 37490 * )
NEW met2 ( 36110 185470 ) ( * 196180 0 )
NEW li1 ( 37490 185470 ) L1M1_PR_MR
NEW met1 ( 36110 185470 ) M1M2_PR ;
- addr_to_core_mem[7] ( PIN addr_to_core_mem[7] ) ( output143 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 72420 0 ) ( 8050 * )
NEW met2 ( 8050 72420 ) ( * 73950 )
NEW met2 ( 8050 72420 ) M2M3_PR_M
NEW li1 ( 8050 73950 ) L1M1_PR_MR
NEW met1 ( 8050 73950 ) M1M2_PR
NEW met1 ( 8050 73950 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[8] ( PIN addr_to_core_mem[8] ) ( output144 X ) + USE SIGNAL
+ ROUTED met1 ( 133630 16830 ) ( 135010 * )
NEW met2 ( 133630 3740 0 ) ( * 16830 )
NEW met1 ( 133630 16830 ) M1M2_PR
NEW li1 ( 135010 16830 ) L1M1_PR_MR ;
- addr_to_core_mem[9] ( PIN addr_to_core_mem[9] ) ( output145 X ) + USE SIGNAL
+ ROUTED met2 ( 176870 3740 0 ) ( * 14110 )
NEW met1 ( 176870 14110 ) ( 178250 * )
NEW met1 ( 176870 14110 ) M1M2_PR
NEW li1 ( 178250 14110 ) L1M1_PR_MR ;
- clk ( PIN clk ) ( output146 X ) + USE SIGNAL
+ ROUTED met2 ( 4830 3740 0 ) ( * 11390 )
NEW met1 ( 4830 11390 ) ( 14490 * )
NEW met1 ( 4830 11390 ) M1M2_PR
NEW li1 ( 14490 11390 ) L1M1_PR_MR ;
- data_to_core_mem[0] ( PIN data_to_core_mem[0] ) ( output147 X ) + USE SIGNAL
+ ROUTED met2 ( 76590 3740 0 ) ( * 11390 )
NEW met1 ( 76590 11390 ) ( 77970 * )
NEW met1 ( 76590 11390 ) M1M2_PR
NEW li1 ( 77970 11390 ) L1M1_PR_MR ;
- data_to_core_mem[10] ( PIN data_to_core_mem[10] ) ( output148 X ) + USE SIGNAL
+ ROUTED met1 ( 122590 14110 ) ( 126730 * )
NEW met2 ( 122590 3740 0 ) ( * 14110 )
NEW met1 ( 122590 14110 ) M1M2_PR
NEW li1 ( 126730 14110 ) L1M1_PR_MR ;
- data_to_core_mem[11] ( PIN data_to_core_mem[11] ) ( output149 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 181730 ) ( * 182580 )
NEW met3 ( 3220 182580 0 ) ( 8050 * )
NEW li1 ( 8050 181730 ) L1M1_PR_MR
NEW met1 ( 8050 181730 ) M1M2_PR
NEW met2 ( 8050 182580 ) M2M3_PR_M
NEW met1 ( 8050 181730 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[12] ( PIN data_to_core_mem[12] ) ( output150 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 43860 0 ) ( 8050 * )
NEW met2 ( 8050 43860 ) ( * 46750 )
NEW met2 ( 8050 43860 ) M2M3_PR_M
NEW li1 ( 8050 46750 ) L1M1_PR_MR
NEW met1 ( 8050 46750 ) M1M2_PR
NEW met1 ( 8050 46750 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[13] ( PIN data_to_core_mem[13] ) ( output151 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 171700 0 ) ( 8050 * )
NEW met2 ( 8050 171700 ) ( * 171870 )
NEW met2 ( 8050 171700 ) M2M3_PR_M
NEW li1 ( 8050 171870 ) L1M1_PR_MR
NEW met1 ( 8050 171870 ) M1M2_PR
NEW met1 ( 8050 171870 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[14] ( PIN data_to_core_mem[14] ) ( output152 X ) + USE SIGNAL
+ ROUTED met1 ( 111550 14110 ) ( 112010 * )
NEW met2 ( 111550 3740 0 ) ( * 14110 )
NEW met1 ( 111550 14110 ) M1M2_PR
NEW li1 ( 112010 14110 ) L1M1_PR_MR ;
- data_to_core_mem[15] ( PIN data_to_core_mem[15] ) ( output153 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 49300 0 ) ( 7130 * )
NEW met2 ( 7130 49300 ) ( * 52190 )
NEW met1 ( 7130 52190 ) ( 8050 * )
NEW met2 ( 7130 49300 ) M2M3_PR_M
NEW met1 ( 7130 52190 ) M1M2_PR
NEW li1 ( 8050 52190 ) L1M1_PR_MR ;
- data_to_core_mem[16] ( PIN data_to_core_mem[16] ) ( output154 X ) + USE SIGNAL
+ ROUTED met3 ( 190210 61540 ) ( 196420 * 0 )
NEW met2 ( 190210 61540 ) ( * 63070 )
NEW met2 ( 190210 61540 ) M2M3_PR_M
NEW li1 ( 190210 63070 ) L1M1_PR_MR
NEW met1 ( 190210 63070 ) M1M2_PR
NEW met1 ( 190210 63070 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[17] ( PIN data_to_core_mem[17] ) ( output155 X ) + USE SIGNAL
+ ROUTED met1 ( 143750 185470 ) ( 144670 * )
NEW met2 ( 143750 185470 ) ( * 196180 0 )
NEW li1 ( 144670 185470 ) L1M1_PR_MR
NEW met1 ( 143750 185470 ) M1M2_PR ;
- data_to_core_mem[18] ( PIN data_to_core_mem[18] ) ( output156 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 84660 ) ( * 84830 )
NEW met3 ( 190210 84660 ) ( 196420 * 0 )
NEW li1 ( 190210 84830 ) L1M1_PR_MR
NEW met1 ( 190210 84830 ) M1M2_PR
NEW met2 ( 190210 84660 ) M2M3_PR_M
NEW met1 ( 190210 84830 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[19] ( PIN data_to_core_mem[19] ) ( output157 X ) + USE SIGNAL
+ ROUTED met1 ( 44390 184450 ) ( 45310 * )
NEW met2 ( 45310 184450 ) ( * 196180 0 )
NEW li1 ( 44390 184450 ) L1M1_PR_MR
NEW met1 ( 45310 184450 ) M1M2_PR ;
- data_to_core_mem[1] ( PIN data_to_core_mem[1] ) ( output158 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 77860 0 ) ( 8050 * )
NEW met2 ( 8050 77860 ) ( * 79390 )
NEW met2 ( 8050 77860 ) M2M3_PR_M
NEW li1 ( 8050 79390 ) L1M1_PR_MR
NEW met1 ( 8050 79390 ) M1M2_PR
NEW met1 ( 8050 79390 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[20] ( PIN data_to_core_mem[20] ) ( output159 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 32980 ) ( * 33150 )
NEW met3 ( 190210 32980 ) ( 196420 * 0 )
NEW li1 ( 190210 33150 ) L1M1_PR_MR
NEW met1 ( 190210 33150 ) M1M2_PR
NEW met2 ( 190210 32980 ) M2M3_PR_M
NEW met1 ( 190210 33150 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[21] ( PIN data_to_core_mem[21] ) ( output160 X ) + USE SIGNAL
+ ROUTED met2 ( 60950 181730 ) ( 62330 * )
NEW met2 ( 60950 181730 ) ( * 196180 0 )
NEW li1 ( 62330 181730 ) L1M1_PR_MR
NEW met1 ( 62330 181730 ) M1M2_PR
NEW met1 ( 62330 181730 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[22] ( PIN data_to_core_mem[22] ) ( output161 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 7140 0 ) ( 8050 * )
NEW met2 ( 8050 7140 ) ( * 16830 )
NEW met2 ( 8050 7140 ) M2M3_PR_M
NEW li1 ( 8050 16830 ) L1M1_PR_MR
NEW met1 ( 8050 16830 ) M1M2_PR
NEW met1 ( 8050 16830 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[23] ( PIN data_to_core_mem[23] ) ( output162 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 134980 0 ) ( 8050 * )
NEW met2 ( 8050 134980 ) ( * 136510 )
NEW met2 ( 8050 134980 ) M2M3_PR_M
NEW li1 ( 8050 136510 ) L1M1_PR_MR
NEW met1 ( 8050 136510 ) M1M2_PR
NEW met1 ( 8050 136510 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[24] ( PIN data_to_core_mem[24] ) ( output163 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 43010 ) ( 190670 * )
NEW met2 ( 190670 43010 ) ( * 43860 )
NEW met3 ( 190670 43860 ) ( 196420 * 0 )
NEW li1 ( 190210 43010 ) L1M1_PR_MR
NEW met1 ( 190670 43010 ) M1M2_PR
NEW met2 ( 190670 43860 ) M2M3_PR_M ;
- data_to_core_mem[25] ( PIN data_to_core_mem[25] ) ( output164 X ) + USE SIGNAL
+ ROUTED met2 ( 163070 3740 0 ) ( * 11390 )
NEW met1 ( 163070 11390 ) ( 168130 * )
NEW met1 ( 163070 11390 ) M1M2_PR
NEW li1 ( 168130 11390 ) L1M1_PR_MR ;
- data_to_core_mem[26] ( PIN data_to_core_mem[26] ) ( output165 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 19550 ) ( 194810 * )
NEW li1 ( 194810 11390 ) ( * 19550 )
NEW met2 ( 194810 11220 ) ( * 11390 )
NEW met3 ( 194810 11220 ) ( 196420 * 0 )
NEW li1 ( 190210 19550 ) L1M1_PR_MR
NEW li1 ( 194810 19550 ) L1M1_PR_MR
NEW li1 ( 194810 11390 ) L1M1_PR_MR
NEW met1 ( 194810 11390 ) M1M2_PR
NEW met2 ( 194810 11220 ) M2M3_PR_M
NEW met1 ( 194810 11390 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[27] ( PIN data_to_core_mem[27] ) ( output166 X ) + USE SIGNAL
+ ROUTED met1 ( 148350 184450 ) ( 149730 * )
NEW met2 ( 148350 184450 ) ( * 196180 0 )
NEW li1 ( 149730 184450 ) L1M1_PR_MR
NEW met1 ( 148350 184450 ) M1M2_PR ;
- data_to_core_mem[28] ( PIN data_to_core_mem[28] ) ( output167 X ) + USE SIGNAL
+ ROUTED met1 ( 115230 184450 ) ( 116610 * )
NEW met2 ( 115230 184450 ) ( * 196180 0 )
NEW li1 ( 116610 184450 ) L1M1_PR_MR
NEW met1 ( 115230 184450 ) M1M2_PR ;
- data_to_core_mem[29] ( PIN data_to_core_mem[29] ) ( output168 X ) + USE SIGNAL
+ ROUTED met1 ( 126270 184450 ) ( 127650 * )
NEW met2 ( 126270 184450 ) ( * 196180 0 )
NEW li1 ( 127650 184450 ) L1M1_PR_MR
NEW met1 ( 126270 184450 ) M1M2_PR ;
- data_to_core_mem[2] ( PIN data_to_core_mem[2] ) ( output169 X ) + USE SIGNAL
+ ROUTED met1 ( 183310 184450 ) ( 186990 * )
NEW met2 ( 186990 184450 ) ( * 196180 0 )
NEW li1 ( 183310 184450 ) L1M1_PR_MR
NEW met1 ( 186990 184450 ) M1M2_PR ;
- data_to_core_mem[30] ( PIN data_to_core_mem[30] ) ( output170 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 175780 ) ( * 177310 )
NEW met3 ( 190210 175780 ) ( 196420 * 0 )
NEW li1 ( 190210 177310 ) L1M1_PR_MR
NEW met1 ( 190210 177310 ) M1M2_PR
NEW met2 ( 190210 175780 ) M2M3_PR_M
NEW met1 ( 190210 177310 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[31] ( PIN data_to_core_mem[31] ) ( output171 X ) + USE SIGNAL
+ ROUTED met1 ( 11730 184450 ) ( 14490 * )
NEW met2 ( 14490 184450 ) ( * 193460 )
NEW met3 ( 3220 193460 0 ) ( 14490 * )
NEW li1 ( 11730 184450 ) L1M1_PR_MR
NEW met1 ( 14490 184450 ) M1M2_PR
NEW met2 ( 14490 193460 ) M2M3_PR_M ;
- data_to_core_mem[3] ( PIN data_to_core_mem[3] ) ( output172 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 11220 0 ) ( 14030 * )
NEW met2 ( 14030 11220 ) ( * 14110 )
NEW met1 ( 13570 14110 ) ( 14030 * )
NEW met2 ( 14030 11220 ) M2M3_PR_M
NEW met1 ( 14030 14110 ) M1M2_PR
NEW li1 ( 13570 14110 ) L1M1_PR_MR ;
- data_to_core_mem[4] ( PIN data_to_core_mem[4] ) ( output173 X ) + USE SIGNAL
+ ROUTED met1 ( 174570 184450 ) ( 176410 * )
NEW met2 ( 174570 184450 ) ( * 185980 )
NEW met2 ( 174110 185980 ) ( 174570 * )
NEW met2 ( 174110 185980 ) ( * 188700 )
NEW met2 ( 174110 188700 ) ( 175030 * )
NEW met2 ( 175030 188700 ) ( * 196180 0 )
NEW li1 ( 176410 184450 ) L1M1_PR_MR
NEW met1 ( 174570 184450 ) M1M2_PR ;
- data_to_core_mem[5] ( PIN data_to_core_mem[5] ) ( output174 X ) + USE SIGNAL
+ ROUTED met2 ( 86710 3740 0 ) ( * 11390 )
NEW met1 ( 86710 11390 ) ( 88090 * )
NEW met1 ( 86710 11390 ) M1M2_PR
NEW li1 ( 88090 11390 ) L1M1_PR_MR ;
- data_to_core_mem[6] ( PIN data_to_core_mem[6] ) ( output175 X ) + USE SIGNAL
+ ROUTED met1 ( 184230 181730 ) ( 185610 * )
NEW met2 ( 184230 181730 ) ( * 196180 0 )
NEW li1 ( 185610 181730 ) L1M1_PR_MR
NEW met1 ( 184230 181730 ) M1M2_PR ;
- data_to_core_mem[7] ( PIN data_to_core_mem[7] ) ( output176 X ) + USE SIGNAL
+ ROUTED met2 ( 15410 184450 ) ( * 192100 )
NEW met3 ( 3220 192100 0 ) ( 15410 * )
NEW li1 ( 15410 184450 ) L1M1_PR_MR
NEW met1 ( 15410 184450 ) M1M2_PR
NEW met2 ( 15410 192100 ) M2M3_PR_M
NEW met1 ( 15410 184450 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[8] ( PIN data_to_core_mem[8] ) ( output177 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 176290 ) ( 191590 * )
NEW met2 ( 191590 176290 ) ( * 196180 0 )
NEW li1 ( 190210 176290 ) L1M1_PR_MR
NEW met1 ( 191590 176290 ) M1M2_PR ;
- data_to_core_mem[9] ( PIN data_to_core_mem[9] ) ( output178 X ) + USE SIGNAL
+ ROUTED met2 ( 94990 3740 0 ) ( * 12750 )
NEW met1 ( 94990 12750 ) ( 103270 * )
NEW met1 ( 94990 12750 ) M1M2_PR
NEW li1 ( 103270 12750 ) L1M1_PR_MR ;
- is_loading_memory_into_core ( PIN is_loading_memory_into_core ) ( output179 X ) + USE SIGNAL
+ ROUTED met1 ( 127190 14110 ) ( 130410 * )
NEW met2 ( 127190 3740 0 ) ( * 14110 )
NEW met1 ( 127190 14110 ) M1M2_PR
NEW li1 ( 130410 14110 ) L1M1_PR_MR ;
- la_data_in[0] ( PIN la_data_in[0] ) ( input1 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 1700 0 ) ( 6670 * )
NEW met2 ( 6670 1700 ) ( * 12070 )
NEW met1 ( 6670 12070 ) ( 7130 * )
NEW met2 ( 6670 1700 ) M2M3_PR_M
NEW met1 ( 6670 12070 ) M1M2_PR
NEW li1 ( 7130 12070 ) L1M1_PR_MR ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) ( input2 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 128180 0 ) ( 9430 * )
NEW met2 ( 9430 128180 ) ( * 129370 )
NEW met2 ( 9430 128180 ) M2M3_PR_M
NEW li1 ( 9430 129370 ) L1M1_PR_MR
NEW met1 ( 9430 129370 ) M1M2_PR
NEW met1 ( 9430 129370 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) ( input3 A ) + USE SIGNAL
+ ROUTED met2 ( 60950 3740 0 ) ( * 17510 )
NEW met1 ( 60950 17510 ) ( 62330 * )
NEW met1 ( 60950 17510 ) M1M2_PR
NEW li1 ( 62330 17510 ) L1M1_PR_MR ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) ( input4 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 50660 ) ( * 53210 )
NEW met3 ( 189750 50660 ) ( 196420 * 0 )
NEW li1 ( 189750 53210 ) L1M1_PR_MR
NEW met1 ( 189750 53210 ) M1M2_PR
NEW met2 ( 189750 50660 ) M2M3_PR_M
NEW met1 ( 189750 53210 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[13] ( PIN la_data_in[13] ) ( input5 A ) + USE SIGNAL
+ ROUTED met2 ( 193430 3740 0 ) ( * 12410 )
NEW met1 ( 186530 12410 ) ( 193430 * )
NEW met1 ( 193430 12410 ) M1M2_PR
NEW li1 ( 186530 12410 ) L1M1_PR_MR ;
- la_data_in[14] ( PIN la_data_in[14] ) ( input6 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 8500 ) ( * 14790 )
NEW met3 ( 186530 8500 ) ( 196420 * 0 )
NEW li1 ( 186530 14790 ) L1M1_PR_MR
NEW met1 ( 186530 14790 ) M1M2_PR
NEW met2 ( 186530 8500 ) M2M3_PR_M
NEW met1 ( 186530 14790 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[15] ( PIN la_data_in[15] ) ( input7 A ) + USE SIGNAL
+ ROUTED met2 ( 118910 3740 0 ) ( * 11730 )
NEW met1 ( 118910 11730 ) ( 124430 * )
NEW met1 ( 118910 11730 ) M1M2_PR
NEW li1 ( 124430 11730 ) L1M1_PR_MR ;
- la_data_in[16] ( PIN la_data_in[16] ) ( input8 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 76500 0 ) ( 7130 * )
NEW met2 ( 7130 76500 ) ( * 77350 )
NEW met2 ( 7130 76500 ) M2M3_PR_M
NEW li1 ( 7130 77350 ) L1M1_PR_MR
NEW met1 ( 7130 77350 ) M1M2_PR
NEW met1 ( 7130 77350 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[17] ( PIN la_data_in[17] ) ( input9 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 107780 0 ) ( 7130 * )
NEW met2 ( 7130 107780 ) ( * 109990 )
NEW met2 ( 7130 107780 ) M2M3_PR_M
NEW li1 ( 7130 109990 ) L1M1_PR_MR
NEW met1 ( 7130 109990 ) M1M2_PR
NEW met1 ( 7130 109990 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[18] ( PIN la_data_in[18] ) ( input10 A ) + USE SIGNAL
+ ROUTED met1 ( 49910 185810 ) ( 51750 * )
NEW met1 ( 47150 178670 ) ( 49910 * )
NEW met2 ( 47150 178670 ) ( * 196180 0 )
NEW met2 ( 49910 178670 ) ( * 185810 )
NEW met1 ( 49910 185810 ) M1M2_PR
NEW li1 ( 51750 185810 ) L1M1_PR_MR
NEW met1 ( 47150 178670 ) M1M2_PR
NEW met1 ( 49910 178670 ) M1M2_PR ;
- la_data_in[19] ( PIN la_data_in[19] ) ( input11 A ) + USE SIGNAL
+ ROUTED met2 ( 72910 3740 0 ) ( * 11730 )
NEW met1 ( 72910 11730 ) ( 73830 * )
NEW met1 ( 72910 11730 ) M1M2_PR
NEW li1 ( 73830 11730 ) L1M1_PR_MR ;
- la_data_in[1] ( PIN la_data_in[1] ) ( input12 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 132260 0 ) ( 14030 * )
NEW met2 ( 14030 132260 ) ( * 134810 )
NEW met1 ( 13570 134810 ) ( 14030 * )
NEW met2 ( 14030 132260 ) M2M3_PR_M
NEW met1 ( 14030 134810 ) M1M2_PR
NEW li1 ( 13570 134810 ) L1M1_PR_MR ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) ( input13 A ) + USE SIGNAL
+ ROUTED met2 ( 186990 80580 ) ( * 82790 )
NEW met3 ( 186990 80580 ) ( 196420 * 0 )
NEW li1 ( 186990 82790 ) L1M1_PR_MR
NEW met1 ( 186990 82790 ) M1M2_PR
NEW met2 ( 186990 80580 ) M2M3_PR_M
NEW met1 ( 186990 82790 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) ( input14 A ) + USE SIGNAL
+ ROUTED met1 ( 70150 186490 ) ( 70610 * )
NEW met2 ( 70150 186490 ) ( * 196180 0 )
NEW li1 ( 70610 186490 ) L1M1_PR_MR
NEW met1 ( 70150 186490 ) M1M2_PR ;
- la_data_in[33] ( PIN la_data_in[33] ) ( input15 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 113220 ) ( * 115430 )
NEW met3 ( 186530 113220 ) ( 196420 * 0 )
NEW li1 ( 186530 115430 ) L1M1_PR_MR
NEW met1 ( 186530 115430 ) M1M2_PR
NEW met2 ( 186530 113220 ) M2M3_PR_M
NEW met1 ( 186530 115430 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[34] ( PIN la_data_in[34] ) ( input16 A ) + USE SIGNAL
+ ROUTED met2 ( 32430 3740 0 ) ( * 11730 )
NEW met1 ( 32430 11730 ) ( 34270 * )
NEW met1 ( 32430 11730 ) M1M2_PR
NEW li1 ( 34270 11730 ) L1M1_PR_MR ;
- la_data_in[35] ( PIN la_data_in[35] ) ( input17 A ) + USE SIGNAL
+ ROUTED met1 ( 44390 186490 ) ( 44850 * )
NEW met2 ( 44390 186490 ) ( * 196180 0 )
NEW li1 ( 44850 186490 ) L1M1_PR_MR
NEW met1 ( 44390 186490 ) M1M2_PR ;
- la_data_in[36] ( PIN la_data_in[36] ) ( input18 A ) + USE SIGNAL
+ ROUTED met1 ( 170890 178330 ) ( 172270 * )
NEW met2 ( 172270 178330 ) ( * 196180 0 )
NEW met1 ( 172270 178330 ) M1M2_PR
NEW li1 ( 170890 178330 ) L1M1_PR_MR ;
- la_data_in[37] ( PIN la_data_in[37] ) ( input19 A ) + USE SIGNAL
+ ROUTED met1 ( 182390 186150 ) ( 186070 * )
NEW met2 ( 186070 186150 ) ( * 196180 0 )
NEW li1 ( 182390 186150 ) L1M1_PR_MR
NEW met1 ( 186070 186150 ) M1M2_PR ;
- la_data_in[38] ( PIN la_data_in[38] ) ( input20 A ) + USE SIGNAL
+ ROUTED met3 ( 178710 182580 ) ( 196420 * 0 )
NEW met2 ( 178710 169830 ) ( * 182580 )
NEW met1 ( 178710 169830 ) ( 180550 * )
NEW li1 ( 180550 169830 ) L1M1_PR_MR
NEW met2 ( 178710 182580 ) M2M3_PR_M
NEW met1 ( 178710 169830 ) M1M2_PR ;
- la_data_in[39] ( PIN la_data_in[39] ) ( input21 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 100980 ) ( * 101830 )
NEW met3 ( 186530 100980 ) ( 196420 * 0 )
NEW li1 ( 186530 101830 ) L1M1_PR_MR
NEW met1 ( 186530 101830 ) M1M2_PR
NEW met2 ( 186530 100980 ) M2M3_PR_M
NEW met1 ( 186530 101830 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[3] ( PIN la_data_in[3] ) ( input22 A ) + USE SIGNAL
+ ROUTED met2 ( 93150 3740 0 ) ( * 11730 )
NEW met1 ( 84870 11730 ) ( 93150 * )
NEW met1 ( 84870 11730 ) ( * 12070 )
NEW met1 ( 93150 11730 ) M1M2_PR
NEW li1 ( 84870 12070 ) L1M1_PR_MR ;
- la_data_in[40] ( PIN la_data_in[40] ) ( input23 A ) + USE SIGNAL
+ ROUTED met3 ( 178250 192100 ) ( 196420 * 0 )
NEW met1 ( 177330 172890 ) ( 178250 * )
NEW met2 ( 178250 172890 ) ( * 192100 )
NEW met2 ( 178250 192100 ) M2M3_PR_M
NEW met1 ( 178250 172890 ) M1M2_PR
NEW li1 ( 177330 172890 ) L1M1_PR_MR ;
- la_data_in[41] ( PIN la_data_in[41] ) ( input24 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 181220 0 ) ( 7130 * )
NEW met2 ( 7130 181220 ) ( * 183770 )
NEW met2 ( 7130 181220 ) M2M3_PR_M
NEW li1 ( 7130 183770 ) L1M1_PR_MR
NEW met1 ( 7130 183770 ) M1M2_PR
NEW met1 ( 7130 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[42] ( PIN la_data_in[42] ) ( input25 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 79220 ) ( * 80070 )
NEW met3 ( 186530 79220 ) ( 196420 * 0 )
NEW li1 ( 186530 80070 ) L1M1_PR_MR
NEW met1 ( 186530 80070 ) M1M2_PR
NEW met2 ( 186530 79220 ) M2M3_PR_M
NEW met1 ( 186530 80070 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[43] ( PIN la_data_in[43] ) ( input26 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 71060 ) ( * 71570 )
NEW met3 ( 189750 71060 ) ( 196420 * 0 )
NEW li1 ( 189750 71570 ) L1M1_PR_MR
NEW met1 ( 189750 71570 ) M1M2_PR
NEW met2 ( 189750 71060 ) M2M3_PR_M
NEW met1 ( 189750 71570 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[44] ( PIN la_data_in[44] ) ( input27 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 122740 0 ) ( 8050 * )
NEW met2 ( 8050 122740 ) ( * 123930 )
NEW met2 ( 8050 122740 ) M2M3_PR_M
NEW li1 ( 8050 123930 ) L1M1_PR_MR
NEW met1 ( 8050 123930 ) M1M2_PR
NEW met1 ( 8050 123930 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[45] ( PIN la_data_in[45] ) ( input28 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 91460 ) ( * 93670 )
NEW met3 ( 186530 91460 ) ( 196420 * 0 )
NEW li1 ( 186530 93670 ) L1M1_PR_MR
NEW met1 ( 186530 93670 ) M1M2_PR
NEW met2 ( 186530 91460 ) M2M3_PR_M
NEW met1 ( 186530 93670 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[46] ( PIN la_data_in[46] ) ( input29 A ) + USE SIGNAL
+ ROUTED met1 ( 178710 186150 ) ( 179170 * )
NEW met2 ( 178710 186150 ) ( * 196180 0 )
NEW li1 ( 179170 186150 ) L1M1_PR_MR
NEW met1 ( 178710 186150 ) M1M2_PR ;
- la_data_in[47] ( PIN la_data_in[47] ) ( input30 A ) + USE SIGNAL
+ ROUTED met1 ( 175030 186150 ) ( 176870 * )
NEW met2 ( 176870 186150 ) ( * 196180 0 )
NEW li1 ( 175030 186150 ) L1M1_PR_MR
NEW met1 ( 176870 186150 ) M1M2_PR ;
- la_data_in[48] ( PIN la_data_in[48] ) ( input31 A ) + USE SIGNAL
+ ROUTED met1 ( 150190 17510 ) ( 151570 * )
NEW met2 ( 150190 3740 0 ) ( * 17510 )
NEW met1 ( 150190 17510 ) M1M2_PR
NEW li1 ( 151570 17510 ) L1M1_PR_MR ;
- la_data_in[49] ( PIN la_data_in[49] ) ( input32 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 90100 0 ) ( 7130 * )
NEW met2 ( 7130 90100 ) ( * 90950 )
NEW met2 ( 7130 90100 ) M2M3_PR_M
NEW li1 ( 7130 90950 ) L1M1_PR_MR
NEW met1 ( 7130 90950 ) M1M2_PR
NEW met1 ( 7130 90950 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[4] ( PIN la_data_in[4] ) ( input33 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 72420 ) ( * 74630 )
NEW met3 ( 186530 72420 ) ( 196420 * 0 )
NEW li1 ( 186530 74630 ) L1M1_PR_MR
NEW met1 ( 186530 74630 ) M1M2_PR
NEW met2 ( 186530 72420 ) M2M3_PR_M
NEW met1 ( 186530 74630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[50] ( PIN la_data_in[50] ) ( input34 A ) + USE SIGNAL
+ ROUTED met1 ( 20010 186150 ) ( 20470 * )
NEW met2 ( 20470 186150 ) ( * 196180 0 )
NEW li1 ( 20010 186150 ) L1M1_PR_MR
NEW met1 ( 20470 186150 ) M1M2_PR ;
- la_data_in[51] ( PIN la_data_in[51] ) ( input35 A ) + USE SIGNAL
+ ROUTED met2 ( 59110 3740 0 ) ( * 12070 )
NEW met1 ( 59110 12070 ) ( 59570 * )
NEW met1 ( 59110 12070 ) M1M2_PR
NEW li1 ( 59570 12070 ) L1M1_PR_MR ;
- la_data_in[52] ( PIN la_data_in[52] ) ( input36 A ) + USE SIGNAL
+ ROUTED met1 ( 183310 186490 ) ( 186530 * )
NEW met2 ( 183310 186490 ) ( * 196180 0 )
NEW li1 ( 186530 186490 ) L1M1_PR_MR
NEW met1 ( 183310 186490 ) M1M2_PR ;
- la_data_in[53] ( PIN la_data_in[53] ) ( input37 A ) + USE SIGNAL
+ ROUTED met1 ( 15870 178330 ) ( 21390 * )
NEW met2 ( 15870 178330 ) ( * 196180 0 )
NEW met1 ( 15870 178330 ) M1M2_PR
NEW li1 ( 21390 178330 ) L1M1_PR_MR ;
- la_data_in[54] ( PIN la_data_in[54] ) ( input38 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 106420 ) ( * 107270 )
NEW met3 ( 186530 106420 ) ( 196420 * 0 )
NEW li1 ( 186530 107270 ) L1M1_PR_MR
NEW met1 ( 186530 107270 ) M1M2_PR
NEW met2 ( 186530 106420 ) M2M3_PR_M
NEW met1 ( 186530 107270 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[55] ( PIN la_data_in[55] ) ( input39 A ) + USE SIGNAL
+ ROUTED met2 ( 108790 3740 0 ) ( * 12070 )
NEW met1 ( 108790 12070 ) ( 109250 * )
NEW met1 ( 108790 12070 ) M1M2_PR
NEW li1 ( 109250 12070 ) L1M1_PR_MR ;
- la_data_in[56] ( PIN la_data_in[56] ) ( input40 A ) + USE SIGNAL
+ ROUTED met2 ( 180550 39780 ) ( * 42330 )
NEW met3 ( 180550 39780 ) ( 196420 * 0 )
NEW li1 ( 180550 42330 ) L1M1_PR_MR
NEW met1 ( 180550 42330 ) M1M2_PR
NEW met2 ( 180550 39780 ) M2M3_PR_M
NEW met1 ( 180550 42330 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[57] ( PIN la_data_in[57] ) ( input41 A ) + USE SIGNAL
+ ROUTED met2 ( 130870 3740 0 ) ( * 12410 )
NEW met1 ( 138230 12070 ) ( * 12410 )
NEW met1 ( 138230 12070 ) ( 145130 * )
NEW met1 ( 130870 12410 ) ( 138230 * )
NEW met1 ( 130870 12410 ) M1M2_PR
NEW li1 ( 145130 12070 ) L1M1_PR_MR ;
- la_data_in[58] ( PIN la_data_in[58] ) ( input42 A ) + USE SIGNAL
+ ROUTED met2 ( 25990 3740 0 ) ( * 12070 )
NEW met1 ( 25070 12070 ) ( 25990 * )
NEW met1 ( 25990 12070 ) M1M2_PR
NEW li1 ( 25070 12070 ) L1M1_PR_MR ;
- la_data_in[59] ( PIN la_data_in[59] ) ( input43 A ) + USE SIGNAL
+ ROUTED met2 ( 158470 3740 0 ) ( * 12070 )
NEW met1 ( 158470 12070 ) ( 160770 * )
NEW met1 ( 158470 12070 ) M1M2_PR
NEW li1 ( 160770 12070 ) L1M1_PR_MR ;
- la_data_in[5] ( PIN la_data_in[5] ) ( input44 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 42500 0 ) ( 7130 * )
NEW met2 ( 7130 42500 ) ( * 44370 )
NEW met1 ( 7130 44370 ) ( 9430 * )
NEW met2 ( 7130 42500 ) M2M3_PR_M
NEW met1 ( 7130 44370 ) M1M2_PR
NEW li1 ( 9430 44370 ) L1M1_PR_MR ;
- la_data_in[60] ( PIN la_data_in[60] ) ( input45 A ) + USE SIGNAL
+ ROUTED met2 ( 146510 3740 0 ) ( * 11730 )
NEW met1 ( 146510 11730 ) ( 150190 * )
NEW met1 ( 146510 11730 ) M1M2_PR
NEW li1 ( 150190 11730 ) L1M1_PR_MR ;
- la_data_in[61] ( PIN la_data_in[61] ) ( input46 A ) + USE SIGNAL
+ ROUTED met1 ( 100510 178330 ) ( 101890 * )
NEW met2 ( 100510 178330 ) ( * 196180 0 )
NEW met1 ( 100510 178330 ) M1M2_PR
NEW li1 ( 101890 178330 ) L1M1_PR_MR ;
- la_data_in[62] ( PIN la_data_in[62] ) ( input47 A ) + USE SIGNAL
+ ROUTED met2 ( 154790 3740 0 ) ( * 12070 )
NEW met1 ( 153870 12070 ) ( 154790 * )
NEW met1 ( 154790 12070 ) M1M2_PR
NEW li1 ( 153870 12070 ) L1M1_PR_MR ;
- la_data_in[63] ( PIN la_data_in[63] ) ( input48 A ) + USE SIGNAL
+ ROUTED met1 ( 136390 15130 ) ( 137310 * )
NEW met2 ( 136390 3740 0 ) ( * 15130 )
NEW met1 ( 136390 15130 ) M1M2_PR
NEW li1 ( 137310 15130 ) L1M1_PR_MR ;
- la_data_in[64] ( PIN la_data_in[64] ) ( input49 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 139060 ) ( * 139910 )
NEW met3 ( 186530 139060 ) ( 196420 * 0 )
NEW li1 ( 186530 139910 ) L1M1_PR_MR
NEW met1 ( 186530 139910 ) M1M2_PR
NEW met2 ( 186530 139060 ) M2M3_PR_M
NEW met1 ( 186530 139910 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[65] ( PIN la_data_in[65] ) ( input50 A ) + USE SIGNAL
+ ROUTED met2 ( 195270 126820 ) ( * 126990 )
NEW met3 ( 195270 126820 ) ( 196420 * 0 )
NEW met1 ( 190670 134810 ) ( 195270 * )
NEW li1 ( 195270 126990 ) ( * 134810 )
NEW li1 ( 195270 126990 ) L1M1_PR_MR
NEW met1 ( 195270 126990 ) M1M2_PR
NEW met2 ( 195270 126820 ) M2M3_PR_M
NEW li1 ( 195270 134810 ) L1M1_PR_MR
NEW li1 ( 190670 134810 ) L1M1_PR_MR
NEW met1 ( 195270 126990 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[66] ( PIN la_data_in[66] ) ( input51 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 71060 0 ) ( 8050 * )
NEW met2 ( 8050 69530 ) ( * 71060 )
NEW met2 ( 8050 71060 ) M2M3_PR_M
NEW li1 ( 8050 69530 ) L1M1_PR_MR
NEW met1 ( 8050 69530 ) M1M2_PR
NEW met1 ( 8050 69530 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[67] ( PIN la_data_in[67] ) ( input52 A ) + USE SIGNAL
+ ROUTED met2 ( 161230 3740 0 ) ( * 14790 )
NEW met1 ( 161230 14790 ) ( 161690 * )
NEW met1 ( 161230 14790 ) M1M2_PR
NEW li1 ( 161690 14790 ) L1M1_PR_MR ;
- la_data_in[68] ( PIN la_data_in[68] ) ( input53 A ) + USE SIGNAL
+ ROUTED met1 ( 55430 186150 ) ( 59110 * )
NEW met2 ( 55430 186150 ) ( * 196180 0 )
NEW li1 ( 59110 186150 ) L1M1_PR_MR
NEW met1 ( 55430 186150 ) M1M2_PR ;
- la_data_in[69] ( PIN la_data_in[69] ) ( input54 A ) + USE SIGNAL
+ ROUTED met3 ( 179400 188020 ) ( 196420 * 0 )
NEW met3 ( 171810 188700 ) ( 179400 * )
NEW met3 ( 179400 188020 ) ( * 188700 )
NEW met1 ( 170890 175270 ) ( 171810 * )
NEW met2 ( 171810 175270 ) ( * 188700 )
NEW met2 ( 171810 188700 ) M2M3_PR_M
NEW met1 ( 171810 175270 ) M1M2_PR
NEW li1 ( 170890 175270 ) L1M1_PR_MR ;
- la_data_in[6] ( PIN la_data_in[6] ) ( input55 A ) + USE SIGNAL
+ ROUTED met3 ( 186530 129540 ) ( 196420 * 0 )
NEW met2 ( 186530 129540 ) ( * 131750 )
NEW met2 ( 186530 129540 ) M2M3_PR_M
NEW li1 ( 186530 131750 ) L1M1_PR_MR
NEW met1 ( 186530 131750 ) M1M2_PR
NEW met1 ( 186530 131750 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[70] ( PIN la_data_in[70] ) ( input56 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 22100 0 ) ( 9430 * )
NEW met2 ( 9430 22100 ) ( * 22610 )
NEW met2 ( 9430 22100 ) M2M3_PR_M
NEW li1 ( 9430 22610 ) L1M1_PR_MR
NEW met1 ( 9430 22610 ) M1M2_PR
NEW met1 ( 9430 22610 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[71] ( PIN la_data_in[71] ) ( input57 A ) + USE SIGNAL
+ ROUTED met2 ( 183770 87380 ) ( * 88230 )
NEW met3 ( 183770 87380 ) ( 196420 * 0 )
NEW li1 ( 183770 88230 ) L1M1_PR_MR
NEW met1 ( 183770 88230 ) M1M2_PR
NEW met2 ( 183770 87380 ) M2M3_PR_M
NEW met1 ( 183770 88230 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[72] ( PIN la_data_in[72] ) ( input58 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 140420 0 ) ( 8050 * )
NEW met2 ( 8050 140420 ) ( * 142630 )
NEW met2 ( 8050 140420 ) M2M3_PR_M
NEW li1 ( 8050 142630 ) L1M1_PR_MR
NEW met1 ( 8050 142630 ) M1M2_PR
NEW met1 ( 8050 142630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[73] ( PIN la_data_in[73] ) ( input59 A ) + USE SIGNAL
+ ROUTED met1 ( 56350 184110 ) ( 60030 * )
NEW met2 ( 56350 184110 ) ( * 196180 0 )
NEW li1 ( 60030 184110 ) L1M1_PR_MR
NEW met1 ( 56350 184110 ) M1M2_PR ;
- la_data_in[74] ( PIN la_data_in[74] ) ( input60 A ) + USE SIGNAL
+ ROUTED met1 ( 162150 186490 ) ( 162610 * )
NEW met2 ( 162150 186490 ) ( * 196180 0 )
NEW li1 ( 162610 186490 ) L1M1_PR_MR
NEW met1 ( 162150 186490 ) M1M2_PR ;
- la_data_in[75] ( PIN la_data_in[75] ) ( input61 A ) + USE SIGNAL
+ ROUTED met1 ( 115230 186490 ) ( 116150 * )
NEW met2 ( 116150 186490 ) ( * 196180 0 )
NEW li1 ( 115230 186490 ) L1M1_PR_MR
NEW met1 ( 116150 186490 ) M1M2_PR ;
- la_data_in[76] ( PIN la_data_in[76] ) ( input62 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 145860 0 ) ( 8050 * )
NEW met2 ( 8050 145860 ) ( * 148070 )
NEW met2 ( 8050 145860 ) M2M3_PR_M
NEW li1 ( 8050 148070 ) L1M1_PR_MR
NEW met1 ( 8050 148070 ) M1M2_PR
NEW met1 ( 8050 148070 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[77] ( PIN la_data_in[77] ) ( input63 A ) + USE SIGNAL
+ ROUTED met1 ( 186530 183770 ) ( 194810 * )
NEW li1 ( 194810 183770 ) ( * 197370 )
NEW met2 ( 194810 197370 ) ( * 197540 )
NEW met3 ( 194810 197540 ) ( 196420 * 0 )
NEW li1 ( 186530 183770 ) L1M1_PR_MR
NEW li1 ( 194810 183770 ) L1M1_PR_MR
NEW li1 ( 194810 197370 ) L1M1_PR_MR
NEW met1 ( 194810 197370 ) M1M2_PR
NEW met2 ( 194810 197540 ) M2M3_PR_M
NEW met1 ( 194810 197370 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[78] ( PIN la_data_in[78] ) ( input64 A ) + USE SIGNAL
+ ROUTED met1 ( 39790 186150 ) ( 40710 * )
NEW met2 ( 39790 186150 ) ( * 196180 0 )
NEW li1 ( 40710 186150 ) L1M1_PR_MR
NEW met1 ( 39790 186150 ) M1M2_PR ;
- la_data_in[79] ( PIN la_data_in[79] ) ( input65 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 38420 ) ( * 38930 )
NEW met3 ( 189750 38420 ) ( 196420 * 0 )
NEW li1 ( 189750 38930 ) L1M1_PR_MR
NEW met1 ( 189750 38930 ) M1M2_PR
NEW met2 ( 189750 38420 ) M2M3_PR_M
NEW met1 ( 189750 38930 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[7] ( PIN la_data_in[7] ) ( input66 A ) + USE SIGNAL
+ ROUTED met2 ( 89470 186490 ) ( * 191420 )
NEW met2 ( 89470 191420 ) ( 90390 * )
NEW met2 ( 90390 191420 ) ( * 196180 0 )
NEW li1 ( 89470 186490 ) L1M1_PR_MR
NEW met1 ( 89470 186490 ) M1M2_PR
NEW met1 ( 89470 186490 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[80] ( PIN la_data_in[80] ) ( input67 A ) + USE SIGNAL
+ ROUTED met1 ( 115690 180710 ) ( 117530 * )
NEW met2 ( 117530 180710 ) ( * 184620 )
NEW met2 ( 117530 184620 ) ( 117990 * )
NEW met2 ( 117990 184620 ) ( * 196180 0 )
NEW li1 ( 115690 180710 ) L1M1_PR_MR
NEW met1 ( 117530 180710 ) M1M2_PR ;
- la_data_in[81] ( PIN la_data_in[81] ) ( input68 A ) + USE SIGNAL
+ ROUTED met1 ( 86710 178330 ) ( 88090 * )
NEW met2 ( 86710 178330 ) ( * 196180 0 )
NEW met1 ( 86710 178330 ) M1M2_PR
NEW li1 ( 88090 178330 ) L1M1_PR_MR ;
- la_data_in[82] ( PIN la_data_in[82] ) ( input69 A ) + USE SIGNAL
+ ROUTED met2 ( 51750 3740 0 ) ( * 14110 )
NEW met1 ( 51750 14110 ) ( 54510 * )
NEW met1 ( 54510 14110 ) ( * 15130 )
NEW met1 ( 51750 14110 ) M1M2_PR
NEW li1 ( 54510 15130 ) L1M1_PR_MR ;
- la_data_in[83] ( PIN la_data_in[83] ) ( input70 A ) + USE SIGNAL
+ ROUTED met1 ( 51750 180710 ) ( 52670 * )
NEW met2 ( 51750 180710 ) ( * 196180 0 )
NEW li1 ( 52670 180710 ) L1M1_PR_MR
NEW met1 ( 51750 180710 ) M1M2_PR ;
- la_data_in[84] ( PIN la_data_in[84] ) ( input71 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 102340 0 ) ( 14950 * )
NEW met2 ( 14950 102340 ) ( * 104550 )
NEW met2 ( 14950 102340 ) M2M3_PR_M
NEW li1 ( 14950 104550 ) L1M1_PR_MR
NEW met1 ( 14950 104550 ) M1M2_PR
NEW met1 ( 14950 104550 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[85] ( PIN la_data_in[85] ) ( input72 A ) + USE SIGNAL
+ ROUTED met2 ( 195270 178670 ) ( * 196180 0 )
NEW met1 ( 174570 177990 ) ( * 178670 )
NEW met1 ( 167670 177990 ) ( 174570 * )
NEW met1 ( 167670 177990 ) ( * 178330 )
NEW met1 ( 174570 178670 ) ( 195270 * )
NEW met1 ( 195270 178670 ) M1M2_PR
NEW li1 ( 167670 178330 ) L1M1_PR_MR ;
- la_data_in[86] ( PIN la_data_in[86] ) ( input73 A ) + USE SIGNAL
+ ROUTED met1 ( 180090 22950 ) ( 181470 * )
NEW met2 ( 181470 5780 ) ( * 22950 )
NEW met3 ( 181470 5780 ) ( 196420 * 0 )
NEW li1 ( 180090 22950 ) L1M1_PR_MR
NEW met1 ( 181470 22950 ) M1M2_PR
NEW met2 ( 181470 5780 ) M2M3_PR_M ;
- la_data_in[87] ( PIN la_data_in[87] ) ( input74 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 143140 ) ( * 145690 )
NEW met3 ( 189750 143140 ) ( 196420 * 0 )
NEW li1 ( 189750 145690 ) L1M1_PR_MR
NEW met1 ( 189750 145690 ) M1M2_PR
NEW met2 ( 189750 143140 ) M2M3_PR_M
NEW met1 ( 189750 145690 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[88] ( PIN la_data_in[88] ) ( input75 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 39780 0 ) ( 9430 * )
NEW met2 ( 9430 39780 ) ( * 42330 )
NEW met2 ( 9430 39780 ) M2M3_PR_M
NEW li1 ( 9430 42330 ) L1M1_PR_MR
NEW met1 ( 9430 42330 ) M1M2_PR
NEW met1 ( 9430 42330 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[89] ( PIN la_data_in[89] ) ( input76 A ) + USE SIGNAL
+ ROUTED met1 ( 27830 186150 ) ( 32890 * )
NEW met2 ( 27830 186150 ) ( * 196180 0 )
NEW li1 ( 32890 186150 ) L1M1_PR_MR
NEW met1 ( 27830 186150 ) M1M2_PR ;
- la_data_in[8] ( PIN la_data_in[8] ) ( input77 A ) + USE SIGNAL
+ ROUTED met2 ( 48070 3740 0 ) ( * 12070 )
NEW met1 ( 47150 12070 ) ( 48070 * )
NEW met1 ( 48070 12070 ) M1M2_PR
NEW li1 ( 47150 12070 ) L1M1_PR_MR ;
- la_data_in[90] ( PIN la_data_in[90] ) ( input78 A ) + USE SIGNAL
+ ROUTED met1 ( 61870 186490 ) ( 62330 * )
NEW met2 ( 61870 186490 ) ( * 196180 0 )
NEW li1 ( 62330 186490 ) L1M1_PR_MR
NEW met1 ( 61870 186490 ) M1M2_PR ;
- la_data_in[91] ( PIN la_data_in[91] ) ( input79 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 186660 0 ) ( 14030 * )
NEW met2 ( 14030 186150 ) ( * 186660 )
NEW met2 ( 14030 186660 ) M2M3_PR_M
NEW li1 ( 14030 186150 ) L1M1_PR_MR
NEW met1 ( 14030 186150 ) M1M2_PR
NEW met1 ( 14030 186150 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[92] ( PIN la_data_in[92] ) ( input80 A ) + USE SIGNAL
+ ROUTED met2 ( 187910 3740 0 ) ( * 11220 )
NEW met2 ( 187910 11220 ) ( 188370 * )
NEW met2 ( 188370 11220 ) ( * 22270 )
NEW met1 ( 179400 22270 ) ( 188370 * )
NEW met1 ( 179400 22270 ) ( * 22950 )
NEW met1 ( 176870 22950 ) ( 179400 * )
NEW met1 ( 188370 22270 ) M1M2_PR
NEW li1 ( 176870 22950 ) L1M1_PR_MR ;
- la_data_in[93] ( PIN la_data_in[93] ) ( input81 A ) + USE SIGNAL
+ ROUTED met1 ( 168590 183770 ) ( 169050 * )
NEW met2 ( 168590 183770 ) ( * 196180 0 )
NEW li1 ( 169050 183770 ) L1M1_PR_MR
NEW met1 ( 168590 183770 ) M1M2_PR ;
- la_data_in[94] ( PIN la_data_in[94] ) ( input82 A ) + USE SIGNAL
+ ROUTED met1 ( 123510 186490 ) ( 123970 * )
NEW met2 ( 123510 186490 ) ( * 196180 0 )
NEW li1 ( 123970 186490 ) L1M1_PR_MR
NEW met1 ( 123510 186490 ) M1M2_PR ;
- la_data_in[95] ( PIN la_data_in[95] ) ( input83 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 166260 ) ( * 167450 )
NEW met3 ( 189750 166260 ) ( 196420 * 0 )
NEW li1 ( 189750 167450 ) L1M1_PR_MR
NEW met1 ( 189750 167450 ) M1M2_PR
NEW met2 ( 189750 166260 ) M2M3_PR_M
NEW met1 ( 189750 167450 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[96] ( PIN la_data_in[96] ) ( input84 A ) + USE SIGNAL
+ ROUTED met2 ( 24150 3740 0 ) ( * 11730 )
NEW met1 ( 21850 11730 ) ( 24150 * )
NEW met1 ( 24150 11730 ) M1M2_PR
NEW li1 ( 21850 11730 ) L1M1_PR_MR ;
- la_data_in[97] ( PIN la_data_in[97] ) ( input85 A ) + USE SIGNAL
+ ROUTED met2 ( 162150 3740 0 ) ( * 9180 )
NEW met2 ( 162150 9180 ) ( 162610 * )
NEW met2 ( 162610 9180 ) ( * 17510 )
NEW met1 ( 162610 17510 ) ( 163990 * )
NEW met1 ( 162610 17510 ) M1M2_PR
NEW li1 ( 163990 17510 ) L1M1_PR_MR ;
- la_data_in[98] ( PIN la_data_in[98] ) ( input86 A ) + USE SIGNAL
+ ROUTED met1 ( 47610 184110 ) ( 48990 * )
NEW met2 ( 48990 184110 ) ( * 196180 0 )
NEW li1 ( 47610 184110 ) L1M1_PR_MR
NEW met1 ( 48990 184110 ) M1M2_PR ;
- la_data_in[99] ( PIN la_data_in[99] ) ( input87 A ) + USE SIGNAL
+ ROUTED met1 ( 151110 20570 ) ( 152490 * )
NEW met2 ( 151110 3740 0 ) ( * 20570 )
NEW met1 ( 151110 20570 ) M1M2_PR
NEW li1 ( 152490 20570 ) L1M1_PR_MR ;
- la_data_in[9] ( PIN la_data_in[9] ) ( input88 A ) + USE SIGNAL
+ ROUTED met2 ( 30590 3740 0 ) ( * 17510 )
NEW met1 ( 30590 17510 ) ( 31970 * )
NEW met1 ( 30590 17510 ) M1M2_PR
NEW li1 ( 31970 17510 ) L1M1_PR_MR ;
- la_data_out[0] ( PIN la_data_out[0] ) ( _121_ LO ) + USE SIGNAL
+ ROUTED met1 ( 190210 126310 ) ( 190670 * )
NEW met2 ( 190670 121380 ) ( * 126310 )
NEW met3 ( 190670 121380 ) ( 196420 * 0 )
NEW li1 ( 190210 126310 ) L1M1_PR_MR
NEW met1 ( 190670 126310 ) M1M2_PR
NEW met2 ( 190670 121380 ) M2M3_PR_M ;
- la_data_out[100] ( PIN la_data_out[100] ) ( output180 X ) + USE SIGNAL
+ ROUTED met1 ( 18630 184450 ) ( 20010 * )
NEW met2 ( 18630 184450 ) ( * 196180 0 )
NEW li1 ( 20010 184450 ) L1M1_PR_MR
NEW met1 ( 18630 184450 ) M1M2_PR ;
- la_data_out[101] ( PIN la_data_out[101] ) ( _094_ LO ) + USE SIGNAL
+ ROUTED met3 ( 177790 186660 ) ( 196420 * 0 )
NEW met1 ( 177330 178330 ) ( 177790 * )
NEW met2 ( 177790 178330 ) ( * 186660 )
NEW met2 ( 177790 186660 ) M2M3_PR_M
NEW li1 ( 177330 178330 ) L1M1_PR_MR
NEW met1 ( 177790 178330 ) M1M2_PR ;
- la_data_out[102] ( PIN la_data_out[102] ) ( _095_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 179860 0 ) ( 14490 * )
NEW met1 ( 14490 178330 ) ( 14950 * )
NEW met2 ( 14490 178330 ) ( * 179860 )
NEW met2 ( 14490 179860 ) M2M3_PR_M
NEW li1 ( 14950 178330 ) L1M1_PR_MR
NEW met1 ( 14490 178330 ) M1M2_PR ;
- la_data_out[103] ( PIN la_data_out[103] ) ( _096_ LO ) + USE SIGNAL
+ ROUTED met1 ( 183770 172210 ) ( 194350 * )
NEW met2 ( 194350 172210 ) ( * 196180 0 )
NEW li1 ( 183770 172210 ) L1M1_PR_MR
NEW met1 ( 194350 172210 ) M1M2_PR ;
- la_data_out[104] ( PIN la_data_out[104] ) ( _097_ LO ) + USE SIGNAL
+ ROUTED met2 ( 159390 3740 0 ) ( * 17510 )
NEW met1 ( 157090 17510 ) ( 159390 * )
NEW met1 ( 159390 17510 ) M1M2_PR
NEW li1 ( 157090 17510 ) L1M1_PR_MR ;
- la_data_out[105] ( PIN la_data_out[105] ) ( _098_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 107780 ) ( * 109990 )
NEW met3 ( 190210 107780 ) ( 196420 * 0 )
NEW li1 ( 190210 109990 ) L1M1_PR_MR
NEW met1 ( 190210 109990 ) M1M2_PR
NEW met2 ( 190210 107780 ) M2M3_PR_M
NEW met1 ( 190210 109990 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[106] ( PIN la_data_out[106] ) ( _099_ LO ) + USE SIGNAL
+ ROUTED met2 ( 180090 176290 ) ( * 179010 )
NEW met1 ( 180090 179010 ) ( 193430 * )
NEW met2 ( 193430 179010 ) ( * 196180 0 )
NEW li1 ( 180090 176290 ) L1M1_PR_MR
NEW met1 ( 180090 176290 ) M1M2_PR
NEW met1 ( 180090 179010 ) M1M2_PR
NEW met1 ( 193430 179010 ) M1M2_PR
NEW met1 ( 180090 176290 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[107] ( PIN la_data_out[107] ) ( _100_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 151130 ) ( * 151300 )
NEW met3 ( 3220 151300 0 ) ( 10350 * )
NEW li1 ( 10350 151130 ) L1M1_PR_MR
NEW met1 ( 10350 151130 ) M1M2_PR
NEW met2 ( 10350 151300 ) M2M3_PR_M
NEW met1 ( 10350 151130 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[108] ( PIN la_data_out[108] ) ( _101_ LO ) + USE SIGNAL
+ ROUTED met2 ( 77510 183770 ) ( * 196180 0 )
NEW li1 ( 77510 183770 ) L1M1_PR_MR
NEW met1 ( 77510 183770 ) M1M2_PR
NEW met1 ( 77510 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[109] ( PIN la_data_out[109] ) ( _102_ LO ) + USE SIGNAL
+ ROUTED met2 ( 180550 172210 ) ( * 196180 0 )
NEW li1 ( 180550 172210 ) L1M1_PR_MR
NEW met1 ( 180550 172210 ) M1M2_PR
NEW met1 ( 180550 172210 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[10] ( PIN la_data_out[10] ) ( _004_ LO ) + USE SIGNAL
+ ROUTED met2 ( 127190 183770 ) ( * 196180 0 )
NEW met1 ( 127190 183770 ) ( 131330 * )
NEW met1 ( 127190 183770 ) M1M2_PR
NEW li1 ( 131330 183770 ) L1M1_PR_MR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( _103_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 159460 0 ) ( 14030 * )
NEW met2 ( 14030 159290 ) ( * 159460 )
NEW met1 ( 13570 159290 ) ( 14030 * )
NEW met2 ( 14030 159460 ) M2M3_PR_M
NEW met1 ( 14030 159290 ) M1M2_PR
NEW li1 ( 13570 159290 ) L1M1_PR_MR ;
- la_data_out[111] ( PIN la_data_out[111] ) ( _104_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 151300 ) ( * 153510 )
NEW met3 ( 190210 151300 ) ( 196420 * 0 )
NEW li1 ( 190210 153510 ) L1M1_PR_MR
NEW met1 ( 190210 153510 ) M1M2_PR
NEW met2 ( 190210 151300 ) M2M3_PR_M
NEW met1 ( 190210 153510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[112] ( PIN la_data_out[112] ) ( _105_ LO ) + USE SIGNAL
+ ROUTED met1 ( 2990 177990 ) ( 18170 * )
NEW met2 ( 2990 177990 ) ( * 196180 0 )
NEW li1 ( 18170 177990 ) L1M1_PR_MR
NEW met1 ( 2990 177990 ) M1M2_PR ;
- la_data_out[113] ( PIN la_data_out[113] ) ( _106_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 64090 ) ( * 65620 )
NEW met3 ( 3220 65620 0 ) ( 10350 * )
NEW li1 ( 10350 64090 ) L1M1_PR_MR
NEW met1 ( 10350 64090 ) M1M2_PR
NEW met2 ( 10350 65620 ) M2M3_PR_M
NEW met1 ( 10350 64090 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[114] ( PIN la_data_out[114] ) ( _107_ LO ) + USE SIGNAL
+ ROUTED met2 ( 89930 181730 ) ( * 185470 )
NEW met2 ( 89010 185470 ) ( 89930 * )
NEW met2 ( 89010 185470 ) ( * 192100 )
NEW met2 ( 89010 192100 ) ( 89470 * )
NEW met2 ( 89470 192100 ) ( * 196180 0 )
NEW li1 ( 89930 181730 ) L1M1_PR_MR
NEW met1 ( 89930 181730 ) M1M2_PR
NEW met1 ( 89930 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[115] ( PIN la_data_out[115] ) ( _108_ LO ) + USE SIGNAL
+ ROUTED met1 ( 122590 181730 ) ( 123050 * )
NEW met2 ( 122590 181730 ) ( * 196180 0 )
NEW li1 ( 123050 181730 ) L1M1_PR_MR
NEW met1 ( 122590 181730 ) M1M2_PR ;
- la_data_out[116] ( PIN la_data_out[116] ) ( _109_ LO ) + USE SIGNAL
+ ROUTED met2 ( 132710 181730 ) ( * 196180 0 )
NEW li1 ( 132710 181730 ) L1M1_PR_MR
NEW met1 ( 132710 181730 ) M1M2_PR
NEW met1 ( 132710 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[117] ( PIN la_data_out[117] ) ( _110_ LO ) + USE SIGNAL
+ ROUTED met1 ( 147430 14110 ) ( 148810 * )
NEW met2 ( 147430 3740 0 ) ( * 14110 )
NEW met1 ( 147430 14110 ) M1M2_PR
NEW li1 ( 148810 14110 ) L1M1_PR_MR ;
- la_data_out[118] ( PIN la_data_out[118] ) ( _111_ LO ) + USE SIGNAL
+ ROUTED met2 ( 183310 23460 ) ( * 24990 )
NEW met3 ( 183310 23460 ) ( 196420 * 0 )
NEW li1 ( 183310 24990 ) L1M1_PR_MR
NEW met1 ( 183310 24990 ) M1M2_PR
NEW met2 ( 183310 23460 ) M2M3_PR_M
NEW met1 ( 183310 24990 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[119] ( PIN la_data_out[119] ) ( _112_ LO ) + USE SIGNAL
+ ROUTED met2 ( 54510 178330 ) ( * 196180 0 )
NEW li1 ( 54510 178330 ) L1M1_PR_MR
NEW met1 ( 54510 178330 ) M1M2_PR
NEW met1 ( 54510 178330 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[11] ( PIN la_data_out[11] ) ( _005_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 132770 ) ( * 133620 )
NEW met3 ( 3220 133620 0 ) ( 10350 * )
NEW li1 ( 10350 132770 ) L1M1_PR_MR
NEW met1 ( 10350 132770 ) M1M2_PR
NEW met2 ( 10350 133620 ) M2M3_PR_M
NEW met1 ( 10350 132770 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[120] ( PIN la_data_out[120] ) ( _113_ LO ) + USE SIGNAL
+ ROUTED met1 ( 19550 181390 ) ( 23690 * )
NEW met2 ( 19550 181390 ) ( * 196180 0 )
NEW li1 ( 23690 181390 ) L1M1_PR_MR
NEW met1 ( 19550 181390 ) M1M2_PR ;
- la_data_out[121] ( PIN la_data_out[121] ) ( _114_ LO ) + USE SIGNAL
+ ROUTED met1 ( 166750 181730 ) ( 169050 * )
NEW met2 ( 166750 181730 ) ( * 196180 0 )
NEW li1 ( 169050 181730 ) L1M1_PR_MR
NEW met1 ( 166750 181730 ) M1M2_PR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( _115_ LO ) + USE SIGNAL
+ ROUTED met2 ( 75670 181730 ) ( * 196180 0 )
NEW li1 ( 75670 181730 ) L1M1_PR_MR
NEW met1 ( 75670 181730 ) M1M2_PR
NEW met1 ( 75670 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[123] ( PIN la_data_out[123] ) ( _116_ LO ) + USE SIGNAL
+ ROUTED met2 ( 37950 3740 0 ) ( * 14110 )
NEW li1 ( 37950 14110 ) L1M1_PR_MR
NEW met1 ( 37950 14110 ) M1M2_PR
NEW met1 ( 37950 14110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[124] ( PIN la_data_out[124] ) ( _117_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 28900 0 ) ( 11730 * )
NEW met2 ( 11730 28900 ) ( * 29070 )
NEW met2 ( 11730 28900 ) M2M3_PR_M
NEW li1 ( 11730 29070 ) L1M1_PR_MR
NEW met1 ( 11730 29070 ) M1M2_PR
NEW met1 ( 11730 29070 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[125] ( PIN la_data_out[125] ) ( _118_ LO ) + USE SIGNAL
+ ROUTED met2 ( 179170 12580 ) ( * 19550 )
NEW met1 ( 178710 19550 ) ( 179170 * )
NEW met3 ( 179170 12580 ) ( 196420 * 0 )
NEW met2 ( 179170 12580 ) M2M3_PR_M
NEW met1 ( 179170 19550 ) M1M2_PR
NEW li1 ( 178710 19550 ) L1M1_PR_MR ;
- la_data_out[126] ( PIN la_data_out[126] ) ( _119_ LO ) + USE SIGNAL
+ ROUTED met2 ( 9430 188020 ) ( 9890 * )
NEW met2 ( 9430 188020 ) ( * 196180 0 )
NEW met1 ( 9890 176290 ) ( 14950 * )
NEW met2 ( 9890 176290 ) ( * 188020 )
NEW li1 ( 14950 176290 ) L1M1_PR_MR
NEW met1 ( 9890 176290 ) M1M2_PR ;
- la_data_out[127] ( PIN la_data_out[127] ) ( _120_ LO ) + USE SIGNAL
+ ROUTED met1 ( 186990 28390 ) ( 187450 * )
NEW met2 ( 187450 22100 ) ( * 28390 )
NEW met3 ( 187450 22100 ) ( 196420 * 0 )
NEW li1 ( 186990 28390 ) L1M1_PR_MR
NEW met1 ( 187450 28390 ) M1M2_PR
NEW met2 ( 187450 22100 ) M2M3_PR_M ;
- la_data_out[12] ( PIN la_data_out[12] ) ( _006_ LO ) + USE SIGNAL
+ ROUTED met1 ( 80730 183770 ) ( 81190 * )
NEW met2 ( 81190 183770 ) ( * 196180 0 )
NEW li1 ( 80730 183770 ) L1M1_PR_MR
NEW met1 ( 81190 183770 ) M1M2_PR ;
- la_data_out[13] ( PIN la_data_out[13] ) ( _007_ LO ) + USE SIGNAL
+ ROUTED met1 ( 105110 17510 ) ( 109250 * )
NEW met2 ( 105110 3740 0 ) ( * 17510 )
NEW met1 ( 105110 17510 ) M1M2_PR
NEW li1 ( 109250 17510 ) L1M1_PR_MR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( _008_ LO ) + USE SIGNAL
+ ROUTED met2 ( 168590 3740 0 ) ( * 14110 )
NEW met1 ( 168590 14110 ) ( 169050 * )
NEW met1 ( 168590 14110 ) M1M2_PR
NEW li1 ( 169050 14110 ) L1M1_PR_MR ;
- la_data_out[15] ( PIN la_data_out[15] ) ( _009_ LO ) + USE SIGNAL
+ ROUTED met2 ( 183770 95540 ) ( * 95710 )
NEW met3 ( 183770 95540 ) ( 196420 * 0 )
NEW li1 ( 183770 95710 ) L1M1_PR_MR
NEW met1 ( 183770 95710 ) M1M2_PR
NEW met2 ( 183770 95540 ) M2M3_PR_M
NEW met1 ( 183770 95710 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[16] ( PIN la_data_out[16] ) ( _010_ LO ) + USE SIGNAL
+ ROUTED met2 ( 59110 178330 ) ( * 196180 0 )
NEW li1 ( 59110 178330 ) L1M1_PR_MR
NEW met1 ( 59110 178330 ) M1M2_PR
NEW met1 ( 59110 178330 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[17] ( PIN la_data_out[17] ) ( _011_ LO ) + USE SIGNAL
+ ROUTED met1 ( 126270 17510 ) ( 126730 * )
NEW met2 ( 126270 3740 0 ) ( * 17510 )
NEW met1 ( 126270 17510 ) M1M2_PR
NEW li1 ( 126730 17510 ) L1M1_PR_MR ;
- la_data_out[18] ( PIN la_data_out[18] ) ( _012_ LO ) + USE SIGNAL
+ ROUTED met2 ( 98670 3740 0 ) ( * 7140 )
NEW met2 ( 97290 7140 ) ( 98670 * )
NEW met1 ( 96370 14110 ) ( 97290 * )
NEW met2 ( 97290 7140 ) ( * 14110 )
NEW met1 ( 97290 14110 ) M1M2_PR
NEW li1 ( 96370 14110 ) L1M1_PR_MR ;
- la_data_out[19] ( PIN la_data_out[19] ) ( _013_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 159970 ) ( * 160820 )
NEW met3 ( 3220 160820 0 ) ( 10350 * )
NEW li1 ( 10350 159970 ) L1M1_PR_MR
NEW met1 ( 10350 159970 ) M1M2_PR
NEW met2 ( 10350 160820 ) M2M3_PR_M
NEW met1 ( 10350 159970 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[1] ( PIN la_data_out[1] ) ( _122_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 18020 0 ) ( 9660 * )
NEW met3 ( 9660 18020 ) ( * 18700 )
NEW met3 ( 9660 18700 ) ( 20010 * )
NEW met2 ( 20010 18700 ) ( * 20060 )
NEW met2 ( 20010 20060 ) ( 20930 * )
NEW met2 ( 20930 20060 ) ( * 22950 )
NEW met1 ( 20930 22950 ) ( 23690 * )
NEW met2 ( 20010 18700 ) M2M3_PR_M
NEW met1 ( 20930 22950 ) M1M2_PR
NEW li1 ( 23690 22950 ) L1M1_PR_MR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( _014_ LO ) + USE SIGNAL
+ ROUTED met1 ( 66470 14110 ) ( 69690 * )
NEW met2 ( 66470 3740 0 ) ( * 14110 )
NEW met1 ( 66470 14110 ) M1M2_PR
NEW li1 ( 69690 14110 ) L1M1_PR_MR ;
- la_data_out[21] ( PIN la_data_out[21] ) ( _015_ LO ) + USE SIGNAL
+ ROUTED met2 ( 65550 3740 0 ) ( * 17510 )
NEW li1 ( 65550 17510 ) L1M1_PR_MR
NEW met1 ( 65550 17510 ) M1M2_PR
NEW met1 ( 65550 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[22] ( PIN la_data_out[22] ) ( _016_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 143650 ) ( * 144500 )
NEW met3 ( 190210 144500 ) ( 196420 * 0 )
NEW li1 ( 190210 143650 ) L1M1_PR_MR
NEW met1 ( 190210 143650 ) M1M2_PR
NEW met2 ( 190210 144500 ) M2M3_PR_M
NEW met1 ( 190210 143650 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[23] ( PIN la_data_out[23] ) ( _017_ LO ) + USE SIGNAL
+ ROUTED met2 ( 101430 183770 ) ( * 196180 0 )
NEW li1 ( 101430 183770 ) L1M1_PR_MR
NEW met1 ( 101430 183770 ) M1M2_PR
NEW met1 ( 101430 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[24] ( PIN la_data_out[24] ) ( _018_ LO ) + USE SIGNAL
+ ROUTED met2 ( 22310 3740 0 ) ( * 9180 )
NEW met2 ( 22310 9180 ) ( 23230 * )
NEW met2 ( 23230 9180 ) ( * 17510 )
NEW met1 ( 23230 17510 ) ( 23690 * )
NEW met1 ( 23230 17510 ) M1M2_PR
NEW li1 ( 23690 17510 ) L1M1_PR_MR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( _019_ LO ) + USE SIGNAL
+ ROUTED met2 ( 189750 3740 0 ) ( * 22950 )
NEW li1 ( 189750 22950 ) L1M1_PR_MR
NEW met1 ( 189750 22950 ) M1M2_PR
NEW met1 ( 189750 22950 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[26] ( PIN la_data_out[26] ) ( _020_ LO ) + USE SIGNAL
+ ROUTED met2 ( 50830 178330 ) ( * 196180 0 )
NEW li1 ( 50830 178330 ) L1M1_PR_MR
NEW met1 ( 50830 178330 ) M1M2_PR
NEW met1 ( 50830 178330 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[27] ( PIN la_data_out[27] ) ( _021_ LO ) + USE SIGNAL
+ ROUTED met1 ( 68310 14450 ) ( 72910 * )
NEW met2 ( 68310 3740 0 ) ( * 14450 )
NEW met1 ( 68310 14450 ) M1M2_PR
NEW li1 ( 72910 14450 ) L1M1_PR_MR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( _022_ LO ) + USE SIGNAL
+ ROUTED met1 ( 91310 183770 ) ( 93610 * )
NEW met2 ( 91310 183770 ) ( * 196180 0 )
NEW li1 ( 93610 183770 ) L1M1_PR_MR
NEW met1 ( 91310 183770 ) M1M2_PR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( _023_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 122740 ) ( * 122910 )
NEW met3 ( 190210 122740 ) ( 196420 * 0 )
NEW li1 ( 190210 122910 ) L1M1_PR_MR
NEW met1 ( 190210 122910 ) M1M2_PR
NEW met2 ( 190210 122740 ) M2M3_PR_M
NEW met1 ( 190210 122910 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[2] ( PIN la_data_out[2] ) ( _123_ LO ) + USE SIGNAL
+ ROUTED met1 ( 129030 19550 ) ( 129950 * )
NEW met2 ( 129030 3740 0 ) ( * 19550 )
NEW met1 ( 129030 19550 ) M1M2_PR
NEW li1 ( 129950 19550 ) L1M1_PR_MR ;
- la_data_out[30] ( PIN la_data_out[30] ) ( _024_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 85850 ) ( * 87380 )
NEW met3 ( 3220 87380 0 ) ( 10350 * )
NEW li1 ( 10350 85850 ) L1M1_PR_MR
NEW met1 ( 10350 85850 ) M1M2_PR
NEW met2 ( 10350 87380 ) M2M3_PR_M
NEW met1 ( 10350 85850 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[31] ( PIN la_data_out[31] ) ( _025_ LO ) + USE SIGNAL
+ ROUTED met1 ( 69230 181730 ) ( 71530 * )
NEW met2 ( 69230 181730 ) ( * 196180 0 )
NEW li1 ( 71530 181730 ) L1M1_PR_MR
NEW met1 ( 69230 181730 ) M1M2_PR ;
- la_data_out[32] ( PIN la_data_out[32] ) ( _026_ LO ) + USE SIGNAL
+ ROUTED met2 ( 41630 3740 0 ) ( * 14110 )
NEW met1 ( 41630 14110 ) ( 44850 * )
NEW met1 ( 41630 14110 ) M1M2_PR
NEW li1 ( 44850 14110 ) L1M1_PR_MR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( _027_ LO ) + USE SIGNAL
+ ROUTED met1 ( 186530 23630 ) ( 196190 * )
NEW li1 ( 196190 510 ) ( * 23630 )
NEW met1 ( 195270 510 ) ( 196190 * )
NEW met2 ( 195270 340 ) ( * 510 )
NEW met3 ( 195270 340 ) ( 196420 * 0 )
NEW li1 ( 186530 23630 ) L1M1_PR_MR
NEW li1 ( 196190 23630 ) L1M1_PR_MR
NEW li1 ( 196190 510 ) L1M1_PR_MR
NEW met1 ( 195270 510 ) M1M2_PR
NEW met2 ( 195270 340 ) M2M3_PR_M ;
- la_data_out[34] ( PIN la_data_out[34] ) ( _028_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11730 74630 ) ( * 75140 )
NEW met3 ( 3220 75140 0 ) ( 11730 * )
NEW li1 ( 11730 74630 ) L1M1_PR_MR
NEW met1 ( 11730 74630 ) M1M2_PR
NEW met2 ( 11730 75140 ) M2M3_PR_M
NEW met1 ( 11730 74630 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[35] ( PIN la_data_out[35] ) ( _029_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 196180 0 ) ( 6900 * )
NEW met3 ( 6900 195500 ) ( * 196180 )
NEW met3 ( 6900 195500 ) ( 14030 * )
NEW met2 ( 14030 187340 ) ( * 195500 )
NEW met2 ( 13570 187340 ) ( 14030 * )
NEW met2 ( 13570 185470 ) ( * 187340 )
NEW met2 ( 13570 185470 ) ( 14030 * )
NEW met1 ( 11730 178330 ) ( 14030 * )
NEW met2 ( 14030 178330 ) ( * 185470 )
NEW met2 ( 14030 195500 ) M2M3_PR_M
NEW li1 ( 11730 178330 ) L1M1_PR_MR
NEW met1 ( 14030 178330 ) M1M2_PR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( _030_ LO ) + USE SIGNAL
+ ROUTED met2 ( 80270 181730 ) ( * 196180 0 )
NEW li1 ( 80270 181730 ) L1M1_PR_MR
NEW met1 ( 80270 181730 ) M1M2_PR
NEW met1 ( 80270 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[37] ( PIN la_data_out[37] ) ( _031_ LO ) + USE SIGNAL
+ ROUTED met1 ( 97290 183770 ) ( 97750 * )
NEW met2 ( 97290 183770 ) ( * 185470 )
NEW met2 ( 97290 185470 ) ( 97750 * )
NEW met2 ( 97750 185470 ) ( * 196180 0 )
NEW li1 ( 97750 183770 ) L1M1_PR_MR
NEW met1 ( 97290 183770 ) M1M2_PR ;
- la_data_out[38] ( PIN la_data_out[38] ) ( _032_ LO ) + USE SIGNAL
+ ROUTED met1 ( 153870 183770 ) ( 154790 * )
NEW met2 ( 154790 183770 ) ( * 196180 0 )
NEW li1 ( 153870 183770 ) L1M1_PR_MR
NEW met1 ( 154790 183770 ) M1M2_PR ;
- la_data_out[39] ( PIN la_data_out[39] ) ( _033_ LO ) + USE SIGNAL
+ ROUTED met1 ( 106490 183770 ) ( 108790 * )
NEW met2 ( 108790 183770 ) ( * 196180 0 )
NEW li1 ( 106490 183770 ) L1M1_PR_MR
NEW met1 ( 108790 183770 ) M1M2_PR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( _124_ LO ) + USE SIGNAL
+ ROUTED met2 ( 14950 3740 0 ) ( * 24990 )
NEW met1 ( 14950 24990 ) ( 18170 * )
NEW met1 ( 14950 24990 ) M1M2_PR
NEW li1 ( 18170 24990 ) L1M1_PR_MR ;
- la_data_out[40] ( PIN la_data_out[40] ) ( _034_ LO ) + USE SIGNAL
+ ROUTED met1 ( 83030 181730 ) ( 83490 * )
NEW met2 ( 83030 181730 ) ( * 196180 0 )
NEW li1 ( 83490 181730 ) L1M1_PR_MR
NEW met1 ( 83030 181730 ) M1M2_PR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( _035_ LO ) + USE SIGNAL
+ ROUTED met2 ( 177330 183770 ) ( * 188530 )
NEW met1 ( 175950 188530 ) ( 177330 * )
NEW met2 ( 175950 188530 ) ( * 196180 0 )
NEW met1 ( 177330 183770 ) ( 180090 * )
NEW li1 ( 180090 183770 ) L1M1_PR_MR
NEW met1 ( 177330 183770 ) M1M2_PR
NEW met1 ( 177330 188530 ) M1M2_PR
NEW met1 ( 175950 188530 ) M1M2_PR ;
- la_data_out[42] ( PIN la_data_out[42] ) ( _036_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 145860 ) ( * 148070 )
NEW met3 ( 190210 145860 ) ( 196420 * 0 )
NEW li1 ( 190210 148070 ) L1M1_PR_MR
NEW met1 ( 190210 148070 ) M1M2_PR
NEW met2 ( 190210 145860 ) M2M3_PR_M
NEW met1 ( 190210 148070 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[43] ( PIN la_data_out[43] ) ( _037_ LO ) + USE SIGNAL
+ ROUTED met2 ( 186990 3740 0 ) ( * 22610 )
NEW met1 ( 183310 22610 ) ( 186990 * )
NEW met1 ( 183310 22610 ) ( * 22950 )
NEW met1 ( 186990 22610 ) M1M2_PR
NEW li1 ( 183310 22950 ) L1M1_PR_MR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( _038_ LO ) + USE SIGNAL
+ ROUTED met3 ( 183770 181220 ) ( 196420 * 0 )
NEW met2 ( 183770 178330 ) ( * 181220 )
NEW met2 ( 183770 181220 ) M2M3_PR_M
NEW li1 ( 183770 178330 ) L1M1_PR_MR
NEW met1 ( 183770 178330 ) M1M2_PR
NEW met1 ( 183770 178330 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[45] ( PIN la_data_out[45] ) ( _039_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11270 3740 0 ) ( * 20230 )
NEW met1 ( 11270 20230 ) ( 19090 * )
NEW met1 ( 11270 20230 ) M1M2_PR
NEW li1 ( 19090 20230 ) L1M1_PR_MR ;
- la_data_out[46] ( PIN la_data_out[46] ) ( _040_ LO ) + USE SIGNAL
+ ROUTED met2 ( 194810 179690 ) ( * 179860 )
NEW met3 ( 194810 179860 ) ( 196420 * 0 )
NEW met1 ( 190210 170850 ) ( 194810 * )
NEW li1 ( 194810 170850 ) ( * 179690 )
NEW li1 ( 194810 179690 ) L1M1_PR_MR
NEW met1 ( 194810 179690 ) M1M2_PR
NEW met2 ( 194810 179860 ) M2M3_PR_M
NEW li1 ( 190210 170850 ) L1M1_PR_MR
NEW li1 ( 194810 170850 ) L1M1_PR_MR
NEW met1 ( 194810 179690 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[47] ( PIN la_data_out[47] ) ( _041_ LO ) + USE SIGNAL
+ ROUTED met2 ( 87630 3740 0 ) ( * 17510 )
NEW li1 ( 87630 17510 ) L1M1_PR_MR
NEW met1 ( 87630 17510 ) M1M2_PR
NEW met1 ( 87630 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[48] ( PIN la_data_out[48] ) ( _042_ LO ) + USE SIGNAL
+ ROUTED met1 ( 4830 178670 ) ( 16330 * )
NEW met2 ( 4830 178670 ) ( * 196180 0 )
NEW met2 ( 16330 178670 ) ( * 180710 )
NEW li1 ( 16330 180710 ) L1M1_PR_MR
NEW met1 ( 16330 180710 ) M1M2_PR
NEW met1 ( 4830 178670 ) M1M2_PR
NEW met1 ( 16330 178670 ) M1M2_PR
NEW met1 ( 16330 180710 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[49] ( PIN la_data_out[49] ) ( _043_ LO ) + USE SIGNAL
+ ROUTED met1 ( 94070 17510 ) ( 97290 * )
NEW met2 ( 94070 3740 0 ) ( * 17510 )
NEW met1 ( 94070 17510 ) M1M2_PR
NEW li1 ( 97290 17510 ) L1M1_PR_MR ;
- la_data_out[4] ( PIN la_data_out[4] ) ( _125_ LO ) + USE SIGNAL
+ ROUTED met2 ( 104190 181730 ) ( * 196180 0 )
NEW li1 ( 104190 181730 ) L1M1_PR_MR
NEW met1 ( 104190 181730 ) M1M2_PR
NEW met1 ( 104190 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[50] ( PIN la_data_out[50] ) ( _044_ LO ) + USE SIGNAL
+ ROUTED met1 ( 83950 181730 ) ( 86710 * )
NEW met2 ( 83950 181730 ) ( * 196180 0 )
NEW li1 ( 86710 181730 ) L1M1_PR_MR
NEW met1 ( 83950 181730 ) M1M2_PR ;
- la_data_out[51] ( PIN la_data_out[51] ) ( _045_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 29410 ) ( * 30260 )
NEW met3 ( 190210 30260 ) ( 196420 * 0 )
NEW li1 ( 190210 29410 ) L1M1_PR_MR
NEW met1 ( 190210 29410 ) M1M2_PR
NEW met2 ( 190210 30260 ) M2M3_PR_M
NEW met1 ( 190210 29410 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[52] ( PIN la_data_out[52] ) ( _046_ LO ) + USE SIGNAL
+ ROUTED met2 ( 79350 3740 0 ) ( * 14110 )
NEW li1 ( 79350 14110 ) L1M1_PR_MR
NEW met1 ( 79350 14110 ) M1M2_PR
NEW met1 ( 79350 14110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[53] ( PIN la_data_out[53] ) ( _047_ LO ) + USE SIGNAL
+ ROUTED met1 ( 76130 14110 ) ( 77510 * )
NEW met2 ( 77510 3740 0 ) ( * 14110 )
NEW met1 ( 77510 14110 ) M1M2_PR
NEW li1 ( 76130 14110 ) L1M1_PR_MR ;
- la_data_out[54] ( PIN la_data_out[54] ) ( _048_ LO ) + USE SIGNAL
+ ROUTED met1 ( 190210 159970 ) ( 190670 * )
NEW met2 ( 190670 159970 ) ( * 163540 )
NEW met3 ( 190670 163540 ) ( 196420 * 0 )
NEW li1 ( 190210 159970 ) L1M1_PR_MR
NEW met1 ( 190670 159970 ) M1M2_PR
NEW met2 ( 190670 163540 ) M2M3_PR_M ;
- la_data_out[55] ( PIN la_data_out[55] ) ( _049_ LO ) + USE SIGNAL
+ ROUTED met1 ( 183310 176290 ) ( 186990 * )
NEW met2 ( 186990 176290 ) ( * 177650 )
NEW met1 ( 186990 177650 ) ( 197110 * )
NEW met2 ( 197110 177650 ) ( * 196180 0 )
NEW li1 ( 183310 176290 ) L1M1_PR_MR
NEW met1 ( 186990 176290 ) M1M2_PR
NEW met1 ( 186990 177650 ) M1M2_PR
NEW met1 ( 197110 177650 ) M1M2_PR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( _050_ LO ) + USE SIGNAL
+ ROUTED met2 ( 186990 170170 ) ( * 170340 )
NEW met3 ( 186990 170340 ) ( 196420 * 0 )
NEW li1 ( 186990 170170 ) L1M1_PR_MR
NEW met1 ( 186990 170170 ) M1M2_PR
NEW met2 ( 186990 170340 ) M2M3_PR_M
NEW met1 ( 186990 170170 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[57] ( PIN la_data_out[57] ) ( _051_ LO ) + USE SIGNAL
+ ROUTED met2 ( 20470 3740 0 ) ( * 19550 )
NEW met1 ( 20470 19550 ) ( 22310 * )
NEW met1 ( 20470 19550 ) M1M2_PR
NEW li1 ( 22310 19550 ) L1M1_PR_MR ;
- la_data_out[58] ( PIN la_data_out[58] ) ( _052_ LO ) + USE SIGNAL
+ ROUTED met2 ( 117990 3740 0 ) ( * 19550 )
NEW li1 ( 117990 19550 ) L1M1_PR_MR
NEW met1 ( 117990 19550 ) M1M2_PR
NEW met1 ( 117990 19550 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[59] ( PIN la_data_out[59] ) ( _053_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11730 137870 ) ( * 139060 )
NEW met3 ( 3220 139060 0 ) ( 11730 * )
NEW li1 ( 11730 137870 ) L1M1_PR_MR
NEW met1 ( 11730 137870 ) M1M2_PR
NEW met2 ( 11730 139060 ) M2M3_PR_M
NEW met1 ( 11730 137870 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[5] ( PIN la_data_out[5] ) ( _126_ LO ) + USE SIGNAL
+ ROUTED met2 ( 186990 45730 ) ( * 47940 )
NEW met3 ( 186990 47940 ) ( 196420 * 0 )
NEW li1 ( 186990 45730 ) L1M1_PR_MR
NEW met1 ( 186990 45730 ) M1M2_PR
NEW met2 ( 186990 47940 ) M2M3_PR_M
NEW met1 ( 186990 45730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[60] ( PIN la_data_out[60] ) ( _054_ LO ) + USE SIGNAL
+ ROUTED met2 ( 184230 3740 0 ) ( * 14450 )
NEW met1 ( 175030 14450 ) ( 184230 * )
NEW met1 ( 184230 14450 ) M1M2_PR
NEW li1 ( 175030 14450 ) L1M1_PR_MR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( _055_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 170340 0 ) ( 10350 * )
NEW met2 ( 10350 170170 ) ( * 170340 )
NEW met2 ( 10350 170340 ) M2M3_PR_M
NEW li1 ( 10350 170170 ) L1M1_PR_MR
NEW met1 ( 10350 170170 ) M1M2_PR
NEW met1 ( 10350 170170 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[62] ( PIN la_data_out[62] ) ( _056_ LO ) + USE SIGNAL
+ ROUTED met2 ( 71990 3740 0 ) ( * 17510 )
NEW li1 ( 71990 17510 ) L1M1_PR_MR
NEW met1 ( 71990 17510 ) M1M2_PR
NEW met1 ( 71990 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[63] ( PIN la_data_out[63] ) ( _057_ LO ) + USE SIGNAL
+ ROUTED met2 ( 107870 3740 0 ) ( * 17850 )
NEW met1 ( 107870 17850 ) ( 112470 * )
NEW met1 ( 107870 17850 ) M1M2_PR
NEW li1 ( 112470 17850 ) L1M1_PR_MR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( _058_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 134810 ) ( * 137700 )
NEW met3 ( 3220 137700 0 ) ( 10350 * )
NEW li1 ( 10350 134810 ) L1M1_PR_MR
NEW met1 ( 10350 134810 ) M1M2_PR
NEW met2 ( 10350 137700 ) M2M3_PR_M
NEW met1 ( 10350 134810 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[65] ( PIN la_data_out[65] ) ( _059_ LO ) + USE SIGNAL
+ ROUTED met1 ( 94990 179010 ) ( 97290 * )
NEW met2 ( 94990 179010 ) ( * 196180 0 )
NEW met2 ( 97290 179010 ) ( * 180710 )
NEW li1 ( 97290 180710 ) L1M1_PR_MR
NEW met1 ( 97290 180710 ) M1M2_PR
NEW met1 ( 94990 179010 ) M1M2_PR
NEW met1 ( 97290 179010 ) M1M2_PR
NEW met1 ( 97290 180710 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[66] ( PIN la_data_out[66] ) ( _060_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 111860 0 ) ( 10350 * )
NEW met2 ( 10350 111860 ) ( * 112030 )
NEW met2 ( 10350 111860 ) M2M3_PR_M
NEW li1 ( 10350 112030 ) L1M1_PR_MR
NEW met1 ( 10350 112030 ) M1M2_PR
NEW met1 ( 10350 112030 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[67] ( PIN la_data_out[67] ) ( _061_ LO ) + USE SIGNAL
+ ROUTED met1 ( 147430 181730 ) ( 148810 * )
NEW met2 ( 147430 181730 ) ( * 196180 0 )
NEW li1 ( 148810 181730 ) L1M1_PR_MR
NEW met1 ( 147430 181730 ) M1M2_PR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( _062_ LO ) + USE SIGNAL
+ ROUTED met1 ( 134090 183770 ) ( 135930 * )
NEW met2 ( 134090 183770 ) ( * 186660 )
NEW met2 ( 133630 186660 ) ( 134090 * )
NEW met2 ( 133630 186660 ) ( * 196180 0 )
NEW li1 ( 135930 183770 ) L1M1_PR_MR
NEW met1 ( 134090 183770 ) M1M2_PR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( _063_ LO ) + USE SIGNAL
+ ROUTED met2 ( 40710 3740 0 ) ( * 14790 )
NEW met1 ( 40710 14790 ) ( 48070 * )
NEW met1 ( 40710 14790 ) M1M2_PR
NEW li1 ( 48070 14790 ) L1M1_PR_MR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( _000_ LO ) + USE SIGNAL
+ ROUTED met1 ( 190210 51170 ) ( 190670 * )
NEW met2 ( 190670 51170 ) ( * 54740 )
NEW met3 ( 190670 54740 ) ( 196420 * 0 )
NEW li1 ( 190210 51170 ) L1M1_PR_MR
NEW met1 ( 190670 51170 ) M1M2_PR
NEW met2 ( 190670 54740 ) M2M3_PR_M ;
- la_data_out[70] ( PIN la_data_out[70] ) ( _064_ LO ) + USE SIGNAL
+ ROUTED met2 ( 75670 3740 0 ) ( * 17510 )
NEW li1 ( 75670 17510 ) L1M1_PR_MR
NEW met1 ( 75670 17510 ) M1M2_PR
NEW met1 ( 75670 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[71] ( PIN la_data_out[71] ) ( _065_ LO ) + USE SIGNAL
+ ROUTED met2 ( 167670 3740 0 ) ( * 17510 )
NEW li1 ( 167670 17510 ) L1M1_PR_MR
NEW met1 ( 167670 17510 ) M1M2_PR
NEW met1 ( 167670 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[72] ( PIN la_data_out[72] ) ( _066_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 197540 0 ) ( 15180 * )
NEW met3 ( 15180 195500 ) ( * 197540 )
NEW met3 ( 15180 195500 ) ( 17250 * )
NEW met1 ( 11730 175950 ) ( 17250 * )
NEW met2 ( 17250 175950 ) ( * 195500 )
NEW met2 ( 17250 195500 ) M2M3_PR_M
NEW li1 ( 11730 175950 ) L1M1_PR_MR
NEW met1 ( 17250 175950 ) M1M2_PR ;
- la_data_out[73] ( PIN la_data_out[73] ) ( _067_ LO ) + USE SIGNAL
+ ROUTED met1 ( 108330 181730 ) ( 109710 * )
NEW met2 ( 109710 181730 ) ( * 196180 0 )
NEW li1 ( 108330 181730 ) L1M1_PR_MR
NEW met1 ( 109710 181730 ) M1M2_PR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( _068_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 81940 0 ) ( 10350 * )
NEW met2 ( 10350 81940 ) ( * 83130 )
NEW li1 ( 10350 83130 ) L1M1_PR_MR
NEW met1 ( 10350 83130 ) M1M2_PR
NEW met2 ( 10350 81940 ) M2M3_PR_M
NEW met1 ( 10350 83130 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[75] ( PIN la_data_out[75] ) ( _069_ LO ) + USE SIGNAL
+ ROUTED met1 ( 124430 183770 ) ( 125350 * )
NEW met2 ( 125350 183770 ) ( * 196180 0 )
NEW li1 ( 124430 183770 ) L1M1_PR_MR
NEW met1 ( 125350 183770 ) M1M2_PR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( _070_ LO ) + USE SIGNAL
+ ROUTED met2 ( 1150 3740 0 ) ( * 14790 )
NEW met1 ( 1150 14790 ) ( 14950 * )
NEW met1 ( 14950 14450 ) ( * 14790 )
NEW met1 ( 14950 14450 ) ( 25070 * )
NEW met2 ( 25070 14450 ) ( * 17510 )
NEW met1 ( 25070 17510 ) ( 26910 * )
NEW met1 ( 1150 14790 ) M1M2_PR
NEW met1 ( 25070 14450 ) M1M2_PR
NEW met1 ( 25070 17510 ) M1M2_PR
NEW li1 ( 26910 17510 ) L1M1_PR_MR ;
- la_data_out[77] ( PIN la_data_out[77] ) ( _071_ LO ) + USE SIGNAL
+ ROUTED met1 ( 106030 19550 ) ( 110170 * )
NEW met2 ( 106030 3740 0 ) ( * 19550 )
NEW met1 ( 106030 19550 ) M1M2_PR
NEW li1 ( 110170 19550 ) L1M1_PR_MR ;
- la_data_out[78] ( PIN la_data_out[78] ) ( _072_ LO ) + USE SIGNAL
+ ROUTED met1 ( 31510 183770 ) ( 32890 * )
NEW met2 ( 31510 183770 ) ( * 196180 0 )
NEW li1 ( 32890 183770 ) L1M1_PR_MR
NEW met1 ( 31510 183770 ) M1M2_PR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( _073_ LO ) + USE SIGNAL
+ ROUTED met1 ( 125350 17170 ) ( 131790 * )
NEW met1 ( 131790 17170 ) ( * 17510 )
NEW met2 ( 125350 3740 0 ) ( * 17170 )
NEW met1 ( 125350 17170 ) M1M2_PR
NEW li1 ( 131790 17510 ) L1M1_PR_MR ;
- la_data_out[7] ( PIN la_data_out[7] ) ( _001_ LO ) + USE SIGNAL
+ ROUTED met2 ( 66470 178330 ) ( * 196180 0 )
NEW li1 ( 66470 178330 ) L1M1_PR_MR
NEW met1 ( 66470 178330 ) M1M2_PR
NEW met1 ( 66470 178330 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[80] ( PIN la_data_out[80] ) ( _074_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 50660 0 ) ( 11730 * )
NEW met2 ( 11730 50490 ) ( * 50660 )
NEW met2 ( 11730 50660 ) M2M3_PR_M
NEW li1 ( 11730 50490 ) L1M1_PR_MR
NEW met1 ( 11730 50490 ) M1M2_PR
NEW met1 ( 11730 50490 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[81] ( PIN la_data_out[81] ) ( _075_ LO ) + USE SIGNAL
+ ROUTED met2 ( 152030 3740 0 ) ( * 14110 )
NEW li1 ( 152030 14110 ) L1M1_PR_MR
NEW met1 ( 152030 14110 ) M1M2_PR
NEW met1 ( 152030 14110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[82] ( PIN la_data_out[82] ) ( _076_ LO ) + USE SIGNAL
+ ROUTED met1 ( 119830 183770 ) ( 120290 * )
NEW met2 ( 119830 183770 ) ( * 196180 0 )
NEW li1 ( 120290 183770 ) L1M1_PR_MR
NEW met1 ( 119830 183770 ) M1M2_PR ;
- la_data_out[83] ( PIN la_data_out[83] ) ( _077_ LO ) + USE SIGNAL
+ ROUTED met2 ( 33350 183770 ) ( * 196180 0 )
NEW met1 ( 33350 183770 ) ( 36110 * )
NEW met1 ( 33350 183770 ) M1M2_PR
NEW li1 ( 36110 183770 ) L1M1_PR_MR ;
- la_data_out[84] ( PIN la_data_out[84] ) ( _078_ LO ) + USE SIGNAL
+ ROUTED met1 ( 41170 183770 ) ( 41630 * )
NEW met2 ( 41630 183770 ) ( * 196180 0 )
NEW li1 ( 41170 183770 ) L1M1_PR_MR
NEW met1 ( 41630 183770 ) M1M2_PR ;
- la_data_out[85] ( PIN la_data_out[85] ) ( _079_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 61540 0 ) ( 14030 * )
NEW met2 ( 14030 61370 ) ( * 61540 )
NEW met1 ( 13570 61370 ) ( 14030 * )
NEW met2 ( 14030 61540 ) M2M3_PR_M
NEW met1 ( 14030 61370 ) M1M2_PR
NEW li1 ( 13570 61370 ) L1M1_PR_MR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( _080_ LO ) + USE SIGNAL
+ ROUTED met2 ( 165830 181730 ) ( * 196180 0 )
NEW li1 ( 165830 181730 ) L1M1_PR_MR
NEW met1 ( 165830 181730 ) M1M2_PR
NEW met1 ( 165830 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[87] ( PIN la_data_out[87] ) ( _081_ LO ) + USE SIGNAL
+ ROUTED met2 ( 116150 3740 0 ) ( * 9860 )
NEW met2 ( 116150 9860 ) ( 116610 * )
NEW met1 ( 114770 19550 ) ( 116610 * )
NEW met2 ( 116610 9860 ) ( * 19550 )
NEW met1 ( 116610 19550 ) M1M2_PR
NEW li1 ( 114770 19550 ) L1M1_PR_MR ;
- la_data_out[88] ( PIN la_data_out[88] ) ( _082_ LO ) + USE SIGNAL
+ ROUTED met2 ( 118910 181730 ) ( * 196180 0 )
NEW li1 ( 118910 181730 ) L1M1_PR_MR
NEW met1 ( 118910 181730 ) M1M2_PR
NEW met1 ( 118910 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[89] ( PIN la_data_out[89] ) ( _083_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 94690 ) ( * 95540 )
NEW met3 ( 3220 95540 0 ) ( 10350 * )
NEW li1 ( 10350 94690 ) L1M1_PR_MR
NEW met1 ( 10350 94690 ) M1M2_PR
NEW met2 ( 10350 95540 ) M2M3_PR_M
NEW met1 ( 10350 94690 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[8] ( PIN la_data_out[8] ) ( _002_ LO ) + USE SIGNAL
+ ROUTED met2 ( 111550 181730 ) ( * 196180 0 )
NEW li1 ( 111550 181730 ) L1M1_PR_MR
NEW met1 ( 111550 181730 ) M1M2_PR
NEW met1 ( 111550 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[90] ( PIN la_data_out[90] ) ( _084_ LO ) + USE SIGNAL
+ ROUTED met1 ( 11730 172210 ) ( 14030 * )
NEW met2 ( 14030 172210 ) ( * 177140 )
NEW met3 ( 3220 177140 0 ) ( 14030 * )
NEW li1 ( 11730 172210 ) L1M1_PR_MR
NEW met1 ( 14030 172210 ) M1M2_PR
NEW met2 ( 14030 177140 ) M2M3_PR_M ;
- la_data_out[91] ( PIN la_data_out[91] ) ( _085_ LO ) + USE SIGNAL
+ ROUTED met1 ( 13570 96390 ) ( 14030 * )
NEW met2 ( 14030 96390 ) ( * 96900 )
NEW met3 ( 3220 96900 0 ) ( 14030 * )
NEW li1 ( 13570 96390 ) L1M1_PR_MR
NEW met1 ( 14030 96390 ) M1M2_PR
NEW met2 ( 14030 96900 ) M2M3_PR_M ;
- la_data_out[92] ( PIN la_data_out[92] ) ( _086_ LO ) + USE SIGNAL
+ ROUTED met2 ( 178250 1700 ) ( * 17510 )
NEW met1 ( 178250 17510 ) ( 178710 * )
NEW met3 ( 178250 1700 ) ( 196420 * 0 )
NEW met2 ( 178250 1700 ) M2M3_PR_M
NEW met1 ( 178250 17510 ) M1M2_PR
NEW li1 ( 178710 17510 ) L1M1_PR_MR ;
- la_data_out[93] ( PIN la_data_out[93] ) ( _087_ LO ) + USE SIGNAL
+ ROUTED met3 ( 179170 193460 ) ( 196420 * 0 )
NEW met2 ( 179170 178330 ) ( * 193460 )
NEW met1 ( 179170 178330 ) ( 180550 * )
NEW li1 ( 180550 178330 ) L1M1_PR_MR
NEW met2 ( 179170 193460 ) M2M3_PR_M
NEW met1 ( 179170 178330 ) M1M2_PR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( _088_ LO ) + USE SIGNAL
+ ROUTED met2 ( 98670 187170 ) ( * 196180 0 )
NEW li1 ( 98670 187170 ) L1M1_PR_MR
NEW met1 ( 98670 187170 ) M1M2_PR
NEW met1 ( 98670 187170 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[95] ( PIN la_data_out[95] ) ( _089_ LO ) + USE SIGNAL
+ ROUTED met2 ( 157550 3740 0 ) ( * 14110 )
NEW li1 ( 157550 14110 ) L1M1_PR_MR
NEW met1 ( 157550 14110 ) M1M2_PR
NEW met1 ( 157550 14110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[96] ( PIN la_data_out[96] ) ( _090_ LO ) + USE SIGNAL
+ ROUTED met1 ( 29210 183770 ) ( 29670 * )
NEW met2 ( 29670 183770 ) ( * 196180 0 )
NEW li1 ( 29210 183770 ) L1M1_PR_MR
NEW met1 ( 29670 183770 ) M1M2_PR ;
- la_data_out[97] ( PIN la_data_out[97] ) ( _091_ LO ) + USE SIGNAL
+ ROUTED met2 ( 83030 3740 0 ) ( * 17510 )
NEW li1 ( 83030 17510 ) L1M1_PR_MR
NEW met1 ( 83030 17510 ) M1M2_PR
NEW met1 ( 83030 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[98] ( PIN la_data_out[98] ) ( _092_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 156570 ) ( * 156740 )
NEW met3 ( 190210 156740 ) ( 196420 * 0 )
NEW li1 ( 190210 156570 ) L1M1_PR_MR
NEW met1 ( 190210 156570 ) M1M2_PR
NEW met2 ( 190210 156740 ) M2M3_PR_M
NEW met1 ( 190210 156570 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[99] ( PIN la_data_out[99] ) ( _093_ LO ) + USE SIGNAL
+ ROUTED met2 ( 175030 3740 0 ) ( * 9180 )
NEW met2 ( 174110 9180 ) ( 175030 * )
NEW met2 ( 174110 9180 ) ( * 17510 )
NEW met1 ( 174110 17510 ) ( 175030 * )
NEW met1 ( 174110 17510 ) M1M2_PR
NEW li1 ( 175030 17510 ) L1M1_PR_MR ;
- la_data_out[9] ( PIN la_data_out[9] ) ( _003_ LO ) + USE SIGNAL
+ ROUTED met2 ( 13110 181730 ) ( * 196180 0 )
NEW li1 ( 13110 181730 ) L1M1_PR_MR
NEW met1 ( 13110 181730 ) M1M2_PR
NEW met1 ( 13110 181730 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) ( input89 A ) + USE SIGNAL
+ ROUTED met1 ( 50830 183770 ) ( 52670 * )
NEW met2 ( 52670 183770 ) ( * 196180 0 )
NEW li1 ( 50830 183770 ) L1M1_PR_MR
NEW met1 ( 52670 183770 ) M1M2_PR ;
- la_oenb[97] ( PIN la_oenb[97] ) ( input90 A ) + USE SIGNAL
+ ROUTED met2 ( 178710 18020 ) ( * 28390 )
NEW met3 ( 178710 18020 ) ( 196420 * 0 )
NEW met1 ( 178710 28390 ) ( 183770 * )
NEW li1 ( 183770 28390 ) L1M1_PR_MR
NEW met2 ( 178710 18020 ) M2M3_PR_M
NEW met1 ( 178710 28390 ) M1M2_PR ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- net1 ( input1 X ) ( _127_ A ) + USE SIGNAL
+ ROUTED met1 ( 8510 12410 ) ( 89470 * )
NEW met3 ( 89470 14620 ) ( 93610 * )
NEW met2 ( 93610 14620 ) ( * 14790 )
NEW met1 ( 93610 14790 ) ( 99130 * )
NEW met1 ( 99130 14790 ) ( * 15130 )
NEW met2 ( 89470 12410 ) ( * 14620 )
NEW li1 ( 8510 12410 ) L1M1_PR_MR
NEW met1 ( 89470 12410 ) M1M2_PR
NEW met2 ( 89470 14620 ) M2M3_PR_M
NEW met2 ( 93610 14620 ) M2M3_PR_M
NEW met1 ( 93610 14790 ) M1M2_PR
NEW li1 ( 99130 15130 ) L1M1_PR_MR ;
- net10 ( input10 X ) ( _145_ A ) + USE SIGNAL
+ ROUTED met1 ( 99130 98430 ) ( * 98770 )
NEW met1 ( 99130 98770 ) ( 109250 * )
NEW met1 ( 52210 101150 ) ( 77510 * )
NEW met2 ( 77510 98430 ) ( * 101150 )
NEW met1 ( 77510 98430 ) ( 99130 * )
NEW met2 ( 52210 101150 ) ( * 185470 )
NEW li1 ( 109250 98770 ) L1M1_PR_MR
NEW met1 ( 52210 101150 ) M1M2_PR
NEW met1 ( 77510 101150 ) M1M2_PR
NEW met1 ( 77510 98430 ) M1M2_PR
NEW li1 ( 52210 185470 ) L1M1_PR_MR
NEW met1 ( 52210 185470 ) M1M2_PR
NEW met1 ( 52210 185470 ) RECT ( -355 -70 0 70 ) ;
- net100 ( input100 X ) ( _233_ A ) + USE SIGNAL
+ ROUTED met1 ( 97750 12410 ) ( 100970 * )
NEW met2 ( 100970 9690 ) ( * 12410 )
NEW met1 ( 97290 66130 ) ( 99590 * )
NEW met2 ( 169050 9690 ) ( * 11390 )
NEW met1 ( 169050 11390 ) ( 176410 * )
NEW met1 ( 100970 9690 ) ( 169050 * )
NEW met2 ( 97290 15300 ) ( 97750 * )
NEW met2 ( 97290 15300 ) ( * 66130 )
NEW met2 ( 97750 12410 ) ( * 15300 )
NEW met1 ( 97750 12410 ) M1M2_PR
NEW met1 ( 100970 12410 ) M1M2_PR
NEW met1 ( 100970 9690 ) M1M2_PR
NEW met1 ( 97290 66130 ) M1M2_PR
NEW li1 ( 99590 66130 ) L1M1_PR_MR
NEW met1 ( 169050 9690 ) M1M2_PR
NEW met1 ( 169050 11390 ) M1M2_PR
NEW li1 ( 176410 11390 ) L1M1_PR_MR ;
- net101 ( input101 X ) ( _234_ A ) + USE SIGNAL
+ ROUTED met1 ( 7130 33150 ) ( * 33490 )
NEW met1 ( 7130 33490 ) ( 33350 * )
NEW met1 ( 33350 33490 ) ( * 33830 )
NEW li1 ( 7130 33150 ) L1M1_PR_MR
NEW li1 ( 33350 33830 ) L1M1_PR_MR ;
- net102 ( input102 X ) ( _216_ A ) + USE SIGNAL
+ ROUTED met2 ( 106030 104550 ) ( * 186830 )
NEW met1 ( 106030 186830 ) ( 170430 * )
NEW li1 ( 106030 104550 ) L1M1_PR_MR
NEW met1 ( 106030 104550 ) M1M2_PR
NEW met1 ( 106030 186830 ) M1M2_PR
NEW li1 ( 170430 186830 ) L1M1_PR_MR
NEW met1 ( 106030 104550 ) RECT ( -355 -70 0 70 ) ;
- net103 ( input103 X ) ( _235_ A ) + USE SIGNAL
+ ROUTED met2 ( 12650 15810 ) ( * 19550 )
NEW met1 ( 8050 19550 ) ( 12650 * )
NEW met1 ( 109710 93330 ) ( 110170 * )
NEW met2 ( 98210 14110 ) ( * 15130 )
NEW met1 ( 98210 14110 ) ( 109710 * )
NEW met2 ( 109710 14110 ) ( * 93330 )
NEW li1 ( 56810 15130 ) ( * 15810 )
NEW met1 ( 56810 15130 ) ( 58190 * )
NEW met1 ( 58190 14790 ) ( * 15130 )
NEW met1 ( 58190 14790 ) ( 92690 * )
NEW met1 ( 92690 14790 ) ( * 15130 )
NEW met1 ( 12650 15810 ) ( 56810 * )
NEW met1 ( 92690 15130 ) ( 98210 * )
NEW met1 ( 12650 15810 ) M1M2_PR
NEW met1 ( 12650 19550 ) M1M2_PR
NEW li1 ( 8050 19550 ) L1M1_PR_MR
NEW met1 ( 109710 93330 ) M1M2_PR
NEW li1 ( 110170 93330 ) L1M1_PR_MR
NEW met1 ( 98210 15130 ) M1M2_PR
NEW met1 ( 98210 14110 ) M1M2_PR
NEW met1 ( 109710 14110 ) M1M2_PR
NEW li1 ( 56810 15810 ) L1M1_PR_MR
NEW li1 ( 56810 15130 ) L1M1_PR_MR ;
- net104 ( input104 X ) ( _236_ A ) + USE SIGNAL
+ ROUTED met2 ( 77970 14450 ) ( * 98770 )
NEW met2 ( 113850 14450 ) ( * 14620 )
NEW met3 ( 113850 14620 ) ( 116150 * )
NEW met2 ( 116150 14450 ) ( * 14620 )
NEW met1 ( 77970 14450 ) ( 113850 * )
NEW met1 ( 116150 14450 ) ( 145590 * )
NEW li1 ( 77970 98770 ) L1M1_PR_MR
NEW met1 ( 77970 98770 ) M1M2_PR
NEW met1 ( 77970 14450 ) M1M2_PR
NEW li1 ( 145590 14450 ) L1M1_PR_MR
NEW met1 ( 113850 14450 ) M1M2_PR
NEW met2 ( 113850 14620 ) M2M3_PR_M
NEW met2 ( 116150 14620 ) M2M3_PR_M
NEW met1 ( 116150 14450 ) M1M2_PR
NEW met1 ( 77970 98770 ) RECT ( -355 -70 0 70 ) ;
- net105 ( input105 X ) ( _237_ A ) + USE SIGNAL
+ ROUTED met2 ( 94070 26010 ) ( * 31110 )
NEW met1 ( 94070 31110 ) ( 110400 * )
NEW met1 ( 110400 31110 ) ( * 31450 )
NEW met1 ( 110400 31450 ) ( 187910 * )
NEW li1 ( 187910 31450 ) L1M1_PR_MR
NEW met1 ( 94070 31110 ) M1M2_PR
NEW li1 ( 94070 26010 ) L1M1_PR_MR
NEW met1 ( 94070 26010 ) M1M2_PR
NEW met1 ( 94070 26010 ) RECT ( -355 -70 0 70 ) ;
- net106 ( input106 X ) ( _238_ A ) + USE SIGNAL
+ ROUTED met2 ( 25070 183430 ) ( * 186150 )
NEW met2 ( 87170 181050 ) ( * 184450 )
NEW met1 ( 87170 181050 ) ( 100510 * )
NEW met1 ( 100510 180710 ) ( * 181050 )
NEW met1 ( 50830 183090 ) ( * 183430 )
NEW met1 ( 50830 183090 ) ( 60490 * )
NEW met1 ( 60490 183090 ) ( * 184110 )
NEW met1 ( 60490 184110 ) ( 73830 * )
NEW met1 ( 73830 184110 ) ( * 184450 )
NEW met1 ( 25070 183430 ) ( 50830 * )
NEW met1 ( 73830 184450 ) ( 87170 * )
NEW met1 ( 25070 183430 ) M1M2_PR
NEW li1 ( 25070 186150 ) L1M1_PR_MR
NEW met1 ( 25070 186150 ) M1M2_PR
NEW met1 ( 87170 184450 ) M1M2_PR
NEW met1 ( 87170 181050 ) M1M2_PR
NEW li1 ( 100510 180710 ) L1M1_PR_MR
NEW met1 ( 25070 186150 ) RECT ( -355 -70 0 70 ) ;
- net107 ( input107 X ) ( _239_ A ) + USE SIGNAL
+ ROUTED met2 ( 92230 21250 ) ( * 22610 )
NEW met1 ( 92230 22610 ) ( 100050 * )
NEW li1 ( 92230 21250 ) L1M1_PR_MR
NEW met1 ( 92230 21250 ) M1M2_PR
NEW met1 ( 92230 22610 ) M1M2_PR
NEW li1 ( 100050 22610 ) L1M1_PR_MR
NEW met1 ( 92230 21250 ) RECT ( -355 -70 0 70 ) ;
- net108 ( input108 X ) ( _240_ A ) + USE SIGNAL
+ ROUTED met1 ( 20470 15130 ) ( 24610 * )
NEW met1 ( 21390 98770 ) ( 24610 * )
NEW met2 ( 24610 15130 ) ( * 98770 )
NEW met1 ( 24610 15130 ) M1M2_PR
NEW li1 ( 20470 15130 ) L1M1_PR_MR
NEW met1 ( 24610 98770 ) M1M2_PR
NEW li1 ( 21390 98770 ) L1M1_PR_MR ;
- net109 ( input109 X ) ( _241_ A ) + USE SIGNAL
+ ROUTED met1 ( 91770 151470 ) ( 99590 * )
NEW met2 ( 91770 151470 ) ( * 183430 )
NEW met1 ( 64630 183430 ) ( 91770 * )
NEW met1 ( 91770 183430 ) M1M2_PR
NEW met1 ( 91770 151470 ) M1M2_PR
NEW li1 ( 99590 151470 ) L1M1_PR_MR
NEW li1 ( 64630 183430 ) L1M1_PR_MR ;
- net11 ( input11 X ) ( _146_ A ) + USE SIGNAL
+ ROUTED met1 ( 94530 96730 ) ( 95910 * )
NEW met2 ( 74290 10370 ) ( * 11390 )
NEW met1 ( 74290 10370 ) ( 94530 * )
NEW met2 ( 94530 10370 ) ( * 96730 )
NEW met1 ( 94530 10370 ) M1M2_PR
NEW met1 ( 94530 96730 ) M1M2_PR
NEW li1 ( 95910 96730 ) L1M1_PR_MR
NEW met1 ( 74290 10370 ) M1M2_PR
NEW li1 ( 74290 11390 ) L1M1_PR_MR
NEW met1 ( 74290 11390 ) M1M2_PR
NEW met1 ( 74290 11390 ) RECT ( -355 -70 0 70 ) ;
- net110 ( input110 X ) ( _242_ A ) + USE SIGNAL
+ ROUTED met2 ( 187450 115090 ) ( * 120870 )
NEW met1 ( 187450 120870 ) ( 187910 * )
NEW met1 ( 99590 115090 ) ( 187450 * )
NEW li1 ( 99590 115090 ) L1M1_PR_MR
NEW met1 ( 187450 115090 ) M1M2_PR
NEW met1 ( 187450 120870 ) M1M2_PR
NEW li1 ( 187910 120870 ) L1M1_PR_MR ;
- net111 ( input111 X ) ( _243_ A ) + USE SIGNAL
+ ROUTED met1 ( 189290 180030 ) ( 196190 * )
NEW li1 ( 195730 153850 ) ( 196190 * )
NEW li1 ( 196190 153850 ) ( * 180030 )
NEW met1 ( 102810 87890 ) ( 131100 * )
NEW met1 ( 131100 87890 ) ( * 88570 )
NEW met1 ( 131100 88570 ) ( 195730 * )
NEW li1 ( 195730 88570 ) ( * 153850 )
NEW li1 ( 102810 87890 ) L1M1_PR_MR
NEW li1 ( 196190 180030 ) L1M1_PR_MR
NEW li1 ( 189290 180030 ) L1M1_PR_MR
NEW li1 ( 195730 88570 ) L1M1_PR_MR ;
- net112 ( input112 X ) ( _244_ A ) + USE SIGNAL
+ ROUTED met1 ( 69690 19890 ) ( 76130 * )
NEW met2 ( 76130 19890 ) ( * 22950 )
NEW met1 ( 76130 22950 ) ( 97290 * )
NEW li1 ( 69690 19890 ) L1M1_PR_MR
NEW met1 ( 76130 19890 ) M1M2_PR
NEW met1 ( 76130 22950 ) M1M2_PR
NEW li1 ( 97290 22950 ) L1M1_PR_MR ;
- net113 ( input113 X ) ( _217_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 60350 ) ( 86250 * )
NEW met2 ( 86250 60350 ) ( * 98770 )
NEW li1 ( 86250 98770 ) L1M1_PR_MR
NEW met1 ( 86250 98770 ) M1M2_PR
NEW li1 ( 9890 60350 ) L1M1_PR_MR
NEW met1 ( 86250 60350 ) M1M2_PR
NEW met1 ( 86250 98770 ) RECT ( -355 -70 0 70 ) ;
- net114 ( input114 X ) ( _245_ A ) + USE SIGNAL
+ ROUTED met1 ( 105110 74970 ) ( 131100 * )
NEW met1 ( 131100 74970 ) ( * 75310 )
NEW met2 ( 187910 75310 ) ( * 77350 )
NEW met1 ( 131100 75310 ) ( 187910 * )
NEW li1 ( 105110 74970 ) L1M1_PR_MR
NEW met1 ( 187910 75310 ) M1M2_PR
NEW li1 ( 187910 77350 ) L1M1_PR_MR
NEW met1 ( 187910 77350 ) M1M2_PR
NEW met1 ( 187910 77350 ) RECT ( -355 -70 0 70 ) ;
- net115 ( input115 X ) ( _246_ A ) + USE SIGNAL
+ ROUTED met1 ( 138690 98770 ) ( 139150 * )
NEW met2 ( 139150 12410 ) ( * 98770 )
NEW li1 ( 139150 12410 ) L1M1_PR_MR
NEW met1 ( 139150 12410 ) M1M2_PR
NEW met1 ( 139150 98770 ) M1M2_PR
NEW li1 ( 138690 98770 ) L1M1_PR_MR
NEW met1 ( 139150 12410 ) RECT ( -355 -70 0 70 ) ;
- net116 ( input116 X ) ( _218_ A ) + USE SIGNAL
+ ROUTED met1 ( 138690 180710 ) ( 140070 * )
NEW li1 ( 138690 180030 ) ( * 180710 )
NEW met1 ( 138690 180030 ) ( 142370 * )
NEW li1 ( 140070 180710 ) L1M1_PR_MR
NEW li1 ( 138690 180710 ) L1M1_PR_MR
NEW li1 ( 138690 180030 ) L1M1_PR_MR
NEW li1 ( 142370 180030 ) L1M1_PR_MR ;
- net117 ( input117 X ) ( _219_ A ) + USE SIGNAL
+ ROUTED met1 ( 109250 13090 ) ( 131790 * )
NEW met2 ( 109250 13090 ) ( * 102170 )
NEW met1 ( 109250 13090 ) M1M2_PR
NEW li1 ( 109250 102170 ) L1M1_PR_MR
NEW met1 ( 109250 102170 ) M1M2_PR
NEW li1 ( 131790 13090 ) L1M1_PR_MR
NEW met1 ( 109250 102170 ) RECT ( -355 -70 0 70 ) ;
- net118 ( input118 X ) ( _220_ A ) + USE SIGNAL
+ ROUTED met1 ( 54050 98770 ) ( 59110 * )
NEW met2 ( 59110 15130 ) ( * 98770 )
NEW li1 ( 59110 15130 ) L1M1_PR_MR
NEW met1 ( 59110 15130 ) M1M2_PR
NEW met1 ( 59110 98770 ) M1M2_PR
NEW li1 ( 54050 98770 ) L1M1_PR_MR
NEW met1 ( 59110 15130 ) RECT ( -355 -70 0 70 ) ;
- net119 ( input119 X ) ( _221_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 15810 ) ( * 17170 )
NEW li1 ( 74290 17170 ) ( * 18530 )
NEW met1 ( 74290 18530 ) ( 97750 * )
NEW met2 ( 97750 18530 ) ( * 20570 )
NEW met1 ( 97750 20570 ) ( 99130 * )
NEW met1 ( 99130 20570 ) ( * 20910 )
NEW met1 ( 99130 20910 ) ( 103270 * )
NEW met1 ( 103270 20570 ) ( * 20910 )
NEW met1 ( 9890 17170 ) ( 74290 * )
NEW met1 ( 103270 20570 ) ( 121210 * )
NEW li1 ( 9890 15810 ) L1M1_PR_MR
NEW met1 ( 9890 15810 ) M1M2_PR
NEW met1 ( 9890 17170 ) M1M2_PR
NEW li1 ( 74290 17170 ) L1M1_PR_MR
NEW li1 ( 74290 18530 ) L1M1_PR_MR
NEW met1 ( 97750 18530 ) M1M2_PR
NEW met1 ( 97750 20570 ) M1M2_PR
NEW li1 ( 121210 20570 ) L1M1_PR_MR
NEW met1 ( 9890 15810 ) RECT ( -355 -70 0 70 ) ;
- net12 ( input12 X ) ( _128_ A ) + USE SIGNAL
+ ROUTED met1 ( 12650 133790 ) ( 13570 * )
NEW met2 ( 13570 102170 ) ( * 133790 )
NEW li1 ( 13570 102170 ) L1M1_PR_MR
NEW met1 ( 13570 102170 ) M1M2_PR
NEW met1 ( 13570 133790 ) M1M2_PR
NEW li1 ( 12650 133790 ) L1M1_PR_MR
NEW met1 ( 13570 102170 ) RECT ( -355 -70 0 70 ) ;
- net120 ( input120 X ) ( _222_ A ) + USE SIGNAL
+ ROUTED met2 ( 180090 9350 ) ( * 11390 )
NEW met1 ( 99590 49810 ) ( 100050 * )
NEW met1 ( 100050 44710 ) ( 112930 * )
NEW met2 ( 112930 9350 ) ( * 44710 )
NEW met2 ( 100050 44710 ) ( * 49810 )
NEW met1 ( 112930 9350 ) ( 180090 * )
NEW met1 ( 180090 9350 ) M1M2_PR
NEW li1 ( 180090 11390 ) L1M1_PR_MR
NEW met1 ( 180090 11390 ) M1M2_PR
NEW met1 ( 100050 49810 ) M1M2_PR
NEW li1 ( 99590 49810 ) L1M1_PR_MR
NEW met1 ( 100050 44710 ) M1M2_PR
NEW met1 ( 112930 44710 ) M1M2_PR
NEW met1 ( 112930 9350 ) M1M2_PR
NEW met1 ( 180090 11390 ) RECT ( -355 -70 0 70 ) ;
- net121 ( input121 X ) ( _223_ A ) + USE SIGNAL
+ ROUTED met1 ( 9430 87890 ) ( 12650 * )
NEW met1 ( 12650 87550 ) ( * 87890 )
NEW li1 ( 9430 87890 ) L1M1_PR_MR
NEW li1 ( 12650 87550 ) L1M1_PR_MR ;
- net122 ( input122 X ) ( _224_ A ) + USE SIGNAL
+ ROUTED met1 ( 66010 80750 ) ( 102810 * )
NEW met2 ( 66010 80750 ) ( * 180030 )
NEW li1 ( 102810 80750 ) L1M1_PR_MR
NEW li1 ( 66010 180030 ) L1M1_PR_MR
NEW met1 ( 66010 180030 ) M1M2_PR
NEW met1 ( 66010 80750 ) M1M2_PR
NEW met1 ( 66010 180030 ) RECT ( -355 -70 0 70 ) ;
- net123 ( input123 X ) ( _180_ A ) + USE SIGNAL
+ ROUTED met1 ( 8970 98430 ) ( 14950 * )
NEW met1 ( 14950 98430 ) ( * 98770 )
NEW met1 ( 7130 67490 ) ( 8970 * )
NEW met2 ( 8970 67490 ) ( * 98430 )
NEW met1 ( 8970 98430 ) M1M2_PR
NEW li1 ( 14950 98770 ) L1M1_PR_MR
NEW li1 ( 7130 67490 ) L1M1_PR_MR
NEW met1 ( 8970 67490 ) M1M2_PR ;
- net124 ( ANTENNA_0 DIODE ) ( input124 X ) ( _247_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 98670 99110 ) ( * 99450 )
NEW met1 ( 98670 99450 ) ( 100970 * )
NEW met1 ( 100970 99450 ) ( * 99790 )
NEW met1 ( 50370 98430 ) ( 60030 * )
NEW met1 ( 60030 98430 ) ( * 98770 )
NEW met1 ( 60030 98770 ) ( 74750 * )
NEW met1 ( 74750 98770 ) ( * 99110 )
NEW met1 ( 47150 98430 ) ( 50370 * )
NEW met1 ( 74750 99110 ) ( 98670 * )
NEW met1 ( 100970 99790 ) ( 151570 * )
NEW met2 ( 151570 99790 ) ( * 185470 )
NEW li1 ( 50370 98430 ) L1M1_PR_MR
NEW li1 ( 47150 98430 ) L1M1_PR_MR
NEW met1 ( 151570 99790 ) M1M2_PR
NEW li1 ( 151570 185470 ) L1M1_PR_MR
NEW met1 ( 151570 185470 ) M1M2_PR
NEW met1 ( 151570 185470 ) RECT ( -355 -70 0 70 ) ;
- net125 ( input125 X ) ( _248_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 189290 17170 ) ( 189750 * )
NEW met2 ( 189290 17170 ) ( * 19890 )
NEW met1 ( 173190 19890 ) ( 189290 * )
NEW li1 ( 189750 17170 ) L1M1_PR_MR
NEW met1 ( 189290 17170 ) M1M2_PR
NEW met1 ( 189290 19890 ) M1M2_PR
NEW li1 ( 173190 19890 ) L1M1_PR_MR ;
- net126 ( output126 A ) ( _127_ X ) + USE SIGNAL
+ ROUTED met1 ( 179400 15130 ) ( 182390 * )
NEW met1 ( 179400 15130 ) ( * 15810 )
NEW met1 ( 100050 14790 ) ( 103270 * )
NEW met2 ( 103270 14790 ) ( * 15300 )
NEW met3 ( 103270 15300 ) ( 117070 * )
NEW met2 ( 117070 15300 ) ( * 15810 )
NEW met1 ( 117070 15810 ) ( 179400 * )
NEW li1 ( 182390 15130 ) L1M1_PR_MR
NEW li1 ( 100050 14790 ) L1M1_PR_MR
NEW met1 ( 103270 14790 ) M1M2_PR
NEW met2 ( 103270 15300 ) M2M3_PR_M
NEW met2 ( 117070 15300 ) M2M3_PR_M
NEW met1 ( 117070 15810 ) M1M2_PR ;
- net127 ( output127 A ) ( _137_ X ) + USE SIGNAL
+ ROUTED met1 ( 109250 92990 ) ( * 93330 )
NEW met1 ( 106490 93330 ) ( 109250 * )
NEW met1 ( 106490 92990 ) ( * 93330 )
NEW met1 ( 105570 92990 ) ( 106490 * )
NEW met1 ( 105570 92990 ) ( * 93330 )
NEW met1 ( 100050 93330 ) ( 105570 * )
NEW met2 ( 189290 91290 ) ( * 92990 )
NEW met1 ( 109250 92990 ) ( 189290 * )
NEW li1 ( 100050 93330 ) L1M1_PR_MR
NEW met1 ( 189290 92990 ) M1M2_PR
NEW li1 ( 189290 91290 ) L1M1_PR_MR
NEW met1 ( 189290 91290 ) M1M2_PR
NEW met1 ( 189290 91290 ) RECT ( -355 -70 0 70 ) ;
- net128 ( output128 A ) ( _138_ X ) + USE SIGNAL
+ ROUTED met2 ( 188830 39610 ) ( * 44710 )
NEW met1 ( 188830 44710 ) ( 189290 * )
NEW met1 ( 100510 39270 ) ( 110400 * )
NEW met1 ( 110400 39270 ) ( * 39610 )
NEW met1 ( 110400 39610 ) ( 188830 * )
NEW met1 ( 188830 39610 ) M1M2_PR
NEW met1 ( 188830 44710 ) M1M2_PR
NEW li1 ( 189290 44710 ) L1M1_PR_MR
NEW li1 ( 100510 39270 ) L1M1_PR_MR ;
- net129 ( output129 A ) ( _139_ X ) + USE SIGNAL
+ ROUTED met1 ( 82110 186150 ) ( 83490 * )
NEW met2 ( 82110 100130 ) ( * 186150 )
NEW li1 ( 83490 186150 ) L1M1_PR_MR
NEW li1 ( 82110 100130 ) L1M1_PR_MR
NEW met1 ( 82110 100130 ) M1M2_PR
NEW met1 ( 82110 186150 ) M1M2_PR
NEW met1 ( 82110 100130 ) RECT ( -355 -70 0 70 ) ;
- net13 ( input13 X ) ( _129_ A ) + USE SIGNAL
+ ROUTED met1 ( 132250 82450 ) ( 158700 * )
NEW met1 ( 158700 82110 ) ( * 82450 )
NEW met1 ( 158700 82110 ) ( 186070 * )
NEW li1 ( 132250 82450 ) L1M1_PR_MR
NEW li1 ( 186070 82110 ) L1M1_PR_MR ;
- net130 ( output130 A ) ( _140_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 89250 ) ( 188830 * )
NEW met2 ( 188830 89250 ) ( * 96730 )
NEW met1 ( 188830 96730 ) ( 189290 * )
NEW li1 ( 186070 89250 ) L1M1_PR_MR
NEW met1 ( 188830 89250 ) M1M2_PR
NEW met1 ( 188830 96730 ) M1M2_PR
NEW li1 ( 189290 96730 ) L1M1_PR_MR ;
- net131 ( output131 A ) ( _141_ X ) + USE SIGNAL
+ ROUTED met1 ( 66010 12070 ) ( 74290 * )
NEW met1 ( 74290 19550 ) ( 76590 * )
NEW met1 ( 76590 19550 ) ( * 19890 )
NEW met1 ( 76590 19890 ) ( 88090 * )
NEW met1 ( 88090 19550 ) ( * 19890 )
NEW met1 ( 88090 19550 ) ( 95450 * )
NEW met2 ( 74290 12070 ) ( * 19550 )
NEW met1 ( 74290 12070 ) M1M2_PR
NEW li1 ( 66010 12070 ) L1M1_PR_MR
NEW met1 ( 74290 19550 ) M1M2_PR
NEW li1 ( 95450 19550 ) L1M1_PR_MR ;
- net132 ( output132 A ) ( _142_ X ) + USE SIGNAL
+ ROUTED met1 ( 89010 100130 ) ( 90390 * )
NEW met2 ( 89010 100130 ) ( * 183770 )
NEW met1 ( 89010 100130 ) M1M2_PR
NEW li1 ( 90390 100130 ) L1M1_PR_MR
NEW li1 ( 89010 183770 ) L1M1_PR_MR
NEW met1 ( 89010 183770 ) M1M2_PR
NEW met1 ( 89010 183770 ) RECT ( -355 -70 0 70 ) ;
- net133 ( output133 A ) ( _143_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 79730 ) ( 131100 * )
NEW met1 ( 131100 79390 ) ( * 79730 )
NEW met2 ( 188830 79390 ) ( * 88230 )
NEW met1 ( 188830 88230 ) ( 189290 * )
NEW met1 ( 131100 79390 ) ( 188830 * )
NEW li1 ( 100050 79730 ) L1M1_PR_MR
NEW met1 ( 188830 79390 ) M1M2_PR
NEW met1 ( 188830 88230 ) M1M2_PR
NEW li1 ( 189290 88230 ) L1M1_PR_MR ;
- net134 ( output134 A ) ( _144_ X ) + USE SIGNAL
+ ROUTED met1 ( 109710 110330 ) ( 110170 * )
NEW met1 ( 110170 186150 ) ( 111550 * )
NEW met2 ( 109710 110330 ) ( * 131100 )
NEW met2 ( 109710 131100 ) ( 110170 * )
NEW met2 ( 110170 131100 ) ( * 186150 )
NEW met1 ( 109710 110330 ) M1M2_PR
NEW li1 ( 110170 110330 ) L1M1_PR_MR
NEW met1 ( 110170 186150 ) M1M2_PR
NEW li1 ( 111550 186150 ) L1M1_PR_MR ;
- net135 ( output135 A ) ( _145_ X ) + USE SIGNAL
+ ROUTED met1 ( 115230 12070 ) ( 115690 * )
NEW met1 ( 110170 98770 ) ( 115230 * )
NEW met2 ( 115230 12070 ) ( * 98770 )
NEW met1 ( 115230 12070 ) M1M2_PR
NEW li1 ( 115690 12070 ) L1M1_PR_MR
NEW met1 ( 115230 98770 ) M1M2_PR
NEW li1 ( 110170 98770 ) L1M1_PR_MR ;
- net136 ( output136 A ) ( _146_ X ) + USE SIGNAL
+ ROUTED met1 ( 96370 95710 ) ( 97290 * )
NEW met2 ( 97290 94690 ) ( * 95710 )
NEW met1 ( 97290 94690 ) ( 103730 * )
NEW met1 ( 103730 94350 ) ( * 94690 )
NEW met1 ( 103730 94350 ) ( 120290 * )
NEW met2 ( 120290 94350 ) ( * 131100 )
NEW met2 ( 120290 131100 ) ( 120750 * )
NEW met2 ( 120750 131100 ) ( * 183430 )
NEW met1 ( 139610 183430 ) ( * 184110 )
NEW met1 ( 139610 184110 ) ( 154330 * )
NEW met2 ( 154330 184110 ) ( * 186150 )
NEW met1 ( 120750 183430 ) ( 139610 * )
NEW li1 ( 96370 95710 ) L1M1_PR_MR
NEW met1 ( 97290 95710 ) M1M2_PR
NEW met1 ( 97290 94690 ) M1M2_PR
NEW met1 ( 120290 94350 ) M1M2_PR
NEW met1 ( 120750 183430 ) M1M2_PR
NEW met1 ( 154330 184110 ) M1M2_PR
NEW li1 ( 154330 186150 ) L1M1_PR_MR
NEW met1 ( 154330 186150 ) M1M2_PR
NEW met1 ( 154330 186150 ) RECT ( -355 -70 0 70 ) ;
- net137 ( output137 A ) ( _128_ X ) + USE SIGNAL
+ ROUTED met1 ( 8050 101490 ) ( 12650 * )
NEW met2 ( 8050 82800 ) ( * 101490 )
NEW met2 ( 7590 82800 ) ( 8050 * )
NEW met2 ( 7590 50150 ) ( * 82800 )
NEW met1 ( 7130 50150 ) ( 7590 * )
NEW met1 ( 8050 101490 ) M1M2_PR
NEW li1 ( 12650 101490 ) L1M1_PR_MR
NEW met1 ( 7590 50150 ) M1M2_PR
NEW li1 ( 7130 50150 ) L1M1_PR_MR ;
- net138 ( output138 A ) ( _129_ X ) + USE SIGNAL
+ ROUTED met2 ( 130410 83810 ) ( * 186150 )
NEW met1 ( 130410 83810 ) ( 132710 * )
NEW met1 ( 130410 83810 ) M1M2_PR
NEW li1 ( 130410 186150 ) L1M1_PR_MR
NEW met1 ( 130410 186150 ) M1M2_PR
NEW li1 ( 132710 83810 ) L1M1_PR_MR
NEW met1 ( 130410 186150 ) RECT ( -355 -70 0 70 ) ;
- net139 ( output139 A ) ( _130_ X ) + USE SIGNAL
+ ROUTED met1 ( 90850 16830 ) ( 91310 * )
NEW met2 ( 90850 12070 ) ( * 16830 )
NEW li1 ( 90850 12070 ) L1M1_PR_MR
NEW met1 ( 90850 12070 ) M1M2_PR
NEW met1 ( 90850 16830 ) M1M2_PR
NEW li1 ( 91310 16830 ) L1M1_PR_MR
NEW met1 ( 90850 12070 ) RECT ( -355 -70 0 70 ) ;
- net14 ( input14 X ) ( _147_ A ) + USE SIGNAL
+ ROUTED met1 ( 73370 99110 ) ( 74290 * )
NEW met1 ( 71990 186150 ) ( 74290 * )
NEW met2 ( 74290 99110 ) ( * 186150 )
NEW met1 ( 74290 99110 ) M1M2_PR
NEW li1 ( 73370 99110 ) L1M1_PR_MR
NEW met1 ( 74290 186150 ) M1M2_PR
NEW li1 ( 71990 186150 ) L1M1_PR_MR ;
- net140 ( output140 A ) ( _131_ X ) + USE SIGNAL
+ ROUTED met2 ( 49450 12070 ) ( * 70210 )
NEW met1 ( 49450 70210 ) ( 100050 * )
NEW li1 ( 100050 70210 ) L1M1_PR_MR
NEW li1 ( 49450 12070 ) L1M1_PR_MR
NEW met1 ( 49450 12070 ) M1M2_PR
NEW met1 ( 49450 70210 ) M1M2_PR
NEW met1 ( 49450 12070 ) RECT ( -355 -70 0 70 ) ;
- net141 ( output141 A ) ( _132_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 99790 ) ( 100510 * )
NEW met2 ( 100510 99790 ) ( * 101660 )
NEW met2 ( 100510 101660 ) ( 100970 * )
NEW met2 ( 100970 101660 ) ( * 131100 )
NEW met2 ( 100970 131100 ) ( 101430 * )
NEW met2 ( 101430 131100 ) ( * 180370 )
NEW met1 ( 148350 180370 ) ( * 181050 )
NEW met1 ( 148350 181050 ) ( 165370 * )
NEW met2 ( 165370 181050 ) ( * 183770 )
NEW met1 ( 101430 180370 ) ( 148350 * )
NEW li1 ( 100050 99790 ) L1M1_PR_MR
NEW met1 ( 100510 99790 ) M1M2_PR
NEW met1 ( 101430 180370 ) M1M2_PR
NEW met1 ( 165370 181050 ) M1M2_PR
NEW li1 ( 165370 183770 ) L1M1_PR_MR
NEW met1 ( 165370 183770 ) M1M2_PR
NEW met1 ( 165370 183770 ) RECT ( -355 -70 0 70 ) ;
- net142 ( output142 A ) ( _133_ X ) + USE SIGNAL
+ ROUTED met2 ( 36570 132090 ) ( * 186150 )
NEW met1 ( 36570 132090 ) ( 100510 * )
NEW li1 ( 100510 132090 ) L1M1_PR_MR
NEW li1 ( 36570 186150 ) L1M1_PR_MR
NEW met1 ( 36570 186150 ) M1M2_PR
NEW met1 ( 36570 132090 ) M1M2_PR
NEW met1 ( 36570 186150 ) RECT ( -355 -70 0 70 ) ;
- net143 ( output143 A ) ( _134_ X ) + USE SIGNAL
+ ROUTED met2 ( 88550 74970 ) ( * 95710 )
NEW met1 ( 7130 74970 ) ( 88550 * )
NEW li1 ( 88550 95710 ) L1M1_PR_MR
NEW met1 ( 88550 95710 ) M1M2_PR
NEW li1 ( 7130 74970 ) L1M1_PR_MR
NEW met1 ( 88550 74970 ) M1M2_PR
NEW met1 ( 88550 95710 ) RECT ( -355 -70 0 70 ) ;
- net144 ( output144 A ) ( _135_ X ) + USE SIGNAL
+ ROUTED met2 ( 98670 18530 ) ( * 19550 )
NEW met2 ( 134090 17510 ) ( * 18530 )
NEW met1 ( 98670 18530 ) ( 134090 * )
NEW met1 ( 98670 18530 ) M1M2_PR
NEW li1 ( 98670 19550 ) L1M1_PR_MR
NEW met1 ( 98670 19550 ) M1M2_PR
NEW met1 ( 134090 18530 ) M1M2_PR
NEW li1 ( 134090 17510 ) L1M1_PR_MR
NEW met1 ( 134090 17510 ) M1M2_PR
NEW met1 ( 98670 19550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 134090 17510 ) RECT ( -355 -70 0 70 ) ;
- net145 ( output145 A ) ( _136_ X ) + USE SIGNAL
+ ROUTED met1 ( 177330 15130 ) ( * 15470 )
NEW met1 ( 116610 15470 ) ( * 15810 )
NEW met1 ( 103730 15810 ) ( 116610 * )
NEW met1 ( 103730 15470 ) ( * 15810 )
NEW met1 ( 116610 15470 ) ( 177330 * )
NEW li1 ( 177330 15130 ) L1M1_PR_MR
NEW li1 ( 103730 15470 ) L1M1_PR_MR ;
- net146 ( output146 A ) ( _247_ X ) + USE SIGNAL
+ ROUTED met1 ( 24610 11390 ) ( * 12070 )
NEW met1 ( 13570 12070 ) ( 24610 * )
NEW met1 ( 35650 11390 ) ( * 11730 )
NEW met1 ( 35650 11730 ) ( 38870 * )
NEW met1 ( 24610 11390 ) ( 35650 * )
NEW met1 ( 38870 99790 ) ( 48070 * )
NEW met2 ( 38870 11730 ) ( * 99790 )
NEW li1 ( 13570 12070 ) L1M1_PR_MR
NEW met1 ( 38870 11730 ) M1M2_PR
NEW met1 ( 38870 99790 ) M1M2_PR
NEW li1 ( 48070 99790 ) L1M1_PR_MR ;
- net147 ( output147 A ) ( _147_ X ) + USE SIGNAL
+ ROUTED met1 ( 73830 98430 ) ( 77050 * )
NEW met2 ( 77050 12070 ) ( * 98430 )
NEW li1 ( 77050 12070 ) L1M1_PR_MR
NEW met1 ( 77050 12070 ) M1M2_PR
NEW met1 ( 77050 98430 ) M1M2_PR
NEW li1 ( 73830 98430 ) L1M1_PR_MR
NEW met1 ( 77050 12070 ) RECT ( -355 -70 0 70 ) ;
- net148 ( output148 A ) ( _157_ X ) + USE SIGNAL
+ ROUTED met1 ( 125350 76670 ) ( 125810 * )
NEW met2 ( 125810 15130 ) ( * 76670 )
NEW met1 ( 125810 76670 ) M1M2_PR
NEW li1 ( 125350 76670 ) L1M1_PR_MR
NEW li1 ( 125810 15130 ) L1M1_PR_MR
NEW met1 ( 125810 15130 ) M1M2_PR
NEW met1 ( 125810 15130 ) RECT ( -355 -70 0 70 ) ;
- net149 ( output149 A ) ( _158_ X ) + USE SIGNAL
+ ROUTED met1 ( 11730 121890 ) ( 13110 * )
NEW met2 ( 11730 99110 ) ( * 121890 )
NEW met1 ( 7130 180710 ) ( 8970 * )
NEW met1 ( 97290 97410 ) ( 100050 * )
NEW met2 ( 97290 97410 ) ( * 99450 )
NEW met1 ( 8970 155550 ) ( 13110 * )
NEW met2 ( 8970 155550 ) ( * 180710 )
NEW met2 ( 13110 121890 ) ( * 155550 )
NEW met1 ( 57270 99110 ) ( * 99450 )
NEW met1 ( 11730 99110 ) ( 57270 * )
NEW met1 ( 57270 99450 ) ( 97290 * )
NEW met1 ( 13110 121890 ) M1M2_PR
NEW met1 ( 11730 121890 ) M1M2_PR
NEW met1 ( 11730 99110 ) M1M2_PR
NEW met1 ( 8970 180710 ) M1M2_PR
NEW li1 ( 7130 180710 ) L1M1_PR_MR
NEW li1 ( 100050 97410 ) L1M1_PR_MR
NEW met1 ( 97290 97410 ) M1M2_PR
NEW met1 ( 97290 99450 ) M1M2_PR
NEW met1 ( 8970 155550 ) M1M2_PR
NEW met1 ( 13110 155550 ) M1M2_PR ;
- net15 ( input15 X ) ( _148_ A ) + USE SIGNAL
+ ROUTED met1 ( 99590 109650 ) ( 187910 * )
NEW met2 ( 187910 109650 ) ( * 115430 )
NEW li1 ( 99590 109650 ) L1M1_PR_MR
NEW li1 ( 187910 115430 ) L1M1_PR_MR
NEW met1 ( 187910 115430 ) M1M2_PR
NEW met1 ( 187910 109650 ) M1M2_PR
NEW met1 ( 187910 115430 ) RECT ( -355 -70 0 70 ) ;
- net150 ( output150 A ) ( _159_ X ) + USE SIGNAL
+ ROUTED met1 ( 10350 120530 ) ( 12190 * )
NEW met1 ( 7130 47770 ) ( 12190 * )
NEW met2 ( 12190 47770 ) ( * 120530 )
NEW met1 ( 12190 120530 ) M1M2_PR
NEW li1 ( 10350 120530 ) L1M1_PR_MR
NEW met1 ( 12190 47770 ) M1M2_PR
NEW li1 ( 7130 47770 ) L1M1_PR_MR ;
- net151 ( output151 A ) ( _160_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 172890 ) ( 31050 * )
NEW met2 ( 31050 94010 ) ( * 172890 )
NEW met1 ( 31050 94010 ) ( 34500 * )
NEW met1 ( 34500 94010 ) ( * 94350 )
NEW met1 ( 34500 94350 ) ( 103270 * )
NEW met1 ( 31050 94010 ) M1M2_PR
NEW li1 ( 103270 94350 ) L1M1_PR_MR
NEW met1 ( 31050 172890 ) M1M2_PR
NEW li1 ( 7130 172890 ) L1M1_PR_MR ;
- net152 ( output152 A ) ( _161_ X ) + USE SIGNAL
+ ROUTED met1 ( 111090 98430 ) ( 114310 * )
NEW met2 ( 111090 15130 ) ( * 98430 )
NEW met1 ( 111090 98430 ) M1M2_PR
NEW li1 ( 114310 98430 ) L1M1_PR_MR
NEW li1 ( 111090 15130 ) L1M1_PR_MR
NEW met1 ( 111090 15130 ) M1M2_PR
NEW met1 ( 111090 15130 ) RECT ( 0 -70 355 70 ) ;
- net153 ( output153 A ) ( _162_ X ) + USE SIGNAL
+ ROUTED met1 ( 99590 101150 ) ( 100970 * )
NEW met1 ( 7130 53210 ) ( 100970 * )
NEW met2 ( 100970 53210 ) ( * 101150 )
NEW met1 ( 100970 101150 ) M1M2_PR
NEW li1 ( 99590 101150 ) L1M1_PR_MR
NEW li1 ( 7130 53210 ) L1M1_PR_MR
NEW met1 ( 100970 53210 ) M1M2_PR ;
- net154 ( output154 A ) ( _163_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 59330 ) ( * 64090 )
NEW met1 ( 152950 59330 ) ( 189290 * )
NEW met1 ( 189290 59330 ) M1M2_PR
NEW li1 ( 189290 64090 ) L1M1_PR_MR
NEW met1 ( 189290 64090 ) M1M2_PR
NEW li1 ( 152950 59330 ) L1M1_PR_MR
NEW met1 ( 189290 64090 ) RECT ( -355 -70 0 70 ) ;
- net155 ( output155 A ) ( _164_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 91290 ) ( 129030 * )
NEW met2 ( 129030 91290 ) ( * 185810 )
NEW met1 ( 143750 185810 ) ( * 186150 )
NEW met1 ( 129030 185810 ) ( 143750 * )
NEW li1 ( 100050 91290 ) L1M1_PR_MR
NEW met1 ( 129030 91290 ) M1M2_PR
NEW met1 ( 129030 185810 ) M1M2_PR
NEW li1 ( 143750 186150 ) L1M1_PR_MR ;
- net156 ( output156 A ) ( _165_ X ) + USE SIGNAL
+ ROUTED met2 ( 100050 86530 ) ( * 88230 )
NEW met1 ( 100050 86530 ) ( 131100 * )
NEW met1 ( 131100 85850 ) ( * 86530 )
NEW met1 ( 131100 85850 ) ( 189290 * )
NEW met1 ( 100050 86530 ) M1M2_PR
NEW li1 ( 100050 88230 ) L1M1_PR_MR
NEW met1 ( 100050 88230 ) M1M2_PR
NEW li1 ( 189290 85850 ) L1M1_PR_MR
NEW met1 ( 100050 88230 ) RECT ( -355 -70 0 70 ) ;
- net157 ( output157 A ) ( _166_ X ) + USE SIGNAL
+ ROUTED met1 ( 43470 96390 ) ( 48990 * )
NEW met2 ( 43470 96390 ) ( * 183770 )
NEW met1 ( 43470 96390 ) M1M2_PR
NEW li1 ( 48990 96390 ) L1M1_PR_MR
NEW li1 ( 43470 183770 ) L1M1_PR_MR
NEW met1 ( 43470 183770 ) M1M2_PR
NEW met1 ( 43470 183770 ) RECT ( -355 -70 0 70 ) ;
- net158 ( output158 A ) ( _148_ X ) + USE SIGNAL
+ ROUTED met1 ( 73830 109310 ) ( 100050 * )
NEW met1 ( 7130 80410 ) ( 73830 * )
NEW met2 ( 73830 80410 ) ( * 109310 )
NEW li1 ( 100050 109310 ) L1M1_PR_MR
NEW li1 ( 7130 80410 ) L1M1_PR_MR
NEW met1 ( 73830 109310 ) M1M2_PR
NEW met1 ( 73830 80410 ) M1M2_PR ;
- net159 ( output159 A ) ( _167_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 33830 ) ( 195270 * )
NEW met1 ( 187450 98430 ) ( 195270 * )
NEW li1 ( 195270 33830 ) ( * 98430 )
NEW li1 ( 195270 33830 ) L1M1_PR_MR
NEW li1 ( 189290 33830 ) L1M1_PR_MR
NEW li1 ( 195270 98430 ) L1M1_PR_MR
NEW li1 ( 187450 98430 ) L1M1_PR_MR ;
- net16 ( input16 X ) ( _149_ A ) + USE SIGNAL
+ ROUTED met2 ( 83490 10030 ) ( * 13090 )
NEW met1 ( 83490 10030 ) ( 94990 * )
NEW met1 ( 94990 10030 ) ( * 10370 )
NEW met1 ( 94990 10370 ) ( 103270 * )
NEW met2 ( 103270 10370 ) ( * 11900 )
NEW met2 ( 102810 11900 ) ( 103270 * )
NEW met1 ( 34730 13090 ) ( 83490 * )
NEW met2 ( 102350 20060 ) ( 102810 * )
NEW met2 ( 102350 20060 ) ( * 99110 )
NEW met2 ( 102810 11900 ) ( * 20060 )
NEW met1 ( 83490 13090 ) M1M2_PR
NEW met1 ( 83490 10030 ) M1M2_PR
NEW met1 ( 103270 10370 ) M1M2_PR
NEW li1 ( 102350 99110 ) L1M1_PR_MR
NEW met1 ( 102350 99110 ) M1M2_PR
NEW li1 ( 34730 13090 ) L1M1_PR_MR
NEW met1 ( 102350 99110 ) RECT ( -355 -70 0 70 ) ;
- net160 ( output160 A ) ( _168_ X ) + USE SIGNAL
+ ROUTED met2 ( 61410 179010 ) ( * 180710 )
NEW li1 ( 61410 180710 ) L1M1_PR_MR
NEW met1 ( 61410 180710 ) M1M2_PR
NEW li1 ( 61410 179010 ) L1M1_PR_MR
NEW met1 ( 61410 179010 ) M1M2_PR
NEW met1 ( 61410 180710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 61410 179010 ) RECT ( -355 -70 0 70 ) ;
- net161 ( output161 A ) ( _169_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 17510 ) ( * 18530 )
NEW met2 ( 73830 15810 ) ( * 18530 )
NEW met1 ( 73830 15810 ) ( 103270 * )
NEW met1 ( 7130 18530 ) ( 73830 * )
NEW met2 ( 103270 15810 ) ( * 103870 )
NEW li1 ( 7130 17510 ) L1M1_PR_MR
NEW li1 ( 103270 103870 ) L1M1_PR_MR
NEW met1 ( 103270 103870 ) M1M2_PR
NEW met1 ( 73830 18530 ) M1M2_PR
NEW met1 ( 73830 15810 ) M1M2_PR
NEW met1 ( 103270 15810 ) M1M2_PR
NEW met1 ( 103270 103870 ) RECT ( -355 -70 0 70 ) ;
- net162 ( output162 A ) ( _170_ X ) + USE SIGNAL
+ ROUTED met1 ( 102350 96050 ) ( * 96730 )
NEW met1 ( 102350 96730 ) ( 103730 * )
NEW met1 ( 103730 96050 ) ( * 96730 )
NEW met1 ( 103730 96050 ) ( 112470 * )
NEW met1 ( 7130 136850 ) ( * 137190 )
NEW met1 ( 37950 96050 ) ( 102350 * )
NEW met1 ( 7130 136850 ) ( 37950 * )
NEW met2 ( 37950 96050 ) ( * 136850 )
NEW li1 ( 112470 96050 ) L1M1_PR_MR
NEW li1 ( 7130 137190 ) L1M1_PR_MR
NEW met1 ( 37950 96050 ) M1M2_PR
NEW met1 ( 37950 136850 ) M1M2_PR ;
- net163 ( output163 A ) ( _171_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 40290 ) ( 189290 * )
NEW met2 ( 189290 40290 ) ( * 42330 )
NEW li1 ( 186070 40290 ) L1M1_PR_MR
NEW met1 ( 189290 40290 ) M1M2_PR
NEW li1 ( 189290 42330 ) L1M1_PR_MR
NEW met1 ( 189290 42330 ) M1M2_PR
NEW met1 ( 189290 42330 ) RECT ( -355 -70 0 70 ) ;
- net164 ( output164 A ) ( _172_ X ) + USE SIGNAL
+ ROUTED met2 ( 167210 12070 ) ( * 19550 )
NEW met1 ( 135010 19550 ) ( 167210 * )
NEW li1 ( 167210 12070 ) L1M1_PR_MR
NEW met1 ( 167210 12070 ) M1M2_PR
NEW met1 ( 167210 19550 ) M1M2_PR
NEW li1 ( 135010 19550 ) L1M1_PR_MR
NEW met1 ( 167210 12070 ) RECT ( -355 -70 0 70 ) ;
- net165 ( output165 A ) ( _173_ X ) + USE SIGNAL
+ ROUTED met2 ( 102810 10030 ) ( * 11390 )
NEW met1 ( 99590 11390 ) ( 102810 * )
NEW met2 ( 188830 10030 ) ( * 20570 )
NEW met1 ( 188830 20570 ) ( 189290 * )
NEW met1 ( 102810 10030 ) ( 188830 * )
NEW met1 ( 102810 10030 ) M1M2_PR
NEW met1 ( 102810 11390 ) M1M2_PR
NEW li1 ( 99590 11390 ) L1M1_PR_MR
NEW met1 ( 188830 10030 ) M1M2_PR
NEW met1 ( 188830 20570 ) M1M2_PR
NEW li1 ( 189290 20570 ) L1M1_PR_MR ;
- net166 ( output166 A ) ( _174_ X ) + USE SIGNAL
+ ROUTED met1 ( 152950 100130 ) ( 153870 * )
NEW met1 ( 148810 183770 ) ( 152950 * )
NEW met2 ( 152950 100130 ) ( * 183770 )
NEW met1 ( 152950 100130 ) M1M2_PR
NEW li1 ( 153870 100130 ) L1M1_PR_MR
NEW met1 ( 152950 183770 ) M1M2_PR
NEW li1 ( 148810 183770 ) L1M1_PR_MR ;
- net167 ( output167 A ) ( _175_ X ) + USE SIGNAL
+ ROUTED met1 ( 115690 183770 ) ( 117990 * )
NEW met2 ( 117990 100130 ) ( * 183770 )
NEW li1 ( 117990 100130 ) L1M1_PR_MR
NEW met1 ( 117990 100130 ) M1M2_PR
NEW met1 ( 117990 183770 ) M1M2_PR
NEW li1 ( 115690 183770 ) L1M1_PR_MR
NEW met1 ( 117990 100130 ) RECT ( -355 -70 0 70 ) ;
- net168 ( output168 A ) ( _176_ X ) + USE SIGNAL
+ ROUTED met1 ( 126730 183770 ) ( * 184110 )
NEW met1 ( 103270 184110 ) ( 126730 * )
NEW met2 ( 103270 184110 ) ( * 185470 )
NEW li1 ( 126730 183770 ) L1M1_PR_MR
NEW met1 ( 103270 184110 ) M1M2_PR
NEW li1 ( 103270 185470 ) L1M1_PR_MR
NEW met1 ( 103270 185470 ) M1M2_PR
NEW met1 ( 103270 185470 ) RECT ( -355 -70 0 70 ) ;
- net169 ( output169 A ) ( _149_ X ) + USE SIGNAL
+ ROUTED met1 ( 102810 100130 ) ( 103270 * )
NEW met1 ( 182390 182750 ) ( * 183770 )
NEW met2 ( 102810 100130 ) ( * 181050 )
NEW met1 ( 140530 181050 ) ( * 181730 )
NEW met1 ( 140530 181730 ) ( 146970 * )
NEW met2 ( 146970 181730 ) ( * 182750 )
NEW met1 ( 102810 181050 ) ( 140530 * )
NEW met1 ( 146970 182750 ) ( 182390 * )
NEW li1 ( 103270 100130 ) L1M1_PR_MR
NEW met1 ( 102810 100130 ) M1M2_PR
NEW met1 ( 102810 181050 ) M1M2_PR
NEW li1 ( 182390 183770 ) L1M1_PR_MR
NEW met1 ( 146970 181730 ) M1M2_PR
NEW met1 ( 146970 182750 ) M1M2_PR ;
- net17 ( input17 X ) ( _150_ A ) + USE SIGNAL
+ ROUTED met1 ( 41170 98770 ) ( 46230 * )
NEW met2 ( 46230 98770 ) ( * 186150 )
NEW met1 ( 46230 98770 ) M1M2_PR
NEW li1 ( 41170 98770 ) L1M1_PR_MR
NEW li1 ( 46230 186150 ) L1M1_PR_MR
NEW met1 ( 46230 186150 ) M1M2_PR
NEW met1 ( 46230 186150 ) RECT ( -355 -70 0 70 ) ;
- net170 ( output170 A ) ( _177_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 177990 ) ( * 178330 )
NEW met1 ( 158930 175950 ) ( 173190 * )
NEW met2 ( 173190 175950 ) ( * 177310 )
NEW met1 ( 173190 177310 ) ( 175030 * )
NEW met1 ( 175030 177310 ) ( * 177990 )
NEW met1 ( 175030 177990 ) ( 189290 * )
NEW met2 ( 158930 99790 ) ( * 175950 )
NEW li1 ( 189290 178330 ) L1M1_PR_MR
NEW met1 ( 158930 175950 ) M1M2_PR
NEW met1 ( 173190 175950 ) M1M2_PR
NEW met1 ( 173190 177310 ) M1M2_PR
NEW li1 ( 158930 99790 ) L1M1_PR_MR
NEW met1 ( 158930 99790 ) M1M2_PR
NEW met1 ( 158930 99790 ) RECT ( 0 -70 355 70 ) ;
- net171 ( output171 A ) ( _178_ X ) + USE SIGNAL
+ ROUTED met2 ( 12190 121380 ) ( 13110 * )
NEW met2 ( 13110 101490 ) ( * 121380 )
NEW met2 ( 10810 179400 ) ( * 183770 )
NEW met2 ( 10810 179400 ) ( 12190 * )
NEW met2 ( 12190 121380 ) ( * 179400 )
NEW met1 ( 13110 101490 ) ( 103270 * )
NEW met1 ( 13110 101490 ) M1M2_PR
NEW li1 ( 10810 183770 ) L1M1_PR_MR
NEW met1 ( 10810 183770 ) M1M2_PR
NEW li1 ( 103270 101490 ) L1M1_PR_MR
NEW met1 ( 10810 183770 ) RECT ( -355 -70 0 70 ) ;
- net172 ( output172 A ) ( _150_ X ) + USE SIGNAL
+ ROUTED met2 ( 23690 15470 ) ( * 19550 )
NEW met1 ( 12650 15470 ) ( 23690 * )
NEW met1 ( 12650 15130 ) ( * 15470 )
NEW met1 ( 23690 19550 ) ( 41630 * )
NEW met2 ( 41630 19550 ) ( * 98430 )
NEW met1 ( 23690 19550 ) M1M2_PR
NEW met1 ( 23690 15470 ) M1M2_PR
NEW li1 ( 12650 15130 ) L1M1_PR_MR
NEW met1 ( 41630 19550 ) M1M2_PR
NEW li1 ( 41630 98430 ) L1M1_PR_MR
NEW met1 ( 41630 98430 ) M1M2_PR
NEW met1 ( 41630 98430 ) RECT ( -355 -70 0 70 ) ;
- net173 ( output173 A ) ( _151_ X ) + USE SIGNAL
+ ROUTED met1 ( 173650 183770 ) ( 175490 * )
NEW met1 ( 173190 179010 ) ( 173650 * )
NEW met2 ( 173650 179010 ) ( * 183770 )
NEW met1 ( 173650 183770 ) M1M2_PR
NEW li1 ( 175490 183770 ) L1M1_PR_MR
NEW li1 ( 173190 179010 ) L1M1_PR_MR
NEW met1 ( 173650 179010 ) M1M2_PR ;
- net174 ( output174 A ) ( _152_ X ) + USE SIGNAL
+ ROUTED met1 ( 87170 101150 ) ( 89470 * )
NEW met2 ( 87170 12070 ) ( * 101150 )
NEW li1 ( 87170 12070 ) L1M1_PR_MR
NEW met1 ( 87170 12070 ) M1M2_PR
NEW met1 ( 87170 101150 ) M1M2_PR
NEW li1 ( 89470 101150 ) L1M1_PR_MR
NEW met1 ( 87170 12070 ) RECT ( -355 -70 0 70 ) ;
- net175 ( output175 A ) ( _153_ X ) + USE SIGNAL
+ ROUTED met1 ( 182850 170850 ) ( 184690 * )
NEW met2 ( 184690 170850 ) ( * 180710 )
NEW li1 ( 184690 180710 ) L1M1_PR_MR
NEW met1 ( 184690 180710 ) M1M2_PR
NEW li1 ( 182850 170850 ) L1M1_PR_MR
NEW met1 ( 184690 170850 ) M1M2_PR
NEW met1 ( 184690 180710 ) RECT ( -355 -70 0 70 ) ;
- net176 ( output176 A ) ( _154_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 183430 ) ( 20010 * )
NEW met1 ( 14490 183430 ) ( * 183770 )
NEW met2 ( 20010 104890 ) ( * 183430 )
NEW met1 ( 20010 104890 ) ( 34500 * )
NEW met1 ( 34500 104890 ) ( * 105230 )
NEW met1 ( 34500 105230 ) ( 99590 * )
NEW met1 ( 20010 104890 ) M1M2_PR
NEW met1 ( 20010 183430 ) M1M2_PR
NEW li1 ( 14490 183770 ) L1M1_PR_MR
NEW li1 ( 99590 105230 ) L1M1_PR_MR ;
- net177 ( output177 A ) ( _155_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 175270 ) ( * 175950 )
NEW met1 ( 186070 175270 ) ( 189290 * )
NEW met1 ( 175950 175950 ) ( 186070 * )
NEW li1 ( 189290 175270 ) L1M1_PR_MR
NEW li1 ( 175950 175950 ) L1M1_PR_MR ;
- net178 ( output178 A ) ( _156_ X ) + USE SIGNAL
+ ROUTED met1 ( 101890 12070 ) ( 102350 * )
NEW met1 ( 92690 96390 ) ( 101890 * )
NEW met2 ( 101890 12070 ) ( * 96390 )
NEW met1 ( 101890 12070 ) M1M2_PR
NEW li1 ( 102350 12070 ) L1M1_PR_MR
NEW met1 ( 101890 96390 ) M1M2_PR
NEW li1 ( 92690 96390 ) L1M1_PR_MR ;
- net179 ( output179 A ) ( _179_ X ) + USE SIGNAL
+ ROUTED met1 ( 103730 95710 ) ( 120750 * )
NEW met1 ( 120750 14790 ) ( 129490 * )
NEW met1 ( 129490 14790 ) ( * 15130 )
NEW met2 ( 120750 14790 ) ( * 95710 )
NEW met1 ( 120750 95710 ) M1M2_PR
NEW li1 ( 103730 95710 ) L1M1_PR_MR
NEW met1 ( 120750 14790 ) M1M2_PR
NEW li1 ( 129490 15130 ) L1M1_PR_MR ;
- net18 ( input18 X ) ( _151_ A ) + USE SIGNAL
+ ROUTED met1 ( 174110 178330 ) ( * 178670 )
NEW met1 ( 169970 178670 ) ( 174110 * )
NEW met1 ( 169970 178670 ) ( * 179010 )
NEW li1 ( 174110 178330 ) L1M1_PR_MR
NEW li1 ( 169970 179010 ) L1M1_PR_MR ;
- net180 ( output180 A ) ( _180_ X ) + USE SIGNAL
+ ROUTED met1 ( 15870 99790 ) ( 18170 * )
NEW met1 ( 18170 183770 ) ( 19090 * )
NEW met2 ( 18170 99790 ) ( * 183770 )
NEW li1 ( 15870 99790 ) L1M1_PR_MR
NEW met1 ( 18170 99790 ) M1M2_PR
NEW met1 ( 18170 183770 ) M1M2_PR
NEW li1 ( 19090 183770 ) L1M1_PR_MR ;
- net181 ( output181 A ) ( _181_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 28390 ) ( * 28730 )
NEW met1 ( 7130 28730 ) ( 34500 * )
NEW met1 ( 34500 28050 ) ( * 28730 )
NEW met2 ( 96830 26690 ) ( * 28050 )
NEW met1 ( 96830 26690 ) ( 99590 * )
NEW met1 ( 34500 28050 ) ( 96830 * )
NEW li1 ( 7130 28390 ) L1M1_PR_MR
NEW met1 ( 96830 28050 ) M1M2_PR
NEW met1 ( 96830 26690 ) M1M2_PR
NEW li1 ( 99590 26690 ) L1M1_PR_MR ;
- net182 ( output182 A ) ( _182_ X ) + USE SIGNAL
+ ROUTED met2 ( 13570 141950 ) ( * 175270 )
NEW met1 ( 7130 175270 ) ( 13570 * )
NEW met1 ( 13570 141950 ) ( 100050 * )
NEW met1 ( 13570 141950 ) M1M2_PR
NEW met1 ( 13570 175270 ) M1M2_PR
NEW li1 ( 7130 175270 ) L1M1_PR_MR
NEW li1 ( 100050 141950 ) L1M1_PR_MR ;
- net183 ( output183 A ) ( _192_ X ) + USE SIGNAL
+ ROUTED met2 ( 100510 167450 ) ( * 169150 )
NEW met1 ( 7130 167450 ) ( 100510 * )
NEW li1 ( 7130 167450 ) L1M1_PR_MR
NEW met1 ( 100510 167450 ) M1M2_PR
NEW li1 ( 100510 169150 ) L1M1_PR_MR
NEW met1 ( 100510 169150 ) M1M2_PR
NEW met1 ( 100510 169150 ) RECT ( -355 -70 0 70 ) ;
- net184 ( output184 A ) ( _193_ X ) + USE SIGNAL
+ ROUTED met2 ( 10810 17510 ) ( * 18020 )
NEW met1 ( 114310 97410 ) ( 115690 * )
NEW met3 ( 10810 18020 ) ( 114310 * )
NEW met2 ( 114310 18020 ) ( * 97410 )
NEW met2 ( 10810 18020 ) M2M3_PR_M
NEW li1 ( 10810 17510 ) L1M1_PR_MR
NEW met1 ( 10810 17510 ) M1M2_PR
NEW met1 ( 114310 97410 ) M1M2_PR
NEW li1 ( 115690 97410 ) L1M1_PR_MR
NEW met2 ( 114310 18020 ) M2M3_PR_M
NEW met1 ( 10810 17510 ) RECT ( -355 -70 0 70 ) ;
- net185 ( output185 A ) ( _194_ X ) + USE SIGNAL
+ ROUTED met1 ( 13110 26010 ) ( 13570 * )
NEW met1 ( 10350 96050 ) ( 13110 * )
NEW met2 ( 13110 26010 ) ( * 96050 )
NEW met1 ( 13110 26010 ) M1M2_PR
NEW li1 ( 13570 26010 ) L1M1_PR_MR
NEW met1 ( 13110 96050 ) M1M2_PR
NEW li1 ( 10350 96050 ) L1M1_PR_MR ;
- net186 ( output186 A ) ( _195_ X ) + USE SIGNAL
+ ROUTED met1 ( 183310 100130 ) ( 189290 * )
NEW met2 ( 189290 100130 ) ( * 104550 )
NEW li1 ( 183310 100130 ) L1M1_PR_MR
NEW met1 ( 189290 100130 ) M1M2_PR
NEW li1 ( 189290 104550 ) L1M1_PR_MR
NEW met1 ( 189290 104550 ) M1M2_PR
NEW met1 ( 189290 104550 ) RECT ( -355 -70 0 70 ) ;
- net187 ( output187 A ) ( _196_ X ) + USE SIGNAL
+ ROUTED met2 ( 93150 82800 ) ( * 92990 )
NEW met2 ( 93150 82800 ) ( 93610 * )
NEW met1 ( 93610 17850 ) ( 100970 * )
NEW met1 ( 100970 17510 ) ( * 17850 )
NEW met2 ( 93610 17850 ) ( * 82800 )
NEW li1 ( 93150 92990 ) L1M1_PR_MR
NEW met1 ( 93150 92990 ) M1M2_PR
NEW met1 ( 93610 17850 ) M1M2_PR
NEW li1 ( 100970 17510 ) L1M1_PR_MR
NEW met1 ( 93150 92990 ) RECT ( -355 -70 0 70 ) ;
- net188 ( output188 A ) ( _197_ X ) + USE SIGNAL
+ ROUTED met1 ( 133630 186150 ) ( 135010 * )
NEW met2 ( 133630 100130 ) ( * 186150 )
NEW li1 ( 133630 100130 ) L1M1_PR_MR
NEW met1 ( 133630 100130 ) M1M2_PR
NEW met1 ( 133630 186150 ) M1M2_PR
NEW li1 ( 135010 186150 ) L1M1_PR_MR
NEW met1 ( 133630 100130 ) RECT ( -355 -70 0 70 ) ;
- net189 ( output189 A ) ( _198_ X ) + USE SIGNAL
+ ROUTED met1 ( 172730 180710 ) ( 173650 * )
NEW met1 ( 120750 177650 ) ( 131100 * )
NEW met1 ( 131100 177310 ) ( * 177650 )
NEW met1 ( 131100 177310 ) ( 172730 * )
NEW met2 ( 172730 177310 ) ( * 180710 )
NEW li1 ( 120750 177650 ) L1M1_PR_MR
NEW met1 ( 172730 180710 ) M1M2_PR
NEW li1 ( 173650 180710 ) L1M1_PR_MR
NEW met1 ( 172730 177310 ) M1M2_PR ;
- net19 ( input19 X ) ( _152_ A ) + USE SIGNAL
+ ROUTED met1 ( 110170 97070 ) ( * 97410 )
NEW met1 ( 100510 97410 ) ( 110170 * )
NEW met2 ( 100510 97410 ) ( * 97580 )
NEW met2 ( 100050 97580 ) ( 100510 * )
NEW met2 ( 100050 97580 ) ( * 102170 )
NEW met1 ( 89010 102170 ) ( 100050 * )
NEW met1 ( 110170 97070 ) ( 183310 * )
NEW met2 ( 183310 97070 ) ( * 185470 )
NEW met1 ( 100510 97410 ) M1M2_PR
NEW met1 ( 100050 102170 ) M1M2_PR
NEW li1 ( 89010 102170 ) L1M1_PR_MR
NEW li1 ( 183310 185470 ) L1M1_PR_MR
NEW met1 ( 183310 185470 ) M1M2_PR
NEW met1 ( 183310 97070 ) M1M2_PR
NEW met1 ( 183310 185470 ) RECT ( -355 -70 0 70 ) ;
- net190 ( output190 A ) ( _199_ X ) + USE SIGNAL
+ ROUTED met1 ( 22770 183090 ) ( * 183770 )
NEW met1 ( 22770 183090 ) ( 34500 * )
NEW met1 ( 34500 182750 ) ( * 183090 )
NEW met1 ( 34500 182750 ) ( 76130 * )
NEW li1 ( 76130 182750 ) ( * 184110 )
NEW met1 ( 76130 184110 ) ( 86710 * )
NEW li1 ( 22770 183770 ) L1M1_PR_MR
NEW li1 ( 86710 184110 ) L1M1_PR_MR
NEW li1 ( 76130 182750 ) L1M1_PR_MR
NEW li1 ( 76130 184110 ) L1M1_PR_MR ;
- net191 ( output191 A ) ( _200_ X ) + USE SIGNAL
+ ROUTED met1 ( 27370 14790 ) ( * 15130 )
NEW met1 ( 27370 14790 ) ( 34500 * )
NEW met1 ( 34500 14450 ) ( * 14790 )
NEW met1 ( 34500 14450 ) ( 50370 * )
NEW li1 ( 27370 15130 ) L1M1_PR_MR
NEW li1 ( 50370 14450 ) L1M1_PR_MR ;
- net192 ( output192 A ) ( _201_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 118490 ) ( * 120190 )
NEW met1 ( 100050 120190 ) ( 189290 * )
NEW li1 ( 100050 120190 ) L1M1_PR_MR
NEW met1 ( 189290 120190 ) M1M2_PR
NEW li1 ( 189290 118490 ) L1M1_PR_MR
NEW met1 ( 189290 118490 ) M1M2_PR
NEW met1 ( 189290 118490 ) RECT ( -355 -70 0 70 ) ;
- net193 ( output193 A ) ( _183_ X ) + USE SIGNAL
+ ROUTED met1 ( 180090 180710 ) ( 181470 * )
NEW met2 ( 181470 130050 ) ( * 180710 )
NEW li1 ( 181470 130050 ) L1M1_PR_MR
NEW met1 ( 181470 130050 ) M1M2_PR
NEW met1 ( 181470 180710 ) M1M2_PR
NEW li1 ( 180090 180710 ) L1M1_PR_MR
NEW met1 ( 181470 130050 ) RECT ( -355 -70 0 70 ) ;
- net194 ( output194 A ) ( _202_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 113730 ) ( 12650 * )
NEW met2 ( 7130 113730 ) ( * 118490 )
NEW li1 ( 12650 113730 ) L1M1_PR_MR
NEW met1 ( 7130 113730 ) M1M2_PR
NEW li1 ( 7130 118490 ) L1M1_PR_MR
NEW met1 ( 7130 118490 ) M1M2_PR
NEW met1 ( 7130 118490 ) RECT ( -355 -70 0 70 ) ;
- net195 ( output195 A ) ( _203_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 162010 ) ( * 163710 )
NEW met1 ( 186070 163710 ) ( 189290 * )
NEW li1 ( 189290 162010 ) L1M1_PR_MR
NEW met1 ( 189290 162010 ) M1M2_PR
NEW met1 ( 189290 163710 ) M1M2_PR
NEW li1 ( 186070 163710 ) L1M1_PR_MR
NEW met1 ( 189290 162010 ) RECT ( -355 -70 0 70 ) ;
- net196 ( output196 A ) ( _204_ X ) + USE SIGNAL
+ ROUTED met2 ( 182850 43010 ) ( * 47770 )
NEW met1 ( 182850 47770 ) ( 189290 * )
NEW li1 ( 182850 43010 ) L1M1_PR_MR
NEW met1 ( 182850 43010 ) M1M2_PR
NEW met1 ( 182850 47770 ) M1M2_PR
NEW li1 ( 189290 47770 ) L1M1_PR_MR
NEW met1 ( 182850 43010 ) RECT ( -355 -70 0 70 ) ;
- net197 ( output197 A ) ( _205_ X ) + USE SIGNAL
+ ROUTED met1 ( 121210 15130 ) ( 124890 * )
NEW met2 ( 124890 15130 ) ( * 98430 )
NEW li1 ( 124890 98430 ) L1M1_PR_MR
NEW met1 ( 124890 98430 ) M1M2_PR
NEW met1 ( 124890 15130 ) M1M2_PR
NEW li1 ( 121210 15130 ) L1M1_PR_MR
NEW met1 ( 124890 98430 ) RECT ( -355 -70 0 70 ) ;
- net198 ( output198 A ) ( _206_ X ) + USE SIGNAL
+ ROUTED met2 ( 103730 91630 ) ( * 131100 )
NEW met2 ( 103730 131100 ) ( 104650 * )
NEW met2 ( 104650 131100 ) ( * 182750 )
NEW met1 ( 145130 182750 ) ( * 183430 )
NEW met1 ( 145130 183430 ) ( 160770 * )
NEW met1 ( 160770 183430 ) ( * 183770 )
NEW met1 ( 104650 182750 ) ( 145130 * )
NEW li1 ( 103730 91630 ) L1M1_PR_MR
NEW met1 ( 103730 91630 ) M1M2_PR
NEW met1 ( 104650 182750 ) M1M2_PR
NEW li1 ( 160770 183770 ) L1M1_PR_MR
NEW met1 ( 103730 91630 ) RECT ( -355 -70 0 70 ) ;
- net199 ( output199 A ) ( _207_ X ) + USE SIGNAL
+ ROUTED met1 ( 54510 176290 ) ( 57730 * )
NEW met2 ( 57730 176290 ) ( * 180710 )
NEW li1 ( 57730 180710 ) L1M1_PR_MR
NEW met1 ( 57730 180710 ) M1M2_PR
NEW li1 ( 54510 176290 ) L1M1_PR_MR
NEW met1 ( 57730 176290 ) M1M2_PR
NEW met1 ( 57730 180710 ) RECT ( -355 -70 0 70 ) ;
- net2 ( input2 X ) ( _137_ A ) + USE SIGNAL
+ ROUTED met2 ( 11270 93330 ) ( * 128690 )
NEW met1 ( 10350 128690 ) ( 11270 * )
NEW met1 ( 11270 93330 ) ( 34500 * )
NEW met1 ( 34500 93330 ) ( * 93670 )
NEW met1 ( 34500 93670 ) ( 99130 * )
NEW met1 ( 11270 93330 ) M1M2_PR
NEW met1 ( 11270 128690 ) M1M2_PR
NEW li1 ( 10350 128690 ) L1M1_PR_MR
NEW li1 ( 99130 93670 ) L1M1_PR_MR ;
- net20 ( input20 X ) ( _153_ A ) + USE SIGNAL
+ ROUTED met1 ( 183770 169490 ) ( * 169830 )
NEW met1 ( 179630 169490 ) ( 183770 * )
NEW met1 ( 179630 169150 ) ( * 169490 )
NEW li1 ( 183770 169830 ) L1M1_PR_MR
NEW li1 ( 179630 169150 ) L1M1_PR_MR ;
- net200 ( output200 A ) ( _208_ X ) + USE SIGNAL
+ ROUTED met1 ( 53590 12070 ) ( 58650 * )
NEW met2 ( 58650 12070 ) ( * 100130 )
NEW met1 ( 58650 12070 ) M1M2_PR
NEW li1 ( 53590 12070 ) L1M1_PR_MR
NEW li1 ( 58650 100130 ) L1M1_PR_MR
NEW met1 ( 58650 100130 ) M1M2_PR
NEW met1 ( 58650 100130 ) RECT ( -355 -70 0 70 ) ;
- net201 ( output201 A ) ( _209_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 113050 ) ( * 117470 )
NEW met1 ( 100050 117470 ) ( 189290 * )
NEW li1 ( 100050 117470 ) L1M1_PR_MR
NEW met1 ( 189290 117470 ) M1M2_PR
NEW li1 ( 189290 113050 ) L1M1_PR_MR
NEW met1 ( 189290 113050 ) M1M2_PR
NEW met1 ( 189290 113050 ) RECT ( -355 -70 0 70 ) ;
- net202 ( output202 A ) ( _210_ X ) + USE SIGNAL
+ ROUTED met2 ( 140530 15130 ) ( * 16830 )
NEW met1 ( 140530 16830 ) ( 142830 * )
NEW li1 ( 140530 15130 ) L1M1_PR_MR
NEW met1 ( 140530 15130 ) M1M2_PR
NEW met1 ( 140530 16830 ) M1M2_PR
NEW li1 ( 142830 16830 ) L1M1_PR_MR
NEW met1 ( 140530 15130 ) RECT ( -355 -70 0 70 ) ;
- net203 ( ANTENNA_1 DIODE ) ( output203 A ) ( _211_ X ) + USE SIGNAL
+ ROUTED met1 ( 188830 37570 ) ( 196190 * )
NEW met1 ( 189290 36890 ) ( * 37570 )
NEW li1 ( 196190 37570 ) ( * 62100 )
NEW li1 ( 196190 62100 ) ( 196650 * )
NEW li1 ( 196650 62100 ) ( * 99790 )
NEW met1 ( 176870 99790 ) ( 196650 * )
NEW li1 ( 188830 37570 ) L1M1_PR_MR
NEW li1 ( 196190 37570 ) L1M1_PR_MR
NEW li1 ( 189290 36890 ) L1M1_PR_MR
NEW li1 ( 196650 99790 ) L1M1_PR_MR
NEW li1 ( 176870 99790 ) L1M1_PR_MR ;
- net204 ( output204 A ) ( _184_ X ) + USE SIGNAL
+ ROUTED met2 ( 10810 105570 ) ( * 107610 )
NEW met1 ( 7130 107610 ) ( 10810 * )
NEW li1 ( 10810 105570 ) L1M1_PR_MR
NEW met1 ( 10810 105570 ) M1M2_PR
NEW met1 ( 10810 107610 ) M1M2_PR
NEW li1 ( 7130 107610 ) L1M1_PR_MR
NEW met1 ( 10810 105570 ) RECT ( -355 -70 0 70 ) ;
- net205 ( output205 A ) ( _212_ X ) + USE SIGNAL
+ ROUTED met1 ( 179400 17510 ) ( 181010 * )
NEW met1 ( 179400 17510 ) ( * 17850 )
NEW met1 ( 128570 20570 ) ( 134550 * )
NEW met2 ( 134550 18530 ) ( * 20570 )
NEW met1 ( 134550 18530 ) ( 139150 * )
NEW met1 ( 139150 17850 ) ( * 18530 )
NEW met2 ( 128570 20570 ) ( * 98430 )
NEW met1 ( 139150 17850 ) ( 179400 * )
NEW li1 ( 128570 98430 ) L1M1_PR_MR
NEW met1 ( 128570 98430 ) M1M2_PR
NEW li1 ( 181010 17510 ) L1M1_PR_MR
NEW met1 ( 128570 20570 ) M1M2_PR
NEW met1 ( 134550 20570 ) M1M2_PR
NEW met1 ( 134550 18530 ) M1M2_PR
NEW met1 ( 128570 98430 ) RECT ( -355 -70 0 70 ) ;
- net206 ( output206 A ) ( _213_ X ) + USE SIGNAL
+ ROUTED met2 ( 107410 91970 ) ( * 92990 )
NEW met1 ( 106950 92990 ) ( 107410 * )
NEW met2 ( 31970 15130 ) ( * 91970 )
NEW met1 ( 31970 91970 ) ( 107410 * )
NEW li1 ( 31970 15130 ) L1M1_PR_MR
NEW met1 ( 31970 15130 ) M1M2_PR
NEW met1 ( 31970 91970 ) M1M2_PR
NEW met1 ( 107410 91970 ) M1M2_PR
NEW met1 ( 107410 92990 ) M1M2_PR
NEW li1 ( 106950 92990 ) L1M1_PR_MR
NEW met1 ( 31970 15130 ) RECT ( -355 -70 0 70 ) ;
- net207 ( output207 A ) ( _185_ X ) + USE SIGNAL
+ ROUTED met2 ( 86250 15130 ) ( * 19550 )
NEW met1 ( 86250 19550 ) ( 87630 * )
NEW li1 ( 86250 15130 ) L1M1_PR_MR
NEW met1 ( 86250 15130 ) M1M2_PR
NEW met1 ( 86250 19550 ) M1M2_PR
NEW li1 ( 87630 19550 ) L1M1_PR_MR
NEW met1 ( 86250 15130 ) RECT ( -355 -70 0 70 ) ;
- net208 ( ANTENNA_2 DIODE ) ( output208 A ) ( _186_ X ) + USE SIGNAL
+ ROUTED met2 ( 95910 69530 ) ( * 101150 )
NEW met1 ( 188370 69530 ) ( 189290 * )
NEW met1 ( 95910 69530 ) ( 188370 * )
NEW li1 ( 95910 101150 ) L1M1_PR_MR
NEW met1 ( 95910 101150 ) M1M2_PR
NEW met1 ( 95910 69530 ) M1M2_PR
NEW li1 ( 188370 69530 ) L1M1_PR_MR
NEW li1 ( 189290 69530 ) L1M1_PR_MR
NEW met1 ( 95910 101150 ) RECT ( -355 -70 0 70 ) ;
- net209 ( output209 A ) ( _187_ X ) + USE SIGNAL
+ ROUTED met2 ( 157550 181730 ) ( * 183770 )
NEW met1 ( 156170 183770 ) ( 157550 * )
NEW li1 ( 157550 181730 ) L1M1_PR_MR
NEW met1 ( 157550 181730 ) M1M2_PR
NEW met1 ( 157550 183770 ) M1M2_PR
NEW li1 ( 156170 183770 ) L1M1_PR_MR
NEW met1 ( 157550 181730 ) RECT ( -355 -70 0 70 ) ;
- net21 ( input21 X ) ( _154_ A ) + USE SIGNAL
+ ROUTED met1 ( 98670 104210 ) ( * 104550 )
NEW met1 ( 98670 104210 ) ( 131100 * )
NEW met1 ( 131100 103870 ) ( * 104210 )
NEW met1 ( 173190 103870 ) ( * 104210 )
NEW met1 ( 173190 104210 ) ( 187910 * )
NEW met2 ( 187910 102170 ) ( * 104210 )
NEW met1 ( 131100 103870 ) ( 173190 * )
NEW li1 ( 98670 104550 ) L1M1_PR_MR
NEW met1 ( 187910 104210 ) M1M2_PR
NEW li1 ( 187910 102170 ) L1M1_PR_MR
NEW met1 ( 187910 102170 ) M1M2_PR
NEW met1 ( 187910 102170 ) RECT ( -355 -70 0 70 ) ;
- net210 ( output210 A ) ( _188_ X ) + USE SIGNAL
+ ROUTED met1 ( 99590 108290 ) ( 100510 * )
NEW met2 ( 100510 151980 ) ( 100970 * )
NEW met2 ( 100970 151980 ) ( * 172890 )
NEW met2 ( 100510 108290 ) ( * 151980 )
NEW met1 ( 100970 172890 ) ( 131100 * )
NEW met1 ( 179400 172890 ) ( 189290 * )
NEW met1 ( 131100 172890 ) ( * 173230 )
NEW met1 ( 131100 173230 ) ( 179400 * )
NEW met1 ( 179400 172890 ) ( * 173230 )
NEW li1 ( 99590 108290 ) L1M1_PR_MR
NEW met1 ( 100510 108290 ) M1M2_PR
NEW met1 ( 100970 172890 ) M1M2_PR
NEW li1 ( 189290 172890 ) L1M1_PR_MR ;
- net211 ( output211 A ) ( _189_ X ) + USE SIGNAL
+ ROUTED met1 ( 181010 84830 ) ( 183310 * )
NEW met2 ( 181010 20570 ) ( * 84830 )
NEW li1 ( 181010 20570 ) L1M1_PR_MR
NEW met1 ( 181010 20570 ) M1M2_PR
NEW met1 ( 181010 84830 ) M1M2_PR
NEW li1 ( 183310 84830 ) L1M1_PR_MR
NEW met1 ( 181010 20570 ) RECT ( -355 -70 0 70 ) ;
- net212 ( output212 A ) ( _190_ X ) + USE SIGNAL
+ ROUTED met1 ( 14030 17510 ) ( 14490 * )
NEW met1 ( 10350 98770 ) ( 14490 * )
NEW met2 ( 14030 17510 ) ( * 34500 )
NEW met2 ( 14030 34500 ) ( 14490 * )
NEW met2 ( 14490 34500 ) ( * 98770 )
NEW met1 ( 14030 17510 ) M1M2_PR
NEW li1 ( 14490 17510 ) L1M1_PR_MR
NEW met1 ( 14490 98770 ) M1M2_PR
NEW li1 ( 10350 98770 ) L1M1_PR_MR ;
- net213 ( output213 A ) ( _191_ X ) + USE SIGNAL
+ ROUTED met1 ( 103730 106930 ) ( 131100 * )
NEW met1 ( 131100 106590 ) ( * 106930 )
NEW met2 ( 185610 104550 ) ( * 106590 )
NEW met1 ( 131100 106590 ) ( 185610 * )
NEW li1 ( 103730 106930 ) L1M1_PR_MR
NEW met1 ( 185610 106590 ) M1M2_PR
NEW li1 ( 185610 104550 ) L1M1_PR_MR
NEW met1 ( 185610 104550 ) M1M2_PR
NEW met1 ( 185610 104550 ) RECT ( -355 -70 0 70 ) ;
- net214 ( output214 A ) ( _214_ X ) + USE SIGNAL
+ ROUTED met2 ( 13570 18190 ) ( * 20570 )
NEW met1 ( 10810 20570 ) ( 13570 * )
NEW met1 ( 138230 17510 ) ( * 18190 )
NEW met1 ( 138230 17510 ) ( 142370 * )
NEW li1 ( 142370 17510 ) ( * 18190 )
NEW met1 ( 13570 18190 ) ( 138230 * )
NEW met1 ( 142370 18190 ) ( 160770 * )
NEW met1 ( 13570 18190 ) M1M2_PR
NEW met1 ( 13570 20570 ) M1M2_PR
NEW li1 ( 10810 20570 ) L1M1_PR_MR
NEW li1 ( 160770 18190 ) L1M1_PR_MR
NEW li1 ( 142370 17510 ) L1M1_PR_MR
NEW li1 ( 142370 18190 ) L1M1_PR_MR ;
- net215 ( output215 A ) ( _248_ X ) + USE SIGNAL
+ ROUTED li1 ( 194810 27030 ) ( 195270 * )
NEW li1 ( 195270 18530 ) ( * 27030 )
NEW met1 ( 187450 18530 ) ( 195270 * )
NEW li1 ( 194810 154190 ) ( 195730 * )
NEW li1 ( 195730 154190 ) ( * 174590 )
NEW met1 ( 185150 174590 ) ( 195730 * )
NEW met1 ( 185150 174590 ) ( * 175270 )
NEW met1 ( 185150 175270 ) ( 185550 * )
NEW li1 ( 194810 27030 ) ( * 154190 )
NEW li1 ( 195270 18530 ) L1M1_PR_MR
NEW li1 ( 187450 18530 ) L1M1_PR_MR
NEW li1 ( 195730 174590 ) L1M1_PR_MR
NEW li1 ( 185550 175270 ) L1M1_PR_MR ;
- net216 ( output216 A ) ( _215_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 31450 ) ( 10810 * )
NEW met1 ( 10810 31450 ) ( * 32130 )
NEW li1 ( 7130 31450 ) L1M1_PR_MR
NEW li1 ( 10810 32130 ) L1M1_PR_MR ;
- net217 ( output217 A ) ( _225_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 20570 ) ( 15870 * )
NEW met1 ( 9890 101150 ) ( 15870 * )
NEW met2 ( 15870 20570 ) ( * 101150 )
NEW met1 ( 15870 20570 ) M1M2_PR
NEW li1 ( 14490 20570 ) L1M1_PR_MR
NEW met1 ( 15870 101150 ) M1M2_PR
NEW li1 ( 9890 101150 ) L1M1_PR_MR ;
- net218 ( output218 A ) ( _226_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 58650 ) ( 12650 * )
NEW met1 ( 12650 58650 ) ( * 59330 )
NEW li1 ( 7130 58650 ) L1M1_PR_MR
NEW li1 ( 12650 59330 ) L1M1_PR_MR ;
- net219 ( output219 A ) ( _227_ X ) + USE SIGNAL
+ ROUTED met1 ( 112930 112370 ) ( 115690 * )
NEW met2 ( 115690 17510 ) ( * 112370 )
NEW met1 ( 115690 112370 ) M1M2_PR
NEW li1 ( 112930 112370 ) L1M1_PR_MR
NEW li1 ( 115690 17510 ) L1M1_PR_MR
NEW met1 ( 115690 17510 ) M1M2_PR
NEW met1 ( 115690 17510 ) RECT ( -355 -70 0 70 ) ;
- net22 ( input22 X ) ( _130_ A ) + USE SIGNAL
+ ROUTED met1 ( 83950 13090 ) ( 92230 * )
NEW met2 ( 92230 13090 ) ( * 13260 )
NEW met2 ( 92230 13260 ) ( 93150 * )
NEW met1 ( 92230 17510 ) ( 93150 * )
NEW met2 ( 93150 13260 ) ( * 17510 )
NEW li1 ( 83950 13090 ) L1M1_PR_MR
NEW met1 ( 92230 13090 ) M1M2_PR
NEW met1 ( 93150 17510 ) M1M2_PR
NEW li1 ( 92230 17510 ) L1M1_PR_MR ;
- net220 ( output220 A ) ( _228_ X ) + USE SIGNAL
+ ROUTED met1 ( 19090 17510 ) ( * 17850 )
NEW met1 ( 95450 90270 ) ( 95910 * )
NEW met1 ( 78890 17170 ) ( * 17850 )
NEW met1 ( 78890 17170 ) ( 95450 * )
NEW met1 ( 19090 17850 ) ( 78890 * )
NEW met2 ( 95450 17170 ) ( * 90270 )
NEW li1 ( 19090 17510 ) L1M1_PR_MR
NEW met1 ( 95450 90270 ) M1M2_PR
NEW li1 ( 95910 90270 ) L1M1_PR_MR
NEW met1 ( 95450 17170 ) M1M2_PR ;
- net221 ( output221 A ) ( _229_ X ) + USE SIGNAL
+ ROUTED met2 ( 137770 17510 ) ( * 22270 )
NEW met1 ( 103270 22270 ) ( 137770 * )
NEW li1 ( 137770 17510 ) L1M1_PR_MR
NEW met1 ( 137770 17510 ) M1M2_PR
NEW met1 ( 137770 22270 ) M1M2_PR
NEW li1 ( 103270 22270 ) L1M1_PR_MR
NEW met1 ( 137770 17510 ) RECT ( -355 -70 0 70 ) ;
- net222 ( output222 A ) ( _230_ X ) + USE SIGNAL
+ ROUTED met2 ( 7130 102850 ) ( * 104550 )
NEW met1 ( 7130 102850 ) ( 113850 * )
NEW met1 ( 7130 102850 ) M1M2_PR
NEW li1 ( 7130 104550 ) L1M1_PR_MR
NEW met1 ( 7130 104550 ) M1M2_PR
NEW li1 ( 113850 102850 ) L1M1_PR_MR
NEW met1 ( 7130 104550 ) RECT ( -355 -70 0 70 ) ;
- net223 ( output223 A ) ( _231_ X ) + USE SIGNAL
+ ROUTED met1 ( 12650 22950 ) ( * 23290 )
NEW met1 ( 72910 106590 ) ( 95910 * )
NEW met1 ( 12650 23290 ) ( 72910 * )
NEW met2 ( 72910 23290 ) ( * 106590 )
NEW li1 ( 12650 22950 ) L1M1_PR_MR
NEW li1 ( 95910 106590 ) L1M1_PR_MR
NEW met1 ( 72910 106590 ) M1M2_PR
NEW met1 ( 72910 23290 ) M1M2_PR ;
- net224 ( output224 A ) ( _232_ X ) + USE SIGNAL
+ ROUTED met1 ( 72450 105570 ) ( 93150 * )
NEW met2 ( 72450 105570 ) ( * 183770 )
NEW li1 ( 93150 105570 ) L1M1_PR_MR
NEW met1 ( 72450 105570 ) M1M2_PR
NEW li1 ( 72450 183770 ) L1M1_PR_MR
NEW met1 ( 72450 183770 ) M1M2_PR
NEW met1 ( 72450 183770 ) RECT ( -355 -70 0 70 ) ;
- net225 ( output225 A ) ( _233_ X ) + USE SIGNAL
+ ROUTED met2 ( 76130 65790 ) ( * 71910 )
NEW met1 ( 7130 71910 ) ( 76130 * )
NEW met1 ( 76130 65790 ) ( 100050 * )
NEW li1 ( 7130 71910 ) L1M1_PR_MR
NEW li1 ( 100050 65790 ) L1M1_PR_MR
NEW met1 ( 76130 71910 ) M1M2_PR
NEW met1 ( 76130 65790 ) M1M2_PR ;
- net226 ( output226 A ) ( _234_ X ) + USE SIGNAL
+ ROUTED met2 ( 35190 12070 ) ( * 33150 )
NEW met1 ( 35190 12070 ) ( 37490 * )
NEW met1 ( 32430 33150 ) ( 35190 * )
NEW li1 ( 32430 33150 ) L1M1_PR_MR
NEW met1 ( 35190 33150 ) M1M2_PR
NEW met1 ( 35190 12070 ) M1M2_PR
NEW li1 ( 37490 12070 ) L1M1_PR_MR ;
- net227 ( output227 A ) ( _216_ X ) + USE SIGNAL
+ ROUTED li1 ( 18170 22950 ) ( * 23630 )
NEW met1 ( 18170 22950 ) ( 19090 * )
NEW met1 ( 18170 23630 ) ( 106950 * )
NEW met2 ( 106950 23630 ) ( * 103870 )
NEW li1 ( 18170 23630 ) L1M1_PR_MR
NEW li1 ( 18170 22950 ) L1M1_PR_MR
NEW li1 ( 19090 22950 ) L1M1_PR_MR
NEW li1 ( 106950 103870 ) L1M1_PR_MR
NEW met1 ( 106950 103870 ) M1M2_PR
NEW met1 ( 106950 23630 ) M1M2_PR
NEW met1 ( 106950 103870 ) RECT ( -355 -70 0 70 ) ;
- net228 ( output228 A ) ( _235_ X ) + USE SIGNAL
+ ROUTED met1 ( 110630 183770 ) ( 112010 * )
NEW met2 ( 110630 94690 ) ( * 183770 )
NEW li1 ( 110630 94690 ) L1M1_PR_MR
NEW met1 ( 110630 94690 ) M1M2_PR
NEW met1 ( 110630 183770 ) M1M2_PR
NEW li1 ( 112010 183770 ) L1M1_PR_MR
NEW met1 ( 110630 94690 ) RECT ( -355 -70 0 70 ) ;
- net229 ( output229 A ) ( _236_ X ) + USE SIGNAL
+ ROUTED met1 ( 77050 186150 ) ( 78430 * )
NEW met2 ( 78430 100130 ) ( * 186150 )
NEW li1 ( 78430 100130 ) L1M1_PR_MR
NEW met1 ( 78430 100130 ) M1M2_PR
NEW met1 ( 78430 186150 ) M1M2_PR
NEW li1 ( 77050 186150 ) L1M1_PR_MR
NEW met1 ( 78430 100130 ) RECT ( -355 -70 0 70 ) ;
- net23 ( input23 X ) ( _155_ A ) + USE SIGNAL
+ ROUTED met2 ( 176410 173570 ) ( * 175270 )
NEW met1 ( 176410 175270 ) ( 176870 * )
NEW li1 ( 176410 173570 ) L1M1_PR_MR
NEW met1 ( 176410 173570 ) M1M2_PR
NEW met1 ( 176410 175270 ) M1M2_PR
NEW li1 ( 176870 175270 ) L1M1_PR_MR
NEW met1 ( 176410 173570 ) RECT ( -355 -70 0 70 ) ;
- net230 ( output230 A ) ( _237_ X ) + USE SIGNAL
+ ROUTED met1 ( 91770 15130 ) ( 92230 * )
NEW met2 ( 92230 15130 ) ( 92690 * )
NEW met2 ( 92690 15130 ) ( * 24990 )
NEW met1 ( 92690 24990 ) ( 93150 * )
NEW li1 ( 91770 15130 ) L1M1_PR_MR
NEW met1 ( 92230 15130 ) M1M2_PR
NEW met1 ( 92690 24990 ) M1M2_PR
NEW li1 ( 93150 24990 ) L1M1_PR_MR ;
- net231 ( output231 A ) ( _238_ X ) + USE SIGNAL
+ ROUTED met1 ( 140070 181390 ) ( * 181730 )
NEW met2 ( 140070 181730 ) ( 140530 * )
NEW met2 ( 140530 181730 ) ( * 183430 )
NEW met1 ( 140530 183430 ) ( 144670 * )
NEW met1 ( 144670 183430 ) ( * 183770 )
NEW met1 ( 144670 183770 ) ( 145130 * )
NEW met1 ( 99590 181390 ) ( 140070 * )
NEW li1 ( 99590 181390 ) L1M1_PR_MR
NEW met1 ( 140070 181730 ) M1M2_PR
NEW met1 ( 140530 183430 ) M1M2_PR
NEW li1 ( 145130 183770 ) L1M1_PR_MR ;
- net232 ( output232 A ) ( _239_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 23290 ) ( * 26010 )
NEW met1 ( 100970 23290 ) ( 189290 * )
NEW met1 ( 189290 23290 ) M1M2_PR
NEW li1 ( 189290 26010 ) L1M1_PR_MR
NEW met1 ( 189290 26010 ) M1M2_PR
NEW li1 ( 100970 23290 ) L1M1_PR_MR
NEW met1 ( 189290 26010 ) RECT ( -355 -70 0 70 ) ;
- net233 ( output233 A ) ( _240_ X ) + USE SIGNAL
+ ROUTED met1 ( 22310 99790 ) ( 23690 * )
NEW met1 ( 7130 156570 ) ( 23690 * )
NEW met2 ( 23690 99790 ) ( * 156570 )
NEW li1 ( 22310 99790 ) L1M1_PR_MR
NEW met1 ( 23690 99790 ) M1M2_PR
NEW met1 ( 23690 156570 ) M1M2_PR
NEW li1 ( 7130 156570 ) L1M1_PR_MR ;
- net234 ( output234 A ) ( _241_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 150110 ) ( 131100 * )
NEW met1 ( 131100 150110 ) ( * 151130 )
NEW met1 ( 131100 151130 ) ( 189290 * )
NEW li1 ( 100050 150110 ) L1M1_PR_MR
NEW li1 ( 189290 151130 ) L1M1_PR_MR ;
- net235 ( output235 A ) ( _242_ X ) + USE SIGNAL
+ ROUTED met2 ( 100050 113730 ) ( * 114750 )
NEW met1 ( 40250 113730 ) ( 100050 * )
NEW met2 ( 40250 15130 ) ( * 113730 )
NEW met1 ( 100050 113730 ) M1M2_PR
NEW li1 ( 100050 114750 ) L1M1_PR_MR
NEW met1 ( 100050 114750 ) M1M2_PR
NEW li1 ( 40250 15130 ) L1M1_PR_MR
NEW met1 ( 40250 15130 ) M1M2_PR
NEW met1 ( 40250 113730 ) M1M2_PR
NEW met1 ( 100050 114750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 40250 15130 ) RECT ( -355 -70 0 70 ) ;
- net236 ( output236 A ) ( _243_ X ) + USE SIGNAL
+ ROUTED met1 ( 99130 87550 ) ( * 87890 )
NEW met1 ( 99130 87890 ) ( 100050 * )
NEW met1 ( 100050 87550 ) ( * 87890 )
NEW met1 ( 100050 87550 ) ( 103270 * )
NEW met1 ( 65090 87550 ) ( 99130 * )
NEW met2 ( 65090 15130 ) ( * 87550 )
NEW li1 ( 103270 87550 ) L1M1_PR_MR
NEW met1 ( 65090 87550 ) M1M2_PR
NEW li1 ( 65090 15130 ) L1M1_PR_MR
NEW met1 ( 65090 15130 ) M1M2_PR
NEW met1 ( 65090 15130 ) RECT ( -355 -70 0 70 ) ;
- net237 ( output237 A ) ( _244_ X ) + USE SIGNAL
+ ROUTED met1 ( 101430 17510 ) ( 104650 * )
NEW met1 ( 101430 17510 ) ( * 17850 )
NEW met2 ( 101430 17850 ) ( * 22270 )
NEW met1 ( 96370 22270 ) ( 101430 * )
NEW li1 ( 104650 17510 ) L1M1_PR_MR
NEW met1 ( 101430 17850 ) M1M2_PR
NEW met1 ( 101430 22270 ) M1M2_PR
NEW li1 ( 96370 22270 ) L1M1_PR_MR ;
- net238 ( ANTENNA_3 DIODE ) ( output238 A ) ( _217_ X ) + USE SIGNAL
+ ROUTED met1 ( 87170 99790 ) ( 87630 * )
NEW met2 ( 87630 99790 ) ( * 136510 )
NEW met1 ( 188370 137190 ) ( 189290 * )
NEW met1 ( 188370 136510 ) ( * 137190 )
NEW met1 ( 87630 136510 ) ( 188370 * )
NEW li1 ( 87170 99790 ) L1M1_PR_MR
NEW met1 ( 87630 99790 ) M1M2_PR
NEW met1 ( 87630 136510 ) M1M2_PR
NEW li1 ( 188370 136510 ) L1M1_PR_MR
NEW li1 ( 189290 137190 ) L1M1_PR_MR ;
- net239 ( output239 A ) ( _245_ X ) + USE SIGNAL
+ ROUTED met1 ( 102810 73950 ) ( 104190 * )
NEW met2 ( 102810 20570 ) ( * 73950 )
NEW met1 ( 102810 73950 ) M1M2_PR
NEW li1 ( 104190 73950 ) L1M1_PR_MR
NEW li1 ( 102810 20570 ) L1M1_PR_MR
NEW met1 ( 102810 20570 ) M1M2_PR
NEW met1 ( 102810 20570 ) RECT ( -355 -70 0 70 ) ;
- net24 ( input24 X ) ( _156_ A ) + USE SIGNAL
+ ROUTED met1 ( 7130 119170 ) ( 10810 * )
NEW met2 ( 10810 111180 ) ( * 119170 )
NEW met2 ( 10350 111180 ) ( 10810 * )
NEW met2 ( 10350 102510 ) ( * 111180 )
NEW met1 ( 7590 182750 ) ( 8050 * )
NEW met1 ( 91770 97070 ) ( * 97410 )
NEW met2 ( 7590 179400 ) ( * 182750 )
NEW met2 ( 7130 179400 ) ( 7590 * )
NEW met2 ( 7130 119170 ) ( * 179400 )
NEW met2 ( 57730 97410 ) ( * 102510 )
NEW met1 ( 10350 102510 ) ( 57730 * )
NEW met1 ( 57730 97410 ) ( 91770 * )
NEW met1 ( 7130 119170 ) M1M2_PR
NEW met1 ( 10810 119170 ) M1M2_PR
NEW met1 ( 10350 102510 ) M1M2_PR
NEW met1 ( 7590 182750 ) M1M2_PR
NEW li1 ( 8050 182750 ) L1M1_PR_MR
NEW li1 ( 91770 97070 ) L1M1_PR_MR
NEW met1 ( 57730 102510 ) M1M2_PR
NEW met1 ( 57730 97410 ) M1M2_PR ;
- net240 ( output240 A ) ( _246_ X ) + USE SIGNAL
+ ROUTED met1 ( 139610 186150 ) ( 140070 * )
NEW met2 ( 139150 100130 ) ( * 131100 )
NEW met2 ( 139150 131100 ) ( 139610 * )
NEW met2 ( 139610 131100 ) ( * 186150 )
NEW li1 ( 139150 100130 ) L1M1_PR_MR
NEW met1 ( 139150 100130 ) M1M2_PR
NEW met1 ( 139610 186150 ) M1M2_PR
NEW li1 ( 140070 186150 ) L1M1_PR_MR
NEW met1 ( 139150 100130 ) RECT ( -355 -70 0 70 ) ;
- net241 ( output241 A ) ( _218_ X ) + USE SIGNAL
+ ROUTED met2 ( 139150 181730 ) ( * 183770 )
NEW met1 ( 138690 183770 ) ( 139150 * )
NEW li1 ( 139150 181730 ) L1M1_PR_MR
NEW met1 ( 139150 181730 ) M1M2_PR
NEW met1 ( 139150 183770 ) M1M2_PR
NEW li1 ( 138690 183770 ) L1M1_PR_MR
NEW met1 ( 139150 181730 ) RECT ( -355 -70 0 70 ) ;
- net242 ( output242 A ) ( _219_ X ) + USE SIGNAL
+ ROUTED met1 ( 110170 101490 ) ( * 101830 )
NEW met2 ( 19090 101830 ) ( * 180710 )
NEW met1 ( 19090 101830 ) ( 110170 * )
NEW met1 ( 19090 101830 ) M1M2_PR
NEW li1 ( 19090 180710 ) L1M1_PR_MR
NEW met1 ( 19090 180710 ) M1M2_PR
NEW li1 ( 110170 101490 ) L1M1_PR_MR
NEW met1 ( 19090 180710 ) RECT ( -355 -70 0 70 ) ;
- net243 ( output243 A ) ( _220_ X ) + USE SIGNAL
+ ROUTED met1 ( 48530 180370 ) ( 54970 * )
NEW met1 ( 48530 180370 ) ( * 180710 )
NEW met2 ( 54970 99790 ) ( * 180370 )
NEW li1 ( 54970 99790 ) L1M1_PR_MR
NEW met1 ( 54970 99790 ) M1M2_PR
NEW met1 ( 54970 180370 ) M1M2_PR
NEW li1 ( 48530 180710 ) L1M1_PR_MR
NEW met1 ( 54970 99790 ) RECT ( -355 -70 0 70 ) ;
- net244 ( output244 A ) ( _221_ X ) + USE SIGNAL
+ ROUTED met2 ( 122130 17510 ) ( * 19550 )
NEW met1 ( 120290 19550 ) ( 122130 * )
NEW li1 ( 122130 17510 ) L1M1_PR_MR
NEW met1 ( 122130 17510 ) M1M2_PR
NEW met1 ( 122130 19550 ) M1M2_PR
NEW li1 ( 120290 19550 ) L1M1_PR_MR
NEW met1 ( 122130 17510 ) RECT ( -355 -70 0 70 ) ;
- net245 ( output245 A ) ( _222_ X ) + USE SIGNAL
+ ROUTED met2 ( 100050 51170 ) ( * 55590 )
NEW met1 ( 7130 55590 ) ( 100050 * )
NEW li1 ( 7130 55590 ) L1M1_PR_MR
NEW met1 ( 100050 55590 ) M1M2_PR
NEW li1 ( 100050 51170 ) L1M1_PR_MR
NEW met1 ( 100050 51170 ) M1M2_PR
NEW met1 ( 100050 51170 ) RECT ( -355 -70 0 70 ) ;
- net246 ( output246 A ) ( _223_ X ) + USE SIGNAL
+ ROUTED met2 ( 9890 171020 ) ( 10350 * )
NEW met2 ( 10350 171020 ) ( * 178330 )
NEW met1 ( 7130 178330 ) ( 10350 * )
NEW met2 ( 9890 89250 ) ( * 171020 )
NEW li1 ( 9890 89250 ) L1M1_PR_MR
NEW met1 ( 9890 89250 ) M1M2_PR
NEW met1 ( 10350 178330 ) M1M2_PR
NEW li1 ( 7130 178330 ) L1M1_PR_MR
NEW met1 ( 9890 89250 ) RECT ( -355 -70 0 70 ) ;
- net247 ( output247 A ) ( _224_ X ) + USE SIGNAL
+ ROUTED met1 ( 103730 80070 ) ( 158700 * )
NEW met1 ( 158700 80070 ) ( * 80410 )
NEW met1 ( 158700 80410 ) ( 189290 * )
NEW met2 ( 189290 80410 ) ( * 82790 )
NEW li1 ( 103730 80070 ) L1M1_PR_MR
NEW met1 ( 189290 80410 ) M1M2_PR
NEW li1 ( 189290 82790 ) L1M1_PR_MR
NEW met1 ( 189290 82790 ) M1M2_PR
NEW met1 ( 189290 82790 ) RECT ( -355 -70 0 70 ) ;
- net25 ( input25 X ) ( _157_ A ) + USE SIGNAL
+ ROUTED met2 ( 186990 77010 ) ( * 80070 )
NEW met1 ( 186990 80070 ) ( 187910 * )
NEW met1 ( 124890 77010 ) ( 186990 * )
NEW li1 ( 124890 77010 ) L1M1_PR_MR
NEW met1 ( 186990 77010 ) M1M2_PR
NEW met1 ( 186990 80070 ) M1M2_PR
NEW li1 ( 187910 80070 ) L1M1_PR_MR ;
- net26 ( input26 X ) ( _158_ A ) + USE SIGNAL
+ ROUTED met1 ( 99130 96730 ) ( 100510 * )
NEW met2 ( 100510 71230 ) ( * 96730 )
NEW met1 ( 100510 71230 ) ( 190210 * )
NEW met1 ( 100510 96730 ) M1M2_PR
NEW li1 ( 99130 96730 ) L1M1_PR_MR
NEW met1 ( 100510 71230 ) M1M2_PR
NEW li1 ( 190210 71230 ) L1M1_PR_MR ;
- net27 ( input27 X ) ( _159_ A ) + USE SIGNAL
+ ROUTED met2 ( 9430 120870 ) ( * 122910 )
NEW met1 ( 7130 122910 ) ( 9430 * )
NEW li1 ( 9430 120870 ) L1M1_PR_MR
NEW met1 ( 9430 120870 ) M1M2_PR
NEW met1 ( 9430 122910 ) M1M2_PR
NEW li1 ( 7130 122910 ) L1M1_PR_MR
NEW met1 ( 9430 120870 ) RECT ( -355 -70 0 70 ) ;
- net28 ( input28 X ) ( _160_ A ) + USE SIGNAL
+ ROUTED met1 ( 102350 93670 ) ( * 94010 )
NEW met1 ( 102350 94010 ) ( 187910 * )
NEW li1 ( 102350 93670 ) L1M1_PR_MR
NEW li1 ( 187910 94010 ) L1M1_PR_MR ;
- net29 ( input29 X ) ( _161_ A ) + USE SIGNAL
+ ROUTED met1 ( 113850 99110 ) ( * 99450 )
NEW met1 ( 113850 99450 ) ( 179630 * )
NEW met2 ( 179630 99450 ) ( * 185470 )
NEW li1 ( 113850 99110 ) L1M1_PR_MR
NEW li1 ( 179630 185470 ) L1M1_PR_MR
NEW met1 ( 179630 185470 ) M1M2_PR
NEW met1 ( 179630 99450 ) M1M2_PR
NEW met1 ( 179630 185470 ) RECT ( 0 -70 355 70 ) ;
- net3 ( input3 X ) ( _138_ A ) + USE SIGNAL
+ ROUTED met2 ( 83490 16830 ) ( * 38930 )
NEW met1 ( 83490 38930 ) ( 99590 * )
NEW met1 ( 61410 16830 ) ( 83490 * )
NEW li1 ( 61410 16830 ) L1M1_PR_MR
NEW met1 ( 83490 16830 ) M1M2_PR
NEW met1 ( 83490 38930 ) M1M2_PR
NEW li1 ( 99590 38930 ) L1M1_PR_MR ;
- net30 ( input30 X ) ( _162_ A ) + USE SIGNAL
+ ROUTED met1 ( 174110 185470 ) ( 175950 * )
NEW met1 ( 99130 102510 ) ( 174110 * )
NEW met2 ( 174110 102510 ) ( * 185470 )
NEW li1 ( 99130 102510 ) L1M1_PR_MR
NEW met1 ( 174110 185470 ) M1M2_PR
NEW li1 ( 175950 185470 ) L1M1_PR_MR
NEW met1 ( 174110 102510 ) M1M2_PR ;
- net31 ( input31 X ) ( _163_ A ) + USE SIGNAL
+ ROUTED met2 ( 150650 18530 ) ( * 58650 )
NEW met1 ( 150650 58650 ) ( 153870 * )
NEW li1 ( 150650 18530 ) L1M1_PR_MR
NEW met1 ( 150650 18530 ) M1M2_PR
NEW met1 ( 150650 58650 ) M1M2_PR
NEW li1 ( 153870 58650 ) L1M1_PR_MR
NEW met1 ( 150650 18530 ) RECT ( -355 -70 0 70 ) ;
- net32 ( input32 X ) ( _164_ A ) + USE SIGNAL
+ ROUTED met1 ( 99130 90950 ) ( * 91290 )
NEW met1 ( 8510 90950 ) ( 99130 * )
NEW li1 ( 8510 90950 ) L1M1_PR_MR
NEW li1 ( 99130 91290 ) L1M1_PR_MR ;
- net33 ( input33 X ) ( _131_ A ) + USE SIGNAL
+ ROUTED met2 ( 187910 69870 ) ( * 74630 )
NEW met1 ( 99590 69870 ) ( 187910 * )
NEW li1 ( 99590 69870 ) L1M1_PR_MR
NEW met1 ( 187910 69870 ) M1M2_PR
NEW li1 ( 187910 74630 ) L1M1_PR_MR
NEW met1 ( 187910 74630 ) M1M2_PR
NEW met1 ( 187910 74630 ) RECT ( -355 -70 0 70 ) ;
- net34 ( input34 X ) ( _165_ A ) + USE SIGNAL
+ ROUTED met1 ( 20930 185470 ) ( 23230 * )
NEW met2 ( 23230 87890 ) ( * 185470 )
NEW met1 ( 23230 87890 ) ( 34500 * )
NEW met1 ( 34500 87890 ) ( * 88230 )
NEW met1 ( 34500 88230 ) ( 99130 * )
NEW met1 ( 23230 87890 ) M1M2_PR
NEW met1 ( 23230 185470 ) M1M2_PR
NEW li1 ( 20930 185470 ) L1M1_PR_MR
NEW li1 ( 99130 88230 ) L1M1_PR_MR ;
- net35 ( input35 X ) ( _166_ A ) + USE SIGNAL
+ ROUTED met1 ( 47610 11730 ) ( 60950 * )
NEW met1 ( 60950 11730 ) ( * 12070 )
NEW met2 ( 47610 11730 ) ( * 34500 )
NEW met2 ( 47610 34500 ) ( 48070 * )
NEW met2 ( 48070 34500 ) ( * 96730 )
NEW met1 ( 47610 11730 ) M1M2_PR
NEW li1 ( 60950 12070 ) L1M1_PR_MR
NEW li1 ( 48070 96730 ) L1M1_PR_MR
NEW met1 ( 48070 96730 ) M1M2_PR
NEW met1 ( 48070 96730 ) RECT ( -355 -70 0 70 ) ;
- net36 ( input36 X ) ( _167_ A ) + USE SIGNAL
+ ROUTED met1 ( 187910 186150 ) ( 197110 * )
NEW met1 ( 186990 98770 ) ( 197110 * )
NEW li1 ( 197110 98770 ) ( * 186150 )
NEW li1 ( 197110 186150 ) L1M1_PR_MR
NEW li1 ( 187910 186150 ) L1M1_PR_MR
NEW li1 ( 197110 98770 ) L1M1_PR_MR
NEW li1 ( 186990 98770 ) L1M1_PR_MR ;
- net37 ( input37 X ) ( _168_ A ) + USE SIGNAL
+ ROUTED met1 ( 62330 177650 ) ( * 178330 )
NEW met1 ( 20470 177650 ) ( 62330 * )
NEW li1 ( 20470 177650 ) L1M1_PR_MR
NEW li1 ( 62330 178330 ) L1M1_PR_MR ;
- net38 ( input38 X ) ( _169_ A ) + USE SIGNAL
+ ROUTED met1 ( 102350 104550 ) ( * 104890 )
NEW met1 ( 102350 104890 ) ( 131100 * )
NEW met1 ( 131100 104890 ) ( * 105230 )
NEW met1 ( 131100 105230 ) ( 131790 * )
NEW met1 ( 131790 104210 ) ( * 105230 )
NEW met2 ( 172730 104210 ) ( * 106930 )
NEW met1 ( 172730 106930 ) ( 187910 * )
NEW met1 ( 187910 106930 ) ( * 107270 )
NEW met1 ( 131790 104210 ) ( 172730 * )
NEW li1 ( 102350 104550 ) L1M1_PR_MR
NEW met1 ( 172730 104210 ) M1M2_PR
NEW met1 ( 172730 106930 ) M1M2_PR
NEW li1 ( 187910 107270 ) L1M1_PR_MR ;
- net39 ( input39 X ) ( _170_ A ) + USE SIGNAL
+ ROUTED met1 ( 110630 12410 ) ( 111090 * )
NEW met2 ( 111090 14620 ) ( 111550 * )
NEW met2 ( 111090 12410 ) ( * 14620 )
NEW met2 ( 111550 14620 ) ( * 96730 )
NEW met1 ( 111090 12410 ) M1M2_PR
NEW li1 ( 110630 12410 ) L1M1_PR_MR
NEW li1 ( 111550 96730 ) L1M1_PR_MR
NEW met1 ( 111550 96730 ) M1M2_PR
NEW met1 ( 111550 96730 ) RECT ( -355 -70 0 70 ) ;
- net4 ( input4 X ) ( _139_ A ) + USE SIGNAL
+ ROUTED met2 ( 81650 52190 ) ( * 98770 )
NEW met1 ( 81650 52190 ) ( 190210 * )
NEW li1 ( 190210 52190 ) L1M1_PR_MR
NEW li1 ( 81650 98770 ) L1M1_PR_MR
NEW met1 ( 81650 98770 ) M1M2_PR
NEW met1 ( 81650 52190 ) M1M2_PR
NEW met1 ( 81650 98770 ) RECT ( -355 -70 0 70 ) ;
- net40 ( input40 X ) ( _171_ A ) + USE SIGNAL
+ ROUTED met2 ( 186990 39270 ) ( * 41650 )
NEW met1 ( 179630 41650 ) ( 186990 * )
NEW li1 ( 186990 39270 ) L1M1_PR_MR
NEW met1 ( 186990 39270 ) M1M2_PR
NEW met1 ( 186990 41650 ) M1M2_PR
NEW li1 ( 179630 41650 ) L1M1_PR_MR
NEW met1 ( 186990 39270 ) RECT ( -355 -70 0 70 ) ;
- net41 ( input41 X ) ( _172_ A ) + USE SIGNAL
+ ROUTED met1 ( 135930 20570 ) ( 144210 * )
NEW met2 ( 144210 13090 ) ( * 20570 )
NEW li1 ( 144210 13090 ) L1M1_PR_MR
NEW met1 ( 144210 13090 ) M1M2_PR
NEW met1 ( 144210 20570 ) M1M2_PR
NEW li1 ( 135930 20570 ) L1M1_PR_MR
NEW met1 ( 144210 13090 ) RECT ( -355 -70 0 70 ) ;
- net42 ( input42 X ) ( _173_ A ) + USE SIGNAL
+ ROUTED met2 ( 26450 9350 ) ( * 12070 )
NEW met2 ( 93610 9350 ) ( * 11730 )
NEW met1 ( 93610 11730 ) ( 99130 * )
NEW met1 ( 26450 9350 ) ( 93610 * )
NEW met1 ( 26450 9350 ) M1M2_PR
NEW li1 ( 26450 12070 ) L1M1_PR_MR
NEW met1 ( 26450 12070 ) M1M2_PR
NEW met1 ( 93610 9350 ) M1M2_PR
NEW met1 ( 93610 11730 ) M1M2_PR
NEW li1 ( 99130 11730 ) L1M1_PR_MR
NEW met1 ( 26450 12070 ) RECT ( 0 -70 355 70 ) ;
- net43 ( input43 X ) ( _174_ A ) + USE SIGNAL
+ ROUTED met1 ( 153410 99110 ) ( 162150 * )
NEW met2 ( 162150 12410 ) ( * 99110 )
NEW li1 ( 162150 12410 ) L1M1_PR_MR
NEW met1 ( 162150 12410 ) M1M2_PR
NEW li1 ( 153410 99110 ) L1M1_PR_MR
NEW met1 ( 162150 99110 ) M1M2_PR
NEW met1 ( 162150 12410 ) RECT ( -355 -70 0 70 ) ;
- net44 ( input44 X ) ( _132_ A ) + USE SIGNAL
+ ROUTED met1 ( 88550 99790 ) ( * 100130 )
NEW met1 ( 88550 99790 ) ( 99130 * )
NEW met2 ( 99130 99110 ) ( * 99790 )
NEW met1 ( 99130 99110 ) ( 99140 * )
NEW met1 ( 10350 45390 ) ( 10810 * )
NEW met2 ( 10810 45390 ) ( * 100130 )
NEW met1 ( 82800 100130 ) ( 88550 * )
NEW met1 ( 55890 99790 ) ( * 100130 )
NEW met1 ( 55890 99790 ) ( 82800 * )
NEW met1 ( 82800 99790 ) ( * 100130 )
NEW met1 ( 10810 100130 ) ( 55890 * )
NEW met1 ( 10810 100130 ) M1M2_PR
NEW met1 ( 99130 99790 ) M1M2_PR
NEW met1 ( 99130 99110 ) M1M2_PR
NEW li1 ( 99140 99110 ) L1M1_PR_MR
NEW li1 ( 10350 45390 ) L1M1_PR_MR
NEW met1 ( 10810 45390 ) M1M2_PR
NEW met1 ( 99140 99110 ) RECT ( 0 -70 345 70 ) ;
- net45 ( input45 X ) ( _175_ A ) + USE SIGNAL
+ ROUTED met1 ( 117530 12750 ) ( 151110 * )
NEW met2 ( 117530 12750 ) ( * 98770 )
NEW met1 ( 117530 12750 ) M1M2_PR
NEW li1 ( 117530 98770 ) L1M1_PR_MR
NEW met1 ( 117530 98770 ) M1M2_PR
NEW li1 ( 151110 12750 ) L1M1_PR_MR
NEW met1 ( 117530 98770 ) RECT ( -355 -70 0 70 ) ;
- net46 ( input46 X ) ( _176_ A ) + USE SIGNAL
+ ROUTED met1 ( 103730 186150 ) ( 104190 * )
NEW met1 ( 100970 179010 ) ( 103730 * )
NEW met2 ( 103730 179010 ) ( * 186150 )
NEW met1 ( 103730 186150 ) M1M2_PR
NEW li1 ( 104190 186150 ) L1M1_PR_MR
NEW li1 ( 100970 179010 ) L1M1_PR_MR
NEW met1 ( 103730 179010 ) M1M2_PR ;
- net47 ( input47 X ) ( _177_ A ) + USE SIGNAL
+ ROUTED met1 ( 155250 98770 ) ( 158010 * )
NEW met2 ( 155250 12410 ) ( * 98770 )
NEW li1 ( 155250 12410 ) L1M1_PR_MR
NEW met1 ( 155250 12410 ) M1M2_PR
NEW met1 ( 155250 98770 ) M1M2_PR
NEW li1 ( 158010 98770 ) L1M1_PR_MR
NEW met1 ( 155250 12410 ) RECT ( -355 -70 0 70 ) ;
- net48 ( input48 X ) ( _178_ A ) + USE SIGNAL
+ ROUTED met1 ( 101430 102170 ) ( 102350 * )
NEW met2 ( 100970 39100 ) ( 101430 * )
NEW met2 ( 100970 15130 ) ( * 39100 )
NEW met2 ( 101430 39100 ) ( * 102170 )
NEW met2 ( 110630 15130 ) ( * 17510 )
NEW met1 ( 110630 17510 ) ( 112930 * )
NEW met1 ( 112930 17510 ) ( * 17850 )
NEW met1 ( 112930 17850 ) ( 123510 * )
NEW met2 ( 123510 16830 ) ( * 17850 )
NEW met1 ( 123510 16830 ) ( 129950 * )
NEW met2 ( 129950 14790 ) ( * 16830 )
NEW met1 ( 129950 14790 ) ( 138230 * )
NEW met1 ( 100970 15130 ) ( 110630 * )
NEW met1 ( 101430 102170 ) M1M2_PR
NEW li1 ( 102350 102170 ) L1M1_PR_MR
NEW met1 ( 100970 15130 ) M1M2_PR
NEW met1 ( 110630 15130 ) M1M2_PR
NEW met1 ( 110630 17510 ) M1M2_PR
NEW met1 ( 123510 17850 ) M1M2_PR
NEW met1 ( 123510 16830 ) M1M2_PR
NEW met1 ( 129950 16830 ) M1M2_PR
NEW met1 ( 129950 14790 ) M1M2_PR
NEW li1 ( 138230 14790 ) L1M1_PR_MR ;
- net49 ( input49 X ) ( _182_ A ) + USE SIGNAL
+ ROUTED met2 ( 187910 140250 ) ( * 142290 )
NEW met1 ( 99590 142290 ) ( 187910 * )
NEW li1 ( 99590 142290 ) L1M1_PR_MR
NEW met1 ( 187910 142290 ) M1M2_PR
NEW li1 ( 187910 140250 ) L1M1_PR_MR
NEW met1 ( 187910 140250 ) M1M2_PR
NEW met1 ( 187910 140250 ) RECT ( -355 -70 0 70 ) ;
- net5 ( input5 X ) ( _140_ A ) + USE SIGNAL
+ ROUTED met1 ( 187910 12070 ) ( 195730 * )
NEW met1 ( 186990 87890 ) ( 195730 * )
NEW met1 ( 186990 87890 ) ( * 88230 )
NEW li1 ( 195730 12070 ) ( * 87890 )
NEW li1 ( 195730 12070 ) L1M1_PR_MR
NEW li1 ( 187910 12070 ) L1M1_PR_MR
NEW li1 ( 195730 87890 ) L1M1_PR_MR
NEW li1 ( 186990 88230 ) L1M1_PR_MR ;
- net50 ( input50 X ) ( _183_ A ) + USE SIGNAL
+ ROUTED met1 ( 182390 130050 ) ( 189750 * )
NEW met1 ( 182390 129370 ) ( * 130050 )
NEW met2 ( 189750 130050 ) ( * 133790 )
NEW met1 ( 189750 130050 ) M1M2_PR
NEW li1 ( 182390 129370 ) L1M1_PR_MR
NEW li1 ( 189750 133790 ) L1M1_PR_MR
NEW met1 ( 189750 133790 ) M1M2_PR
NEW met1 ( 189750 133790 ) RECT ( -355 -70 0 70 ) ;
- net51 ( input51 X ) ( _184_ A ) + USE SIGNAL
+ ROUTED met1 ( 11730 104550 ) ( 12650 * )
NEW met1 ( 7130 70210 ) ( 12650 * )
NEW met2 ( 12650 70210 ) ( * 104550 )
NEW met1 ( 12650 104550 ) M1M2_PR
NEW li1 ( 11730 104550 ) L1M1_PR_MR
NEW li1 ( 7130 70210 ) L1M1_PR_MR
NEW met1 ( 12650 70210 ) M1M2_PR ;
- net52 ( input52 X ) ( _185_ A ) + USE SIGNAL
+ ROUTED met2 ( 163070 15130 ) ( * 19890 )
NEW met1 ( 88550 19890 ) ( * 20570 )
NEW met1 ( 88550 19890 ) ( 163070 * )
NEW met1 ( 163070 19890 ) M1M2_PR
NEW li1 ( 163070 15130 ) L1M1_PR_MR
NEW met1 ( 163070 15130 ) M1M2_PR
NEW li1 ( 88550 20570 ) L1M1_PR_MR
NEW met1 ( 163070 15130 ) RECT ( -355 -70 0 70 ) ;
- net53 ( input53 X ) ( _186_ A ) + USE SIGNAL
+ ROUTED met1 ( 59570 102510 ) ( 95450 * )
NEW met2 ( 59570 102510 ) ( * 185470 )
NEW li1 ( 95450 102510 ) L1M1_PR_MR
NEW met1 ( 59570 102510 ) M1M2_PR
NEW li1 ( 59570 185470 ) L1M1_PR_MR
NEW met1 ( 59570 185470 ) M1M2_PR
NEW met1 ( 59570 185470 ) RECT ( -355 -70 0 70 ) ;
- net54 ( input54 X ) ( _187_ A ) + USE SIGNAL
+ ROUTED met1 ( 158470 180370 ) ( 169970 * )
NEW met1 ( 158470 180370 ) ( * 180710 )
NEW met2 ( 169970 176290 ) ( * 180370 )
NEW met1 ( 169970 180370 ) M1M2_PR
NEW li1 ( 158470 180710 ) L1M1_PR_MR
NEW li1 ( 169970 176290 ) L1M1_PR_MR
NEW met1 ( 169970 176290 ) M1M2_PR
NEW met1 ( 169970 176290 ) RECT ( -355 -70 0 70 ) ;
- net55 ( input55 X ) ( _133_ A ) + USE SIGNAL
+ ROUTED met1 ( 187910 131410 ) ( * 131750 )
NEW met1 ( 99590 131750 ) ( 131100 * )
NEW met1 ( 131100 131410 ) ( * 131750 )
NEW met1 ( 131100 131410 ) ( 187910 * )
NEW li1 ( 99590 131750 ) L1M1_PR_MR
NEW li1 ( 187910 131750 ) L1M1_PR_MR ;
- net56 ( input56 X ) ( _188_ A ) + USE SIGNAL
+ ROUTED met1 ( 96830 107610 ) ( 98670 * )
NEW met2 ( 96830 23970 ) ( * 26180 )
NEW met2 ( 96370 26180 ) ( 96830 * )
NEW met2 ( 96370 26180 ) ( * 28900 )
NEW met2 ( 96370 28900 ) ( 96830 * )
NEW met1 ( 9890 23970 ) ( 96830 * )
NEW met2 ( 96830 28900 ) ( * 107610 )
NEW li1 ( 9890 23970 ) L1M1_PR_MR
NEW met1 ( 96830 107610 ) M1M2_PR
NEW li1 ( 98670 107610 ) L1M1_PR_MR
NEW met1 ( 96830 23970 ) M1M2_PR ;
- net57 ( input57 X ) ( _189_ A ) + USE SIGNAL
+ ROUTED met2 ( 182850 86190 ) ( * 87550 )
NEW li1 ( 182850 86190 ) L1M1_PR_MR
NEW met1 ( 182850 86190 ) M1M2_PR
NEW li1 ( 182850 87550 ) L1M1_PR_MR
NEW met1 ( 182850 87550 ) M1M2_PR
NEW met1 ( 182850 86190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 182850 87550 ) RECT ( -355 -70 0 70 ) ;
- net58 ( input58 X ) ( _190_ A ) + USE SIGNAL
+ ROUTED met1 ( 7590 99110 ) ( 9430 * )
NEW met1 ( 7130 141950 ) ( 7590 * )
NEW met2 ( 7590 99110 ) ( * 141950 )
NEW met1 ( 7590 99110 ) M1M2_PR
NEW li1 ( 9430 99110 ) L1M1_PR_MR
NEW met1 ( 7590 141950 ) M1M2_PR
NEW li1 ( 7130 141950 ) L1M1_PR_MR ;
- net59 ( input59 X ) ( _191_ A ) + USE SIGNAL
+ ROUTED met1 ( 60490 107950 ) ( 102810 * )
NEW met2 ( 60490 107950 ) ( * 184450 )
NEW li1 ( 102810 107950 ) L1M1_PR_MR
NEW met1 ( 60490 107950 ) M1M2_PR
NEW li1 ( 60490 184450 ) L1M1_PR_MR
NEW met1 ( 60490 184450 ) M1M2_PR
NEW met1 ( 60490 184450 ) RECT ( -355 -70 0 70 ) ;
- net6 ( input6 X ) ( _141_ A ) + USE SIGNAL
+ ROUTED met2 ( 187910 15130 ) ( * 20230 )
NEW met1 ( 96370 20230 ) ( * 20570 )
NEW met1 ( 96370 20230 ) ( 187910 * )
NEW met1 ( 187910 20230 ) M1M2_PR
NEW li1 ( 187910 15130 ) L1M1_PR_MR
NEW met1 ( 187910 15130 ) M1M2_PR
NEW li1 ( 96370 20570 ) L1M1_PR_MR
NEW met1 ( 187910 15130 ) RECT ( -355 -70 0 70 ) ;
- net60 ( input60 X ) ( _192_ A ) + USE SIGNAL
+ ROUTED met1 ( 100050 169830 ) ( 103270 * )
NEW met2 ( 103270 169830 ) ( * 183090 )
NEW met1 ( 140070 183090 ) ( * 183770 )
NEW met1 ( 140070 183770 ) ( 144210 * )
NEW met2 ( 144210 183770 ) ( * 185810 )
NEW met1 ( 144210 185810 ) ( 163990 * )
NEW met1 ( 163990 185810 ) ( * 186150 )
NEW met1 ( 103270 183090 ) ( 140070 * )
NEW met1 ( 103270 183090 ) M1M2_PR
NEW met1 ( 103270 169830 ) M1M2_PR
NEW li1 ( 100050 169830 ) L1M1_PR_MR
NEW met1 ( 144210 183770 ) M1M2_PR
NEW met1 ( 144210 185810 ) M1M2_PR
NEW li1 ( 163990 186150 ) L1M1_PR_MR ;
- net61 ( input61 X ) ( _193_ A ) + USE SIGNAL
+ ROUTED met1 ( 114770 186150 ) ( 116610 * )
NEW met2 ( 114770 96730 ) ( * 186150 )
NEW li1 ( 114770 96730 ) L1M1_PR_MR
NEW met1 ( 114770 96730 ) M1M2_PR
NEW met1 ( 114770 186150 ) M1M2_PR
NEW li1 ( 116610 186150 ) L1M1_PR_MR
NEW met1 ( 114770 96730 ) RECT ( -355 -70 0 70 ) ;
- net62 ( input62 X ) ( _194_ A ) + USE SIGNAL
+ ROUTED met1 ( 8510 97070 ) ( 9430 * )
NEW met1 ( 7130 147390 ) ( 8510 * )
NEW met2 ( 8510 97070 ) ( * 147390 )
NEW met1 ( 8510 97070 ) M1M2_PR
NEW li1 ( 9430 97070 ) L1M1_PR_MR
NEW met1 ( 8510 147390 ) M1M2_PR
NEW li1 ( 7130 147390 ) L1M1_PR_MR ;
- net63 ( input63 X ) ( _195_ A ) + USE SIGNAL
+ ROUTED met1 ( 187910 183430 ) ( 196650 * )
NEW li1 ( 196190 131100 ) ( 196650 * )
NEW li1 ( 196650 131100 ) ( * 183430 )
NEW met1 ( 184230 99110 ) ( 196190 * )
NEW li1 ( 196190 99110 ) ( * 131100 )
NEW li1 ( 196650 183430 ) L1M1_PR_MR
NEW li1 ( 187910 183430 ) L1M1_PR_MR
NEW li1 ( 196190 99110 ) L1M1_PR_MR
NEW li1 ( 184230 99110 ) L1M1_PR_MR ;
- net64 ( input64 X ) ( _196_ A ) + USE SIGNAL
+ ROUTED met1 ( 43010 93330 ) ( 92690 * )
NEW met1 ( 41630 186490 ) ( 43010 * )
NEW met2 ( 43010 93330 ) ( * 186490 )
NEW li1 ( 92690 93330 ) L1M1_PR_MR
NEW met1 ( 43010 93330 ) M1M2_PR
NEW met1 ( 43010 186490 ) M1M2_PR
NEW li1 ( 41630 186490 ) L1M1_PR_MR ;
- net65 ( input65 X ) ( _197_ A ) + USE SIGNAL
+ ROUTED met1 ( 186530 38590 ) ( * 38930 )
NEW met1 ( 186530 38590 ) ( 190210 * )
NEW met2 ( 133170 38930 ) ( * 98770 )
NEW met1 ( 133170 38930 ) ( 186530 * )
NEW li1 ( 190210 38590 ) L1M1_PR_MR
NEW li1 ( 133170 98770 ) L1M1_PR_MR
NEW met1 ( 133170 98770 ) M1M2_PR
NEW met1 ( 133170 38930 ) M1M2_PR
NEW met1 ( 133170 98770 ) RECT ( -355 -70 0 70 ) ;
- net66 ( input66 X ) ( _134_ A ) + USE SIGNAL
+ ROUTED met1 ( 88090 97070 ) ( 88550 * )
NEW met1 ( 88550 186150 ) ( 90850 * )
NEW met2 ( 88550 97070 ) ( * 186150 )
NEW met1 ( 88550 97070 ) M1M2_PR
NEW li1 ( 88090 97070 ) L1M1_PR_MR
NEW met1 ( 88550 186150 ) M1M2_PR
NEW li1 ( 90850 186150 ) L1M1_PR_MR ;
- net67 ( input67 X ) ( _198_ A ) + USE SIGNAL
+ ROUTED met1 ( 114770 180030 ) ( 121670 * )
NEW met2 ( 121670 178330 ) ( * 180030 )
NEW met1 ( 121670 180030 ) M1M2_PR
NEW li1 ( 114770 180030 ) L1M1_PR_MR
NEW li1 ( 121670 178330 ) L1M1_PR_MR
NEW met1 ( 121670 178330 ) M1M2_PR
NEW met1 ( 121670 178330 ) RECT ( -355 -70 0 70 ) ;
- net68 ( input68 X ) ( _199_ A ) + USE SIGNAL
+ ROUTED met1 ( 85790 179010 ) ( 87170 * )
NEW met2 ( 85790 179010 ) ( * 183770 )
NEW li1 ( 85790 183770 ) L1M1_PR_MR
NEW met1 ( 85790 183770 ) M1M2_PR
NEW li1 ( 87170 179010 ) L1M1_PR_MR
NEW met1 ( 85790 179010 ) M1M2_PR
NEW met1 ( 85790 183770 ) RECT ( -355 -70 0 70 ) ;
- net69 ( input69 X ) ( _200_ A ) + USE SIGNAL
+ ROUTED met1 ( 51290 15130 ) ( 53590 * )
NEW met1 ( 53590 14450 ) ( * 15130 )
NEW li1 ( 51290 15130 ) L1M1_PR_MR
NEW li1 ( 53590 14450 ) L1M1_PR_MR ;
- net7 ( input7 X ) ( _142_ A ) + USE SIGNAL
+ ROUTED met1 ( 91770 12070 ) ( 99590 * )
NEW met1 ( 99590 11730 ) ( * 12070 )
NEW met1 ( 99590 11730 ) ( 117070 * )
NEW met1 ( 117070 11390 ) ( * 11730 )
NEW met1 ( 117070 11390 ) ( 124890 * )
NEW met2 ( 89930 82800 ) ( * 98770 )
NEW met2 ( 89930 82800 ) ( 91310 * )
NEW met2 ( 91310 14620 ) ( 91770 * )
NEW met2 ( 91310 14620 ) ( * 82800 )
NEW met2 ( 91770 12070 ) ( * 14620 )
NEW met1 ( 91770 12070 ) M1M2_PR
NEW li1 ( 124890 11390 ) L1M1_PR_MR
NEW li1 ( 89930 98770 ) L1M1_PR_MR
NEW met1 ( 89930 98770 ) M1M2_PR
NEW met1 ( 89930 98770 ) RECT ( -355 -70 0 70 ) ;
- net70 ( input70 X ) ( _201_ A ) + USE SIGNAL
+ ROUTED met1 ( 53130 120530 ) ( 99590 * )
NEW met2 ( 53130 120530 ) ( * 180030 )
NEW li1 ( 99590 120530 ) L1M1_PR_MR
NEW met1 ( 53130 120530 ) M1M2_PR
NEW li1 ( 53130 180030 ) L1M1_PR_MR
NEW met1 ( 53130 180030 ) M1M2_PR
NEW met1 ( 53130 180030 ) RECT ( -355 -70 0 70 ) ;
- net71 ( input71 X ) ( _202_ A ) + USE SIGNAL
+ ROUTED met2 ( 14030 105570 ) ( * 113050 )
NEW met1 ( 13570 113050 ) ( 14030 * )
NEW li1 ( 14030 105570 ) L1M1_PR_MR
NEW met1 ( 14030 105570 ) M1M2_PR
NEW met1 ( 14030 113050 ) M1M2_PR
NEW li1 ( 13570 113050 ) L1M1_PR_MR
NEW met1 ( 14030 105570 ) RECT ( -355 -70 0 70 ) ;
- net72 ( input72 X ) ( _203_ A ) + USE SIGNAL
+ ROUTED met2 ( 186530 164390 ) ( * 177650 )
NEW met1 ( 186530 164390 ) ( 186990 * )
NEW met2 ( 175490 177650 ) ( * 177820 )
NEW met2 ( 174570 177820 ) ( 175490 * )
NEW met2 ( 174570 177650 ) ( * 177820 )
NEW met1 ( 166750 177650 ) ( 174570 * )
NEW met1 ( 175490 177650 ) ( 186530 * )
NEW met1 ( 186530 177650 ) M1M2_PR
NEW met1 ( 186530 164390 ) M1M2_PR
NEW li1 ( 186990 164390 ) L1M1_PR_MR
NEW met1 ( 175490 177650 ) M1M2_PR
NEW met1 ( 174570 177650 ) M1M2_PR
NEW li1 ( 166750 177650 ) L1M1_PR_MR ;
- net73 ( input73 X ) ( _204_ A ) + USE SIGNAL
+ ROUTED met1 ( 183770 41990 ) ( * 42330 )
NEW met2 ( 179170 23970 ) ( * 41990 )
NEW met1 ( 179170 41990 ) ( 183770 * )
NEW li1 ( 183770 42330 ) L1M1_PR_MR
NEW li1 ( 179170 23970 ) L1M1_PR_MR
NEW met1 ( 179170 23970 ) M1M2_PR
NEW met1 ( 179170 41990 ) M1M2_PR
NEW met1 ( 179170 23970 ) RECT ( -355 -70 0 70 ) ;
- net74 ( input74 X ) ( _205_ A ) + USE SIGNAL
+ ROUTED met2 ( 124430 99110 ) ( * 145010 )
NEW met1 ( 124430 145010 ) ( 190670 * )
NEW li1 ( 124430 99110 ) L1M1_PR_MR
NEW met1 ( 124430 99110 ) M1M2_PR
NEW met1 ( 124430 145010 ) M1M2_PR
NEW li1 ( 190670 145010 ) L1M1_PR_MR
NEW met1 ( 124430 99110 ) RECT ( -355 -70 0 70 ) ;
- net75 ( input75 X ) ( _206_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 88060 ) ( 10350 * )
NEW met2 ( 10350 88060 ) ( * 91630 )
NEW met2 ( 9890 43010 ) ( * 88060 )
NEW met1 ( 10350 91630 ) ( 102810 * )
NEW met1 ( 10350 91630 ) M1M2_PR
NEW li1 ( 102810 91630 ) L1M1_PR_MR
NEW li1 ( 9890 43010 ) L1M1_PR_MR
NEW met1 ( 9890 43010 ) M1M2_PR
NEW met1 ( 9890 43010 ) RECT ( -355 -70 0 70 ) ;
- net76 ( input76 X ) ( _207_ A ) + USE SIGNAL
+ ROUTED met1 ( 31970 185470 ) ( 34500 * )
NEW met1 ( 34500 185470 ) ( * 185810 )
NEW met1 ( 34500 185810 ) ( 37950 * )
NEW met1 ( 37950 185470 ) ( * 185810 )
NEW met1 ( 37950 185470 ) ( 50370 * )
NEW met1 ( 50370 175270 ) ( 55430 * )
NEW met2 ( 50370 175270 ) ( * 185470 )
NEW li1 ( 31970 185470 ) L1M1_PR_MR
NEW met1 ( 50370 185470 ) M1M2_PR
NEW met1 ( 50370 175270 ) M1M2_PR
NEW li1 ( 55430 175270 ) L1M1_PR_MR ;
- net77 ( input77 X ) ( _135_ A ) + USE SIGNAL
+ ROUTED met1 ( 92690 12750 ) ( * 13090 )
NEW met1 ( 92690 13090 ) ( 100050 * )
NEW met1 ( 46230 12750 ) ( 92690 * )
NEW met1 ( 99590 20570 ) ( 100050 * )
NEW met2 ( 100050 13090 ) ( * 20570 )
NEW met1 ( 100050 13090 ) M1M2_PR
NEW li1 ( 46230 12750 ) L1M1_PR_MR
NEW met1 ( 100050 20570 ) M1M2_PR
NEW li1 ( 99590 20570 ) L1M1_PR_MR ;
- net78 ( input78 X ) ( _208_ A ) + USE SIGNAL
+ ROUTED met1 ( 58650 185810 ) ( 63710 * )
NEW met1 ( 63710 185810 ) ( * 186150 )
NEW met2 ( 58190 99110 ) ( * 131100 )
NEW met2 ( 58190 131100 ) ( 58650 * )
NEW met2 ( 58650 131100 ) ( * 185810 )
NEW li1 ( 58190 99110 ) L1M1_PR_MR
NEW met1 ( 58190 99110 ) M1M2_PR
NEW met1 ( 58650 185810 ) M1M2_PR
NEW li1 ( 63710 186150 ) L1M1_PR_MR
NEW met1 ( 58190 99110 ) RECT ( -355 -70 0 70 ) ;
- net79 ( input79 X ) ( _209_ A ) + USE SIGNAL
+ ROUTED met2 ( 14950 118490 ) ( * 186490 )
NEW met1 ( 14950 118490 ) ( 99590 * )
NEW met1 ( 14950 118490 ) M1M2_PR
NEW li1 ( 14950 186490 ) L1M1_PR_MR
NEW met1 ( 14950 186490 ) M1M2_PR
NEW li1 ( 99590 118490 ) L1M1_PR_MR
NEW met1 ( 14950 186490 ) RECT ( -355 -70 0 70 ) ;
- net8 ( input8 X ) ( _143_ A ) + USE SIGNAL
+ ROUTED met2 ( 99130 77350 ) ( * 80410 )
NEW met1 ( 8510 77350 ) ( 99130 * )
NEW li1 ( 8510 77350 ) L1M1_PR_MR
NEW met1 ( 99130 77350 ) M1M2_PR
NEW li1 ( 99130 80410 ) L1M1_PR_MR
NEW met1 ( 99130 80410 ) M1M2_PR
NEW met1 ( 99130 80410 ) RECT ( -355 -70 0 70 ) ;
- net80 ( input80 X ) ( _210_ A ) + USE SIGNAL
+ ROUTED met2 ( 149730 17510 ) ( * 22270 )
NEW met1 ( 143750 17510 ) ( 149730 * )
NEW met1 ( 149730 22270 ) ( 175950 * )
NEW li1 ( 175950 22270 ) L1M1_PR_MR
NEW met1 ( 149730 22270 ) M1M2_PR
NEW met1 ( 149730 17510 ) M1M2_PR
NEW li1 ( 143750 17510 ) L1M1_PR_MR ;
- net81 ( input81 X ) ( _211_ A ) + USE SIGNAL
+ ROUTED met1 ( 170430 183430 ) ( 176870 * )
NEW met1 ( 175950 99110 ) ( 176870 * )
NEW met2 ( 176870 99110 ) ( * 183430 )
NEW met1 ( 176870 183430 ) M1M2_PR
NEW li1 ( 170430 183430 ) L1M1_PR_MR
NEW met1 ( 176870 99110 ) M1M2_PR
NEW li1 ( 175950 99110 ) L1M1_PR_MR ;
- net82 ( input82 X ) ( _212_ A ) + USE SIGNAL
+ ROUTED met1 ( 125350 186150 ) ( 128110 * )
NEW met2 ( 128110 99110 ) ( * 186150 )
NEW li1 ( 128110 99110 ) L1M1_PR_MR
NEW met1 ( 128110 99110 ) M1M2_PR
NEW met1 ( 128110 186150 ) M1M2_PR
NEW li1 ( 125350 186150 ) L1M1_PR_MR
NEW met1 ( 128110 99110 ) RECT ( -355 -70 0 70 ) ;
- net83 ( input83 X ) ( _213_ A ) + USE SIGNAL
+ ROUTED met1 ( 106490 93670 ) ( 106500 * )
NEW met2 ( 106490 93670 ) ( * 166430 )
NEW met1 ( 106490 166430 ) ( 190210 * )
NEW met1 ( 106490 93670 ) M1M2_PR
NEW li1 ( 106500 93670 ) L1M1_PR_MR
NEW met1 ( 106490 166430 ) M1M2_PR
NEW li1 ( 190210 166430 ) L1M1_PR_MR
NEW met1 ( 106500 93670 ) RECT ( 0 -70 345 70 ) ;
- net84 ( input84 X ) ( _247_ A0 ) + USE SIGNAL
+ ROUTED met1 ( 22770 12750 ) ( 24150 * )
NEW met2 ( 24150 12750 ) ( * 99450 )
NEW met1 ( 24150 99450 ) ( 49910 * )
NEW li1 ( 22770 12750 ) L1M1_PR_MR
NEW met1 ( 24150 12750 ) M1M2_PR
NEW met1 ( 24150 99450 ) M1M2_PR
NEW li1 ( 49910 99450 ) L1M1_PR_MR ;
- net85 ( input85 X ) ( _214_ A ) ( _248_ A0 ) + USE SIGNAL
+ ROUTED met1 ( 163070 16830 ) ( * 17170 )
NEW met1 ( 159850 17170 ) ( 163070 * )
NEW met1 ( 163070 17170 ) ( 188830 * )
NEW li1 ( 188830 17170 ) L1M1_PR_MR
NEW li1 ( 163070 16830 ) L1M1_PR_MR
NEW li1 ( 159850 17170 ) L1M1_PR_MR ;
- net86 ( input86 X ) ( _179_ A ) + USE SIGNAL
+ ROUTED met1 ( 93150 96730 ) ( * 97070 )
NEW met1 ( 93150 97070 ) ( 103270 * )
NEW met1 ( 48530 96730 ) ( 93150 * )
NEW met2 ( 48530 96730 ) ( * 183090 )
NEW li1 ( 103270 97070 ) L1M1_PR_MR
NEW met1 ( 48530 96730 ) M1M2_PR
NEW li1 ( 48530 183090 ) L1M1_PR_MR
NEW met1 ( 48530 183090 ) M1M2_PR
NEW met1 ( 48530 183090 ) RECT ( -355 -70 0 70 ) ;
- net87 ( input87 X ) ( _181_ A ) + USE SIGNAL
+ ROUTED met2 ( 151570 21250 ) ( * 26010 )
NEW met1 ( 99130 26010 ) ( 151570 * )
NEW li1 ( 99130 26010 ) L1M1_PR_MR
NEW li1 ( 151570 21250 ) L1M1_PR_MR
NEW met1 ( 151570 21250 ) M1M2_PR
NEW met1 ( 151570 26010 ) M1M2_PR
NEW met1 ( 151570 21250 ) RECT ( -355 -70 0 70 ) ;
- net88 ( input88 X ) ( _136_ A ) + USE SIGNAL
+ ROUTED met2 ( 31050 15470 ) ( * 16830 )
NEW met1 ( 71990 15470 ) ( * 15810 )
NEW met1 ( 71990 15810 ) ( 72910 * )
NEW met1 ( 72910 15470 ) ( * 15810 )
NEW met1 ( 31050 15470 ) ( 71990 * )
NEW met1 ( 72910 15470 ) ( 102810 * )
NEW met1 ( 31050 15470 ) M1M2_PR
NEW li1 ( 31050 16830 ) L1M1_PR_MR
NEW met1 ( 31050 16830 ) M1M2_PR
NEW li1 ( 102810 15470 ) L1M1_PR_MR
NEW met1 ( 31050 16830 ) RECT ( -355 -70 0 70 ) ;
- net89 ( input89 X ) ( _247_ S ) + USE SIGNAL
+ ROUTED met1 ( 50830 99450 ) ( 51290 * )
NEW met1 ( 51290 183430 ) ( 52210 * )
NEW met2 ( 51290 99450 ) ( * 183430 )
NEW li1 ( 50830 99450 ) L1M1_PR_MR
NEW met1 ( 51290 99450 ) M1M2_PR
NEW met1 ( 51290 183430 ) M1M2_PR
NEW li1 ( 52210 183430 ) L1M1_PR_MR ;
- net9 ( input9 X ) ( _144_ A ) + USE SIGNAL
+ ROUTED met1 ( 8510 109990 ) ( 109250 * )
NEW li1 ( 8510 109990 ) L1M1_PR_MR
NEW li1 ( 109250 109990 ) L1M1_PR_MR ;
- net90 ( input90 X ) ( _248_ S ) + USE SIGNAL
+ ROUTED met2 ( 190210 17850 ) ( * 23970 )
NEW met2 ( 189750 23970 ) ( 190210 * )
NEW met2 ( 189750 23970 ) ( * 27710 )
NEW met1 ( 182850 27710 ) ( 189750 * )
NEW li1 ( 190210 17850 ) L1M1_PR_MR
NEW met1 ( 190210 17850 ) M1M2_PR
NEW met1 ( 189750 27710 ) M1M2_PR
NEW li1 ( 182850 27710 ) L1M1_PR_MR
NEW met1 ( 190210 17850 ) RECT ( -355 -70 0 70 ) ;
- net91 ( input91 X ) ( _215_ A ) + USE SIGNAL
+ ROUTED met1 ( 7130 35870 ) ( 11730 * )
NEW met2 ( 11730 31450 ) ( * 35870 )
NEW li1 ( 11730 31450 ) L1M1_PR_MR
NEW met1 ( 11730 31450 ) M1M2_PR
NEW met1 ( 11730 35870 ) M1M2_PR
NEW li1 ( 7130 35870 ) L1M1_PR_MR
NEW met1 ( 11730 31450 ) RECT ( -355 -70 0 70 ) ;
- net92 ( input92 X ) ( _225_ A ) + USE SIGNAL
+ ROUTED met2 ( 8970 130220 ) ( 9430 * )
NEW met2 ( 8970 102510 ) ( * 130220 )
NEW met1 ( 8970 102510 ) ( 9430 * )
NEW met1 ( 8510 186150 ) ( 9430 * )
NEW met2 ( 9430 130220 ) ( * 186150 )
NEW met1 ( 8970 102510 ) M1M2_PR
NEW li1 ( 9430 102510 ) L1M1_PR_MR
NEW met1 ( 9430 186150 ) M1M2_PR
NEW li1 ( 8510 186150 ) L1M1_PR_MR ;
- net93 ( input93 X ) ( _226_ A ) + USE SIGNAL
+ ROUTED met1 ( 18630 19890 ) ( 24610 * )
NEW met1 ( 13570 58650 ) ( 18630 * )
NEW met2 ( 18630 19890 ) ( * 58650 )
NEW li1 ( 24610 19890 ) L1M1_PR_MR
NEW met1 ( 18630 19890 ) M1M2_PR
NEW met1 ( 18630 58650 ) M1M2_PR
NEW li1 ( 13570 58650 ) L1M1_PR_MR ;
- net94 ( input94 X ) ( _227_ A ) + USE SIGNAL
+ ROUTED met2 ( 97290 113390 ) ( * 114750 )
NEW met1 ( 97290 113390 ) ( 112010 * )
NEW met1 ( 9890 114750 ) ( 97290 * )
NEW li1 ( 9890 114750 ) L1M1_PR_MR
NEW met1 ( 97290 114750 ) M1M2_PR
NEW met1 ( 97290 113390 ) M1M2_PR
NEW li1 ( 112010 113390 ) L1M1_PR_MR ;
- net95 ( input95 X ) ( _228_ A ) + USE SIGNAL
+ ROUTED met1 ( 94990 91290 ) ( 95450 * )
NEW met2 ( 95450 91290 ) ( * 162690 )
NEW met2 ( 190210 162690 ) ( * 163710 )
NEW met1 ( 95450 162690 ) ( 190210 * )
NEW met1 ( 95450 91290 ) M1M2_PR
NEW li1 ( 94990 91290 ) L1M1_PR_MR
NEW met1 ( 95450 162690 ) M1M2_PR
NEW met1 ( 190210 162690 ) M1M2_PR
NEW li1 ( 190210 163710 ) L1M1_PR_MR
NEW met1 ( 190210 163710 ) M1M2_PR
NEW met1 ( 190210 163710 ) RECT ( -355 -70 0 70 ) ;
- net96 ( input96 X ) ( _229_ A ) + USE SIGNAL
+ ROUTED met2 ( 104190 22950 ) ( * 25670 )
NEW met1 ( 8510 25670 ) ( 104190 * )
NEW li1 ( 8510 25670 ) L1M1_PR_MR
NEW met1 ( 104190 25670 ) M1M2_PR
NEW li1 ( 104190 22950 ) L1M1_PR_MR
NEW met1 ( 104190 22950 ) M1M2_PR
NEW met1 ( 104190 22950 ) RECT ( -355 -70 0 70 ) ;
- net97 ( input97 X ) ( _230_ A ) + USE SIGNAL
+ ROUTED met1 ( 113390 102170 ) ( 116150 * )
NEW met2 ( 116150 15130 ) ( * 102170 )
NEW met1 ( 116150 102170 ) M1M2_PR
NEW li1 ( 113390 102170 ) L1M1_PR_MR
NEW li1 ( 116150 15130 ) L1M1_PR_MR
NEW met1 ( 116150 15130 ) M1M2_PR
NEW met1 ( 116150 15130 ) RECT ( -355 -70 0 70 ) ;
- net98 ( input98 X ) ( _231_ A ) + USE SIGNAL
+ ROUTED met2 ( 94990 107610 ) ( * 128350 )
NEW met1 ( 94990 128350 ) ( 190210 * )
NEW met1 ( 94990 128350 ) M1M2_PR
NEW li1 ( 94990 107610 ) L1M1_PR_MR
NEW met1 ( 94990 107610 ) M1M2_PR
NEW li1 ( 190210 128350 ) L1M1_PR_MR
NEW met1 ( 94990 107610 ) RECT ( -355 -70 0 70 ) ;
- net99 ( input99 X ) ( _232_ A ) + USE SIGNAL
+ ROUTED met2 ( 92690 55250 ) ( * 104210 )
NEW met1 ( 92690 55250 ) ( 110400 * )
NEW met1 ( 110400 55250 ) ( * 55590 )
NEW met1 ( 110400 55590 ) ( 190670 * )
NEW li1 ( 92690 104210 ) L1M1_PR_MR
NEW met1 ( 92690 104210 ) M1M2_PR
NEW li1 ( 190670 55590 ) L1M1_PR_MR
NEW met1 ( 92690 55250 ) M1M2_PR
NEW met1 ( 92690 104210 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[0] ( PIN output_data_from_elpis_to_controller[0] ) ( input91 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 34340 0 ) ( 7130 * )
NEW met1 ( 7130 36890 ) ( 8050 * )
NEW met2 ( 7130 34340 ) ( * 36890 )
NEW met2 ( 7130 34340 ) M2M3_PR_M
NEW met1 ( 7130 36890 ) M1M2_PR
NEW li1 ( 8050 36890 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[10] ( PIN output_data_from_elpis_to_controller[10] ) ( input92 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 186490 ) ( * 196180 )
NEW met2 ( 6210 196180 ) ( 7130 * )
NEW met2 ( 6210 195500 ) ( * 196180 )
NEW met2 ( 5750 195500 ) ( 6210 * )
NEW met2 ( 5750 195500 ) ( * 196180 0 )
NEW li1 ( 7130 186490 ) L1M1_PR_MR
NEW met1 ( 7130 186490 ) M1M2_PR
NEW met1 ( 7130 186490 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[11] ( PIN output_data_from_elpis_to_controller[11] ) ( input93 A ) + USE SIGNAL
+ ROUTED met2 ( 15870 3740 0 ) ( * 10540 )
NEW met2 ( 15870 10540 ) ( 16330 * )
NEW met2 ( 16330 10540 ) ( * 20570 )
NEW met1 ( 16330 20570 ) ( 25530 * )
NEW met1 ( 16330 20570 ) M1M2_PR
NEW li1 ( 25530 20570 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[12] ( PIN output_data_from_elpis_to_controller[12] ) ( input94 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 114580 0 ) ( 9430 * )
NEW met2 ( 9430 114580 ) ( * 115090 )
NEW met2 ( 9430 114580 ) M2M3_PR_M
NEW li1 ( 9430 115090 ) L1M1_PR_MR
NEW met1 ( 9430 115090 ) M1M2_PR
NEW met1 ( 9430 115090 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[13] ( PIN output_data_from_elpis_to_controller[13] ) ( input95 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 164390 ) ( * 164900 )
NEW met3 ( 189750 164900 ) ( 196420 * 0 )
NEW li1 ( 189750 164390 ) L1M1_PR_MR
NEW met1 ( 189750 164390 ) M1M2_PR
NEW met2 ( 189750 164900 ) M2M3_PR_M
NEW met1 ( 189750 164390 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[14] ( PIN output_data_from_elpis_to_controller[14] ) ( input96 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 24820 0 ) ( 7130 * )
NEW met2 ( 7130 24820 ) ( * 25670 )
NEW met2 ( 7130 24820 ) M2M3_PR_M
NEW li1 ( 7130 25670 ) L1M1_PR_MR
NEW met1 ( 7130 25670 ) M1M2_PR
NEW met1 ( 7130 25670 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[15] ( PIN output_data_from_elpis_to_controller[15] ) ( input97 A ) + USE SIGNAL
+ ROUTED met2 ( 114310 3740 0 ) ( * 15130 )
NEW met2 ( 113850 15130 ) ( 114310 * )
NEW met1 ( 113850 15130 ) ( 114310 * )
NEW met1 ( 114310 14790 ) ( * 15130 )
NEW met1 ( 114310 14790 ) ( 114770 * )
NEW met1 ( 113850 15130 ) M1M2_PR
NEW li1 ( 114770 14790 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[16] ( PIN output_data_from_elpis_to_controller[16] ) ( input98 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 128180 ) ( * 129370 )
NEW met3 ( 189750 128180 ) ( 196420 * 0 )
NEW li1 ( 189750 129370 ) L1M1_PR_MR
NEW met1 ( 189750 129370 ) M1M2_PR
NEW met2 ( 189750 128180 ) M2M3_PR_M
NEW met1 ( 189750 129370 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[17] ( PIN output_data_from_elpis_to_controller[17] ) ( input99 A ) + USE SIGNAL
+ ROUTED met1 ( 189750 54910 ) ( * 55250 )
NEW met1 ( 189750 54910 ) ( 190210 * )
NEW met2 ( 190210 53380 ) ( * 54910 )
NEW met3 ( 190210 53380 ) ( 196420 * 0 )
NEW li1 ( 189750 55250 ) L1M1_PR_MR
NEW met1 ( 190210 54910 ) M1M2_PR
NEW met2 ( 190210 53380 ) M2M3_PR_M ;
- output_data_from_elpis_to_controller[18] ( PIN output_data_from_elpis_to_controller[18] ) ( input100 A ) + USE SIGNAL
+ ROUTED met2 ( 172270 3740 0 ) ( * 11730 )
NEW met1 ( 172270 11730 ) ( 175950 * )
NEW met1 ( 172270 11730 ) M1M2_PR
NEW li1 ( 175950 11730 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[19] ( PIN output_data_from_elpis_to_controller[19] ) ( input101 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 35700 0 ) ( 8050 * )
NEW met2 ( 8050 33830 ) ( * 35700 )
NEW li1 ( 8050 33830 ) L1M1_PR_MR
NEW met1 ( 8050 33830 ) M1M2_PR
NEW met2 ( 8050 35700 ) M2M3_PR_M
NEW met1 ( 8050 33830 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[1] ( PIN output_data_from_elpis_to_controller[1] ) ( input102 A ) + USE SIGNAL
+ ROUTED met1 ( 164910 186150 ) ( 169510 * )
NEW met2 ( 164910 186150 ) ( * 196180 0 )
NEW li1 ( 169510 186150 ) L1M1_PR_MR
NEW met1 ( 164910 186150 ) M1M2_PR ;
- output_data_from_elpis_to_controller[20] ( PIN output_data_from_elpis_to_controller[20] ) ( input103 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 19380 0 ) ( 7130 * )
NEW met2 ( 7130 19380 ) ( * 20570 )
NEW met2 ( 7130 19380 ) M2M3_PR_M
NEW li1 ( 7130 20570 ) L1M1_PR_MR
NEW met1 ( 7130 20570 ) M1M2_PR
NEW met1 ( 7130 20570 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[21] ( PIN output_data_from_elpis_to_controller[21] ) ( input104 A ) + USE SIGNAL
+ ROUTED met1 ( 143750 15130 ) ( 144670 * )
NEW met2 ( 143750 3740 0 ) ( * 15130 )
NEW met1 ( 143750 15130 ) M1M2_PR
NEW li1 ( 144670 15130 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[22] ( PIN output_data_from_elpis_to_controller[22] ) ( input105 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 28900 ) ( * 31110 )
NEW met3 ( 186530 28900 ) ( 196420 * 0 )
NEW li1 ( 186530 31110 ) L1M1_PR_MR
NEW met1 ( 186530 31110 ) M1M2_PR
NEW met2 ( 186530 28900 ) M2M3_PR_M
NEW met1 ( 186530 31110 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[23] ( PIN output_data_from_elpis_to_controller[23] ) ( input106 A ) + USE SIGNAL
+ ROUTED met1 ( 23230 186490 ) ( 23690 * )
NEW met2 ( 23230 186490 ) ( * 196180 0 )
NEW li1 ( 23690 186490 ) L1M1_PR_MR
NEW met1 ( 23230 186490 ) M1M2_PR ;
- output_data_from_elpis_to_controller[24] ( PIN output_data_from_elpis_to_controller[24] ) ( input107 A ) + USE SIGNAL
+ ROUTED met1 ( 96830 20570 ) ( * 20910 )
NEW met1 ( 95910 20910 ) ( 96830 * )
NEW met1 ( 95910 20570 ) ( * 20910 )
NEW met1 ( 93150 20570 ) ( 95910 * )
NEW met2 ( 96830 3740 0 ) ( * 20570 )
NEW met1 ( 96830 20570 ) M1M2_PR
NEW li1 ( 93150 20570 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[25] ( PIN output_data_from_elpis_to_controller[25] ) ( input108 A ) + USE SIGNAL
+ ROUTED met2 ( 18630 3740 0 ) ( * 14790 )
NEW met1 ( 18630 14790 ) ( 19090 * )
NEW met1 ( 18630 14790 ) M1M2_PR
NEW li1 ( 19090 14790 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[26] ( PIN output_data_from_elpis_to_controller[26] ) ( input109 A ) + USE SIGNAL
+ ROUTED met1 ( 62790 183770 ) ( 63250 * )
NEW met2 ( 62790 183770 ) ( * 196180 0 )
NEW li1 ( 63250 183770 ) L1M1_PR_MR
NEW met1 ( 62790 183770 ) M1M2_PR ;
- output_data_from_elpis_to_controller[27] ( PIN output_data_from_elpis_to_controller[27] ) ( input110 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 118660 ) ( * 120870 )
NEW met3 ( 186530 118660 ) ( 196420 * 0 )
NEW li1 ( 186530 120870 ) L1M1_PR_MR
NEW met1 ( 186530 120870 ) M1M2_PR
NEW met2 ( 186530 118660 ) M2M3_PR_M
NEW met1 ( 186530 120870 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[28] ( PIN output_data_from_elpis_to_controller[28] ) ( input111 A ) + USE SIGNAL
+ ROUTED met1 ( 187910 180710 ) ( 188370 * )
NEW met2 ( 187910 180710 ) ( * 196180 0 )
NEW li1 ( 188370 180710 ) L1M1_PR_MR
NEW met1 ( 187910 180710 ) M1M2_PR ;
- output_data_from_elpis_to_controller[29] ( PIN output_data_from_elpis_to_controller[29] ) ( input112 A ) + USE SIGNAL
+ ROUTED met1 ( 69230 20570 ) ( 70610 * )
NEW met2 ( 69230 3740 0 ) ( * 20570 )
NEW met1 ( 69230 20570 ) M1M2_PR
NEW li1 ( 70610 20570 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[2] ( PIN output_data_from_elpis_to_controller[2] ) ( input113 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 58820 0 ) ( 9430 * )
NEW met2 ( 9430 58820 ) ( * 60690 )
NEW met2 ( 9430 58820 ) M2M3_PR_M
NEW li1 ( 9430 60690 ) L1M1_PR_MR
NEW met1 ( 9430 60690 ) M1M2_PR
NEW met1 ( 9430 60690 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[30] ( PIN output_data_from_elpis_to_controller[30] ) ( input114 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 76500 ) ( * 77350 )
NEW met3 ( 186530 76500 ) ( 196420 * 0 )
NEW li1 ( 186530 77350 ) L1M1_PR_MR
NEW met1 ( 186530 77350 ) M1M2_PR
NEW met2 ( 186530 76500 ) M2M3_PR_M
NEW met1 ( 186530 77350 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[31] ( PIN output_data_from_elpis_to_controller[31] ) ( input115 A ) + USE SIGNAL
+ ROUTED met2 ( 137310 3740 0 ) ( * 12070 )
NEW met1 ( 137310 12070 ) ( 137770 * )
NEW met1 ( 137310 12070 ) M1M2_PR
NEW li1 ( 137770 12070 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[3] ( PIN output_data_from_elpis_to_controller[3] ) ( input116 A ) + USE SIGNAL
+ ROUTED met1 ( 143290 180710 ) ( * 181050 )
NEW met1 ( 140990 181050 ) ( 143290 * )
NEW met2 ( 140990 181050 ) ( * 196180 0 )
NEW li1 ( 143290 180710 ) L1M1_PR_MR
NEW met1 ( 140990 181050 ) M1M2_PR ;
- output_data_from_elpis_to_controller[4] ( PIN output_data_from_elpis_to_controller[4] ) ( input117 A ) + USE SIGNAL
+ ROUTED met2 ( 132710 3740 0 ) ( * 11730 )
NEW met1 ( 131330 11730 ) ( 132710 * )
NEW met1 ( 132710 11730 ) M1M2_PR
NEW li1 ( 131330 11730 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[5] ( PIN output_data_from_elpis_to_controller[5] ) ( input118 A ) + USE SIGNAL
+ ROUTED met2 ( 55430 3740 0 ) ( * 14790 )
NEW met1 ( 55430 14790 ) ( 57730 * )
NEW met1 ( 55430 14790 ) M1M2_PR
NEW li1 ( 57730 14790 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[6] ( PIN output_data_from_elpis_to_controller[6] ) ( input119 A ) + USE SIGNAL
+ ROUTED met2 ( 8510 3740 0 ) ( * 15130 )
NEW met1 ( 8510 15130 ) ( 9430 * )
NEW met1 ( 8510 15130 ) M1M2_PR
NEW li1 ( 9430 15130 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[7] ( PIN output_data_from_elpis_to_controller[7] ) ( input120 A ) + USE SIGNAL
+ ROUTED met2 ( 175950 3740 0 ) ( * 6460 )
NEW met2 ( 175950 6460 ) ( 176410 * )
NEW met2 ( 176410 6460 ) ( * 12070 )
NEW met1 ( 176410 12070 ) ( 179630 * )
NEW li1 ( 179630 12070 ) L1M1_PR_MR
NEW met1 ( 176410 12070 ) M1M2_PR ;
- output_data_from_elpis_to_controller[8] ( PIN output_data_from_elpis_to_controller[8] ) ( input121 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 86020 0 ) ( 14030 * )
NEW met2 ( 14030 86020 ) ( * 88230 )
NEW met1 ( 13570 88230 ) ( 14030 * )
NEW met2 ( 14030 86020 ) M2M3_PR_M
NEW met1 ( 14030 88230 ) M1M2_PR
NEW li1 ( 13570 88230 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[9] ( PIN output_data_from_elpis_to_controller[9] ) ( input122 A ) + USE SIGNAL
+ ROUTED met1 ( 64630 180710 ) ( 65550 * )
NEW met2 ( 64630 180710 ) ( * 196180 0 )
NEW li1 ( 65550 180710 ) L1M1_PR_MR
NEW met1 ( 64630 180710 ) M1M2_PR ;
- output_enabled_from_elpis_to_controller ( PIN output_enabled_from_elpis_to_controller ) ( input123 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 64260 0 ) ( 8050 * )
NEW met2 ( 8050 64260 ) ( * 66470 )
NEW met2 ( 8050 64260 ) M2M3_PR_M
NEW li1 ( 8050 66470 ) L1M1_PR_MR
NEW met1 ( 8050 66470 ) M1M2_PR
NEW met1 ( 8050 66470 ) RECT ( -355 -70 0 70 ) ;
- read_enable_to_Elpis ( PIN read_enable_to_Elpis ) ( output181 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 27540 0 ) ( 8050 * )
NEW met2 ( 8050 27540 ) ( * 27710 )
NEW met2 ( 8050 27540 ) M2M3_PR_M
NEW li1 ( 8050 27710 ) L1M1_PR_MR
NEW met1 ( 8050 27710 ) M1M2_PR
NEW met1 ( 8050 27710 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[0] ( PIN read_value_to_Elpis[0] ) ( output182 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 174420 0 ) ( 8050 * )
NEW met2 ( 8050 174420 ) ( * 174590 )
NEW met2 ( 8050 174420 ) M2M3_PR_M
NEW li1 ( 8050 174590 ) L1M1_PR_MR
NEW met1 ( 8050 174590 ) M1M2_PR
NEW met1 ( 8050 174590 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[10] ( PIN read_value_to_Elpis[10] ) ( output183 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 166260 0 ) ( 8050 * )
NEW met2 ( 8050 166260 ) ( * 166430 )
NEW met2 ( 8050 166260 ) M2M3_PR_M
NEW li1 ( 8050 166430 ) L1M1_PR_MR
NEW met1 ( 8050 166430 ) M1M2_PR
NEW met1 ( 8050 166430 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[11] ( PIN read_value_to_Elpis[11] ) ( output184 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 3740 0 ) ( * 18190 )
NEW met1 ( 7590 18190 ) ( 11730 * )
NEW met1 ( 7590 18190 ) M1M2_PR
NEW li1 ( 11730 18190 ) L1M1_PR_MR ;
- read_value_to_Elpis[12] ( PIN read_value_to_Elpis[12] ) ( output185 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 23460 0 ) ( 14490 * )
NEW met2 ( 14490 23460 ) ( * 24990 )
NEW met2 ( 14490 23460 ) M2M3_PR_M
NEW li1 ( 14490 24990 ) L1M1_PR_MR
NEW met1 ( 14490 24990 ) M1M2_PR
NEW met1 ( 14490 24990 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[13] ( PIN read_value_to_Elpis[13] ) ( output186 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 96900 ) ( * 103870 )
NEW met3 ( 190210 96900 ) ( 196420 * 0 )
NEW li1 ( 190210 103870 ) L1M1_PR_MR
NEW met1 ( 190210 103870 ) M1M2_PR
NEW met2 ( 190210 96900 ) M2M3_PR_M
NEW met1 ( 190210 103870 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[14] ( PIN read_value_to_Elpis[14] ) ( output187 X ) + USE SIGNAL
+ ROUTED met1 ( 100510 16830 ) ( 101890 * )
NEW met2 ( 100510 3740 0 ) ( * 16830 )
NEW met1 ( 100510 16830 ) M1M2_PR
NEW li1 ( 101890 16830 ) L1M1_PR_MR ;
- read_value_to_Elpis[15] ( PIN read_value_to_Elpis[15] ) ( output188 X ) + USE SIGNAL
+ ROUTED met2 ( 130870 185980 ) ( * 196180 0 )
NEW met2 ( 131790 185470 ) ( * 185980 )
NEW met1 ( 131790 185470 ) ( 135930 * )
NEW met2 ( 130870 185980 ) ( 131790 * )
NEW met1 ( 131790 185470 ) M1M2_PR
NEW li1 ( 135930 185470 ) L1M1_PR_MR ;
- read_value_to_Elpis[16] ( PIN read_value_to_Elpis[16] ) ( output189 X ) + USE SIGNAL
+ ROUTED met1 ( 173190 181730 ) ( 174570 * )
NEW met2 ( 173190 181730 ) ( * 196180 0 )
NEW li1 ( 174570 181730 ) L1M1_PR_MR
NEW met1 ( 173190 181730 ) M1M2_PR ;
- read_value_to_Elpis[17] ( PIN read_value_to_Elpis[17] ) ( output190 X ) + USE SIGNAL
+ ROUTED met2 ( 23690 184450 ) ( * 188530 )
NEW met1 ( 22310 188530 ) ( 23690 * )
NEW met2 ( 22310 188530 ) ( * 196180 0 )
NEW li1 ( 23690 184450 ) L1M1_PR_MR
NEW met1 ( 23690 184450 ) M1M2_PR
NEW met1 ( 23690 188530 ) M1M2_PR
NEW met1 ( 22310 188530 ) M1M2_PR
NEW met1 ( 23690 184450 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[18] ( PIN read_value_to_Elpis[18] ) ( output191 X ) + USE SIGNAL
+ ROUTED met2 ( 26910 3740 0 ) ( * 14110 )
NEW met1 ( 26910 14110 ) ( 28290 * )
NEW met1 ( 26910 14110 ) M1M2_PR
NEW li1 ( 28290 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[19] ( PIN read_value_to_Elpis[19] ) ( output192 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 117300 ) ( * 117470 )
NEW met3 ( 190210 117300 ) ( 196420 * 0 )
NEW li1 ( 190210 117470 ) L1M1_PR_MR
NEW met1 ( 190210 117470 ) M1M2_PR
NEW met2 ( 190210 117300 ) M2M3_PR_M
NEW met1 ( 190210 117470 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[1] ( PIN read_value_to_Elpis[1] ) ( output193 X ) + USE SIGNAL
+ ROUTED met1 ( 180090 181730 ) ( 181010 * )
NEW met2 ( 180090 181730 ) ( * 186150 )
NEW met2 ( 179630 186150 ) ( 180090 * )
NEW met2 ( 179630 186150 ) ( * 196180 0 )
NEW li1 ( 181010 181730 ) L1M1_PR_MR
NEW met1 ( 180090 181730 ) M1M2_PR ;
- read_value_to_Elpis[20] ( PIN read_value_to_Elpis[20] ) ( output194 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 117300 0 ) ( 8050 * )
NEW met2 ( 8050 117300 ) ( * 117470 )
NEW met2 ( 8050 117300 ) M2M3_PR_M
NEW li1 ( 8050 117470 ) L1M1_PR_MR
NEW met1 ( 8050 117470 ) M1M2_PR
NEW met1 ( 8050 117470 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[21] ( PIN read_value_to_Elpis[21] ) ( output195 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 160820 ) ( * 160990 )
NEW met3 ( 190210 160820 ) ( 196420 * 0 )
NEW li1 ( 190210 160990 ) L1M1_PR_MR
NEW met1 ( 190210 160990 ) M1M2_PR
NEW met2 ( 190210 160820 ) M2M3_PR_M
NEW met1 ( 190210 160990 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[22] ( PIN read_value_to_Elpis[22] ) ( output196 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 45220 ) ( * 46750 )
NEW met3 ( 190210 45220 ) ( 196420 * 0 )
NEW li1 ( 190210 46750 ) L1M1_PR_MR
NEW met1 ( 190210 46750 ) M1M2_PR
NEW met2 ( 190210 45220 ) M2M3_PR_M
NEW met1 ( 190210 46750 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[23] ( PIN read_value_to_Elpis[23] ) ( output197 X ) + USE SIGNAL
+ ROUTED met1 ( 119830 14110 ) ( 122130 * )
NEW met2 ( 119830 3740 0 ) ( * 14110 )
NEW met1 ( 119830 14110 ) M1M2_PR
NEW li1 ( 122130 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[24] ( PIN read_value_to_Elpis[24] ) ( output198 X ) + USE SIGNAL
+ ROUTED met1 ( 159390 184450 ) ( 161690 * )
NEW met2 ( 159390 184450 ) ( * 196180 0 )
NEW li1 ( 161690 184450 ) L1M1_PR_MR
NEW met1 ( 159390 184450 ) M1M2_PR ;
- read_value_to_Elpis[25] ( PIN read_value_to_Elpis[25] ) ( output199 X ) + USE SIGNAL
+ ROUTED met1 ( 58190 181730 ) ( 58650 * )
NEW met2 ( 58190 181730 ) ( * 196180 0 )
NEW li1 ( 58650 181730 ) L1M1_PR_MR
NEW met1 ( 58190 181730 ) M1M2_PR ;
- read_value_to_Elpis[26] ( PIN read_value_to_Elpis[26] ) ( output200 X ) + USE SIGNAL
+ ROUTED met2 ( 54510 3740 0 ) ( * 11390 )
NEW li1 ( 54510 11390 ) L1M1_PR_MR
NEW met1 ( 54510 11390 ) M1M2_PR
NEW met1 ( 54510 11390 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[27] ( PIN read_value_to_Elpis[27] ) ( output201 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 113730 ) ( * 114580 )
NEW met3 ( 190210 114580 ) ( 196420 * 0 )
NEW li1 ( 190210 113730 ) L1M1_PR_MR
NEW met1 ( 190210 113730 ) M1M2_PR
NEW met2 ( 190210 114580 ) M2M3_PR_M
NEW met1 ( 190210 113730 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[28] ( PIN read_value_to_Elpis[28] ) ( output202 X ) + USE SIGNAL
+ ROUTED met1 ( 140990 14110 ) ( 141450 * )
NEW met2 ( 140990 3740 0 ) ( * 14110 )
NEW met1 ( 140990 14110 ) M1M2_PR
NEW li1 ( 141450 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[29] ( PIN read_value_to_Elpis[29] ) ( output203 X ) + USE SIGNAL
+ ROUTED met3 ( 190210 34340 ) ( 196420 * 0 )
NEW met2 ( 190210 34340 ) ( * 35870 )
NEW met2 ( 190210 34340 ) M2M3_PR_M
NEW li1 ( 190210 35870 ) L1M1_PR_MR
NEW met1 ( 190210 35870 ) M1M2_PR
NEW met1 ( 190210 35870 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[2] ( PIN read_value_to_Elpis[2] ) ( output204 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 106420 0 ) ( 8050 * )
NEW met2 ( 8050 106420 ) ( * 106590 )
NEW met2 ( 8050 106420 ) M2M3_PR_M
NEW li1 ( 8050 106590 ) L1M1_PR_MR
NEW met1 ( 8050 106590 ) M1M2_PR
NEW met1 ( 8050 106590 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[30] ( PIN read_value_to_Elpis[30] ) ( output205 X ) + USE SIGNAL
+ ROUTED met2 ( 178710 3740 0 ) ( * 16830 )
NEW met1 ( 178710 16830 ) ( 181930 * )
NEW li1 ( 181930 16830 ) L1M1_PR_MR
NEW met1 ( 178710 16830 ) M1M2_PR ;
- read_value_to_Elpis[31] ( PIN read_value_to_Elpis[31] ) ( output206 X ) + USE SIGNAL
+ ROUTED met2 ( 28750 3740 0 ) ( * 14110 )
NEW met1 ( 28750 14110 ) ( 32890 * )
NEW met1 ( 28750 14110 ) M1M2_PR
NEW li1 ( 32890 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[3] ( PIN read_value_to_Elpis[3] ) ( output207 X ) + USE SIGNAL
+ ROUTED met1 ( 85790 14110 ) ( 87170 * )
NEW met2 ( 85790 3740 0 ) ( * 14110 )
NEW met1 ( 85790 14110 ) M1M2_PR
NEW li1 ( 87170 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[4] ( PIN read_value_to_Elpis[4] ) ( output208 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 69700 ) ( * 70210 )
NEW met3 ( 190210 69700 ) ( 196420 * 0 )
NEW li1 ( 190210 70210 ) L1M1_PR_MR
NEW met1 ( 190210 70210 ) M1M2_PR
NEW met2 ( 190210 69700 ) M2M3_PR_M
NEW met1 ( 190210 70210 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[5] ( PIN read_value_to_Elpis[5] ) ( output209 X ) + USE SIGNAL
+ ROUTED met1 ( 155710 184450 ) ( 157090 * )
NEW met2 ( 155710 184450 ) ( * 196180 0 )
NEW li1 ( 157090 184450 ) L1M1_PR_MR
NEW met1 ( 155710 184450 ) M1M2_PR ;
- read_value_to_Elpis[6] ( PIN read_value_to_Elpis[6] ) ( output210 X ) + USE SIGNAL
+ ROUTED met1 ( 194810 185130 ) ( 195270 * )
NEW met2 ( 194810 185130 ) ( * 185300 )
NEW met3 ( 194810 185300 ) ( 196420 * 0 )
NEW met1 ( 190210 173570 ) ( 195270 * )
NEW li1 ( 195270 173570 ) ( * 185130 )
NEW li1 ( 195270 185130 ) L1M1_PR_MR
NEW met1 ( 194810 185130 ) M1M2_PR
NEW met2 ( 194810 185300 ) M2M3_PR_M
NEW li1 ( 190210 173570 ) L1M1_PR_MR
NEW li1 ( 195270 173570 ) L1M1_PR_MR ;
- read_value_to_Elpis[7] ( PIN read_value_to_Elpis[7] ) ( output211 X ) + USE SIGNAL
+ ROUTED met2 ( 180550 3740 0 ) ( * 19550 )
NEW met1 ( 180550 19550 ) ( 181930 * )
NEW met1 ( 180550 19550 ) M1M2_PR
NEW li1 ( 181930 19550 ) L1M1_PR_MR ;
- read_value_to_Elpis[8] ( PIN read_value_to_Elpis[8] ) ( output212 X ) + USE SIGNAL
+ ROUTED met2 ( 13110 3740 0 ) ( * 16830 )
NEW met1 ( 13110 16830 ) ( 15410 * )
NEW met1 ( 13110 16830 ) M1M2_PR
NEW li1 ( 15410 16830 ) L1M1_PR_MR ;
- read_value_to_Elpis[9] ( PIN read_value_to_Elpis[9] ) ( output213 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 102340 ) ( * 103870 )
NEW met3 ( 186530 102340 ) ( 196420 * 0 )
NEW li1 ( 186530 103870 ) L1M1_PR_MR
NEW met1 ( 186530 103870 ) M1M2_PR
NEW met2 ( 186530 102340 ) M2M3_PR_M
NEW met1 ( 186530 103870 ) RECT ( -355 -70 0 70 ) ;
- reset_core ( PIN reset_core ) ( output214 X ) + USE SIGNAL
+ ROUTED met2 ( 3910 3740 0 ) ( * 19890 )
NEW met1 ( 3910 19890 ) ( 11730 * )
NEW met1 ( 3910 19890 ) M1M2_PR
NEW li1 ( 11730 19890 ) L1M1_PR_MR ;
- rst ( PIN rst ) ( output215 X ) + USE SIGNAL
+ ROUTED met1 ( 194810 190570 ) ( 195730 * )
NEW met2 ( 194810 190570 ) ( * 190740 )
NEW met3 ( 194810 190740 ) ( 196420 * 0 )
NEW met1 ( 186530 175950 ) ( 195730 * )
NEW li1 ( 195730 175950 ) ( * 190570 )
NEW li1 ( 195730 190570 ) L1M1_PR_MR
NEW met1 ( 194810 190570 ) M1M2_PR
NEW met2 ( 194810 190740 ) M2M3_PR_M
NEW li1 ( 186530 175950 ) L1M1_PR_MR
NEW li1 ( 195730 175950 ) L1M1_PR_MR ;
- wb_clk_i ( PIN wb_clk_i ) ( input124 A ) + USE SIGNAL
+ ROUTED met1 ( 150190 186150 ) ( 150650 * )
NEW met2 ( 150190 186150 ) ( * 196180 0 )
NEW li1 ( 150650 186150 ) L1M1_PR_MR
NEW met1 ( 150190 186150 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( input125 A ) + USE SIGNAL
+ ROUTED met2 ( 190670 3740 0 ) ( * 20230 )
NEW met1 ( 188370 20230 ) ( 190670 * )
NEW met1 ( 188370 20230 ) ( * 20570 )
NEW met1 ( 181470 20570 ) ( 188370 * )
NEW met1 ( 181470 20570 ) ( * 20910 )
NEW met1 ( 180090 20910 ) ( 181470 * )
NEW met1 ( 180090 20570 ) ( * 20910 )
NEW met1 ( 174110 20570 ) ( 180090 * )
NEW met1 ( 190670 20230 ) M1M2_PR
NEW li1 ( 174110 20570 ) L1M1_PR_MR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( output216 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 30260 0 ) ( 8050 * )
NEW met2 ( 8050 30260 ) ( * 30430 )
NEW met2 ( 8050 30260 ) M2M3_PR_M
NEW li1 ( 8050 30430 ) L1M1_PR_MR
NEW met1 ( 8050 30430 ) M1M2_PR
NEW met1 ( 8050 30430 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( output217 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 5780 0 ) ( 15410 * )
NEW met2 ( 15410 5780 ) ( * 19550 )
NEW met2 ( 15410 5780 ) M2M3_PR_M
NEW li1 ( 15410 19550 ) L1M1_PR_MR
NEW met1 ( 15410 19550 ) M1M2_PR
NEW met1 ( 15410 19550 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( output218 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 59330 ) ( * 60180 )
NEW met3 ( 3220 60180 0 ) ( 8050 * )
NEW li1 ( 8050 59330 ) L1M1_PR_MR
NEW met1 ( 8050 59330 ) M1M2_PR
NEW met2 ( 8050 60180 ) M2M3_PR_M
NEW met1 ( 8050 59330 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( output219 X ) + USE SIGNAL
+ ROUTED met2 ( 115230 3740 0 ) ( * 8500 )
NEW met2 ( 115230 8500 ) ( 115690 * )
NEW met1 ( 115690 16830 ) ( 116610 * )
NEW met2 ( 115690 8500 ) ( * 16830 )
NEW met1 ( 115690 16830 ) M1M2_PR
NEW li1 ( 116610 16830 ) L1M1_PR_MR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( output220 X ) + USE SIGNAL
+ ROUTED met2 ( 230 3740 0 ) ( * 17170 )
NEW met1 ( 230 17170 ) ( 7590 * )
NEW met1 ( 7590 17170 ) ( * 17850 )
NEW met1 ( 7590 17850 ) ( 18170 * )
NEW li1 ( 18170 16830 ) ( * 17850 )
NEW met1 ( 18170 16830 ) ( 20010 * )
NEW met1 ( 230 17170 ) M1M2_PR
NEW li1 ( 18170 17850 ) L1M1_PR_MR
NEW li1 ( 18170 16830 ) L1M1_PR_MR
NEW li1 ( 20010 16830 ) L1M1_PR_MR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( output221 X ) + USE SIGNAL
+ ROUTED met1 ( 134550 17170 ) ( 138690 * )
NEW met1 ( 138690 16830 ) ( * 17170 )
NEW met2 ( 134550 3740 0 ) ( * 17170 )
NEW met1 ( 134550 17170 ) M1M2_PR
NEW li1 ( 138690 16830 ) L1M1_PR_MR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( output222 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 103700 0 ) ( 8050 * )
NEW met2 ( 8050 103700 ) ( * 103870 )
NEW met2 ( 8050 103700 ) M2M3_PR_M
NEW li1 ( 8050 103870 ) L1M1_PR_MR
NEW met1 ( 8050 103870 ) M1M2_PR
NEW met1 ( 8050 103870 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( output223 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 3060 0 ) ( 6900 * )
NEW met3 ( 6900 3060 ) ( * 4420 )
NEW met3 ( 6900 4420 ) ( 16790 * )
NEW met2 ( 16790 4420 ) ( * 23630 )
NEW met1 ( 13570 23630 ) ( 16790 * )
NEW met2 ( 16790 4420 ) M2M3_PR_M
NEW met1 ( 16790 23630 ) M1M2_PR
NEW li1 ( 13570 23630 ) L1M1_PR_MR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( output224 X ) + USE SIGNAL
+ ROUTED met1 ( 71990 184450 ) ( 73370 * )
NEW met2 ( 71990 184450 ) ( * 196180 0 )
NEW li1 ( 73370 184450 ) L1M1_PR_MR
NEW met1 ( 71990 184450 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( output225 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 69700 0 ) ( 7130 * )
NEW met2 ( 7130 69700 ) ( * 71230 )
NEW met1 ( 7130 71230 ) ( 8050 * )
NEW met2 ( 7130 69700 ) M2M3_PR_M
NEW met1 ( 7130 71230 ) M1M2_PR
NEW li1 ( 8050 71230 ) L1M1_PR_MR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( output226 X ) + USE SIGNAL
+ ROUTED met2 ( 36110 3740 0 ) ( * 11390 )
NEW met1 ( 36110 11390 ) ( 38410 * )
NEW met1 ( 36110 11390 ) M1M2_PR
NEW li1 ( 38410 11390 ) L1M1_PR_MR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( output227 X ) + USE SIGNAL
+ ROUTED met2 ( 12190 3740 0 ) ( * 14450 )
NEW met1 ( 12190 14450 ) ( 14490 * )
NEW met2 ( 14490 14450 ) ( * 22270 )
NEW met1 ( 14490 22270 ) ( 20010 * )
NEW met1 ( 12190 14450 ) M1M2_PR
NEW met1 ( 14490 14450 ) M1M2_PR
NEW met1 ( 14490 22270 ) M1M2_PR
NEW li1 ( 20010 22270 ) L1M1_PR_MR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( output228 X ) + USE SIGNAL
+ ROUTED met1 ( 112930 184450 ) ( 113390 * )
NEW met2 ( 113390 184450 ) ( * 196180 0 )
NEW li1 ( 112930 184450 ) L1M1_PR_MR
NEW met1 ( 113390 184450 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( output229 X ) + USE SIGNAL
+ ROUTED met1 ( 76590 185470 ) ( 77970 * )
NEW met2 ( 76590 185470 ) ( * 196180 0 )
NEW li1 ( 77970 185470 ) L1M1_PR_MR
NEW met1 ( 76590 185470 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( output230 X ) + USE SIGNAL
+ ROUTED met1 ( 91310 14110 ) ( 92690 * )
NEW met2 ( 91310 3740 0 ) ( * 14110 )
NEW met1 ( 91310 14110 ) M1M2_PR
NEW li1 ( 92690 14110 ) L1M1_PR_MR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( output231 X ) + USE SIGNAL
+ ROUTED met2 ( 144670 179400 ) ( * 196180 0 )
NEW met2 ( 146050 179400 ) ( * 182750 )
NEW met2 ( 144670 179400 ) ( 146050 * )
NEW li1 ( 146050 182750 ) L1M1_PR_MR
NEW met1 ( 146050 182750 ) M1M2_PR
NEW met1 ( 146050 182750 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( output232 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 24820 ) ( * 24990 )
NEW met3 ( 190210 24820 ) ( 196420 * 0 )
NEW li1 ( 190210 24990 ) L1M1_PR_MR
NEW met1 ( 190210 24990 ) M1M2_PR
NEW met2 ( 190210 24820 ) M2M3_PR_M
NEW met1 ( 190210 24990 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( output233 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 155380 0 ) ( 8050 * )
NEW met2 ( 8050 155380 ) ( * 155550 )
NEW met2 ( 8050 155380 ) M2M3_PR_M
NEW li1 ( 8050 155550 ) L1M1_PR_MR
NEW met1 ( 8050 155550 ) M1M2_PR
NEW met1 ( 8050 155550 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( output234 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 148580 ) ( * 150110 )
NEW met3 ( 190210 148580 ) ( 196420 * 0 )
NEW li1 ( 190210 150110 ) L1M1_PR_MR
NEW met1 ( 190210 150110 ) M1M2_PR
NEW met2 ( 190210 148580 ) M2M3_PR_M
NEW met1 ( 190210 150110 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( output235 X ) + USE SIGNAL
+ ROUTED met2 ( 37030 3740 0 ) ( * 14620 )
NEW met2 ( 37030 14620 ) ( 38410 * )
NEW met2 ( 38410 14110 ) ( * 14620 )
NEW met1 ( 38410 14110 ) ( 41170 * )
NEW met1 ( 38410 14110 ) M1M2_PR
NEW li1 ( 41170 14110 ) L1M1_PR_MR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( output236 X ) + USE SIGNAL
+ ROUTED met1 ( 64630 14110 ) ( 66010 * )
NEW met2 ( 64630 3740 0 ) ( * 14110 )
NEW met1 ( 64630 14110 ) M1M2_PR
NEW li1 ( 66010 14110 ) L1M1_PR_MR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( output237 X ) + USE SIGNAL
+ ROUTED met1 ( 101430 17170 ) ( 105570 * )
NEW met1 ( 105570 16830 ) ( * 17170 )
NEW met2 ( 101430 3740 0 ) ( * 17170 )
NEW met1 ( 101430 17170 ) M1M2_PR
NEW li1 ( 105570 16830 ) L1M1_PR_MR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( output238 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 137700 ) ( * 137870 )
NEW met3 ( 190210 137700 ) ( 196420 * 0 )
NEW li1 ( 190210 137870 ) L1M1_PR_MR
NEW met1 ( 190210 137870 ) M1M2_PR
NEW met2 ( 190210 137700 ) M2M3_PR_M
NEW met1 ( 190210 137870 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( output239 X ) + USE SIGNAL
+ ROUTED met1 ( 102350 19550 ) ( 103730 * )
NEW met2 ( 102350 3740 0 ) ( * 19550 )
NEW met1 ( 102350 19550 ) M1M2_PR
NEW li1 ( 103730 19550 ) L1M1_PR_MR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( output240 X ) + USE SIGNAL
+ ROUTED met1 ( 140070 185470 ) ( 140990 * )
NEW met2 ( 140070 185470 ) ( * 196180 0 )
NEW li1 ( 140990 185470 ) L1M1_PR_MR
NEW met1 ( 140070 185470 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( output241 X ) + USE SIGNAL
+ ROUTED met1 ( 138230 184450 ) ( 139610 * )
NEW met2 ( 138230 184450 ) ( * 196180 0 )
NEW li1 ( 139610 184450 ) L1M1_PR_MR
NEW met1 ( 138230 184450 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( output242 X ) + USE SIGNAL
+ ROUTED met1 ( 16790 181730 ) ( 20010 * )
NEW met2 ( 16790 181730 ) ( * 196180 0 )
NEW li1 ( 20010 181730 ) L1M1_PR_MR
NEW met1 ( 16790 181730 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( output243 X ) + USE SIGNAL
+ ROUTED met1 ( 48070 179010 ) ( 49450 * )
NEW met2 ( 48070 179010 ) ( * 196180 0 )
NEW met2 ( 49450 179010 ) ( * 180030 )
NEW li1 ( 49450 180030 ) L1M1_PR_MR
NEW met1 ( 49450 180030 ) M1M2_PR
NEW met1 ( 48070 179010 ) M1M2_PR
NEW met1 ( 49450 179010 ) M1M2_PR
NEW met1 ( 49450 180030 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( output244 X ) + USE SIGNAL
+ ROUTED met1 ( 121670 16830 ) ( 123050 * )
NEW met2 ( 121670 3740 0 ) ( * 16830 )
NEW met1 ( 121670 16830 ) M1M2_PR
NEW li1 ( 123050 16830 ) L1M1_PR_MR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( output245 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 53380 0 ) ( 8050 * )
NEW met2 ( 8050 53380 ) ( * 54910 )
NEW met2 ( 8050 53380 ) M2M3_PR_M
NEW li1 ( 8050 54910 ) L1M1_PR_MR
NEW met1 ( 8050 54910 ) M1M2_PR
NEW met1 ( 8050 54910 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( output246 X ) + USE SIGNAL
+ ROUTED met1 ( 2070 179010 ) ( 8050 * )
NEW met2 ( 2070 179010 ) ( * 196180 0 )
NEW li1 ( 8050 179010 ) L1M1_PR_MR
NEW met1 ( 2070 179010 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( output247 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 75140 ) ( * 82110 )
NEW met3 ( 190210 75140 ) ( 196420 * 0 )
NEW li1 ( 190210 82110 ) L1M1_PR_MR
NEW met1 ( 190210 82110 ) M1M2_PR
NEW met2 ( 190210 75140 ) M2M3_PR_M
NEW met1 ( 190210 82110 ) RECT ( -355 -70 0 70 ) ;
END NETS
END DESIGN