blob: f00631bb3120dd9c987b4c133025b1de17461b43 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN arbiter ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 200000 200000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 410 BY 1 STEP 460 0 ;
TRACKS X 230 DO 435 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER li1 ;
TRACKS X 170 DO 588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER met1 ;
TRACKS X 230 DO 435 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 435 STEP 460 LAYER met2 ;
TRACKS X 340 DO 294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 294 STEP 680 LAYER met3 ;
TRACKS X 460 DO 217 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 217 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 59 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 59 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 28 STEP 6900 ;
GCELLGRID Y 0 DO 29 STEP 6900 ;
VIAS 3 ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 6169 ;
- ANTENNA__190__A sky130_fd_sc_hd__diode_2 + PLACED ( 134780 100640 ) FS ;
- ANTENNA__200__B sky130_fd_sc_hd__diode_2 + PLACED ( 67160 182240 ) FS ;
- ANTENNA__202__B sky130_fd_sc_hd__diode_2 + PLACED ( 25300 149600 ) FS ;
- ANTENNA__204__A sky130_fd_sc_hd__diode_2 + PLACED ( 51060 149600 ) FS ;
- ANTENNA__204__B sky130_fd_sc_hd__diode_2 + PLACED ( 50140 155040 ) FS ;
- ANTENNA__206__B sky130_fd_sc_hd__diode_2 + PLACED ( 28520 182240 ) FS ;
- ANTENNA__208__B sky130_fd_sc_hd__diode_2 + PLACED ( 87400 176800 ) S ;
- ANTENNA__211__A sky130_fd_sc_hd__diode_2 + PLACED ( 157320 116960 ) FS ;
- ANTENNA__211__B sky130_fd_sc_hd__diode_2 + PLACED ( 159620 119680 ) N ;
- ANTENNA__213__B sky130_fd_sc_hd__diode_2 + PLACED ( 132020 174080 ) N ;
- ANTENNA__215__B sky130_fd_sc_hd__diode_2 + PLACED ( 178940 70720 ) N ;
- ANTENNA__217__B sky130_fd_sc_hd__diode_2 + PLACED ( 58420 179520 ) FN ;
- ANTENNA__219__A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 127840 ) S ;
- ANTENNA__219__B sky130_fd_sc_hd__diode_2 + PLACED ( 74060 125120 ) N ;
- ANTENNA__222__B sky130_fd_sc_hd__diode_2 + PLACED ( 108560 136000 ) N ;
- ANTENNA__224__B sky130_fd_sc_hd__diode_2 + PLACED ( 86940 171360 ) FS ;
- ANTENNA__226__A sky130_fd_sc_hd__diode_2 + PLACED ( 82340 136000 ) N ;
- ANTENNA__226__B sky130_fd_sc_hd__diode_2 + PLACED ( 85560 136000 ) N ;
- ANTENNA__228__B sky130_fd_sc_hd__diode_2 + PLACED ( 70380 168640 ) N ;
- ANTENNA__230__B sky130_fd_sc_hd__diode_2 + PLACED ( 21620 182240 ) FS ;
- ANTENNA__233__A sky130_fd_sc_hd__diode_2 + PLACED ( 100280 46240 ) FS ;
- ANTENNA__233__B sky130_fd_sc_hd__diode_2 + PLACED ( 101200 51680 ) FS ;
- ANTENNA__235__B sky130_fd_sc_hd__diode_2 + PLACED ( 137080 46240 ) FS ;
- ANTENNA__237__B sky130_fd_sc_hd__diode_2 + PLACED ( 111780 73440 ) FS ;
- ANTENNA__239__A sky130_fd_sc_hd__diode_2 + PLACED ( 106260 76160 ) FN ;
- ANTENNA__239__B sky130_fd_sc_hd__diode_2 + PLACED ( 109020 73440 ) FS ;
- ANTENNA__241__B sky130_fd_sc_hd__diode_2 + PLACED ( 109020 10880 ) N ;
- ANTENNA__244__A sky130_fd_sc_hd__diode_2 + PLACED ( 91540 160480 ) S ;
- ANTENNA__244__B sky130_fd_sc_hd__diode_2 + PLACED ( 92000 157760 ) N ;
- ANTENNA__246__B sky130_fd_sc_hd__diode_2 + PLACED ( 88780 160480 ) FS ;
- ANTENNA__248__B sky130_fd_sc_hd__diode_2 + PLACED ( 104880 163200 ) N ;
- ANTENNA__250__A sky130_fd_sc_hd__diode_2 + PLACED ( 83260 141440 ) N ;
- ANTENNA__250__B sky130_fd_sc_hd__diode_2 + PLACED ( 88320 136000 ) N ;
- ANTENNA__252__B sky130_fd_sc_hd__diode_2 + PLACED ( 23920 127840 ) S ;
- ANTENNA__255__B sky130_fd_sc_hd__diode_2 + PLACED ( 21160 95200 ) FS ;
- ANTENNA__257__B sky130_fd_sc_hd__diode_2 + PLACED ( 103040 160480 ) FS ;
- ANTENNA__259__B sky130_fd_sc_hd__diode_2 + PLACED ( 157320 78880 ) FS ;
- ANTENNA__261__A sky130_fd_sc_hd__diode_2 + PLACED ( 87400 100640 ) S ;
- ANTENNA__261__B sky130_fd_sc_hd__diode_2 + PLACED ( 90160 100640 ) FS ;
- ANTENNA__263__B sky130_fd_sc_hd__diode_2 + PLACED ( 186300 40800 ) S ;
- ANTENNA__266__B sky130_fd_sc_hd__diode_2 + PLACED ( 83720 38080 ) N ;
- ANTENNA__268__B sky130_fd_sc_hd__diode_2 + PLACED ( 160540 13600 ) FS ;
- ANTENNA__270__B sky130_fd_sc_hd__diode_2 + PLACED ( 88320 40800 ) FS ;
- ANTENNA__272__B sky130_fd_sc_hd__diode_2 + PLACED ( 100280 40800 ) FS ;
- ANTENNA__274__B sky130_fd_sc_hd__diode_2 + PLACED ( 95220 35360 ) FS ;
- ANTENNA__279__A sky130_fd_sc_hd__diode_2 + PLACED ( 51520 48960 ) FN ;
- ANTENNA__288__A sky130_fd_sc_hd__diode_2 + PLACED ( 100280 97920 ) FN ;
- ANTENNA__288__B sky130_fd_sc_hd__diode_2 + PLACED ( 98440 95200 ) FS ;
- ANTENNA__290__A sky130_fd_sc_hd__diode_2 + PLACED ( 99360 160480 ) S ;
- ANTENNA__290__B sky130_fd_sc_hd__diode_2 + PLACED ( 98440 157760 ) N ;
- ANTENNA__292__A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 100640 ) S ;
- ANTENNA__292__B sky130_fd_sc_hd__diode_2 + PLACED ( 173420 95200 ) FS ;
- ANTENNA__294__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 118680 87040 ) FN ;
- ANTENNA__298__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 65280 ) N ;
- ANTENNA__298__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 13800 70720 ) FN ;
- ANTENNA__298__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 18860 68000 ) FS ;
- ANTENNA__299__A sky130_fd_sc_hd__diode_2 + PLACED ( 88780 95200 ) FS ;
- ANTENNA__300__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 114080 125120 ) FN ;
- ANTENNA__300__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 112240 122400 ) FS ;
- ANTENNA__300__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 106260 125120 ) N ;
- ANTENNA__300__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 109020 122400 ) S ;
- ANTENNA__301__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 36800 116960 ) FS ;
- ANTENNA__301__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 28520 116960 ) S ;
- ANTENNA__301__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 39560 116960 ) FS ;
- ANTENNA__302__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 68080 122400 ) FS ;
- ANTENNA__302__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 69000 127840 ) S ;
- ANTENNA__303__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 105340 54400 ) N ;
- ANTENNA__303__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 113160 54400 ) N ;
- ANTENNA__303__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 115920 54400 ) FN ;
- ANTENNA__303__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 109020 57120 ) S ;
- ANTENNA__305__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 103040 46240 ) FS ;
- ANTENNA__305__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 106260 48960 ) N ;
- ANTENNA__305__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 92920 48960 ) N ;
- ANTENNA__307__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 158700 103360 ) FN ;
- ANTENNA__307__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 166980 103360 ) FN ;
- ANTENNA__307__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 160540 100640 ) FS ;
- ANTENNA__308__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 105800 29920 ) FS ;
- ANTENNA__308__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 92460 35360 ) FS ;
- ANTENNA__308__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 104880 35360 ) FS ;
- ANTENNA__309__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 160540 27200 ) FN ;
- ANTENNA__309__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 165140 21760 ) FN ;
- ANTENNA__309__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 167900 24480 ) S ;
- ANTENNA__310__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 138460 103360 ) FN ;
- ANTENNA__310__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 138460 106080 ) FS ;
- ANTENNA__310__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 135700 103360 ) FN ;
- ANTENNA__312__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 116840 29920 ) FS ;
- ANTENNA__312__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 114540 21760 ) FN ;
- ANTENNA__312__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 109940 35360 ) FS ;
- ANTENNA__314__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 102580 100640 ) FS ;
- ANTENNA__314__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 105800 100640 ) S ;
- ANTENNA__314__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 121900 97920 ) N ;
- ANTENNA__314__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 105340 92480 ) N ;
- ANTENNA__315__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 104420 103360 ) N ;
- ANTENNA__315__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 119600 95200 ) FS ;
- ANTENNA__315__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 99820 100640 ) FS ;
- ANTENNA__315__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 113620 106080 ) S ;
- ANTENNA__316__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 173420 27200 ) FN ;
- ANTENNA__316__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 144440 27200 ) N ;
- ANTENNA__316__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 152720 35360 ) FS ;
- ANTENNA__317__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 186300 29920 ) FS ;
- ANTENNA__317__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 186300 35360 ) FS ;
- ANTENNA__319__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 186300 78880 ) S ;
- ANTENNA__319__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 186300 84320 ) FS ;
- ANTENNA__319__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 177560 81600 ) N ;
- ANTENNA__320__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 186300 57120 ) S ;
- ANTENNA__320__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 174800 65280 ) N ;
- ANTENNA__321__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 85560 92480 ) FN ;
- ANTENNA__321__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 91540 95200 ) FS ;
- ANTENNA__321__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 92920 100640 ) FS ;
- ANTENNA__321__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 89700 92480 ) N ;
- ANTENNA__322__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 73140 65280 ) FN ;
- ANTENNA__322__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 81420 65280 ) N ;
- ANTENNA__322__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 79580 62560 ) S ;
- ANTENNA__323__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 76360 97920 ) N ;
- ANTENNA__323__A2 sky130_fd_sc_hd__diode_2 + PLACED ( 79120 97920 ) FN ;
- ANTENNA__323__B2 sky130_fd_sc_hd__diode_2 + PLACED ( 74520 100640 ) S ;
- ANTENNA__324__B sky130_fd_sc_hd__diode_2 + PLACED ( 22080 48960 ) N ;
- ANTENNA__327__B sky130_fd_sc_hd__diode_2 + PLACED ( 138000 78880 ) S ;
- ANTENNA__327__D sky130_fd_sc_hd__diode_2 + PLACED ( 143980 81600 ) N ;
- ANTENNA__334__A sky130_fd_sc_hd__diode_2 + PLACED ( 128800 70720 ) FN ;
- ANTENNA__335__B sky130_fd_sc_hd__diode_2 + PLACED ( 137540 76160 ) FN ;
- ANTENNA__338__A sky130_fd_sc_hd__diode_2 + PLACED ( 138000 89760 ) FS ;
- ANTENNA__338__B sky130_fd_sc_hd__diode_2 + PLACED ( 136160 92480 ) N ;
- ANTENNA__339__A sky130_fd_sc_hd__diode_2 + PLACED ( 110860 78880 ) FS ;
- ANTENNA__345__A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 73440 ) FS ;
- ANTENNA__351__B sky130_fd_sc_hd__diode_2 + PLACED ( 131560 70720 ) N ;
- ANTENNA__353__A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 114240 ) N ;
- ANTENNA__353__B sky130_fd_sc_hd__diode_2 + PLACED ( 120980 116960 ) S ;
- ANTENNA__355__B sky130_fd_sc_hd__diode_2 + PLACED ( 121900 48960 ) FN ;
- ANTENNA__357__B sky130_fd_sc_hd__diode_2 + PLACED ( 121900 70720 ) N ;
- ANTENNA__359__B sky130_fd_sc_hd__diode_2 + PLACED ( 186300 111520 ) FS ;
- ANTENNA__362__A sky130_fd_sc_hd__diode_2 + PLACED ( 51520 103360 ) N ;
- ANTENNA__362__B sky130_fd_sc_hd__diode_2 + PLACED ( 49680 100640 ) FS ;
- ANTENNA__364__B sky130_fd_sc_hd__diode_2 + PLACED ( 112240 165920 ) FS ;
- ANTENNA__366__B sky130_fd_sc_hd__diode_2 + PLACED ( 34040 97920 ) N ;
- ANTENNA__368__A sky130_fd_sc_hd__diode_2 + PLACED ( 101660 103360 ) N ;
- ANTENNA__368__B sky130_fd_sc_hd__diode_2 + PLACED ( 99820 106080 ) FS ;
- ANTENNA__370__B sky130_fd_sc_hd__diode_2 + PLACED ( 116380 106080 ) FS ;
- ANTENNA__374__A sky130_fd_sc_hd__diode_2 + PLACED ( 99820 136000 ) FN ;
- ANTENNA__380__A sky130_fd_sc_hd__diode_2 + PLACED ( 101200 157760 ) FN ;
- ANTENNA__385__A sky130_fd_sc_hd__diode_2 + PLACED ( 74520 130560 ) N ;
- ANTENNA__385__B sky130_fd_sc_hd__diode_2 + PLACED ( 72680 127840 ) FS ;
- ANTENNA__387__B sky130_fd_sc_hd__diode_2 + PLACED ( 73600 149600 ) FS ;
- ANTENNA__389__A sky130_fd_sc_hd__diode_2 + PLACED ( 74520 32640 ) N ;
- ANTENNA__389__B sky130_fd_sc_hd__diode_2 + PLACED ( 72680 29920 ) S ;
- ANTENNA__391__A sky130_fd_sc_hd__diode_2 + PLACED ( 62560 100640 ) S ;
- ANTENNA__391__B sky130_fd_sc_hd__diode_2 + PLACED ( 69460 100640 ) FS ;
- ANTENNA__393__B sky130_fd_sc_hd__diode_2 + PLACED ( 22080 103360 ) N ;
- ANTENNA__396__A sky130_fd_sc_hd__diode_2 + PLACED ( 79120 103360 ) FN ;
- ANTENNA__396__B sky130_fd_sc_hd__diode_2 + PLACED ( 83260 106080 ) FS ;
- ANTENNA__398__A sky130_fd_sc_hd__diode_2 + PLACED ( 71760 103360 ) FN ;
- ANTENNA__398__B sky130_fd_sc_hd__diode_2 + PLACED ( 76820 106080 ) FS ;
- ANTENNA__400__A sky130_fd_sc_hd__diode_2 + PLACED ( 89240 81600 ) FN ;
- ANTENNA__400__B sky130_fd_sc_hd__diode_2 + PLACED ( 84180 78880 ) S ;
- ANTENNA__402__A sky130_fd_sc_hd__diode_2 + PLACED ( 92000 155040 ) S ;
- ANTENNA__402__B sky130_fd_sc_hd__diode_2 + PLACED ( 88780 157760 ) N ;
- ANTENNA__404__B sky130_fd_sc_hd__diode_2 + PLACED ( 85100 108800 ) N ;
- ANTENNA__407__A sky130_fd_sc_hd__diode_2 + PLACED ( 117760 127840 ) S ;
- ANTENNA__413__A sky130_fd_sc_hd__diode_2 + PLACED ( 86940 119680 ) FN ;
- ANTENNA__415__A sky130_fd_sc_hd__diode_2 + PLACED ( 51520 122400 ) FS ;
- ANTENNA__418__B sky130_fd_sc_hd__diode_2 + PLACED ( 189980 103360 ) FN ;
- ANTENNA__420__A sky130_fd_sc_hd__diode_2 + PLACED ( 102580 68000 ) S ;
- ANTENNA__420__B sky130_fd_sc_hd__diode_2 + PLACED ( 99360 68000 ) FS ;
- ANTENNA__422__A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 70720 ) N ;
- ANTENNA__422__B sky130_fd_sc_hd__diode_2 + PLACED ( 95220 68000 ) FS ;
- ANTENNA__424__B sky130_fd_sc_hd__diode_2 + PLACED ( 153180 16320 ) N ;
- ANTENNA__426__B sky130_fd_sc_hd__diode_2 + PLACED ( 56120 152320 ) FN ;
- ANTENNA__430__B sky130_fd_sc_hd__diode_2 + PLACED ( 124200 165920 ) FS ;
- ANTENNA__432__A sky130_fd_sc_hd__diode_2 + PLACED ( 128340 122400 ) S ;
- ANTENNA__432__B sky130_fd_sc_hd__diode_2 + PLACED ( 131100 122400 ) FS ;
- ANTENNA__434__A sky130_fd_sc_hd__diode_2 + PLACED ( 121900 106080 ) FS ;
- ANTENNA__434__B sky130_fd_sc_hd__diode_2 + PLACED ( 119140 106080 ) S ;
- ANTENNA__436__A sky130_fd_sc_hd__diode_2 + PLACED ( 124660 68000 ) FS ;
- ANTENNA__436__B sky130_fd_sc_hd__diode_2 + PLACED ( 134780 73440 ) S ;
- ANTENNA__438__B sky130_fd_sc_hd__diode_2 + PLACED ( 175260 89760 ) FS ;
- ANTENNA__441__B sky130_fd_sc_hd__diode_2 + PLACED ( 116380 19040 ) S ;
- ANTENNA__443__B sky130_fd_sc_hd__diode_2 + PLACED ( 109940 160480 ) FS ;
- ANTENNA__445__B sky130_fd_sc_hd__diode_2 + PLACED ( 70380 81600 ) N ;
- ANTENNA__447__B sky130_fd_sc_hd__diode_2 + PLACED ( 75440 40800 ) FS ;
- ANTENNA__449__B sky130_fd_sc_hd__diode_2 + PLACED ( 115000 127840 ) FS ;
- ANTENNA__452__A sky130_fd_sc_hd__diode_2 + PLACED ( 138460 130560 ) FN ;
- ANTENNA__452__B sky130_fd_sc_hd__diode_2 + PLACED ( 135240 136000 ) N ;
- ANTENNA__454__B sky130_fd_sc_hd__diode_2 + PLACED ( 145820 176800 ) FS ;
- ANTENNA__456__A sky130_fd_sc_hd__diode_2 + PLACED ( 139840 127840 ) S ;
- ANTENNA__456__B sky130_fd_sc_hd__diode_2 + PLACED ( 142600 127840 ) S ;
- ANTENNA__458__B sky130_fd_sc_hd__diode_2 + PLACED ( 186300 127840 ) S ;
- ANTENNA__460__A sky130_fd_sc_hd__diode_2 + PLACED ( 138460 136000 ) FN ;
- ANTENNA__460__B sky130_fd_sc_hd__diode_2 + PLACED ( 141220 130560 ) N ;
- ANTENNA__463__B sky130_fd_sc_hd__diode_2 + PLACED ( 70380 16320 ) N ;
- ANTENNA__465__A sky130_fd_sc_hd__diode_2 + PLACED ( 107640 81600 ) N ;
- ANTENNA__465__B sky130_fd_sc_hd__diode_2 + PLACED ( 105800 78880 ) FS ;
- ANTENNA__467__B sky130_fd_sc_hd__diode_2 + PLACED ( 118680 163200 ) FN ;
- ANTENNA__469__A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 21760 ) FN ;
- ANTENNA__469__B sky130_fd_sc_hd__diode_2 + PLACED ( 61180 13600 ) S ;
- ANTENNA__471__B sky130_fd_sc_hd__diode_2 + PLACED ( 76360 57120 ) FS ;
- ANTENNA__474__B sky130_fd_sc_hd__diode_2 + PLACED ( 136620 21760 ) N ;
- ANTENNA__476__A sky130_fd_sc_hd__diode_2 + PLACED ( 130640 16320 ) N ;
- ANTENNA__476__B sky130_fd_sc_hd__diode_2 + PLACED ( 147660 32640 ) N ;
- ANTENNA__478__B sky130_fd_sc_hd__diode_2 + PLACED ( 171580 176800 ) FS ;
- ANTENNA__480__A sky130_fd_sc_hd__diode_2 + PLACED ( 140760 89760 ) S ;
- ANTENNA__480__B sky130_fd_sc_hd__diode_2 + PLACED ( 143520 89760 ) FS ;
- ANTENNA__482__A sky130_fd_sc_hd__diode_2 + PLACED ( 137540 97920 ) N ;
- ANTENNA__482__B sky130_fd_sc_hd__diode_2 + PLACED ( 142600 100640 ) FS ;
- ANTENNA__486__B sky130_fd_sc_hd__diode_2 + PLACED ( 121900 152320 ) N ;
- ANTENNA__488__B sky130_fd_sc_hd__diode_2 + PLACED ( 77740 27200 ) N ;
- ANTENNA__490__B sky130_fd_sc_hd__diode_2 + PLACED ( 121900 163200 ) N ;
- ANTENNA__492__A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 106080 ) S ;
- ANTENNA__492__B sky130_fd_sc_hd__diode_2 + PLACED ( 111320 108800 ) N ;
- ANTENNA__494__A sky130_fd_sc_hd__diode_2 + PLACED ( 134780 106080 ) FS ;
- ANTENNA__494__B sky130_fd_sc_hd__diode_2 + PLACED ( 132940 108800 ) FN ;
- ANTENNA__497__A sky130_fd_sc_hd__diode_2 + PLACED ( 95220 73440 ) S ;
- ANTENNA__497__B sky130_fd_sc_hd__diode_2 + PLACED ( 100740 78880 ) FS ;
- ANTENNA__499__B sky130_fd_sc_hd__diode_2 + PLACED ( 24380 100640 ) FS ;
- ANTENNA__501__A sky130_fd_sc_hd__diode_2 + PLACED ( 54280 95200 ) S ;
- ANTENNA__501__B sky130_fd_sc_hd__diode_2 + PLACED ( 61640 95200 ) FS ;
- ANTENNA__503__A sky130_fd_sc_hd__diode_2 + PLACED ( 106260 87040 ) FN ;
- ANTENNA__503__B sky130_fd_sc_hd__diode_2 + PLACED ( 95680 95200 ) FS ;
- ANTENNA__505__B sky130_fd_sc_hd__diode_2 + PLACED ( 183080 57120 ) S ;
- ANTENNA__508__A sky130_fd_sc_hd__diode_2 + PLACED ( 106260 108800 ) N ;
- ANTENNA__508__B sky130_fd_sc_hd__diode_2 + PLACED ( 103040 106080 ) FS ;
- ANTENNA__510__B sky130_fd_sc_hd__diode_2 + PLACED ( 45540 68000 ) S ;
- ANTENNA__512__B sky130_fd_sc_hd__diode_2 + PLACED ( 179860 116960 ) FS ;
- ANTENNA__514__B sky130_fd_sc_hd__diode_2 + PLACED ( 175260 92480 ) N ;
- ANTENNA__516__A sky130_fd_sc_hd__diode_2 + PLACED ( 103500 87040 ) FN ;
- ANTENNA__516__B sky130_fd_sc_hd__diode_2 + PLACED ( 105800 84320 ) FS ;
- ANTENNA__519__A sky130_fd_sc_hd__diode_2 + PLACED ( 115460 141440 ) FN ;
- ANTENNA__519__B sky130_fd_sc_hd__diode_2 + PLACED ( 113620 138720 ) FS ;
- ANTENNA__521__B sky130_fd_sc_hd__diode_2 + PLACED ( 130640 168640 ) N ;
- ANTENNA__523__B sky130_fd_sc_hd__diode_2 + PLACED ( 96140 144160 ) FS ;
- ANTENNA__525__B sky130_fd_sc_hd__diode_2 + PLACED ( 186300 165920 ) FS ;
- ANTENNA__527__B sky130_fd_sc_hd__diode_2 + PLACED ( 31740 182240 ) FS ;
- ANTENNA__530__A sky130_fd_sc_hd__diode_2 + PLACED ( 141220 106080 ) S ;
- ANTENNA__537__A sky130_fd_sc_hd__diode_2 + PLACED ( 128340 27200 ) N ;
- ANTENNA__540__A sky130_fd_sc_hd__diode_2 + PLACED ( 13800 141440 ) N ;
- ANTENNA__543__A sky130_fd_sc_hd__diode_2 + PLACED ( 141220 168640 ) FN ;
- ANTENNA__544__A sky130_fd_sc_hd__diode_2 + PLACED ( 74060 160480 ) FS ;
- ANTENNA__546__A sky130_fd_sc_hd__diode_2 + PLACED ( 176640 127840 ) FS ;
- ANTENNA__547__A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 165920 ) S ;
- ANTENNA__551__A sky130_fd_sc_hd__diode_2 + PLACED ( 130180 29920 ) FS ;
- ANTENNA__558__A sky130_fd_sc_hd__diode_2 + PLACED ( 172960 51680 ) FS ;
- ANTENNA__562__A sky130_fd_sc_hd__diode_2 + PLACED ( 139380 165920 ) S ;
- ANTENNA__564__A sky130_fd_sc_hd__diode_2 + PLACED ( 150420 100640 ) S ;
- ANTENNA__566__A sky130_fd_sc_hd__diode_2 + PLACED ( 143980 38080 ) FN ;
- ANTENNA__569__A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 59840 ) N ;
- ANTENNA__570__A sky130_fd_sc_hd__diode_2 + PLACED ( 113160 40800 ) S ;
- ANTENNA__572__A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 108800 ) N ;
- ANTENNA__577__A sky130_fd_sc_hd__diode_2 + PLACED ( 115000 111520 ) FS ;
- ANTENNA__578__A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 62560 ) FS ;
- ANTENNA__581__A sky130_fd_sc_hd__diode_2 + PLACED ( 39100 171360 ) FS ;
- ANTENNA__582__A sky130_fd_sc_hd__diode_2 + PLACED ( 172960 133280 ) FS ;
- ANTENNA__583__A sky130_fd_sc_hd__diode_2 + PLACED ( 155020 160480 ) FS ;
- ANTENNA__585__A sky130_fd_sc_hd__diode_2 + PLACED ( 165140 155040 ) FS ;
- ANTENNA__588__A sky130_fd_sc_hd__diode_2 + PLACED ( 152720 40800 ) S ;
- ANTENNA__591__A sky130_fd_sc_hd__diode_2 + PLACED ( 88780 130560 ) FN ;
- ANTENNA__596__A sky130_fd_sc_hd__diode_2 + PLACED ( 80960 27200 ) N ;
- ANTENNA__597__A sky130_fd_sc_hd__diode_2 + PLACED ( 180320 76160 ) N ;
- ANTENNA__599__A sky130_fd_sc_hd__diode_2 + PLACED ( 165140 48960 ) FN ;
- ANTENNA__600__A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 13600 ) S ;
- ANTENNA__601__A sky130_fd_sc_hd__diode_2 + PLACED ( 51060 24480 ) S ;
- ANTENNA__602__A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 97920 ) N ;
- ANTENNA__603__A sky130_fd_sc_hd__diode_2 + PLACED ( 159620 157760 ) N ;
- ANTENNA__604__A sky130_fd_sc_hd__diode_2 + PLACED ( 121900 119680 ) N ;
- ANTENNA__606__A sky130_fd_sc_hd__diode_2 + PLACED ( 59340 165920 ) S ;
- ANTENNA__607__A sky130_fd_sc_hd__diode_2 + PLACED ( 69460 24480 ) S ;
- ANTENNA__611__A sky130_fd_sc_hd__diode_2 + PLACED ( 126040 32640 ) N ;
- ANTENNA__612__A sky130_fd_sc_hd__diode_2 + PLACED ( 19780 106080 ) S ;
- ANTENNA__618__A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 160480 ) FS ;
- ANTENNA__619__A sky130_fd_sc_hd__diode_2 + PLACED ( 88320 87040 ) N ;
- ANTENNA__621__A sky130_fd_sc_hd__diode_2 + PLACED ( 154560 43520 ) N ;
- ANTENNA__624__A sky130_fd_sc_hd__diode_2 + PLACED ( 64860 40800 ) FS ;
- ANTENNA__625__A sky130_fd_sc_hd__diode_2 + PLACED ( 28060 40800 ) S ;
- ANTENNA__627__A sky130_fd_sc_hd__diode_2 + PLACED ( 45080 29920 ) FS ;
- ANTENNA__629__A sky130_fd_sc_hd__diode_2 + PLACED ( 108560 157760 ) N ;
- ANTENNA__636__A sky130_fd_sc_hd__diode_2 + PLACED ( 148120 38080 ) N ;
- ANTENNA__639__A sky130_fd_sc_hd__diode_2 + PLACED ( 149500 40800 ) FS ;
- ANTENNA__640__A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 160480 ) FS ;
- ANTENNA__647__A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 165920 ) FS ;
- ANTENNA__651__A sky130_fd_sc_hd__diode_2 + PLACED ( 127880 163200 ) N ;
- ANTENNA__654__A sky130_fd_sc_hd__diode_2 + PLACED ( 87860 32640 ) N ;
- ANTENNA__655__A sky130_fd_sc_hd__diode_2 + PLACED ( 167900 152320 ) N ;
- ANTENNA__657__A sky130_fd_sc_hd__diode_2 + PLACED ( 85560 160480 ) FS ;
- ANTENNA__658__A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 179520 ) FN ;
- ANTENNA__665__A sky130_fd_sc_hd__diode_2 + PLACED ( 143520 35360 ) S ;
- ANTENNA__668__A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 144160 ) FS ;
- ANTENNA__671__A sky130_fd_sc_hd__diode_2 + PLACED ( 137080 163200 ) FN ;
- ANTENNA__672__A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 152320 ) N ;
- ANTENNA__674__A sky130_fd_sc_hd__diode_2 + PLACED ( 175720 130560 ) FN ;
- ANTENNA__675__A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 149600 ) S ;
- ANTENNA__679__A sky130_fd_sc_hd__diode_2 + PLACED ( 133400 32640 ) N ;
- ANTENNA__686__A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 51680 ) FS ;
- ANTENNA__690__A sky130_fd_sc_hd__diode_2 + PLACED ( 126040 160480 ) S ;
- ANTENNA__692__A sky130_fd_sc_hd__diode_2 + PLACED ( 141680 97920 ) N ;
- ANTENNA__694__A sky130_fd_sc_hd__diode_2 + PLACED ( 135240 35360 ) FS ;
- ANTENNA__697__A sky130_fd_sc_hd__diode_2 + PLACED ( 20700 62560 ) FS ;
- ANTENNA__698__A sky130_fd_sc_hd__diode_2 + PLACED ( 180320 57120 ) FS ;
- ANTENNA__700__A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 116960 ) FS ;
- ANTENNA__705__A sky130_fd_sc_hd__diode_2 + PLACED ( 115000 108800 ) N ;
- ANTENNA__706__A sky130_fd_sc_hd__diode_2 + PLACED ( 130180 54400 ) FN ;
- ANTENNA__709__A sky130_fd_sc_hd__diode_2 + PLACED ( 20700 149600 ) S ;
- ANTENNA__710__A sky130_fd_sc_hd__diode_2 + PLACED ( 178480 125120 ) N ;
- ANTENNA__711__A sky130_fd_sc_hd__diode_2 + PLACED ( 161920 155040 ) FS ;
- ANTENNA__713__A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 155040 ) S ;
- ANTENNA__716__A sky130_fd_sc_hd__diode_2 + PLACED ( 146740 40800 ) S ;
- ANTENNA__719__A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 125120 ) FN ;
- ANTENNA__724__A sky130_fd_sc_hd__diode_2 + PLACED ( 82800 32640 ) N ;
- ANTENNA__725__A sky130_fd_sc_hd__diode_2 + PLACED ( 177560 76160 ) N ;
- ANTENNA__727__A sky130_fd_sc_hd__diode_2 + PLACED ( 159620 43520 ) N ;
- ANTENNA__728__A sky130_fd_sc_hd__diode_2 + PLACED ( 54740 16320 ) FN ;
- ANTENNA__729__A sky130_fd_sc_hd__diode_2 + PLACED ( 47840 27200 ) N ;
- ANTENNA__730__A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 87040 ) FN ;
- ANTENNA__731__A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 149600 ) FS ;
- ANTENNA__732__A sky130_fd_sc_hd__diode_2 + PLACED ( 131560 133280 ) S ;
- ANTENNA__734__A sky130_fd_sc_hd__diode_2 + PLACED ( 54280 165920 ) S ;
- ANTENNA__735__A sky130_fd_sc_hd__diode_2 + PLACED ( 60720 27200 ) N ;
- ANTENNA__739__A sky130_fd_sc_hd__diode_2 + PLACED ( 129260 32640 ) N ;
- ANTENNA__740__A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 122400 ) S ;
- ANTENNA__746__A sky130_fd_sc_hd__diode_2 + PLACED ( 86020 157760 ) FN ;
- ANTENNA__747__A sky130_fd_sc_hd__diode_2 + PLACED ( 92920 81600 ) FN ;
- ANTENNA__749__A sky130_fd_sc_hd__diode_2 + PLACED ( 143980 40800 ) FS ;
- ANTENNA__752__A sky130_fd_sc_hd__diode_2 + PLACED ( 67620 35360 ) S ;
- ANTENNA__753__A sky130_fd_sc_hd__diode_2 + PLACED ( 89700 35360 ) FS ;
- ANTENNA__755__A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 29920 ) FS ;
- ANTENNA__757__A sky130_fd_sc_hd__diode_2 + PLACED ( 142140 165920 ) FS ;
- ANTENNA__764__A sky130_fd_sc_hd__diode_2 + PLACED ( 156860 46240 ) S ;
- ANTENNA__767__A sky130_fd_sc_hd__diode_2 + PLACED ( 138000 38080 ) N ;
- ANTENNA__768__A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 163200 ) FN ;
- ANTENNA__775__A sky130_fd_sc_hd__diode_2 + PLACED ( 48760 163200 ) N ;
- ANTENNA__779__A sky130_fd_sc_hd__diode_2 + PLACED ( 123280 157760 ) N ;
- ANTENNA__782__A sky130_fd_sc_hd__diode_2 + PLACED ( 105340 38080 ) FN ;
- ANTENNA__783__A sky130_fd_sc_hd__diode_2 + PLACED ( 165140 176800 ) S ;
- ANTENNA__785__A sky130_fd_sc_hd__diode_2 + PLACED ( 89240 155040 ) S ;
- ANTENNA__786__A sky130_fd_sc_hd__diode_2 + PLACED ( 53820 163200 ) FN ;
- ANTENNA__788__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 140760 78880 ) FS ;
- ANTENNA__789__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 141680 87040 ) N ;
- ANTENNA__790__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 103040 84320 ) S ;
- ANTENNA__791__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 137540 73440 ) S ;
- ANTENNA__792__CLK sky130_fd_sc_hd__diode_2 + PLACED ( 146280 89760 ) FS ;
- ANTENNA_input100_A sky130_fd_sc_hd__diode_2 + PLACED ( 156860 157760 ) FN ;
- ANTENNA_input101_A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 171360 ) S ;
- ANTENNA_input102_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 155040 ) S ;
- ANTENNA_input103_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 35360 ) S ;
- ANTENNA_input104_A sky130_fd_sc_hd__diode_2 + PLACED ( 179860 87040 ) FN ;
- ANTENNA_input105_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 146880 ) FN ;
- ANTENNA_input106_A sky130_fd_sc_hd__diode_2 + PLACED ( 129260 160480 ) S ;
- ANTENNA_input107_A sky130_fd_sc_hd__diode_2 + PLACED ( 37720 32640 ) FN ;
- ANTENNA_input108_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 35360 ) S ;
- ANTENNA_input109_A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 160480 ) S ;
- ANTENNA_input10_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 144160 ) S ;
- ANTENNA_input110_A sky130_fd_sc_hd__diode_2 + PLACED ( 23460 62560 ) S ;
- ANTENNA_input111_A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 32640 ) FN ;
- ANTENNA_input112_A sky130_fd_sc_hd__diode_2 + PLACED ( 160540 46240 ) S ;
- ANTENNA_input113_A sky130_fd_sc_hd__diode_2 + PLACED ( 161460 48960 ) FN ;
- ANTENNA_input114_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 144160 ) S ;
- ANTENNA_input115_A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 163200 ) FN ;
- ANTENNA_input116_A sky130_fd_sc_hd__diode_2 + PLACED ( 17940 144160 ) S ;
- ANTENNA_input117_A sky130_fd_sc_hd__diode_2 + PLACED ( 113620 160480 ) S ;
- ANTENNA_input118_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 160480 ) S ;
- ANTENNA_input119_A sky130_fd_sc_hd__diode_2 + PLACED ( 131560 35360 ) S ;
- ANTENNA_input11_A sky130_fd_sc_hd__diode_2 + PLACED ( 32200 38080 ) FN ;
- ANTENNA_input120_A sky130_fd_sc_hd__diode_2 + PLACED ( 23920 57120 ) S ;
- ANTENNA_input121_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 122400 ) S ;
- ANTENNA_input122_A sky130_fd_sc_hd__diode_2 + PLACED ( 25300 43520 ) FN ;
- ANTENNA_input123_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 46240 ) S ;
- ANTENNA_input124_A sky130_fd_sc_hd__diode_2 + PLACED ( 28060 43520 ) FN ;
- ANTENNA_input125_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 54400 ) FN ;
- ANTENNA_input126_A sky130_fd_sc_hd__diode_2 + PLACED ( 177560 57120 ) S ;
- ANTENNA_input127_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 54400 ) FN ;
- ANTENNA_input128_A sky130_fd_sc_hd__diode_2 + PLACED ( 23000 51680 ) S ;
- ANTENNA_input129_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 35360 ) S ;
- ANTENNA_input12_A sky130_fd_sc_hd__diode_2 + PLACED ( 54280 24480 ) S ;
- ANTENNA_input130_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 146880 ) FN ;
- ANTENNA_input131_A sky130_fd_sc_hd__diode_2 + PLACED ( 123280 32640 ) FN ;
- ANTENNA_input132_A sky130_fd_sc_hd__diode_2 + PLACED ( 34960 38080 ) FN ;
- ANTENNA_input133_A sky130_fd_sc_hd__diode_2 + PLACED ( 139380 40800 ) S ;
- ANTENNA_input134_A sky130_fd_sc_hd__diode_2 + PLACED ( 115460 32640 ) FN ;
- ANTENNA_input135_A sky130_fd_sc_hd__diode_2 + PLACED ( 174800 57120 ) S ;
- ANTENNA_input136_A sky130_fd_sc_hd__diode_2 + PLACED ( 112700 35360 ) S ;
- ANTENNA_input137_A sky130_fd_sc_hd__diode_2 + PLACED ( 172960 149600 ) S ;
- ANTENNA_input138_A sky130_fd_sc_hd__diode_2 + PLACED ( 152260 160480 ) S ;
- ANTENNA_input139_A sky130_fd_sc_hd__diode_2 + PLACED ( 111320 157760 ) FN ;
- ANTENNA_input13_A sky130_fd_sc_hd__diode_2 + PLACED ( 105340 155040 ) S ;
- ANTENNA_input140_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 155040 ) S ;
- ANTENNA_input141_A sky130_fd_sc_hd__diode_2 + PLACED ( 15640 130560 ) FN ;
- ANTENNA_input142_A sky130_fd_sc_hd__diode_2 + PLACED ( 47840 29920 ) S ;
- ANTENNA_input143_A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 54400 ) FN ;
- ANTENNA_input144_A sky130_fd_sc_hd__diode_2 + PLACED ( 109020 155040 ) S ;
- ANTENNA_input145_A sky130_fd_sc_hd__diode_2 + PLACED ( 151800 43520 ) FN ;
- ANTENNA_input146_A sky130_fd_sc_hd__diode_2 + PLACED ( 95220 155040 ) S ;
- ANTENNA_input147_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 54400 ) FN ;
- ANTENNA_input148_A sky130_fd_sc_hd__diode_2 + PLACED ( 77280 29920 ) S ;
- ANTENNA_input149_A sky130_fd_sc_hd__diode_2 + PLACED ( 166980 51680 ) S ;
- ANTENNA_input14_A sky130_fd_sc_hd__diode_2 + PLACED ( 38640 157760 ) FN ;
- ANTENNA_input150_A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 48960 ) FN ;
- ANTENNA_input151_A sky130_fd_sc_hd__diode_2 + PLACED ( 162380 51680 ) S ;
- ANTENNA_input152_A sky130_fd_sc_hd__diode_2 + PLACED ( 125580 35360 ) S ;
- ANTENNA_input153_A sky130_fd_sc_hd__diode_2 + PLACED ( 20700 144160 ) S ;
- ANTENNA_input154_A sky130_fd_sc_hd__diode_2 + PLACED ( 50600 27200 ) FN ;
- ANTENNA_input155_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 160480 ) S ;
- ANTENNA_input156_A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 103360 ) FN ;
- ANTENNA_input157_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 59840 ) FN ;
- ANTENNA_input158_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 35360 ) S ;
- ANTENNA_input159_A sky130_fd_sc_hd__diode_2 + PLACED ( 158700 48960 ) FN ;
- ANTENNA_input15_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 40800 ) S ;
- ANTENNA_input160_A sky130_fd_sc_hd__diode_2 + PLACED ( 145820 165920 ) S ;
- ANTENNA_input161_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 59840 ) FN ;
- ANTENNA_input162_A sky130_fd_sc_hd__diode_2 + PLACED ( 62100 165920 ) S ;
- ANTENNA_input163_A sky130_fd_sc_hd__diode_2 + PLACED ( 179860 144160 ) S ;
- ANTENNA_input164_A sky130_fd_sc_hd__diode_2 + PLACED ( 100740 155040 ) S ;
- ANTENNA_input165_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 144160 ) S ;
- ANTENNA_input166_A sky130_fd_sc_hd__diode_2 + PLACED ( 28060 149600 ) S ;
- ANTENNA_input167_A sky130_fd_sc_hd__diode_2 + PLACED ( 154100 46240 ) S ;
- ANTENNA_input168_A sky130_fd_sc_hd__diode_2 + PLACED ( 56580 163200 ) FN ;
- ANTENNA_input169_A sky130_fd_sc_hd__diode_2 + PLACED ( 33120 152320 ) FN ;
- ANTENNA_input16_A sky130_fd_sc_hd__diode_2 + PLACED ( 172040 57120 ) S ;
- ANTENNA_input170_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 155040 ) S ;
- ANTENNA_input171_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 46240 ) S ;
- ANTENNA_input172_A sky130_fd_sc_hd__diode_2 + PLACED ( 69920 29920 ) S ;
- ANTENNA_input173_A sky130_fd_sc_hd__diode_2 + PLACED ( 135240 38080 ) FN ;
- ANTENNA_input174_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 146880 ) FN ;
- ANTENNA_input175_A sky130_fd_sc_hd__diode_2 + PLACED ( 97980 155040 ) S ;
- ANTENNA_input176_A sky130_fd_sc_hd__diode_2 + PLACED ( 167440 54400 ) FN ;
- ANTENNA_input177_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 114240 ) FN ;
- ANTENNA_input178_A sky130_fd_sc_hd__diode_2 + PLACED ( 17020 133280 ) S ;
- ANTENNA_input179_A sky130_fd_sc_hd__diode_2 + PLACED ( 41400 157760 ) FN ;
- ANTENNA_input17_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 157760 ) FN ;
- ANTENNA_input180_A sky130_fd_sc_hd__diode_2 + PLACED ( 53360 27200 ) FN ;
- ANTENNA_input181_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 59840 ) FN ;
- ANTENNA_input182_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 68000 ) S ;
- ANTENNA_input183_A sky130_fd_sc_hd__diode_2 + PLACED ( 179400 73440 ) S ;
- ANTENNA_input184_A sky130_fd_sc_hd__diode_2 + PLACED ( 20700 73440 ) S ;
- ANTENNA_input185_A sky130_fd_sc_hd__diode_2 + PLACED ( 149040 43520 ) FN ;
- ANTENNA_input186_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 160480 ) S ;
- ANTENNA_input187_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 157760 ) FN ;
- ANTENNA_input188_A sky130_fd_sc_hd__diode_2 + PLACED ( 17940 122400 ) S ;
- ANTENNA_input189_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 141440 ) FN ;
- ANTENNA_input18_A sky130_fd_sc_hd__diode_2 + PLACED ( 118220 32640 ) FN ;
- ANTENNA_input190_A sky130_fd_sc_hd__diode_2 + PLACED ( 63020 29920 ) S ;
- ANTENNA_input191_A sky130_fd_sc_hd__diode_2 + PLACED ( 169280 57120 ) S ;
- ANTENNA_input192_A sky130_fd_sc_hd__diode_2 + PLACED ( 120060 35360 ) S ;
- ANTENNA_input193_A sky130_fd_sc_hd__diode_2 + PLACED ( 117300 35360 ) S ;
- ANTENNA_input194_A sky130_fd_sc_hd__diode_2 + PLACED ( 149500 160480 ) S ;
- ANTENNA_input195_A sky130_fd_sc_hd__diode_2 + PLACED ( 16560 78880 ) S ;
- ANTENNA_input196_A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 48960 ) FN ;
- ANTENNA_input197_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 122400 ) S ;
- ANTENNA_input198_A sky130_fd_sc_hd__diode_2 + PLACED ( 165140 152320 ) FN ;
- ANTENNA_input199_A sky130_fd_sc_hd__diode_2 + PLACED ( 130180 157760 ) FN ;
- ANTENNA_input19_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 32640 ) FN ;
- ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 106080 ) S ;
- ANTENNA_input200_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 125120 ) FN ;
- ANTENNA_input201_A sky130_fd_sc_hd__diode_2 + PLACED ( 178940 108800 ) FN ;
- ANTENNA_input202_A sky130_fd_sc_hd__diode_2 + PLACED ( 77280 32640 ) FN ;
- ANTENNA_input203_A sky130_fd_sc_hd__diode_2 + PLACED ( 178940 141440 ) FN ;
- ANTENNA_input204_A sky130_fd_sc_hd__diode_2 + PLACED ( 73600 35360 ) S ;
- ANTENNA_input205_A sky130_fd_sc_hd__diode_2 + PLACED ( 162380 152320 ) FN ;
- ANTENNA_input206_A sky130_fd_sc_hd__diode_2 + PLACED ( 114080 157760 ) FN ;
- ANTENNA_input207_A sky130_fd_sc_hd__diode_2 + PLACED ( 164680 54400 ) FN ;
- ANTENNA_input208_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 70720 ) FN ;
- ANTENNA_input209_A sky130_fd_sc_hd__diode_2 + PLACED ( 155940 48960 ) FN ;
- ANTENNA_input20_A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 152320 ) FN ;
- ANTENNA_input210_A sky130_fd_sc_hd__diode_2 + PLACED ( 37720 38080 ) FN ;
- ANTENNA_input211_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 141440 ) FN ;
- ANTENNA_input212_A sky130_fd_sc_hd__diode_2 + PLACED ( 57960 27200 ) FN ;
- ANTENNA_input213_A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 97920 ) FN ;
- ANTENNA_input214_A sky130_fd_sc_hd__diode_2 + PLACED ( 59340 163200 ) FN ;
- ANTENNA_input215_A sky130_fd_sc_hd__diode_2 + PLACED ( 154100 157760 ) FN ;
- ANTENNA_input216_A sky130_fd_sc_hd__diode_2 + PLACED ( 30820 43520 ) FN ;
- ANTENNA_input217_A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 157760 ) FN ;
- ANTENNA_input218_A sky130_fd_sc_hd__diode_2 + PLACED ( 64860 165920 ) S ;
- ANTENNA_input219_A sky130_fd_sc_hd__diode_2 + PLACED ( 151340 46240 ) S ;
- ANTENNA_input21_A sky130_fd_sc_hd__diode_2 + PLACED ( 42780 35360 ) S ;
- ANTENNA_input220_A sky130_fd_sc_hd__diode_2 + PLACED ( 19320 78880 ) S ;
- ANTENNA_input221_A sky130_fd_sc_hd__diode_2 + PLACED ( 23460 144160 ) S ;
- ANTENNA_input222_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 136000 ) FN ;
- ANTENNA_input223_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 144160 ) S ;
- ANTENNA_input224_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 62560 ) S ;
- ANTENNA_input225_A sky130_fd_sc_hd__diode_2 + PLACED ( 106720 152320 ) FN ;
- ANTENNA_input226_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 155040 ) S ;
- ANTENNA_input227_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 70720 ) FN ;
- ANTENNA_input228_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 146880 ) FN ;
- ANTENNA_input229_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 59840 ) FN ;
- ANTENNA_input22_A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 32640 ) FN ;
- ANTENNA_input230_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 92480 ) FN ;
- ANTENNA_input231_A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 43520 ) FN ;
- ANTENNA_input232_A sky130_fd_sc_hd__diode_2 + PLACED ( 115460 155040 ) S ;
- ANTENNA_input233_A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 160480 ) S ;
- ANTENNA_input234_A sky130_fd_sc_hd__diode_2 + PLACED ( 166060 149600 ) S ;
- ANTENNA_input235_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 146880 ) FN ;
- ANTENNA_input236_A sky130_fd_sc_hd__diode_2 + PLACED ( 22540 106080 ) S ;
- ANTENNA_input237_A sky130_fd_sc_hd__diode_2 + PLACED ( 20700 111520 ) S ;
- ANTENNA_input238_A sky130_fd_sc_hd__diode_2 + PLACED ( 166520 57120 ) S ;
- ANTENNA_input239_A sky130_fd_sc_hd__diode_2 + PLACED ( 172960 100640 ) S ;
- ANTENNA_input23_A sky130_fd_sc_hd__diode_2 + PLACED ( 161920 54400 ) FN ;
- ANTENNA_input240_A sky130_fd_sc_hd__diode_2 + PLACED ( 132480 38080 ) FN ;
- ANTENNA_input241_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 100640 ) S ;
- ANTENNA_input242_A sky130_fd_sc_hd__diode_2 + PLACED ( 67620 165920 ) S ;
- ANTENNA_input243_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 149600 ) S ;
- ANTENNA_input244_A sky130_fd_sc_hd__diode_2 + PLACED ( 51980 29920 ) S ;
- ANTENNA_input245_A sky130_fd_sc_hd__diode_2 + PLACED ( 35880 152320 ) FN ;
- ANTENNA_input246_A sky130_fd_sc_hd__diode_2 + PLACED ( 134780 160480 ) S ;
- ANTENNA_input247_A sky130_fd_sc_hd__diode_2 + PLACED ( 157320 155040 ) S ;
- ANTENNA_input248_A sky130_fd_sc_hd__diode_2 + PLACED ( 157320 51680 ) S ;
- ANTENNA_input249_A sky130_fd_sc_hd__diode_2 + PLACED ( 151340 157760 ) FN ;
- ANTENNA_input24_A sky130_fd_sc_hd__diode_2 + PLACED ( 26220 144160 ) S ;
- ANTENNA_input250_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 65280 ) FN ;
- ANTENNA_input251_A sky130_fd_sc_hd__diode_2 + PLACED ( 29900 146880 ) FN ;
- ANTENNA_input252_A sky130_fd_sc_hd__diode_2 + PLACED ( 84180 35360 ) S ;
- ANTENNA_input253_A sky130_fd_sc_hd__diode_2 + PLACED ( 179400 138720 ) S ;
- ANTENNA_input254_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 160480 ) S ;
- ANTENNA_input255_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 87040 ) FN ;
- ANTENNA_input256_A sky130_fd_sc_hd__diode_2 + PLACED ( 76820 160480 ) S ;
- ANTENNA_input257_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 149600 ) S ;
- ANTENNA_input258_A sky130_fd_sc_hd__diode_2 + PLACED ( 117300 38080 ) FN ;
- ANTENNA_input259_A sky130_fd_sc_hd__diode_2 + PLACED ( 33580 43520 ) FN ;
- ANTENNA_input25_A sky130_fd_sc_hd__diode_2 + PLACED ( 159620 152320 ) FN ;
- ANTENNA_input260_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 68000 ) S ;
- ANTENNA_input261_A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 163200 ) FN ;
- ANTENNA_input262_A sky130_fd_sc_hd__diode_2 + PLACED ( 146740 160480 ) S ;
- ANTENNA_input263_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 141440 ) FN ;
- ANTENNA_input264_A sky130_fd_sc_hd__diode_2 + PLACED ( 163760 57120 ) S ;
- ANTENNA_input265_A sky130_fd_sc_hd__diode_2 + PLACED ( 128800 35360 ) S ;
- ANTENNA_input266_A sky130_fd_sc_hd__diode_2 + PLACED ( 170660 144160 ) S ;
- ANTENNA_input267_A sky130_fd_sc_hd__diode_2 + PLACED ( 172960 106080 ) S ;
- ANTENNA_input268_A sky130_fd_sc_hd__diode_2 + PLACED ( 120980 155040 ) S ;
- ANTENNA_input269_A sky130_fd_sc_hd__diode_2 + PLACED ( 86940 35360 ) S ;
- ANTENNA_input26_A sky130_fd_sc_hd__diode_2 + PLACED ( 97980 152320 ) FN ;
- ANTENNA_input270_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 108800 ) FN ;
- ANTENNA_input271_A sky130_fd_sc_hd__diode_2 + PLACED ( 17940 138720 ) S ;
- ANTENNA_input272_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 40800 ) S ;
- ANTENNA_input273_A sky130_fd_sc_hd__diode_2 + PLACED ( 64860 163200 ) FN ;
- ANTENNA_input274_A sky130_fd_sc_hd__diode_2 + PLACED ( 159160 54400 ) FN ;
- ANTENNA_input275_A sky130_fd_sc_hd__diode_2 + PLACED ( 87400 38080 ) FN ;
- ANTENNA_input276_A sky130_fd_sc_hd__diode_2 + PLACED ( 153180 48960 ) FN ;
- ANTENNA_input277_A sky130_fd_sc_hd__diode_2 + PLACED ( 52900 160480 ) S ;
- ANTENNA_input278_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 62560 ) S ;
- ANTENNA_input279_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 141440 ) FN ;
- ANTENNA_input27_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 32640 ) FN ;
- ANTENNA_input280_A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 46240 ) S ;
- ANTENNA_input281_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 29920 ) S ;
- ANTENNA_input282_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 108800 ) FN ;
- ANTENNA_input283_A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 146880 ) FN ;
- ANTENNA_input284_A sky130_fd_sc_hd__diode_2 + PLACED ( 111320 38080 ) FN ;
- ANTENNA_input285_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 149600 ) S ;
- ANTENNA_input286_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 157760 ) FN ;
- ANTENNA_input287_A sky130_fd_sc_hd__diode_2 + PLACED ( 20700 138720 ) S ;
- ANTENNA_input288_A sky130_fd_sc_hd__diode_2 + PLACED ( 167440 59840 ) FN ;
- ANTENNA_input289_A sky130_fd_sc_hd__diode_2 + PLACED ( 23000 84320 ) S ;
- ANTENNA_input28_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 70720 ) FN ;
- ANTENNA_input290_A sky130_fd_sc_hd__diode_2 + PLACED ( 167440 62560 ) S ;
- ANTENNA_input291_A sky130_fd_sc_hd__diode_2 + PLACED ( 52900 157760 ) FN ;
- ANTENNA_input292_A sky130_fd_sc_hd__diode_2 + PLACED ( 40480 38080 ) FN ;
- ANTENNA_input293_A sky130_fd_sc_hd__diode_2 + PLACED ( 139840 163200 ) FN ;
- ANTENNA_input294_A sky130_fd_sc_hd__diode_2 + PLACED ( 114080 38080 ) FN ;
- ANTENNA_input295_A sky130_fd_sc_hd__diode_2 + PLACED ( 35420 146880 ) FN ;
- ANTENNA_input296_A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 130560 ) FN ;
- ANTENNA_input297_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 141440 ) FN ;
- ANTENNA_input298_A sky130_fd_sc_hd__diode_2 + PLACED ( 154560 51680 ) S ;
- ANTENNA_input299_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 119680 ) FN ;
- ANTENNA_input29_A sky130_fd_sc_hd__diode_2 + PLACED ( 134780 40800 ) S ;
- ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 145820 46240 ) S ;
- ANTENNA_input300_A sky130_fd_sc_hd__diode_2 + PLACED ( 103040 40800 ) S ;
- ANTENNA_input301_A sky130_fd_sc_hd__diode_2 + PLACED ( 23460 138720 ) S ;
- ANTENNA_input302_A sky130_fd_sc_hd__diode_2 + PLACED ( 143980 160480 ) S ;
- ANTENNA_input303_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 40800 ) S ;
- ANTENNA_input304_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 149600 ) S ;
- ANTENNA_input305_A sky130_fd_sc_hd__diode_2 + PLACED ( 176640 73440 ) S ;
- ANTENNA_input30_A sky130_fd_sc_hd__diode_2 + PLACED ( 154560 155040 ) S ;
- ANTENNA_input31_A sky130_fd_sc_hd__diode_2 + PLACED ( 167440 146880 ) FN ;
- ANTENNA_input32_A sky130_fd_sc_hd__diode_2 + PLACED ( 101200 152320 ) FN ;
- ANTENNA_input33_A sky130_fd_sc_hd__diode_2 + PLACED ( 163300 149600 ) S ;
- ANTENNA_input34_A sky130_fd_sc_hd__diode_2 + PLACED ( 29900 141440 ) FN ;
- ANTENNA_input35_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 35360 ) S ;
- ANTENNA_input36_A sky130_fd_sc_hd__diode_2 + PLACED ( 141680 43520 ) FN ;
- ANTENNA_input37_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 32640 ) FN ;
- ANTENNA_input38_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 40800 ) S ;
- ANTENNA_input39_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 92480 ) FN ;
- ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 138920 43520 ) FN ;
- ANTENNA_input40_A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 103360 ) FN ;
- ANTENNA_input41_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 152320 ) FN ;
- ANTENNA_input42_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 136000 ) FN ;
- ANTENNA_input43_A sky130_fd_sc_hd__diode_2 + PLACED ( 164680 59840 ) FN ;
- ANTENNA_input44_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 141440 ) FN ;
- ANTENNA_input45_A sky130_fd_sc_hd__diode_2 + PLACED ( 86020 43520 ) FN ;
- ANTENNA_input46_A sky130_fd_sc_hd__diode_2 + PLACED ( 93380 149600 ) S ;
- ANTENNA_input47_A sky130_fd_sc_hd__diode_2 + PLACED ( 129720 38080 ) FN ;
- ANTENNA_input48_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 97920 ) FN ;
- ANTENNA_input49_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 76160 ) FN ;
- ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 51680 ) S ;
- ANTENNA_input50_A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 146880 ) FN ;
- ANTENNA_input51_A sky130_fd_sc_hd__diode_2 + PLACED ( 42780 149600 ) S ;
- ANTENNA_input52_A sky130_fd_sc_hd__diode_2 + PLACED ( 55660 157760 ) FN ;
- ANTENNA_input53_A sky130_fd_sc_hd__diode_2 + PLACED ( 62100 163200 ) FN ;
- ANTENNA_input54_A sky130_fd_sc_hd__diode_2 + PLACED ( 176640 138720 ) S ;
- ANTENNA_input55_A sky130_fd_sc_hd__diode_2 + PLACED ( 63020 160480 ) S ;
- ANTENNA_input56_A sky130_fd_sc_hd__diode_2 + PLACED ( 71760 163200 ) FN ;
- ANTENNA_input57_A sky130_fd_sc_hd__diode_2 + PLACED ( 52900 155040 ) S ;
- ANTENNA_input58_A sky130_fd_sc_hd__diode_2 + PLACED ( 60260 160480 ) S ;
- ANTENNA_input59_A sky130_fd_sc_hd__diode_2 + PLACED ( 156400 54400 ) FN ;
- ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 126040 157760 ) FN ;
- ANTENNA_input60_A sky130_fd_sc_hd__diode_2 + PLACED ( 167900 144160 ) S ;
- ANTENNA_input61_A sky130_fd_sc_hd__diode_2 + PLACED ( 156860 152320 ) FN ;
- ANTENNA_input62_A sky130_fd_sc_hd__diode_2 + PLACED ( 83260 157760 ) FN ;
- ANTENNA_input63_A sky130_fd_sc_hd__diode_2 + PLACED ( 176180 136000 ) FN ;
- ANTENNA_input64_A sky130_fd_sc_hd__diode_2 + PLACED ( 178480 114240 ) FN ;
- ANTENNA_input65_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 46240 ) S ;
- ANTENNA_input66_A sky130_fd_sc_hd__diode_2 + PLACED ( 160540 149600 ) S ;
- ANTENNA_input67_A sky130_fd_sc_hd__diode_2 + PLACED ( 26220 89760 ) S ;
- ANTENNA_input68_A sky130_fd_sc_hd__diode_2 + PLACED ( 151800 51680 ) S ;
- ANTENNA_input69_A sky130_fd_sc_hd__diode_2 + PLACED ( 122820 35360 ) S ;
- ANTENNA_input6_A sky130_fd_sc_hd__diode_2 + PLACED ( 108100 38080 ) FN ;
- ANTENNA_input70_A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 157760 ) FN ;
- ANTENNA_input71_A sky130_fd_sc_hd__diode_2 + PLACED ( 161000 57120 ) S ;
- ANTENNA_input72_A sky130_fd_sc_hd__diode_2 + PLACED ( 118220 155040 ) S ;
- ANTENNA_input73_A sky130_fd_sc_hd__diode_2 + PLACED ( 164680 146880 ) FN ;
- ANTENNA_input74_A sky130_fd_sc_hd__diode_2 + PLACED ( 151800 155040 ) S ;
- ANTENNA_input75_A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 35360 ) S ;
- ANTENNA_input76_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 100640 ) S ;
- ANTENNA_input77_A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 81600 ) FN ;
- ANTENNA_input78_A sky130_fd_sc_hd__diode_2 + PLACED ( 36340 43520 ) FN ;
- ANTENNA_input79_A sky130_fd_sc_hd__diode_2 + PLACED ( 154100 152320 ) FN ;
- ANTENNA_input7_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 38080 ) FN ;
- ANTENNA_input80_A sky130_fd_sc_hd__diode_2 + PLACED ( 93380 40800 ) S ;
- ANTENNA_input81_A sky130_fd_sc_hd__diode_2 + PLACED ( 52900 32640 ) FN ;
- ANTENNA_input82_A sky130_fd_sc_hd__diode_2 + PLACED ( 23460 73440 ) S ;
- ANTENNA_input83_A sky130_fd_sc_hd__diode_2 + PLACED ( 86480 155040 ) S ;
- ANTENNA_input84_A sky130_fd_sc_hd__diode_2 + PLACED ( 60260 29920 ) S ;
- ANTENNA_input85_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 46240 ) S ;
- ANTENNA_input86_A sky130_fd_sc_hd__diode_2 + PLACED ( 65780 32640 ) FN ;
- ANTENNA_input87_A sky130_fd_sc_hd__diode_2 + PLACED ( 170660 95200 ) S ;
- ANTENNA_input88_A sky130_fd_sc_hd__diode_2 + PLACED ( 131560 40800 ) S ;
- ANTENNA_input89_A sky130_fd_sc_hd__diode_2 + PLACED ( 164680 62560 ) S ;
- ANTENNA_input8_A sky130_fd_sc_hd__diode_2 + PLACED ( 19780 133280 ) S ;
- ANTENNA_input90_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 68000 ) S ;
- ANTENNA_input91_A sky130_fd_sc_hd__diode_2 + PLACED ( 51060 35360 ) S ;
- ANTENNA_input92_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 141440 ) FN ;
- ANTENNA_input93_A sky130_fd_sc_hd__diode_2 + PLACED ( 63020 32640 ) FN ;
- ANTENNA_input94_A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 81600 ) FN ;
- ANTENNA_input95_A sky130_fd_sc_hd__diode_2 + PLACED ( 136160 43520 ) FN ;
- ANTENNA_input96_A sky130_fd_sc_hd__diode_2 + PLACED ( 141220 160480 ) S ;
- ANTENNA_input97_A sky130_fd_sc_hd__diode_2 + PLACED ( 68080 160480 ) S ;
- ANTENNA_input98_A sky130_fd_sc_hd__diode_2 + PLACED ( 23460 111520 ) S ;
- ANTENNA_input99_A sky130_fd_sc_hd__diode_2 + PLACED ( 126040 38080 ) FN ;
- ANTENNA_input9_A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 157760 ) FN ;
- ANTENNA_output309_A sky130_fd_sc_hd__diode_2 + PLACED ( 165140 144160 ) FS ;
- ANTENNA_output310_A sky130_fd_sc_hd__diode_2 + PLACED ( 63020 157760 ) N ;
- ANTENNA_output315_A sky130_fd_sc_hd__diode_2 + PLACED ( 126500 40800 ) FS ;
- ANTENNA_output316_A sky130_fd_sc_hd__diode_2 + PLACED ( 42780 40800 ) S ;
- ANTENNA_output317_A sky130_fd_sc_hd__diode_2 + PLACED ( 160540 146880 ) N ;
- ANTENNA_output321_A sky130_fd_sc_hd__diode_2 + PLACED ( 25760 51680 ) FS ;
- ANTENNA_output323_A sky130_fd_sc_hd__diode_2 + PLACED ( 66700 157760 ) N ;
- ANTENNA_output326_A sky130_fd_sc_hd__diode_2 + PLACED ( 26220 138720 ) FS ;
- ANTENNA_output327_A sky130_fd_sc_hd__diode_2 + PLACED ( 58420 157760 ) N ;
- ANTENNA_output328_A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 40800 ) FS ;
- ANTENNA_output329_A sky130_fd_sc_hd__diode_2 + PLACED ( 157320 149600 ) FS ;
- ANTENNA_output332_A sky130_fd_sc_hd__diode_2 + PLACED ( 172500 68000 ) S ;
- ANTENNA_output333_A sky130_fd_sc_hd__diode_2 + PLACED ( 24380 136000 ) FN ;
- ANTENNA_output334_A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 141440 ) N ;
- ANTENNA_output337_A sky130_fd_sc_hd__diode_2 + PLACED ( 30360 48960 ) N ;
- ANTENNA_output339_A sky130_fd_sc_hd__diode_2 + PLACED ( 132020 43520 ) N ;
- ANTENNA_output341_A sky130_fd_sc_hd__diode_2 + PLACED ( 151340 152320 ) FN ;
- ANTENNA_output344_A sky130_fd_sc_hd__diode_2 + PLACED ( 39100 43520 ) FN ;
- ANTENNA_output346_A sky130_fd_sc_hd__diode_2 + PLACED ( 149040 155040 ) FS ;
- ANTENNA_output350_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 38080 ) N ;
- ANTENNA_output352_A sky130_fd_sc_hd__diode_2 + PLACED ( 173880 138720 ) FS ;
- ANTENNA_output353_A sky130_fd_sc_hd__diode_2 + PLACED ( 161920 59840 ) N ;
- ANTENNA_output354_A sky130_fd_sc_hd__diode_2 + PLACED ( 177100 87040 ) N ;
- ANTENNA_output356_A sky130_fd_sc_hd__diode_2 + PLACED ( 55660 32640 ) N ;
- ANTENNA_output358_A sky130_fd_sc_hd__diode_2 + PLACED ( 28520 51680 ) FS ;
- ANTENNA_output368_A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 54400 ) FN ;
- ANTENNA_output369_A sky130_fd_sc_hd__diode_2 + PLACED ( 37720 144160 ) S ;
- ANTENNA_output370_A sky130_fd_sc_hd__diode_2 + PLACED ( 70380 157760 ) FN ;
- ANTENNA_output373_A sky130_fd_sc_hd__diode_2 + PLACED ( 59340 32640 ) N ;
- ANTENNA_output380_A sky130_fd_sc_hd__diode_2 + PLACED ( 26680 57120 ) S ;
- ANTENNA_output387_A sky130_fd_sc_hd__diode_2 + PLACED ( 167440 141440 ) N ;
- ANTENNA_output390_A sky130_fd_sc_hd__diode_2 + PLACED ( 22540 133280 ) S ;
- ANTENNA_output393_A sky130_fd_sc_hd__diode_2 + PLACED ( 157320 57120 ) FS ;
- ANTENNA_output395_A sky130_fd_sc_hd__diode_2 + PLACED ( 153640 54400 ) N ;
- ANTENNA_output398_A sky130_fd_sc_hd__diode_2 + PLACED ( 33120 48960 ) N ;
- ANTENNA_output401_A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 48960 ) N ;
- ANTENNA_output405_A sky130_fd_sc_hd__diode_2 + PLACED ( 167440 65280 ) N ;
- ANTENNA_output408_A sky130_fd_sc_hd__diode_2 + PLACED ( 169740 68000 ) FS ;
- ANTENNA_output412_A sky130_fd_sc_hd__diode_2 + PLACED ( 159160 59840 ) N ;
- ANTENNA_output413_A sky130_fd_sc_hd__diode_2 + PLACED ( 99360 149600 ) FS ;
- ANTENNA_output414_A sky130_fd_sc_hd__diode_2 + PLACED ( 76820 38080 ) FN ;
- ANTENNA_output417_A sky130_fd_sc_hd__diode_2 + PLACED ( 138460 160480 ) S ;
- ANTENNA_output418_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 46240 ) S ;
- ANTENNA_output422_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 40800 ) FS ;
- ANTENNA_output428_A sky130_fd_sc_hd__diode_2 + PLACED ( 115920 40800 ) S ;
- ANTENNA_output430_A sky130_fd_sc_hd__diode_2 + PLACED ( 171120 138720 ) S ;
- ANTENNA_output433_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 136000 ) N ;
- ANTENNA_output436_A sky130_fd_sc_hd__diode_2 + PLACED ( 154560 149600 ) FS ;
- ANTENNA_output439_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 38080 ) N ;
- ANTENNA_output440_A sky130_fd_sc_hd__diode_2 + PLACED ( 148580 54400 ) N ;
- ANTENNA_output442_A sky130_fd_sc_hd__diode_2 + PLACED ( 186300 122400 ) S ;
- ANTENNA_output446_A sky130_fd_sc_hd__diode_2 + PLACED ( 77280 157760 ) N ;
- ANTENNA_output450_A sky130_fd_sc_hd__diode_2 + PLACED ( 140300 48960 ) N ;
- ANTENNA_output452_A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 146880 ) N ;
- ANTENNA_output453_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 114240 ) FN ;
- ANTENNA_output456_A sky130_fd_sc_hd__diode_2 + PLACED ( 123280 38080 ) FN ;
- ANTENNA_output459_A sky130_fd_sc_hd__diode_2 + PLACED ( 27140 136000 ) N ;
- ANTENNA_output460_A sky130_fd_sc_hd__diode_2 + PLACED ( 128340 43520 ) N ;
- ANTENNA_output461_A sky130_fd_sc_hd__diode_2 + PLACED ( 40480 144160 ) FS ;
- ANTENNA_output464_A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 54400 ) N ;
- ANTENNA_output468_A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 40800 ) FS ;
- ANTENNA_output470_A sky130_fd_sc_hd__diode_2 + PLACED ( 137540 157760 ) N ;
- ANTENNA_output473_A sky130_fd_sc_hd__diode_2 + PLACED ( 141220 157760 ) N ;
- ANTENNA_output476_A sky130_fd_sc_hd__diode_2 + PLACED ( 143980 51680 ) S ;
- ANTENNA_output477_A sky130_fd_sc_hd__diode_2 + PLACED ( 161920 62560 ) S ;
- ANTENNA_output480_A sky130_fd_sc_hd__diode_2 + PLACED ( 154560 57120 ) S ;
- ANTENNA_output481_A sky130_fd_sc_hd__diode_2 + PLACED ( 82340 43520 ) FN ;
- ANTENNA_output485_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 51680 ) FS ;
- ANTENNA_output486_A sky130_fd_sc_hd__diode_2 + PLACED ( 53820 35360 ) S ;
- ANTENNA_output487_A sky130_fd_sc_hd__diode_2 + PLACED ( 173880 127840 ) S ;
- ANTENNA_output489_A sky130_fd_sc_hd__diode_2 + PLACED ( 161000 144160 ) S ;
- ANTENNA_output492_A sky130_fd_sc_hd__diode_2 + PLACED ( 132940 157760 ) FN ;
- ANTENNA_output494_A sky130_fd_sc_hd__diode_2 + PLACED ( 31740 138720 ) FS ;
- ANTENNA_output497_A sky130_fd_sc_hd__diode_2 + PLACED ( 48300 40800 ) S ;
- ANTENNA_output498_A sky130_fd_sc_hd__diode_2 + PLACED ( 103960 152320 ) FN ;
- ANTENNA_output499_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 119680 ) FN ;
- ANTENNA_output501_A sky130_fd_sc_hd__diode_2 + PLACED ( 146280 155040 ) S ;
- ANTENNA_output502_A sky130_fd_sc_hd__diode_2 + PLACED ( 175720 78880 ) FS ;
- ANTENNA_output504_A sky130_fd_sc_hd__diode_2 + PLACED ( 175720 125120 ) FN ;
- ANTENNA_output505_A sky130_fd_sc_hd__diode_2 + PLACED ( 35880 48960 ) FN ;
- ANTENNA_output506_A sky130_fd_sc_hd__diode_2 + PLACED ( 40020 46240 ) S ;
- ANTENNA_output509_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 155040 ) S ;
- ANTENNA_output513_A sky130_fd_sc_hd__diode_2 + PLACED ( 157780 146880 ) FN ;
- ANTENNA_output514_A sky130_fd_sc_hd__diode_2 + PLACED ( 111780 155040 ) S ;
- ANTENNA_output517_A sky130_fd_sc_hd__diode_2 + PLACED ( 52900 38080 ) N ;
- ANTENNA_output518_A sky130_fd_sc_hd__diode_2 + PLACED ( 164680 65280 ) FN ;
- ANTENNA_output521_A sky130_fd_sc_hd__diode_2 + PLACED ( 156400 59840 ) FN ;
- ANTENNA_output522_A sky130_fd_sc_hd__diode_2 + PLACED ( 151800 149600 ) FS ;
- ANTENNA_output524_A sky130_fd_sc_hd__diode_2 + PLACED ( 21620 119680 ) FN ;
- ANTENNA_output528_A sky130_fd_sc_hd__diode_2 + PLACED ( 30360 54400 ) FN ;
- ANTENNA_output532_A sky130_fd_sc_hd__diode_2 + PLACED ( 111780 152320 ) N ;
- ANTENNA_output534_A sky130_fd_sc_hd__diode_2 + PLACED ( 35420 141440 ) FN ;
- ANTENNA_output537_A sky130_fd_sc_hd__diode_2 + PLACED ( 151800 57120 ) FS ;
- ANTENNA_output541_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 146880 ) N ;
- ANTENNA_output543_A sky130_fd_sc_hd__diode_2 + PLACED ( 163300 141440 ) FN ;
- ANTENNA_output544_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 138720 ) S ;
- ANTENNA_output545_A sky130_fd_sc_hd__diode_2 + PLACED ( 137540 48960 ) FN ;
- ANTENNA_output546_A sky130_fd_sc_hd__diode_2 + PLACED ( 155020 146880 ) FN ;
- ANTENNA_output547_A sky130_fd_sc_hd__diode_2 + PLACED ( 153640 59840 ) FN ;
- ANTENNA_output554_A sky130_fd_sc_hd__diode_2 + PLACED ( 26680 127840 ) FS ;
- ANTENNA_output558_A sky130_fd_sc_hd__diode_2 + PLACED ( 25300 133280 ) S ;
- ANTENNA_output561_A sky130_fd_sc_hd__diode_2 + PLACED ( 44620 43520 ) FN ;
- ANTENNA_output565_A sky130_fd_sc_hd__diode_2 + PLACED ( 147660 152320 ) N ;
- ANTENNA_output566_A sky130_fd_sc_hd__diode_2 + PLACED ( 143520 155040 ) FS ;
- ANTENNA_output567_A sky130_fd_sc_hd__diode_2 + PLACED ( 140760 155040 ) S ;
- ANTENNA_output571_A sky130_fd_sc_hd__diode_2 + PLACED ( 51060 40800 ) S ;
- ANTENNA_output575_A sky130_fd_sc_hd__diode_2 + PLACED ( 38180 141440 ) FN ;
- ANTENNA_output576_A sky130_fd_sc_hd__diode_2 + PLACED ( 173420 70720 ) FN ;
- ANTENNA_output577_A sky130_fd_sc_hd__diode_2 + PLACED ( 29900 136000 ) FN ;
- ANTENNA_output584_A sky130_fd_sc_hd__diode_2 + PLACED ( 43240 144160 ) S ;
- ANTENNA_output585_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 136000 ) FN ;
- ANTENNA_output586_A sky130_fd_sc_hd__diode_2 + PLACED ( 149040 149600 ) S ;
- ANTENNA_output588_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 138720 ) S ;
- ANTENNA_output589_A sky130_fd_sc_hd__diode_2 + PLACED ( 141220 51680 ) S ;
- ANTENNA_output590_A sky130_fd_sc_hd__diode_2 + PLACED ( 165600 138720 ) FS ;
- ANTENNA_output599_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 35360 ) S ;
- ANTENNA_output600_A sky130_fd_sc_hd__diode_2 + PLACED ( 167440 136000 ) N ;
- ANTENNA_output602_A sky130_fd_sc_hd__diode_2 + PLACED ( 157320 144160 ) S ;
- ANTENNA_output603_A sky130_fd_sc_hd__diode_2 + PLACED ( 55660 38080 ) N ;
- ANTENNA_output605_A sky130_fd_sc_hd__diode_2 + PLACED ( 40940 141440 ) N ;
- ANTENNA_output608_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 146880 ) FN ;
- ANTENNA_output610_A sky130_fd_sc_hd__diode_2 + PLACED ( 166980 68000 ) S ;
- ANTENNA_output611_A sky130_fd_sc_hd__diode_2 + PLACED ( 24840 130560 ) FN ;
- ANTENNA_output616_A sky130_fd_sc_hd__diode_2 + PLACED ( 60260 35360 ) FS ;
- ANTENNA_output621_A sky130_fd_sc_hd__diode_2 + PLACED ( 144440 152320 ) FN ;
- ANTENNA_output625_A sky130_fd_sc_hd__diode_2 + PLACED ( 138000 155040 ) FS ;
- ANTENNA_output627_A sky130_fd_sc_hd__diode_2 + PLACED ( 131560 46240 ) S ;
- ANTENNA_output628_A sky130_fd_sc_hd__diode_2 + PLACED ( 149040 57120 ) S ;
- ANTENNA_output630_A sky130_fd_sc_hd__diode_2 + PLACED ( 34500 51680 ) S ;
- ANTENNA_output631_A sky130_fd_sc_hd__diode_2 + PLACED ( 38640 48960 ) FN ;
- ANTENNA_output633_A sky130_fd_sc_hd__diode_2 + PLACED ( 162840 138720 ) FS ;
- ANTENNA_output634_A sky130_fd_sc_hd__diode_2 + PLACED ( 160540 141440 ) FN ;
- ANTENNA_output635_A sky130_fd_sc_hd__diode_2 + PLACED ( 70840 35360 ) FS ;
- ANTENNA_output641_A sky130_fd_sc_hd__diode_2 + PLACED ( 60260 38080 ) FN ;
- ANTENNA_output648_A sky130_fd_sc_hd__diode_2 + PLACED ( 28060 133280 ) S ;
- ANTENNA_output649_A sky130_fd_sc_hd__diode_2 + PLACED ( 32660 136000 ) N ;
- ANTENNA_output651_A sky130_fd_sc_hd__diode_2 + PLACED ( 42780 46240 ) S ;
- ANTENNA_output654_A sky130_fd_sc_hd__diode_2 + PLACED ( 123740 155040 ) S ;
- ANTENNA_output657_A sky130_fd_sc_hd__diode_2 + PLACED ( 41400 48960 ) N ;
- ANTENNA_output662_A sky130_fd_sc_hd__diode_2 + PLACED ( 161920 65280 ) FN ;
- ANTENNA_output664_A sky130_fd_sc_hd__diode_2 + PLACED ( 149500 146880 ) N ;
- ANTENNA_output665_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 146880 ) FN ;
- ANTENNA_output666_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 40800 ) FS ;
- ANTENNA_output669_A sky130_fd_sc_hd__diode_2 + PLACED ( 173880 73440 ) S ;
- ANTENNA_output670_A sky130_fd_sc_hd__diode_2 + PLACED ( 25760 84320 ) S ;
- ANTENNA_output672_A sky130_fd_sc_hd__diode_2 + PLACED ( 47380 43520 ) FN ;
- ANTENNA_output676_A sky130_fd_sc_hd__diode_2 + PLACED ( 157780 141440 ) FN ;
- ANTENNA_output677_A sky130_fd_sc_hd__diode_2 + PLACED ( 123740 40800 ) S ;
- ANTENNA_output683_A sky130_fd_sc_hd__diode_2 + PLACED ( 157320 62560 ) S ;
- ANTENNA_output684_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 46240 ) FS ;
- ANTENNA_output688_A sky130_fd_sc_hd__diode_2 + PLACED ( 50140 43520 ) FN ;
- ANTENNA_output695_A sky130_fd_sc_hd__diode_2 + PLACED ( 53820 40800 ) S ;
- ANTENNA_output696_A sky130_fd_sc_hd__diode_2 + PLACED ( 109940 149600 ) S ;
- ANTENNA_output699_A sky130_fd_sc_hd__diode_2 + PLACED ( 138920 152320 ) FN ;
- ANTENNA_output702_A sky130_fd_sc_hd__diode_2 + PLACED ( 152260 146880 ) FN ;
- ANTENNA_output706_A sky130_fd_sc_hd__diode_2 + PLACED ( 170200 133280 ) FS ;
- ANTENNA_output708_A sky130_fd_sc_hd__diode_2 + PLACED ( 135240 155040 ) S ;
- ANTENNA_output710_A sky130_fd_sc_hd__diode_2 + PLACED ( 37260 138720 ) FS ;
- ANTENNA_output711_A sky130_fd_sc_hd__diode_2 + PLACED ( 53820 149600 ) S ;
- ANTENNA_output712_A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 43520 ) FN ;
- FILLER_0_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 10880 ) N ;
- FILLER_0_123 sky130_fd_sc_hd__decap_6 + PLACED ( 62100 10880 ) N ;
- FILLER_0_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 10880 ) N ;
- FILLER_0_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 10880 ) N ;
- FILLER_0_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 10880 ) N ;
- FILLER_0_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 10880 ) N ;
- FILLER_0_159 sky130_fd_sc_hd__decap_8 + PLACED ( 78660 10880 ) N ;
- FILLER_0_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 10880 ) N ;
- FILLER_0_17 sky130_fd_sc_hd__fill_1 + PLACED ( 13340 10880 ) N ;
- FILLER_0_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 10880 ) N ;
- FILLER_0_188 sky130_fd_sc_hd__decap_8 + PLACED ( 92000 10880 ) N ;
- FILLER_0_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 10880 ) N ;
- FILLER_0_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 10880 ) N ;
- FILLER_0_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 10880 ) N ;
- FILLER_0_215 sky130_fd_sc_hd__decap_8 + PLACED ( 104420 10880 ) N ;
- FILLER_0_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 10880 ) N ;
- FILLER_0_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 10880 ) N ;
- FILLER_0_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 10880 ) N ;
- FILLER_0_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 10880 ) N ;
- FILLER_0_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 10880 ) N ;
- FILLER_0_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 10880 ) N ;
- FILLER_0_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 10880 ) N ;
- FILLER_0_257 sky130_fd_sc_hd__fill_1 + PLACED ( 123740 10880 ) N ;
- FILLER_0_262 sky130_fd_sc_hd__decap_4 + PLACED ( 126040 10880 ) N ;
- FILLER_0_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 10880 ) N ;
- FILLER_0_291 sky130_fd_sc_hd__decap_6 + PLACED ( 139380 10880 ) N ;
- FILLER_0_297 sky130_fd_sc_hd__fill_1 + PLACED ( 142140 10880 ) N ;
- FILLER_0_302 sky130_fd_sc_hd__decap_6 + PLACED ( 144440 10880 ) N ;
- FILLER_0_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 10880 ) N ;
- FILLER_0_321 sky130_fd_sc_hd__decap_6 + PLACED ( 153180 10880 ) N ;
- FILLER_0_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 10880 ) N ;
- FILLER_0_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 10880 ) N ;
- FILLER_0_356 sky130_fd_sc_hd__decap_8 + PLACED ( 169280 10880 ) N ;
- FILLER_0_365 sky130_fd_sc_hd__fill_2 + PLACED ( 173420 10880 ) N ;
- FILLER_0_377 sky130_fd_sc_hd__decap_4 + PLACED ( 178940 10880 ) N ;
- FILLER_0_381 sky130_fd_sc_hd__fill_1 + PLACED ( 180780 10880 ) N ;
- FILLER_0_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 10880 ) N ;
- FILLER_0_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 10880 ) N ;
- FILLER_0_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 10880 ) N ;
- FILLER_0_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 10880 ) N ;
- FILLER_0_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 10880 ) N ;
- FILLER_0_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 10880 ) N ;
- FILLER_0_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 10880 ) N ;
- FILLER_0_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 10880 ) N ;
- FILLER_0_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 10880 ) N ;
- FILLER_0_97 sky130_fd_sc_hd__fill_1 + PLACED ( 50140 10880 ) N ;
- FILLER_10_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 38080 ) N ;
- FILLER_10_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 38080 ) N ;
- FILLER_10_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 38080 ) N ;
- FILLER_10_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 38080 ) N ;
- FILLER_10_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 38080 ) N ;
- FILLER_10_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 38080 ) N ;
- FILLER_10_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 38080 ) N ;
- FILLER_10_149 sky130_fd_sc_hd__decap_6 + PLACED ( 74060 38080 ) N ;
- FILLER_10_157 sky130_fd_sc_hd__decap_4 + PLACED ( 77740 38080 ) N ;
- FILLER_10_166 sky130_fd_sc_hd__decap_4 + PLACED ( 81880 38080 ) N ;
- FILLER_10_172 sky130_fd_sc_hd__decap_6 + PLACED ( 84640 38080 ) N ;
- FILLER_10_180 sky130_fd_sc_hd__decap_4 + PLACED ( 88320 38080 ) N ;
- FILLER_10_187 sky130_fd_sc_hd__decap_8 + PLACED ( 91540 38080 ) N ;
- FILLER_10_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 38080 ) N ;
- FILLER_10_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 38080 ) N ;
- FILLER_10_197 sky130_fd_sc_hd__fill_2 + PLACED ( 96140 38080 ) N ;
- FILLER_10_204 sky130_fd_sc_hd__decap_4 + PLACED ( 99360 38080 ) N ;
- FILLER_10_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 38080 ) N ;
- FILLER_10_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 38080 ) N ;
- FILLER_10_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 38080 ) N ;
- FILLER_10_229 sky130_fd_sc_hd__fill_1 + PLACED ( 110860 38080 ) N ;
- FILLER_10_232 sky130_fd_sc_hd__decap_4 + PLACED ( 112240 38080 ) N ;
- FILLER_10_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 38080 ) N ;
- FILLER_10_242 sky130_fd_sc_hd__fill_1 + PLACED ( 116840 38080 ) N ;
- FILLER_10_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 38080 ) N ;
- FILLER_10_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 38080 ) N ;
- FILLER_10_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 38080 ) N ;
- FILLER_10_258 sky130_fd_sc_hd__decap_4 + PLACED ( 124200 38080 ) N ;
- FILLER_10_264 sky130_fd_sc_hd__decap_6 + PLACED ( 126960 38080 ) N ;
- FILLER_10_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 38080 ) N ;
- FILLER_10_272 sky130_fd_sc_hd__decap_4 + PLACED ( 130640 38080 ) N ;
- FILLER_10_278 sky130_fd_sc_hd__decap_4 + PLACED ( 133400 38080 ) N ;
- FILLER_10_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 38080 ) N ;
- FILLER_10_290 sky130_fd_sc_hd__decap_4 + PLACED ( 138920 38080 ) N ;
- FILLER_10_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 38080 ) N ;
- FILLER_10_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 38080 ) N ;
- FILLER_10_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 38080 ) N ;
- FILLER_10_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 38080 ) N ;
- FILLER_10_309 sky130_fd_sc_hd__fill_1 + PLACED ( 147660 38080 ) N ;
- FILLER_10_312 sky130_fd_sc_hd__decap_4 + PLACED ( 149040 38080 ) N ;
- FILLER_10_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 38080 ) N ;
- FILLER_10_326 sky130_fd_sc_hd__decap_4 + PLACED ( 155480 38080 ) N ;
- FILLER_10_33 sky130_fd_sc_hd__decap_8 + PLACED ( 20700 38080 ) N ;
- FILLER_10_333 sky130_fd_sc_hd__decap_4 + PLACED ( 158700 38080 ) N ;
- FILLER_10_340 sky130_fd_sc_hd__decap_8 + PLACED ( 161920 38080 ) N ;
- FILLER_10_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 38080 ) N ;
- FILLER_10_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 38080 ) N ;
- FILLER_10_365 sky130_fd_sc_hd__fill_2 + PLACED ( 173420 38080 ) N ;
- FILLER_10_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 38080 ) N ;
- FILLER_10_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 38080 ) N ;
- FILLER_10_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 38080 ) N ;
- FILLER_10_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 38080 ) N ;
- FILLER_10_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 38080 ) N ;
- FILLER_10_47 sky130_fd_sc_hd__decap_4 + PLACED ( 27140 38080 ) N ;
- FILLER_10_54 sky130_fd_sc_hd__decap_4 + PLACED ( 30360 38080 ) N ;
- FILLER_10_60 sky130_fd_sc_hd__decap_4 + PLACED ( 33120 38080 ) N ;
- FILLER_10_66 sky130_fd_sc_hd__decap_4 + PLACED ( 35880 38080 ) N ;
- FILLER_10_72 sky130_fd_sc_hd__decap_4 + PLACED ( 38640 38080 ) N ;
- FILLER_10_78 sky130_fd_sc_hd__decap_6 + PLACED ( 41400 38080 ) N ;
- FILLER_10_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 38080 ) N ;
- FILLER_10_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 38080 ) N ;
- FILLER_10_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 38080 ) N ;
- FILLER_11_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 40800 ) FS ;
- FILLER_11_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 40800 ) FS ;
- FILLER_11_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 40800 ) FS ;
- FILLER_11_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 40800 ) FS ;
- FILLER_11_115 sky130_fd_sc_hd__decap_12 + PLACED ( 58420 40800 ) FS ;
- FILLER_11_127 sky130_fd_sc_hd__fill_2 + PLACED ( 63940 40800 ) FS ;
- FILLER_11_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 40800 ) FS ;
- FILLER_11_139 sky130_fd_sc_hd__decap_4 + PLACED ( 69460 40800 ) FS ;
- FILLER_11_148 sky130_fd_sc_hd__decap_4 + PLACED ( 73600 40800 ) FS ;
- FILLER_11_154 sky130_fd_sc_hd__decap_8 + PLACED ( 76360 40800 ) FS ;
- FILLER_11_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 40800 ) FS ;
- FILLER_11_169 sky130_fd_sc_hd__fill_2 + PLACED ( 83260 40800 ) FS ;
- FILLER_11_176 sky130_fd_sc_hd__decap_4 + PLACED ( 86480 40800 ) FS ;
- FILLER_11_182 sky130_fd_sc_hd__decap_8 + PLACED ( 89240 40800 ) FS ;
- FILLER_11_190 sky130_fd_sc_hd__fill_1 + PLACED ( 92920 40800 ) FS ;
- FILLER_11_193 sky130_fd_sc_hd__decap_4 + PLACED ( 94300 40800 ) FS ;
- FILLER_11_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 40800 ) FS ;
- FILLER_11_205 sky130_fd_sc_hd__fill_1 + PLACED ( 99820 40800 ) FS ;
- FILLER_11_208 sky130_fd_sc_hd__decap_4 + PLACED ( 101200 40800 ) FS ;
- FILLER_11_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 40800 ) FS ;
- FILLER_11_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 40800 ) FS ;
- FILLER_11_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 40800 ) FS ;
- FILLER_11_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 40800 ) FS ;
- FILLER_11_230 sky130_fd_sc_hd__decap_4 + PLACED ( 111320 40800 ) FS ;
- FILLER_11_236 sky130_fd_sc_hd__decap_4 + PLACED ( 114080 40800 ) FS ;
- FILLER_11_242 sky130_fd_sc_hd__decap_4 + PLACED ( 116840 40800 ) FS ;
- FILLER_11_248 sky130_fd_sc_hd__decap_8 + PLACED ( 119600 40800 ) FS ;
- FILLER_11_256 sky130_fd_sc_hd__fill_1 + PLACED ( 123280 40800 ) FS ;
- FILLER_11_259 sky130_fd_sc_hd__decap_4 + PLACED ( 124660 40800 ) FS ;
- FILLER_11_265 sky130_fd_sc_hd__decap_8 + PLACED ( 127420 40800 ) FS ;
- FILLER_11_273 sky130_fd_sc_hd__fill_1 + PLACED ( 131100 40800 ) FS ;
- FILLER_11_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 40800 ) FS ;
- FILLER_11_283 sky130_fd_sc_hd__decap_8 + PLACED ( 135700 40800 ) FS ;
- FILLER_11_293 sky130_fd_sc_hd__decap_8 + PLACED ( 140300 40800 ) FS ;
- FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) FS ;
- FILLER_11_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 40800 ) FS ;
- FILLER_11_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 40800 ) FS ;
- FILLER_11_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 40800 ) FS ;
- FILLER_11_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 40800 ) FS ;
- FILLER_11_319 sky130_fd_sc_hd__fill_1 + PLACED ( 152260 40800 ) FS ;
- FILLER_11_322 sky130_fd_sc_hd__decap_6 + PLACED ( 153640 40800 ) FS ;
- FILLER_11_328 sky130_fd_sc_hd__fill_1 + PLACED ( 156400 40800 ) FS ;
- FILLER_11_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 40800 ) FS ;
- FILLER_11_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 40800 ) FS ;
- FILLER_11_341 sky130_fd_sc_hd__fill_1 + PLACED ( 162380 40800 ) FS ;
- FILLER_11_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 40800 ) FS ;
- FILLER_11_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 40800 ) FS ;
- FILLER_11_360 sky130_fd_sc_hd__decap_8 + PLACED ( 171120 40800 ) FS ;
- FILLER_11_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 40800 ) FS ;
- FILLER_11_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 40800 ) FS ;
- FILLER_11_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 40800 ) FS ;
- FILLER_11_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 40800 ) FS ;
- FILLER_11_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 40800 ) FS ;
- FILLER_11_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 40800 ) FS ;
- FILLER_11_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 40800 ) FS ;
- FILLER_11_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 40800 ) FS ;
- FILLER_11_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 40800 ) FS ;
- FILLER_11_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 40800 ) FS ;
- FILLER_11_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 40800 ) FS ;
- FILLER_11_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 40800 ) FS ;
- FILLER_11_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 40800 ) FS ;
- FILLER_11_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 40800 ) FS ;
- FILLER_11_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 40800 ) FS ;
- FILLER_11_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 40800 ) FS ;
- FILLER_12_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 43520 ) N ;
- FILLER_12_111 sky130_fd_sc_hd__decap_12 + PLACED ( 56580 43520 ) N ;
- FILLER_12_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 43520 ) N ;
- FILLER_12_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_fd_sc_hd__decap_8 + PLACED ( 70380 43520 ) N ;
- FILLER_12_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_fd_sc_hd__fill_2 + PLACED ( 81420 43520 ) N ;
- FILLER_12_169 sky130_fd_sc_hd__decap_6 + PLACED ( 83260 43520 ) N ;
- FILLER_12_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 43520 ) N ;
- FILLER_12_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 43520 ) N ;
- FILLER_12_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 43520 ) N ;
- FILLER_12_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 43520 ) N ;
- FILLER_12_206 sky130_fd_sc_hd__decap_12 + PLACED ( 100280 43520 ) N ;
- FILLER_12_218 sky130_fd_sc_hd__decap_12 + PLACED ( 105800 43520 ) N ;
- FILLER_12_230 sky130_fd_sc_hd__decap_12 + PLACED ( 111320 43520 ) N ;
- FILLER_12_242 sky130_fd_sc_hd__decap_4 + PLACED ( 116840 43520 ) N ;
- FILLER_12_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 43520 ) N ;
- FILLER_12_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 43520 ) N ;
- FILLER_12_257 sky130_fd_sc_hd__fill_1 + PLACED ( 123740 43520 ) N ;
- FILLER_12_261 sky130_fd_sc_hd__decap_6 + PLACED ( 125580 43520 ) N ;
- FILLER_12_269 sky130_fd_sc_hd__decap_6 + PLACED ( 129260 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 43520 ) N ;
- FILLER_12_277 sky130_fd_sc_hd__decap_6 + PLACED ( 132940 43520 ) N ;
- FILLER_12_283 sky130_fd_sc_hd__fill_1 + PLACED ( 135700 43520 ) N ;
- FILLER_12_286 sky130_fd_sc_hd__decap_4 + PLACED ( 137080 43520 ) N ;
- FILLER_12_292 sky130_fd_sc_hd__decap_4 + PLACED ( 139840 43520 ) N ;
- FILLER_12_298 sky130_fd_sc_hd__decap_4 + PLACED ( 142600 43520 ) N ;
- FILLER_12_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 43520 ) N ;
- FILLER_12_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 43520 ) N ;
- FILLER_12_309 sky130_fd_sc_hd__decap_3 + PLACED ( 147660 43520 ) N ;
- FILLER_12_314 sky130_fd_sc_hd__decap_4 + PLACED ( 149960 43520 ) N ;
- FILLER_12_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 43520 ) N ;
- FILLER_12_320 sky130_fd_sc_hd__decap_4 + PLACED ( 152720 43520 ) N ;
- FILLER_12_326 sky130_fd_sc_hd__decap_8 + PLACED ( 155480 43520 ) N ;
- FILLER_12_334 sky130_fd_sc_hd__fill_1 + PLACED ( 159160 43520 ) N ;
- FILLER_12_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 43520 ) N ;
- FILLER_12_344 sky130_fd_sc_hd__decap_6 + PLACED ( 163760 43520 ) N ;
- FILLER_12_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 43520 ) N ;
- FILLER_12_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 43520 ) N ;
- FILLER_12_365 sky130_fd_sc_hd__decap_3 + PLACED ( 173420 43520 ) N ;
- FILLER_12_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 43520 ) N ;
- FILLER_12_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 43520 ) N ;
- FILLER_12_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 43520 ) N ;
- FILLER_12_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 43520 ) N ;
- FILLER_12_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 43520 ) N ;
- FILLER_12_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 43520 ) N ;
- FILLER_12_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 43520 ) N ;
- FILLER_12_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 43520 ) N ;
- FILLER_12_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 43520 ) N ;
- FILLER_12_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 43520 ) N ;
- FILLER_12_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 43520 ) N ;
- FILLER_12_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 43520 ) N ;
- FILLER_12_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 43520 ) N ;
- FILLER_12_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 43520 ) N ;
- FILLER_12_99 sky130_fd_sc_hd__decap_12 + PLACED ( 51060 43520 ) N ;
- FILLER_13_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 46240 ) FS ;
- FILLER_13_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 46240 ) FS ;
- FILLER_13_118 sky130_fd_sc_hd__decap_4 + PLACED ( 59800 46240 ) FS ;
- FILLER_13_126 sky130_fd_sc_hd__decap_4 + PLACED ( 63480 46240 ) FS ;
- FILLER_13_134 sky130_fd_sc_hd__decap_12 + PLACED ( 67160 46240 ) FS ;
- FILLER_13_146 sky130_fd_sc_hd__decap_12 + PLACED ( 72680 46240 ) FS ;
- FILLER_13_158 sky130_fd_sc_hd__decap_8 + PLACED ( 78200 46240 ) FS ;
- FILLER_13_16 sky130_fd_sc_hd__decap_4 + PLACED ( 12880 46240 ) FS ;
- FILLER_13_166 sky130_fd_sc_hd__fill_2 + PLACED ( 81880 46240 ) FS ;
- FILLER_13_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 46240 ) FS ;
- FILLER_13_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 46240 ) FS ;
- FILLER_13_193 sky130_fd_sc_hd__fill_2 + PLACED ( 94300 46240 ) FS ;
- FILLER_13_199 sky130_fd_sc_hd__decap_6 + PLACED ( 97060 46240 ) FS ;
- FILLER_13_205 sky130_fd_sc_hd__fill_1 + PLACED ( 99820 46240 ) FS ;
- FILLER_13_208 sky130_fd_sc_hd__decap_4 + PLACED ( 101200 46240 ) FS ;
- FILLER_13_214 sky130_fd_sc_hd__decap_8 + PLACED ( 103960 46240 ) FS ;
- FILLER_13_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 46240 ) FS ;
- FILLER_13_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 46240 ) FS ;
- FILLER_13_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 46240 ) FS ;
- FILLER_13_237 sky130_fd_sc_hd__decap_8 + PLACED ( 114540 46240 ) FS ;
- FILLER_13_245 sky130_fd_sc_hd__fill_1 + PLACED ( 118220 46240 ) FS ;
- FILLER_13_256 sky130_fd_sc_hd__decap_12 + PLACED ( 123280 46240 ) FS ;
- FILLER_13_268 sky130_fd_sc_hd__decap_6 + PLACED ( 128800 46240 ) FS ;
- FILLER_13_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 46240 ) FS ;
- FILLER_13_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 46240 ) FS ;
- FILLER_13_285 sky130_fd_sc_hd__fill_1 + PLACED ( 136620 46240 ) FS ;
- FILLER_13_288 sky130_fd_sc_hd__decap_4 + PLACED ( 138000 46240 ) FS ;
- FILLER_13_297 sky130_fd_sc_hd__decap_8 + PLACED ( 142140 46240 ) FS ;
- FILLER_13_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 46240 ) FS ;
- FILLER_13_307 sky130_fd_sc_hd__decap_4 + PLACED ( 146740 46240 ) FS ;
- FILLER_13_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 46240 ) FS ;
- FILLER_13_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 46240 ) FS ;
- FILLER_13_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 46240 ) FS ;
- FILLER_13_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 46240 ) FS ;
- FILLER_13_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 46240 ) FS ;
- FILLER_13_339 sky130_fd_sc_hd__decap_4 + PLACED ( 161460 46240 ) FS ;
- FILLER_13_346 sky130_fd_sc_hd__decap_4 + PLACED ( 164680 46240 ) FS ;
- FILLER_13_353 sky130_fd_sc_hd__decap_8 + PLACED ( 167900 46240 ) FS ;
- FILLER_13_361 sky130_fd_sc_hd__fill_1 + PLACED ( 171580 46240 ) FS ;
- FILLER_13_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 46240 ) FS ;
- FILLER_13_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 46240 ) FS ;
- FILLER_13_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 46240 ) FS ;
- FILLER_13_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 46240 ) FS ;
- FILLER_13_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 46240 ) FS ;
- FILLER_13_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 46240 ) FS ;
- FILLER_13_397 sky130_fd_sc_hd__fill_1 + PLACED ( 188140 46240 ) FS ;
- FILLER_13_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 46240 ) FS ;
- FILLER_13_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 46240 ) FS ;
- FILLER_13_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 46240 ) FS ;
- FILLER_13_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 46240 ) FS ;
- FILLER_13_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 46240 ) FS ;
- FILLER_13_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 46240 ) FS ;
- FILLER_13_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 46240 ) FS ;
- FILLER_13_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 46240 ) FS ;
- FILLER_13_8 sky130_fd_sc_hd__decap_4 + PLACED ( 9200 46240 ) FS ;
- FILLER_13_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 46240 ) FS ;
- FILLER_13_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 46240 ) FS ;
- FILLER_13_97 sky130_fd_sc_hd__fill_1 + PLACED ( 50140 46240 ) FS ;
- FILLER_14_102 sky130_fd_sc_hd__decap_4 + PLACED ( 52440 48960 ) N ;
- FILLER_14_106 sky130_fd_sc_hd__fill_1 + PLACED ( 54280 48960 ) N ;
- FILLER_14_112 sky130_fd_sc_hd__decap_6 + PLACED ( 57040 48960 ) N ;
- FILLER_14_128 sky130_fd_sc_hd__decap_12 + PLACED ( 64400 48960 ) N ;
- FILLER_14_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 48960 ) N ;
- FILLER_14_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 48960 ) N ;
- FILLER_14_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 48960 ) N ;
- FILLER_14_189 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 48960 ) N ;
- FILLER_14_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 48960 ) N ;
- FILLER_14_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 48960 ) N ;
- FILLER_14_209 sky130_fd_sc_hd__fill_1 + PLACED ( 101660 48960 ) N ;
- FILLER_14_215 sky130_fd_sc_hd__decap_4 + PLACED ( 104420 48960 ) N ;
- FILLER_14_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 48960 ) N ;
- FILLER_14_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 48960 ) N ;
- FILLER_14_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 48960 ) N ;
- FILLER_14_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 48960 ) N ;
- FILLER_14_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 48960 ) N ;
- FILLER_14_255 sky130_fd_sc_hd__decap_12 + PLACED ( 122820 48960 ) N ;
- FILLER_14_267 sky130_fd_sc_hd__decap_12 + PLACED ( 128340 48960 ) N ;
- FILLER_14_279 sky130_fd_sc_hd__decap_8 + PLACED ( 133860 48960 ) N ;
- FILLER_14_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 48960 ) N ;
- FILLER_14_295 sky130_fd_sc_hd__decap_6 + PLACED ( 141220 48960 ) N ;
- FILLER_14_301 sky130_fd_sc_hd__fill_1 + PLACED ( 143980 48960 ) N ;
- FILLER_14_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 48960 ) N ;
- FILLER_14_312 sky130_fd_sc_hd__decap_8 + PLACED ( 149040 48960 ) N ;
- FILLER_14_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 48960 ) N ;
- FILLER_14_320 sky130_fd_sc_hd__fill_1 + PLACED ( 152720 48960 ) N ;
- FILLER_14_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 48960 ) N ;
- FILLER_14_329 sky130_fd_sc_hd__decap_4 + PLACED ( 156860 48960 ) N ;
- FILLER_14_335 sky130_fd_sc_hd__decap_4 + PLACED ( 159620 48960 ) N ;
- FILLER_14_341 sky130_fd_sc_hd__decap_6 + PLACED ( 162380 48960 ) N ;
- FILLER_14_349 sky130_fd_sc_hd__decap_6 + PLACED ( 166060 48960 ) N ;
- FILLER_14_358 sky130_fd_sc_hd__decap_6 + PLACED ( 170200 48960 ) N ;
- FILLER_14_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 48960 ) N ;
- FILLER_14_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 48960 ) N ;
- FILLER_14_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 48960 ) N ;
- FILLER_14_382 sky130_fd_sc_hd__decap_4 + PLACED ( 181240 48960 ) N ;
- FILLER_14_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 48960 ) N ;
- FILLER_14_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 48960 ) N ;
- FILLER_14_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 48960 ) N ;
- FILLER_14_50 sky130_fd_sc_hd__decap_4 + PLACED ( 28520 48960 ) N ;
- FILLER_14_56 sky130_fd_sc_hd__decap_4 + PLACED ( 31280 48960 ) N ;
- FILLER_14_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 48960 ) N ;
- FILLER_14_68 sky130_fd_sc_hd__decap_4 + PLACED ( 36800 48960 ) N ;
- FILLER_14_74 sky130_fd_sc_hd__decap_4 + PLACED ( 39560 48960 ) N ;
- FILLER_14_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 48960 ) N ;
- FILLER_14_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 48960 ) N ;
- FILLER_14_96 sky130_fd_sc_hd__decap_4 + PLACED ( 49680 48960 ) N ;
- FILLER_15_101 sky130_fd_sc_hd__decap_8 + PLACED ( 51980 51680 ) FS ;
- FILLER_15_109 sky130_fd_sc_hd__decap_3 + PLACED ( 55660 51680 ) FS ;
- FILLER_15_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 51680 ) FS ;
- FILLER_15_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 51680 ) FS ;
- FILLER_15_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 51680 ) FS ;
- FILLER_15_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 51680 ) FS ;
- FILLER_15_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 51680 ) FS ;
- FILLER_15_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_fd_sc_hd__decap_3 + PLACED ( 99820 51680 ) FS ;
- FILLER_15_210 sky130_fd_sc_hd__decap_12 + PLACED ( 102120 51680 ) FS ;
- FILLER_15_222 sky130_fd_sc_hd__fill_2 + PLACED ( 107640 51680 ) FS ;
- FILLER_15_235 sky130_fd_sc_hd__decap_8 + PLACED ( 113620 51680 ) FS ;
- FILLER_15_243 sky130_fd_sc_hd__fill_2 + PLACED ( 117300 51680 ) FS ;
- FILLER_15_249 sky130_fd_sc_hd__decap_4 + PLACED ( 120060 51680 ) FS ;
- FILLER_15_258 sky130_fd_sc_hd__decap_12 + PLACED ( 124200 51680 ) FS ;
- FILLER_15_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 51680 ) FS ;
- FILLER_15_270 sky130_fd_sc_hd__decap_8 + PLACED ( 129720 51680 ) FS ;
- FILLER_15_278 sky130_fd_sc_hd__fill_2 + PLACED ( 133400 51680 ) FS ;
- FILLER_15_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_fd_sc_hd__fill_2 + PLACED ( 140300 51680 ) FS ;
- FILLER_15_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 51680 ) FS ;
- FILLER_15_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 51680 ) FS ;
- FILLER_15_303 sky130_fd_sc_hd__decap_6 + PLACED ( 144900 51680 ) FS ;
- FILLER_15_311 sky130_fd_sc_hd__decap_6 + PLACED ( 148580 51680 ) FS ;
- FILLER_15_317 sky130_fd_sc_hd__fill_1 + PLACED ( 151340 51680 ) FS ;
- FILLER_15_320 sky130_fd_sc_hd__decap_4 + PLACED ( 152720 51680 ) FS ;
- FILLER_15_326 sky130_fd_sc_hd__decap_4 + PLACED ( 155480 51680 ) FS ;
- FILLER_15_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 51680 ) FS ;
- FILLER_15_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 51680 ) FS ;
- FILLER_15_34 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 51680 ) FS ;
- FILLER_15_343 sky130_fd_sc_hd__decap_8 + PLACED ( 163300 51680 ) FS ;
- FILLER_15_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 51680 ) FS ;
- FILLER_15_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 51680 ) FS ;
- FILLER_15_366 sky130_fd_sc_hd__decap_4 + PLACED ( 173880 51680 ) FS ;
- FILLER_15_370 sky130_fd_sc_hd__fill_1 + PLACED ( 175720 51680 ) FS ;
- FILLER_15_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 51680 ) FS ;
- FILLER_15_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 51680 ) FS ;
- FILLER_15_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 51680 ) FS ;
- FILLER_15_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 51680 ) FS ;
- FILLER_15_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 51680 ) FS ;
- FILLER_15_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 51680 ) FS ;
- FILLER_15_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 51680 ) FS ;
- FILLER_15_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 51680 ) FS ;
- FILLER_15_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 51680 ) FS ;
- FILLER_15_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 51680 ) FS ;
- FILLER_15_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 51680 ) FS ;
- FILLER_15_89 sky130_fd_sc_hd__decap_12 + PLACED ( 46460 51680 ) FS ;
- FILLER_16_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 54400 ) N ;
- FILLER_16_117 sky130_fd_sc_hd__decap_3 + PLACED ( 59340 54400 ) N ;
- FILLER_16_126 sky130_fd_sc_hd__decap_12 + PLACED ( 63480 54400 ) N ;
- FILLER_16_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 54400 ) N ;
- FILLER_16_138 sky130_fd_sc_hd__fill_2 + PLACED ( 69000 54400 ) N ;
- FILLER_16_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 54400 ) N ;
- FILLER_16_153 sky130_fd_sc_hd__fill_2 + PLACED ( 75900 54400 ) N ;
- FILLER_16_158 sky130_fd_sc_hd__decap_12 + PLACED ( 78200 54400 ) N ;
- FILLER_16_170 sky130_fd_sc_hd__decap_12 + PLACED ( 83720 54400 ) N ;
- FILLER_16_182 sky130_fd_sc_hd__decap_12 + PLACED ( 89240 54400 ) N ;
- FILLER_16_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 54400 ) N ;
- FILLER_16_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 54400 ) N ;
- FILLER_16_209 sky130_fd_sc_hd__decap_8 + PLACED ( 101660 54400 ) N ;
- FILLER_16_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 54400 ) N ;
- FILLER_16_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 54400 ) N ;
- FILLER_16_230 sky130_fd_sc_hd__decap_4 + PLACED ( 111320 54400 ) N ;
- FILLER_16_236 sky130_fd_sc_hd__decap_4 + PLACED ( 114080 54400 ) N ;
- FILLER_16_242 sky130_fd_sc_hd__decap_8 + PLACED ( 116840 54400 ) N ;
- FILLER_16_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 54400 ) N ;
- FILLER_16_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 54400 ) N ;
- FILLER_16_265 sky130_fd_sc_hd__decap_6 + PLACED ( 127420 54400 ) N ;
- FILLER_16_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 54400 ) N ;
- FILLER_16_273 sky130_fd_sc_hd__decap_12 + PLACED ( 131100 54400 ) N ;
- FILLER_16_285 sky130_fd_sc_hd__decap_12 + PLACED ( 136620 54400 ) N ;
- FILLER_16_297 sky130_fd_sc_hd__decap_8 + PLACED ( 142140 54400 ) N ;
- FILLER_16_305 sky130_fd_sc_hd__decap_3 + PLACED ( 145820 54400 ) N ;
- FILLER_16_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 54400 ) N ;
- FILLER_16_313 sky130_fd_sc_hd__decap_8 + PLACED ( 149500 54400 ) N ;
- FILLER_16_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 54400 ) N ;
- FILLER_16_321 sky130_fd_sc_hd__fill_1 + PLACED ( 153180 54400 ) N ;
- FILLER_16_324 sky130_fd_sc_hd__decap_4 + PLACED ( 154560 54400 ) N ;
- FILLER_16_330 sky130_fd_sc_hd__decap_4 + PLACED ( 157320 54400 ) N ;
- FILLER_16_336 sky130_fd_sc_hd__decap_4 + PLACED ( 160080 54400 ) N ;
- FILLER_16_342 sky130_fd_sc_hd__decap_4 + PLACED ( 162840 54400 ) N ;
- FILLER_16_348 sky130_fd_sc_hd__decap_4 + PLACED ( 165600 54400 ) N ;
- FILLER_16_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 54400 ) N ;
- FILLER_16_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 54400 ) N ;
- FILLER_16_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 54400 ) N ;
- FILLER_16_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 54400 ) N ;
- FILLER_16_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 54400 ) N ;
- FILLER_16_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 54400 ) N ;
- FILLER_16_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 54400 ) N ;
- FILLER_16_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 54400 ) N ;
- FILLER_16_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 54400 ) N ;
- FILLER_16_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 54400 ) N ;
- FILLER_16_50 sky130_fd_sc_hd__decap_4 + PLACED ( 28520 54400 ) N ;
- FILLER_16_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 54400 ) N ;
- FILLER_16_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 54400 ) N ;
- FILLER_16_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 54400 ) N ;
- FILLER_16_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 54400 ) N ;
- FILLER_16_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 54400 ) N ;
- FILLER_17_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 57120 ) FS ;
- FILLER_17_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 57120 ) FS ;
- FILLER_17_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 57120 ) FS ;
- FILLER_17_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 57120 ) FS ;
- FILLER_17_137 sky130_fd_sc_hd__decap_8 + PLACED ( 68540 57120 ) FS ;
- FILLER_17_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 57120 ) FS ;
- FILLER_17_150 sky130_fd_sc_hd__decap_4 + PLACED ( 74520 57120 ) FS ;
- FILLER_17_156 sky130_fd_sc_hd__decap_12 + PLACED ( 77280 57120 ) FS ;
- FILLER_17_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 57120 ) FS ;
- FILLER_17_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 57120 ) FS ;
- FILLER_17_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 57120 ) FS ;
- FILLER_17_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 57120 ) FS ;
- FILLER_17_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 57120 ) FS ;
- FILLER_17_227 sky130_fd_sc_hd__decap_12 + PLACED ( 109940 57120 ) FS ;
- FILLER_17_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 57120 ) FS ;
- FILLER_17_251 sky130_fd_sc_hd__decap_12 + PLACED ( 120980 57120 ) FS ;
- FILLER_17_263 sky130_fd_sc_hd__decap_4 + PLACED ( 126500 57120 ) FS ;
- FILLER_17_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 57120 ) FS ;
- FILLER_17_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 57120 ) FS ;
- FILLER_17_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 57120 ) FS ;
- FILLER_17_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 57120 ) FS ;
- FILLER_17_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 57120 ) FS ;
- FILLER_17_305 sky130_fd_sc_hd__decap_6 + PLACED ( 145820 57120 ) FS ;
- FILLER_17_311 sky130_fd_sc_hd__fill_1 + PLACED ( 148580 57120 ) FS ;
- FILLER_17_314 sky130_fd_sc_hd__decap_4 + PLACED ( 149960 57120 ) FS ;
- FILLER_17_320 sky130_fd_sc_hd__decap_4 + PLACED ( 152720 57120 ) FS ;
- FILLER_17_326 sky130_fd_sc_hd__decap_4 + PLACED ( 155480 57120 ) FS ;
- FILLER_17_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 57120 ) FS ;
- FILLER_17_337 sky130_fd_sc_hd__fill_1 + PLACED ( 160540 57120 ) FS ;
- FILLER_17_340 sky130_fd_sc_hd__decap_4 + PLACED ( 161920 57120 ) FS ;
- FILLER_17_346 sky130_fd_sc_hd__decap_4 + PLACED ( 164680 57120 ) FS ;
- FILLER_17_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 57120 ) FS ;
- FILLER_17_358 sky130_fd_sc_hd__decap_4 + PLACED ( 170200 57120 ) FS ;
- FILLER_17_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 57120 ) FS ;
- FILLER_17_364 sky130_fd_sc_hd__decap_4 + PLACED ( 172960 57120 ) FS ;
- FILLER_17_370 sky130_fd_sc_hd__decap_4 + PLACED ( 175720 57120 ) FS ;
- FILLER_17_376 sky130_fd_sc_hd__decap_4 + PLACED ( 178480 57120 ) FS ;
- FILLER_17_382 sky130_fd_sc_hd__decap_4 + PLACED ( 181240 57120 ) FS ;
- FILLER_17_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 57120 ) FS ;
- FILLER_17_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 57120 ) FS ;
- FILLER_17_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 57120 ) FS ;
- FILLER_17_42 sky130_fd_sc_hd__decap_4 + PLACED ( 24840 57120 ) FS ;
- FILLER_17_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 57120 ) FS ;
- FILLER_17_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 57120 ) FS ;
- FILLER_17_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 57120 ) FS ;
- FILLER_17_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 57120 ) FS ;
- FILLER_17_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 57120 ) FS ;
- FILLER_17_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 57120 ) FS ;
- FILLER_18_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 59840 ) N ;
- FILLER_18_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 59840 ) N ;
- FILLER_18_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 59840 ) N ;
- FILLER_18_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_fd_sc_hd__decap_3 + PLACED ( 81420 59840 ) N ;
- FILLER_18_172 sky130_fd_sc_hd__decap_12 + PLACED ( 84640 59840 ) N ;
- FILLER_18_184 sky130_fd_sc_hd__decap_12 + PLACED ( 90160 59840 ) N ;
- FILLER_18_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 59840 ) N ;
- FILLER_18_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 59840 ) N ;
- FILLER_18_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 59840 ) N ;
- FILLER_18_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 59840 ) N ;
- FILLER_18_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 59840 ) N ;
- FILLER_18_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 59840 ) N ;
- FILLER_18_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 59840 ) N ;
- FILLER_18_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 59840 ) N ;
- FILLER_18_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 59840 ) N ;
- FILLER_18_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 59840 ) N ;
- FILLER_18_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 59840 ) N ;
- FILLER_18_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 59840 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 59840 ) N ;
- FILLER_18_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 59840 ) N ;
- FILLER_18_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 59840 ) N ;
- FILLER_18_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 59840 ) N ;
- FILLER_18_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 59840 ) N ;
- FILLER_18_321 sky130_fd_sc_hd__fill_1 + PLACED ( 153180 59840 ) N ;
- FILLER_18_324 sky130_fd_sc_hd__decap_4 + PLACED ( 154560 59840 ) N ;
- FILLER_18_330 sky130_fd_sc_hd__decap_4 + PLACED ( 157320 59840 ) N ;
- FILLER_18_336 sky130_fd_sc_hd__decap_4 + PLACED ( 160080 59840 ) N ;
- FILLER_18_342 sky130_fd_sc_hd__decap_4 + PLACED ( 162840 59840 ) N ;
- FILLER_18_348 sky130_fd_sc_hd__decap_4 + PLACED ( 165600 59840 ) N ;
- FILLER_18_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 59840 ) N ;
- FILLER_18_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 59840 ) N ;
- FILLER_18_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 59840 ) N ;
- FILLER_18_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 59840 ) N ;
- FILLER_18_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 59840 ) N ;
- FILLER_18_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 59840 ) N ;
- FILLER_18_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 59840 ) N ;
- FILLER_18_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 59840 ) N ;
- FILLER_18_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 59840 ) N ;
- FILLER_18_49 sky130_fd_sc_hd__decap_12 + PLACED ( 28060 59840 ) N ;
- FILLER_18_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 59840 ) N ;
- FILLER_18_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 59840 ) N ;
- FILLER_18_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 59840 ) N ;
- FILLER_18_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 62560 ) FS ;
- FILLER_19_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 62560 ) FS ;
- FILLER_19_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 62560 ) FS ;
- FILLER_19_149 sky130_fd_sc_hd__decap_3 + PLACED ( 74060 62560 ) FS ;
- FILLER_19_156 sky130_fd_sc_hd__decap_4 + PLACED ( 77280 62560 ) FS ;
- FILLER_19_160 sky130_fd_sc_hd__fill_1 + PLACED ( 79120 62560 ) FS ;
- FILLER_19_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 62560 ) FS ;
- FILLER_19_18 sky130_fd_sc_hd__fill_1 + PLACED ( 13800 62560 ) FS ;
- FILLER_19_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 62560 ) FS ;
- FILLER_19_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 62560 ) FS ;
- FILLER_19_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 62560 ) FS ;
- FILLER_19_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 62560 ) FS ;
- FILLER_19_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 62560 ) FS ;
- FILLER_19_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 62560 ) FS ;
- FILLER_19_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 62560 ) FS ;
- FILLER_19_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 62560 ) FS ;
- FILLER_19_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 62560 ) FS ;
- FILLER_19_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 62560 ) FS ;
- FILLER_19_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 62560 ) FS ;
- FILLER_19_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 62560 ) FS ;
- FILLER_19_329 sky130_fd_sc_hd__fill_1 + PLACED ( 156860 62560 ) FS ;
- FILLER_19_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 62560 ) FS ;
- FILLER_19_337 sky130_fd_sc_hd__decap_3 + PLACED ( 160540 62560 ) FS ;
- FILLER_19_342 sky130_fd_sc_hd__decap_4 + PLACED ( 162840 62560 ) FS ;
- FILLER_19_348 sky130_fd_sc_hd__decap_4 + PLACED ( 165600 62560 ) FS ;
- FILLER_19_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 62560 ) FS ;
- FILLER_19_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 62560 ) FS ;
- FILLER_19_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 62560 ) FS ;
- FILLER_19_364 sky130_fd_sc_hd__fill_1 + PLACED ( 172960 62560 ) FS ;
- FILLER_19_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 62560 ) FS ;
- FILLER_19_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 62560 ) FS ;
- FILLER_19_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 62560 ) FS ;
- FILLER_19_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 62560 ) FS ;
- FILLER_19_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 62560 ) FS ;
- FILLER_19_397 sky130_fd_sc_hd__fill_1 + PLACED ( 188140 62560 ) FS ;
- FILLER_19_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 62560 ) FS ;
- FILLER_19_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 62560 ) FS ;
- FILLER_19_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 62560 ) FS ;
- FILLER_19_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 62560 ) FS ;
- FILLER_19_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 62560 ) FS ;
- FILLER_19_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 62560 ) FS ;
- FILLER_1_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 13600 ) FS ;
- FILLER_1_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 13600 ) FS ;
- FILLER_1_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 13600 ) FS ;
- FILLER_1_123 sky130_fd_sc_hd__decap_4 + PLACED ( 62100 13600 ) FS ;
- FILLER_1_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 13600 ) FS ;
- FILLER_1_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 13600 ) FS ;
- FILLER_1_145 sky130_fd_sc_hd__decap_6 + PLACED ( 72220 13600 ) FS ;
- FILLER_1_155 sky130_fd_sc_hd__decap_4 + PLACED ( 76820 13600 ) FS ;
- FILLER_1_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 13600 ) FS ;
- FILLER_1_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 13600 ) FS ;
- FILLER_1_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 13600 ) FS ;
- FILLER_1_177 sky130_fd_sc_hd__decap_6 + PLACED ( 86940 13600 ) FS ;
- FILLER_1_183 sky130_fd_sc_hd__fill_1 + PLACED ( 89700 13600 ) FS ;
- FILLER_1_188 sky130_fd_sc_hd__decap_6 + PLACED ( 92000 13600 ) FS ;
- FILLER_1_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 13600 ) FS ;
- FILLER_1_194 sky130_fd_sc_hd__fill_1 + PLACED ( 94760 13600 ) FS ;
- FILLER_1_199 sky130_fd_sc_hd__decap_4 + PLACED ( 97060 13600 ) FS ;
- FILLER_1_207 sky130_fd_sc_hd__decap_6 + PLACED ( 100740 13600 ) FS ;
- FILLER_1_213 sky130_fd_sc_hd__fill_1 + PLACED ( 103500 13600 ) FS ;
- FILLER_1_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 13600 ) FS ;
- FILLER_1_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 13600 ) FS ;
- FILLER_1_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 13600 ) FS ;
- FILLER_1_241 sky130_fd_sc_hd__decap_4 + PLACED ( 116380 13600 ) FS ;
- FILLER_1_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 13600 ) FS ;
- FILLER_1_262 sky130_fd_sc_hd__decap_4 + PLACED ( 126040 13600 ) FS ;
- FILLER_1_27 sky130_fd_sc_hd__decap_6 + PLACED ( 17940 13600 ) FS ;
- FILLER_1_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 13600 ) FS ;
- FILLER_1_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 13600 ) FS ;
- FILLER_1_299 sky130_fd_sc_hd__decap_6 + PLACED ( 143060 13600 ) FS ;
- FILLER_1_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 13600 ) FS ;
- FILLER_1_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 13600 ) FS ;
- FILLER_1_318 sky130_fd_sc_hd__decap_4 + PLACED ( 151800 13600 ) FS ;
- FILLER_1_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 13600 ) FS ;
- FILLER_1_339 sky130_fd_sc_hd__decap_4 + PLACED ( 161460 13600 ) FS ;
- FILLER_1_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 13600 ) FS ;
- FILLER_1_362 sky130_fd_sc_hd__decap_4 + PLACED ( 172040 13600 ) FS ;
- FILLER_1_366 sky130_fd_sc_hd__fill_1 + PLACED ( 173880 13600 ) FS ;
- FILLER_1_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 13600 ) FS ;
- FILLER_1_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 13600 ) FS ;
- FILLER_1_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 13600 ) FS ;
- FILLER_1_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 13600 ) FS ;
- FILLER_1_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 13600 ) FS ;
- FILLER_1_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 13600 ) FS ;
- FILLER_1_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 13600 ) FS ;
- FILLER_1_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 13600 ) FS ;
- FILLER_1_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 13600 ) FS ;
- FILLER_1_75 sky130_fd_sc_hd__decap_4 + PLACED ( 40020 13600 ) FS ;
- FILLER_1_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 13600 ) FS ;
- FILLER_1_9 sky130_fd_sc_hd__decap_4 + PLACED ( 9660 13600 ) FS ;
- FILLER_1_97 sky130_fd_sc_hd__decap_6 + PLACED ( 50140 13600 ) FS ;
- FILLER_20_10 sky130_fd_sc_hd__decap_4 + PLACED ( 10120 65280 ) N ;
- FILLER_20_100 sky130_fd_sc_hd__decap_12 + PLACED ( 51520 65280 ) N ;
- FILLER_20_112 sky130_fd_sc_hd__decap_12 + PLACED ( 57040 65280 ) N ;
- FILLER_20_124 sky130_fd_sc_hd__decap_12 + PLACED ( 62560 65280 ) N ;
- FILLER_20_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 65280 ) N ;
- FILLER_20_141 sky130_fd_sc_hd__decap_6 + PLACED ( 70380 65280 ) N ;
- FILLER_20_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 65280 ) N ;
- FILLER_20_161 sky130_fd_sc_hd__decap_4 + PLACED ( 79580 65280 ) N ;
- FILLER_20_167 sky130_fd_sc_hd__decap_12 + PLACED ( 82340 65280 ) N ;
- FILLER_20_179 sky130_fd_sc_hd__decap_12 + PLACED ( 87860 65280 ) N ;
- FILLER_20_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 65280 ) N ;
- FILLER_20_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 65280 ) N ;
- FILLER_20_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 65280 ) N ;
- FILLER_20_217 sky130_fd_sc_hd__decap_12 + PLACED ( 105340 65280 ) N ;
- FILLER_20_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 65280 ) N ;
- FILLER_20_241 sky130_fd_sc_hd__decap_8 + PLACED ( 116380 65280 ) N ;
- FILLER_20_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 65280 ) N ;
- FILLER_20_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 65280 ) N ;
- FILLER_20_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 65280 ) N ;
- FILLER_20_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 65280 ) N ;
- FILLER_20_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 65280 ) N ;
- FILLER_20_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 65280 ) N ;
- FILLER_20_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 65280 ) N ;
- FILLER_20_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 65280 ) N ;
- FILLER_20_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 65280 ) N ;
- FILLER_20_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 65280 ) N ;
- FILLER_20_333 sky130_fd_sc_hd__decap_6 + PLACED ( 158700 65280 ) N ;
- FILLER_20_339 sky130_fd_sc_hd__fill_1 + PLACED ( 161460 65280 ) N ;
- FILLER_20_342 sky130_fd_sc_hd__decap_4 + PLACED ( 162840 65280 ) N ;
- FILLER_20_348 sky130_fd_sc_hd__decap_4 + PLACED ( 165600 65280 ) N ;
- FILLER_20_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 65280 ) N ;
- FILLER_20_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 65280 ) N ;
- FILLER_20_365 sky130_fd_sc_hd__decap_3 + PLACED ( 173420 65280 ) N ;
- FILLER_20_370 sky130_fd_sc_hd__decap_4 + PLACED ( 175720 65280 ) N ;
- FILLER_20_377 sky130_fd_sc_hd__decap_4 + PLACED ( 178940 65280 ) N ;
- FILLER_20_384 sky130_fd_sc_hd__decap_4 + PLACED ( 182160 65280 ) N ;
- FILLER_20_392 sky130_fd_sc_hd__decap_4 + PLACED ( 185840 65280 ) N ;
- FILLER_20_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 65280 ) N ;
- FILLER_20_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 65280 ) N ;
- FILLER_20_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 65280 ) N ;
- FILLER_20_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 65280 ) N ;
- FILLER_20_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 65280 ) N ;
- FILLER_20_88 sky130_fd_sc_hd__decap_12 + PLACED ( 46000 65280 ) N ;
- FILLER_21_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 68000 ) FS ;
- FILLER_21_110 sky130_fd_sc_hd__fill_2 + PLACED ( 56120 68000 ) FS ;
- FILLER_21_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 68000 ) FS ;
- FILLER_21_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 68000 ) FS ;
- FILLER_21_18 sky130_fd_sc_hd__decap_4 + PLACED ( 13800 68000 ) FS ;
- FILLER_21_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 68000 ) FS ;
- FILLER_21_193 sky130_fd_sc_hd__fill_2 + PLACED ( 94300 68000 ) FS ;
- FILLER_21_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 68000 ) FS ;
- FILLER_21_203 sky130_fd_sc_hd__fill_1 + PLACED ( 98900 68000 ) FS ;
- FILLER_21_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 68000 ) FS ;
- FILLER_21_210 sky130_fd_sc_hd__fill_1 + PLACED ( 102120 68000 ) FS ;
- FILLER_21_213 sky130_fd_sc_hd__decap_8 + PLACED ( 103500 68000 ) FS ;
- FILLER_21_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 68000 ) FS ;
- FILLER_21_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 68000 ) FS ;
- FILLER_21_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 68000 ) FS ;
- FILLER_21_245 sky130_fd_sc_hd__decap_12 + PLACED ( 118220 68000 ) FS ;
- FILLER_21_25 sky130_fd_sc_hd__decap_4 + PLACED ( 17020 68000 ) FS ;
- FILLER_21_257 sky130_fd_sc_hd__fill_2 + PLACED ( 123740 68000 ) FS ;
- FILLER_21_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 68000 ) FS ;
- FILLER_21_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 68000 ) FS ;
- FILLER_21_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 68000 ) FS ;
- FILLER_21_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 68000 ) FS ;
- FILLER_21_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 68000 ) FS ;
- FILLER_21_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 68000 ) FS ;
- FILLER_21_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 68000 ) FS ;
- FILLER_21_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 68000 ) FS ;
- FILLER_21_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 68000 ) FS ;
- FILLER_21_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 68000 ) FS ;
- FILLER_21_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 68000 ) FS ;
- FILLER_21_349 sky130_fd_sc_hd__fill_2 + PLACED ( 166060 68000 ) FS ;
- FILLER_21_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 68000 ) FS ;
- FILLER_21_359 sky130_fd_sc_hd__decap_4 + PLACED ( 170660 68000 ) FS ;
- FILLER_21_365 sky130_fd_sc_hd__decap_6 + PLACED ( 173420 68000 ) FS ;
- FILLER_21_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 68000 ) FS ;
- FILLER_21_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 68000 ) FS ;
- FILLER_21_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 68000 ) FS ;
- FILLER_21_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 68000 ) FS ;
- FILLER_21_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 68000 ) FS ;
- FILLER_21_397 sky130_fd_sc_hd__fill_1 + PLACED ( 188140 68000 ) FS ;
- FILLER_21_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 68000 ) FS ;
- FILLER_21_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 68000 ) FS ;
- FILLER_21_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 68000 ) FS ;
- FILLER_21_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 68000 ) FS ;
- FILLER_21_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 68000 ) FS ;
- FILLER_21_77 sky130_fd_sc_hd__fill_1 + PLACED ( 40940 68000 ) FS ;
- FILLER_21_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 68000 ) FS ;
- FILLER_21_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 68000 ) FS ;
- FILLER_21_93 sky130_fd_sc_hd__fill_1 + PLACED ( 48300 68000 ) FS ;
- FILLER_21_98 sky130_fd_sc_hd__decap_12 + PLACED ( 50600 68000 ) FS ;
- FILLER_22_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 70720 ) N ;
- FILLER_22_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 70720 ) N ;
- FILLER_22_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 70720 ) N ;
- FILLER_22_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 70720 ) N ;
- FILLER_22_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 70720 ) N ;
- FILLER_22_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 70720 ) N ;
- FILLER_22_202 sky130_fd_sc_hd__decap_4 + PLACED ( 98440 70720 ) N ;
- FILLER_22_211 sky130_fd_sc_hd__decap_4 + PLACED ( 102580 70720 ) N ;
- FILLER_22_225 sky130_fd_sc_hd__decap_8 + PLACED ( 109020 70720 ) N ;
- FILLER_22_238 sky130_fd_sc_hd__decap_12 + PLACED ( 115000 70720 ) N ;
- FILLER_22_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 70720 ) N ;
- FILLER_22_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 70720 ) N ;
- FILLER_22_264 sky130_fd_sc_hd__decap_4 + PLACED ( 126960 70720 ) N ;
- FILLER_22_270 sky130_fd_sc_hd__decap_4 + PLACED ( 129720 70720 ) N ;
- FILLER_22_276 sky130_fd_sc_hd__decap_12 + PLACED ( 132480 70720 ) N ;
- FILLER_22_288 sky130_fd_sc_hd__decap_12 + PLACED ( 138000 70720 ) N ;
- FILLER_22_300 sky130_fd_sc_hd__decap_8 + PLACED ( 143520 70720 ) N ;
- FILLER_22_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 70720 ) N ;
- FILLER_22_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 70720 ) N ;
- FILLER_22_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 70720 ) N ;
- FILLER_22_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 70720 ) N ;
- FILLER_22_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 70720 ) N ;
- FILLER_22_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 70720 ) N ;
- FILLER_22_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 70720 ) N ;
- FILLER_22_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 70720 ) N ;
- FILLER_22_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 70720 ) N ;
- FILLER_22_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 70720 ) N ;
- FILLER_22_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 70720 ) N ;
- FILLER_22_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 70720 ) N ;
- FILLER_22_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 70720 ) N ;
- FILLER_22_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 70720 ) N ;
- FILLER_22_49 sky130_fd_sc_hd__decap_12 + PLACED ( 28060 70720 ) N ;
- FILLER_22_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 70720 ) N ;
- FILLER_22_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 70720 ) N ;
- FILLER_22_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 70720 ) N ;
- FILLER_22_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 70720 ) N ;
- FILLER_22_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 70720 ) N ;
- FILLER_23_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 73440 ) FS ;
- FILLER_23_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 73440 ) FS ;
- FILLER_23_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 73440 ) FS ;
- FILLER_23_13 sky130_fd_sc_hd__decap_6 + PLACED ( 11500 73440 ) FS ;
- FILLER_23_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 73440 ) FS ;
- FILLER_23_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 73440 ) FS ;
- FILLER_23_193 sky130_fd_sc_hd__fill_2 + PLACED ( 94300 73440 ) FS ;
- FILLER_23_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 73440 ) FS ;
- FILLER_23_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 73440 ) FS ;
- FILLER_23_215 sky130_fd_sc_hd__decap_8 + PLACED ( 104420 73440 ) FS ;
- FILLER_23_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 73440 ) FS ;
- FILLER_23_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 73440 ) FS ;
- FILLER_23_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 73440 ) FS ;
- FILLER_23_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 73440 ) FS ;
- FILLER_23_245 sky130_fd_sc_hd__fill_1 + PLACED ( 118220 73440 ) FS ;
- FILLER_23_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 73440 ) FS ;
- FILLER_23_257 sky130_fd_sc_hd__decap_4 + PLACED ( 123740 73440 ) FS ;
- FILLER_23_266 sky130_fd_sc_hd__decap_6 + PLACED ( 127880 73440 ) FS ;
- FILLER_23_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 73440 ) FS ;
- FILLER_23_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 73440 ) FS ;
- FILLER_23_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 73440 ) FS ;
- FILLER_23_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 73440 ) FS ;
- FILLER_23_301 sky130_fd_sc_hd__decap_12 + PLACED ( 143980 73440 ) FS ;
- FILLER_23_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 73440 ) FS ;
- FILLER_23_325 sky130_fd_sc_hd__decap_8 + PLACED ( 155020 73440 ) FS ;
- FILLER_23_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 73440 ) FS ;
- FILLER_23_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 73440 ) FS ;
- FILLER_23_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 73440 ) FS ;
- FILLER_23_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 73440 ) FS ;
- FILLER_23_361 sky130_fd_sc_hd__decap_4 + PLACED ( 171580 73440 ) FS ;
- FILLER_23_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 73440 ) FS ;
- FILLER_23_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 73440 ) FS ;
- FILLER_23_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 73440 ) FS ;
- FILLER_23_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 73440 ) FS ;
- FILLER_23_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 73440 ) FS ;
- FILLER_23_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 73440 ) FS ;
- FILLER_23_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 73440 ) FS ;
- FILLER_23_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 73440 ) FS ;
- FILLER_23_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 73440 ) FS ;
- FILLER_23_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 73440 ) FS ;
- FILLER_23_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 73440 ) FS ;
- FILLER_23_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 73440 ) FS ;
- FILLER_24_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 76160 ) N ;
- FILLER_24_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 76160 ) N ;
- FILLER_24_117 sky130_fd_sc_hd__decap_12 + PLACED ( 59340 76160 ) N ;
- FILLER_24_129 sky130_fd_sc_hd__decap_8 + PLACED ( 64860 76160 ) N ;
- FILLER_24_137 sky130_fd_sc_hd__decap_3 + PLACED ( 68540 76160 ) N ;
- FILLER_24_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 76160 ) N ;
- FILLER_24_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 76160 ) N ;
- FILLER_24_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 76160 ) N ;
- FILLER_24_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 76160 ) N ;
- FILLER_24_215 sky130_fd_sc_hd__decap_4 + PLACED ( 104420 76160 ) N ;
- FILLER_24_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 76160 ) N ;
- FILLER_24_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 76160 ) N ;
- FILLER_24_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 76160 ) N ;
- FILLER_24_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 76160 ) N ;
- FILLER_24_258 sky130_fd_sc_hd__decap_4 + PLACED ( 124200 76160 ) N ;
- FILLER_24_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 76160 ) N ;
- FILLER_24_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 76160 ) N ;
- FILLER_24_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 76160 ) N ;
- FILLER_24_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 76160 ) N ;
- FILLER_24_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 76160 ) N ;
- FILLER_24_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 76160 ) N ;
- FILLER_24_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 76160 ) N ;
- FILLER_24_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 76160 ) N ;
- FILLER_24_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 76160 ) N ;
- FILLER_24_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 76160 ) N ;
- FILLER_24_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 76160 ) N ;
- FILLER_24_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 76160 ) N ;
- FILLER_24_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 76160 ) N ;
- FILLER_24_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 76160 ) N ;
- FILLER_24_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 76160 ) N ;
- FILLER_24_365 sky130_fd_sc_hd__decap_8 + PLACED ( 173420 76160 ) N ;
- FILLER_24_373 sky130_fd_sc_hd__fill_1 + PLACED ( 177100 76160 ) N ;
- FILLER_24_376 sky130_fd_sc_hd__decap_4 + PLACED ( 178480 76160 ) N ;
- FILLER_24_382 sky130_fd_sc_hd__decap_4 + PLACED ( 181240 76160 ) N ;
- FILLER_24_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 76160 ) N ;
- FILLER_24_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 76160 ) N ;
- FILLER_24_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 76160 ) N ;
- FILLER_24_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 76160 ) N ;
- FILLER_24_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 76160 ) N ;
- FILLER_24_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 76160 ) N ;
- FILLER_24_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 76160 ) N ;
- FILLER_24_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 76160 ) N ;
- FILLER_24_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 76160 ) N ;
- FILLER_25_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 78880 ) FS ;
- FILLER_25_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 78880 ) FS ;
- FILLER_25_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 78880 ) FS ;
- FILLER_25_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 78880 ) FS ;
- FILLER_25_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 78880 ) FS ;
- FILLER_25_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_fd_sc_hd__fill_2 + PLACED ( 83260 78880 ) FS ;
- FILLER_25_173 sky130_fd_sc_hd__decap_12 + PLACED ( 85100 78880 ) FS ;
- FILLER_25_185 sky130_fd_sc_hd__decap_12 + PLACED ( 90620 78880 ) FS ;
- FILLER_25_197 sky130_fd_sc_hd__fill_2 + PLACED ( 96140 78880 ) FS ;
- FILLER_25_20 sky130_fd_sc_hd__decap_4 + PLACED ( 14720 78880 ) FS ;
- FILLER_25_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 78880 ) FS ;
- FILLER_25_209 sky130_fd_sc_hd__decap_8 + PLACED ( 101660 78880 ) FS ;
- FILLER_25_217 sky130_fd_sc_hd__fill_1 + PLACED ( 105340 78880 ) FS ;
- FILLER_25_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 78880 ) FS ;
- FILLER_25_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 78880 ) FS ;
- FILLER_25_231 sky130_fd_sc_hd__decap_4 + PLACED ( 111780 78880 ) FS ;
- FILLER_25_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 78880 ) FS ;
- FILLER_25_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 78880 ) FS ;
- FILLER_25_26 sky130_fd_sc_hd__decap_4 + PLACED ( 17480 78880 ) FS ;
- FILLER_25_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 78880 ) FS ;
- FILLER_25_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 78880 ) FS ;
- FILLER_25_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 78880 ) FS ;
- FILLER_25_290 sky130_fd_sc_hd__decap_4 + PLACED ( 138920 78880 ) FS ;
- FILLER_25_296 sky130_fd_sc_hd__decap_12 + PLACED ( 141680 78880 ) FS ;
- FILLER_25_308 sky130_fd_sc_hd__decap_12 + PLACED ( 147200 78880 ) FS ;
- FILLER_25_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 78880 ) FS ;
- FILLER_25_320 sky130_fd_sc_hd__decap_8 + PLACED ( 152720 78880 ) FS ;
- FILLER_25_328 sky130_fd_sc_hd__fill_2 + PLACED ( 156400 78880 ) FS ;
- FILLER_25_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 78880 ) FS ;
- FILLER_25_342 sky130_fd_sc_hd__decap_12 + PLACED ( 162840 78880 ) FS ;
- FILLER_25_354 sky130_fd_sc_hd__decap_12 + PLACED ( 168360 78880 ) FS ;
- FILLER_25_366 sky130_fd_sc_hd__decap_4 + PLACED ( 173880 78880 ) FS ;
- FILLER_25_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 78880 ) FS ;
- FILLER_25_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 78880 ) FS ;
- FILLER_25_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 78880 ) FS ;
- FILLER_25_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 78880 ) FS ;
- FILLER_25_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 78880 ) FS ;
- FILLER_25_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 78880 ) FS ;
- FILLER_25_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 78880 ) FS ;
- FILLER_25_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 78880 ) FS ;
- FILLER_25_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 78880 ) FS ;
- FILLER_25_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 78880 ) FS ;
- FILLER_26_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 81600 ) N ;
- FILLER_26_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 81600 ) N ;
- FILLER_26_121 sky130_fd_sc_hd__decap_8 + PLACED ( 61180 81600 ) N ;
- FILLER_26_129 sky130_fd_sc_hd__fill_2 + PLACED ( 64860 81600 ) N ;
- FILLER_26_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 81600 ) N ;
- FILLER_26_143 sky130_fd_sc_hd__decap_12 + PLACED ( 71300 81600 ) N ;
- FILLER_26_155 sky130_fd_sc_hd__decap_4 + PLACED ( 76820 81600 ) N ;
- FILLER_26_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 81600 ) N ;
- FILLER_26_178 sky130_fd_sc_hd__decap_4 + PLACED ( 87400 81600 ) N ;
- FILLER_26_184 sky130_fd_sc_hd__decap_6 + PLACED ( 90160 81600 ) N ;
- FILLER_26_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 81600 ) N ;
- FILLER_26_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 81600 ) N ;
- FILLER_26_203 sky130_fd_sc_hd__decap_8 + PLACED ( 98900 81600 ) N ;
- FILLER_26_211 sky130_fd_sc_hd__fill_2 + PLACED ( 102580 81600 ) N ;
- FILLER_26_218 sky130_fd_sc_hd__decap_4 + PLACED ( 105800 81600 ) N ;
- FILLER_26_224 sky130_fd_sc_hd__decap_6 + PLACED ( 108560 81600 ) N ;
- FILLER_26_230 sky130_fd_sc_hd__fill_1 + PLACED ( 111320 81600 ) N ;
- FILLER_26_234 sky130_fd_sc_hd__decap_4 + PLACED ( 113160 81600 ) N ;
- FILLER_26_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 81600 ) N ;
- FILLER_26_269 sky130_fd_sc_hd__decap_4 + PLACED ( 129260 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 81600 ) N ;
- FILLER_26_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 81600 ) N ;
- FILLER_26_290 sky130_fd_sc_hd__decap_4 + PLACED ( 138920 81600 ) N ;
- FILLER_26_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 81600 ) N ;
- FILLER_26_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 81600 ) N ;
- FILLER_26_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 81600 ) N ;
- FILLER_26_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 81600 ) N ;
- FILLER_26_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 81600 ) N ;
- FILLER_26_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 81600 ) N ;
- FILLER_26_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 81600 ) N ;
- FILLER_26_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 81600 ) N ;
- FILLER_26_345 sky130_fd_sc_hd__fill_2 + PLACED ( 164220 81600 ) N ;
- FILLER_26_350 sky130_fd_sc_hd__decap_12 + PLACED ( 166520 81600 ) N ;
- FILLER_26_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 81600 ) N ;
- FILLER_26_365 sky130_fd_sc_hd__decap_8 + PLACED ( 173420 81600 ) N ;
- FILLER_26_373 sky130_fd_sc_hd__fill_1 + PLACED ( 177100 81600 ) N ;
- FILLER_26_376 sky130_fd_sc_hd__decap_4 + PLACED ( 178480 81600 ) N ;
- FILLER_26_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 81600 ) N ;
- FILLER_26_384 sky130_fd_sc_hd__decap_4 + PLACED ( 182160 81600 ) N ;
- FILLER_26_392 sky130_fd_sc_hd__decap_4 + PLACED ( 185840 81600 ) N ;
- FILLER_26_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 81600 ) N ;
- FILLER_26_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 81600 ) N ;
- FILLER_26_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 81600 ) N ;
- FILLER_26_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 81600 ) N ;
- FILLER_26_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 81600 ) N ;
- FILLER_26_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 81600 ) N ;
- FILLER_27_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 84320 ) FS ;
- FILLER_27_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 84320 ) FS ;
- FILLER_27_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_fd_sc_hd__decap_8 + PLACED ( 63020 84320 ) FS ;
- FILLER_27_133 sky130_fd_sc_hd__fill_1 + PLACED ( 66700 84320 ) FS ;
- FILLER_27_144 sky130_fd_sc_hd__decap_12 + PLACED ( 71760 84320 ) FS ;
- FILLER_27_156 sky130_fd_sc_hd__decap_12 + PLACED ( 77280 84320 ) FS ;
- FILLER_27_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 84320 ) FS ;
- FILLER_27_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 84320 ) FS ;
- FILLER_27_185 sky130_fd_sc_hd__fill_1 + PLACED ( 90620 84320 ) FS ;
- FILLER_27_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 84320 ) FS ;
- FILLER_27_190 sky130_fd_sc_hd__decap_6 + PLACED ( 92920 84320 ) FS ;
- FILLER_27_202 sky130_fd_sc_hd__decap_8 + PLACED ( 98440 84320 ) FS ;
- FILLER_27_210 sky130_fd_sc_hd__fill_2 + PLACED ( 102120 84320 ) FS ;
- FILLER_27_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 84320 ) FS ;
- FILLER_27_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 84320 ) FS ;
- FILLER_27_225 sky130_fd_sc_hd__fill_1 + PLACED ( 109020 84320 ) FS ;
- FILLER_27_232 sky130_fd_sc_hd__decap_4 + PLACED ( 112240 84320 ) FS ;
- FILLER_27_252 sky130_fd_sc_hd__decap_4 + PLACED ( 121440 84320 ) FS ;
- FILLER_27_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 84320 ) FS ;
- FILLER_27_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 84320 ) FS ;
- FILLER_27_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 84320 ) FS ;
- FILLER_27_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 84320 ) FS ;
- FILLER_27_296 sky130_fd_sc_hd__decap_12 + PLACED ( 141680 84320 ) FS ;
- FILLER_27_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 84320 ) FS ;
- FILLER_27_308 sky130_fd_sc_hd__decap_12 + PLACED ( 147200 84320 ) FS ;
- FILLER_27_320 sky130_fd_sc_hd__decap_12 + PLACED ( 152720 84320 ) FS ;
- FILLER_27_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 84320 ) FS ;
- FILLER_27_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 84320 ) FS ;
- FILLER_27_34 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 84320 ) FS ;
- FILLER_27_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 84320 ) FS ;
- FILLER_27_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 84320 ) FS ;
- FILLER_27_373 sky130_fd_sc_hd__decap_3 + PLACED ( 177100 84320 ) FS ;
- FILLER_27_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 84320 ) FS ;
- FILLER_27_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 84320 ) FS ;
- FILLER_27_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 84320 ) FS ;
- FILLER_27_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 84320 ) FS ;
- FILLER_27_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 84320 ) FS ;
- FILLER_27_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 84320 ) FS ;
- FILLER_27_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 84320 ) FS ;
- FILLER_27_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 84320 ) FS ;
- FILLER_27_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 84320 ) FS ;
- FILLER_27_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 84320 ) FS ;
- FILLER_28_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 87040 ) N ;
- FILLER_28_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 87040 ) N ;
- FILLER_28_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 87040 ) N ;
- FILLER_28_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 87040 ) N ;
- FILLER_28_177 sky130_fd_sc_hd__decap_3 + PLACED ( 86940 87040 ) N ;
- FILLER_28_182 sky130_fd_sc_hd__decap_12 + PLACED ( 89240 87040 ) N ;
- FILLER_28_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 87040 ) N ;
- FILLER_28_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 87040 ) N ;
- FILLER_28_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 87040 ) N ;
- FILLER_28_205 sky130_fd_sc_hd__decap_8 + PLACED ( 99820 87040 ) N ;
- FILLER_28_215 sky130_fd_sc_hd__decap_4 + PLACED ( 104420 87040 ) N ;
- FILLER_28_221 sky130_fd_sc_hd__decap_8 + PLACED ( 107180 87040 ) N ;
- FILLER_28_232 sky130_fd_sc_hd__decap_4 + PLACED ( 112240 87040 ) N ;
- FILLER_28_242 sky130_fd_sc_hd__decap_4 + PLACED ( 116840 87040 ) N ;
- FILLER_28_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 87040 ) N ;
- FILLER_28_269 sky130_fd_sc_hd__decap_4 + PLACED ( 129260 87040 ) N ;
- FILLER_28_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 87040 ) N ;
- FILLER_28_278 sky130_fd_sc_hd__decap_4 + PLACED ( 133400 87040 ) N ;
- FILLER_28_285 sky130_fd_sc_hd__decap_4 + PLACED ( 136620 87040 ) N ;
- FILLER_28_292 sky130_fd_sc_hd__decap_4 + PLACED ( 139840 87040 ) N ;
- FILLER_28_298 sky130_fd_sc_hd__decap_8 + PLACED ( 142600 87040 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 87040 ) N ;
- FILLER_28_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 87040 ) N ;
- FILLER_28_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 87040 ) N ;
- FILLER_28_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 87040 ) N ;
- FILLER_28_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 87040 ) N ;
- FILLER_28_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 87040 ) N ;
- FILLER_28_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 87040 ) N ;
- FILLER_28_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 87040 ) N ;
- FILLER_28_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 87040 ) N ;
- FILLER_28_365 sky130_fd_sc_hd__decap_8 + PLACED ( 173420 87040 ) N ;
- FILLER_28_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 87040 ) N ;
- FILLER_28_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 87040 ) N ;
- FILLER_28_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 87040 ) N ;
- FILLER_28_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 87040 ) N ;
- FILLER_28_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 87040 ) N ;
- FILLER_28_49 sky130_fd_sc_hd__decap_12 + PLACED ( 28060 87040 ) N ;
- FILLER_28_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 87040 ) N ;
- FILLER_28_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 87040 ) N ;
- FILLER_28_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 87040 ) N ;
- FILLER_28_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 87040 ) N ;
- FILLER_29_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 89760 ) FS ;
- FILLER_29_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 89760 ) FS ;
- FILLER_29_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 89760 ) FS ;
- FILLER_29_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 89760 ) FS ;
- FILLER_29_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 89760 ) FS ;
- FILLER_29_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 89760 ) FS ;
- FILLER_29_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 89760 ) FS ;
- FILLER_29_169 sky130_fd_sc_hd__decap_6 + PLACED ( 83260 89760 ) FS ;
- FILLER_29_175 sky130_fd_sc_hd__fill_1 + PLACED ( 86020 89760 ) FS ;
- FILLER_29_180 sky130_fd_sc_hd__decap_6 + PLACED ( 88320 89760 ) FS ;
- FILLER_29_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 89760 ) FS ;
- FILLER_29_190 sky130_fd_sc_hd__decap_12 + PLACED ( 92920 89760 ) FS ;
- FILLER_29_202 sky130_fd_sc_hd__decap_6 + PLACED ( 98440 89760 ) FS ;
- FILLER_29_208 sky130_fd_sc_hd__fill_1 + PLACED ( 101200 89760 ) FS ;
- FILLER_29_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 89760 ) FS ;
- FILLER_29_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 89760 ) FS ;
- FILLER_29_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 89760 ) FS ;
- FILLER_29_229 sky130_fd_sc_hd__fill_1 + PLACED ( 110860 89760 ) FS ;
- FILLER_29_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 89760 ) FS ;
- FILLER_29_239 sky130_fd_sc_hd__fill_1 + PLACED ( 115460 89760 ) FS ;
- FILLER_29_246 sky130_fd_sc_hd__decap_4 + PLACED ( 118680 89760 ) FS ;
- FILLER_29_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 89760 ) FS ;
- FILLER_29_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 89760 ) FS ;
- FILLER_29_274 sky130_fd_sc_hd__decap_6 + PLACED ( 131560 89760 ) FS ;
- FILLER_29_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 89760 ) FS ;
- FILLER_29_290 sky130_fd_sc_hd__decap_4 + PLACED ( 138920 89760 ) FS ;
- FILLER_29_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 89760 ) FS ;
- FILLER_29_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 89760 ) FS ;
- FILLER_29_302 sky130_fd_sc_hd__decap_4 + PLACED ( 144440 89760 ) FS ;
- FILLER_29_308 sky130_fd_sc_hd__decap_12 + PLACED ( 147200 89760 ) FS ;
- FILLER_29_320 sky130_fd_sc_hd__decap_12 + PLACED ( 152720 89760 ) FS ;
- FILLER_29_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 89760 ) FS ;
- FILLER_29_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 89760 ) FS ;
- FILLER_29_34 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 89760 ) FS ;
- FILLER_29_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 89760 ) FS ;
- FILLER_29_361 sky130_fd_sc_hd__decap_8 + PLACED ( 171580 89760 ) FS ;
- FILLER_29_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 89760 ) FS ;
- FILLER_29_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 89760 ) FS ;
- FILLER_29_384 sky130_fd_sc_hd__fill_1 + PLACED ( 182160 89760 ) FS ;
- FILLER_29_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 89760 ) FS ;
- FILLER_29_393 sky130_fd_sc_hd__decap_3 + PLACED ( 186300 89760 ) FS ;
- FILLER_29_400 sky130_fd_sc_hd__decap_6 + PLACED ( 189520 89760 ) FS ;
- FILLER_29_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 89760 ) FS ;
- FILLER_29_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 89760 ) FS ;
- FILLER_29_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 89760 ) FS ;
- FILLER_29_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 89760 ) FS ;
- FILLER_29_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 89760 ) FS ;
- FILLER_29_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 89760 ) FS ;
- FILLER_29_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 89760 ) FS ;
- FILLER_29_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 89760 ) FS ;
- FILLER_2_103 sky130_fd_sc_hd__decap_4 + PLACED ( 52900 16320 ) N ;
- FILLER_2_109 sky130_fd_sc_hd__decap_4 + PLACED ( 55660 16320 ) N ;
- FILLER_2_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 16320 ) N ;
- FILLER_2_125 sky130_fd_sc_hd__decap_4 + PLACED ( 63020 16320 ) N ;
- FILLER_2_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 16320 ) N ;
- FILLER_2_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 16320 ) N ;
- FILLER_2_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 16320 ) N ;
- FILLER_2_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 16320 ) N ;
- FILLER_2_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 16320 ) N ;
- FILLER_2_155 sky130_fd_sc_hd__fill_1 + PLACED ( 76820 16320 ) N ;
- FILLER_2_162 sky130_fd_sc_hd__decap_4 + PLACED ( 80040 16320 ) N ;
- FILLER_2_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 16320 ) N ;
- FILLER_2_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 16320 ) N ;
- FILLER_2_185 sky130_fd_sc_hd__decap_4 + PLACED ( 90620 16320 ) N ;
- FILLER_2_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 16320 ) N ;
- FILLER_2_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 16320 ) N ;
- FILLER_2_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 16320 ) N ;
- FILLER_2_215 sky130_fd_sc_hd__decap_4 + PLACED ( 104420 16320 ) N ;
- FILLER_2_223 sky130_fd_sc_hd__decap_4 + PLACED ( 108100 16320 ) N ;
- FILLER_2_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 16320 ) N ;
- FILLER_2_231 sky130_fd_sc_hd__decap_8 + PLACED ( 111780 16320 ) N ;
- FILLER_2_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 16320 ) N ;
- FILLER_2_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 16320 ) N ;
- FILLER_2_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 16320 ) N ;
- FILLER_2_260 sky130_fd_sc_hd__decap_4 + PLACED ( 125120 16320 ) N ;
- FILLER_2_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 16320 ) N ;
- FILLER_2_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 16320 ) N ;
- FILLER_2_274 sky130_fd_sc_hd__decap_4 + PLACED ( 131560 16320 ) N ;
- FILLER_2_282 sky130_fd_sc_hd__decap_6 + PLACED ( 135240 16320 ) N ;
- FILLER_2_292 sky130_fd_sc_hd__decap_6 + PLACED ( 139840 16320 ) N ;
- FILLER_2_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 16320 ) N ;
- FILLER_2_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 16320 ) N ;
- FILLER_2_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 16320 ) N ;
- FILLER_2_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 16320 ) N ;
- FILLER_2_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 16320 ) N ;
- FILLER_2_333 sky130_fd_sc_hd__decap_4 + PLACED ( 158700 16320 ) N ;
- FILLER_2_340 sky130_fd_sc_hd__decap_4 + PLACED ( 161920 16320 ) N ;
- FILLER_2_348 sky130_fd_sc_hd__decap_8 + PLACED ( 165600 16320 ) N ;
- FILLER_2_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 16320 ) N ;
- FILLER_2_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 16320 ) N ;
- FILLER_2_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 16320 ) N ;
- FILLER_2_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 16320 ) N ;
- FILLER_2_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 16320 ) N ;
- FILLER_2_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 16320 ) N ;
- FILLER_2_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 16320 ) N ;
- FILLER_2_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 16320 ) N ;
- FILLER_2_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 16320 ) N ;
- FILLER_2_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 16320 ) N ;
- FILLER_2_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 16320 ) N ;
- FILLER_2_72 sky130_fd_sc_hd__decap_4 + PLACED ( 38640 16320 ) N ;
- FILLER_2_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 16320 ) N ;
- FILLER_2_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 16320 ) N ;
- FILLER_2_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 16320 ) N ;
- FILLER_30_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 92480 ) N ;
- FILLER_30_121 sky130_fd_sc_hd__decap_3 + PLACED ( 61180 92480 ) N ;
- FILLER_30_134 sky130_fd_sc_hd__decap_6 + PLACED ( 67160 92480 ) N ;
- FILLER_30_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 92480 ) N ;
- FILLER_30_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 92480 ) N ;
- FILLER_30_16 sky130_fd_sc_hd__decap_4 + PLACED ( 12880 92480 ) N ;
- FILLER_30_165 sky130_fd_sc_hd__decap_8 + PLACED ( 81420 92480 ) N ;
- FILLER_30_173 sky130_fd_sc_hd__fill_1 + PLACED ( 85100 92480 ) N ;
- FILLER_30_176 sky130_fd_sc_hd__decap_6 + PLACED ( 86480 92480 ) N ;
- FILLER_30_182 sky130_fd_sc_hd__fill_1 + PLACED ( 89240 92480 ) N ;
- FILLER_30_185 sky130_fd_sc_hd__decap_8 + PLACED ( 90620 92480 ) N ;
- FILLER_30_193 sky130_fd_sc_hd__decap_3 + PLACED ( 94300 92480 ) N ;
- FILLER_30_197 sky130_fd_sc_hd__decap_8 + PLACED ( 96140 92480 ) N ;
- FILLER_30_209 sky130_fd_sc_hd__decap_8 + PLACED ( 101660 92480 ) N ;
- FILLER_30_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 92480 ) N ;
- FILLER_30_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 92480 ) N ;
- FILLER_30_239 sky130_fd_sc_hd__decap_4 + PLACED ( 115460 92480 ) N ;
- FILLER_30_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 92480 ) N ;
- FILLER_30_243 sky130_fd_sc_hd__fill_1 + PLACED ( 117300 92480 ) N ;
- FILLER_30_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 92480 ) N ;
- FILLER_30_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 92480 ) N ;
- FILLER_30_262 sky130_fd_sc_hd__decap_4 + PLACED ( 126040 92480 ) N ;
- FILLER_30_270 sky130_fd_sc_hd__decap_4 + PLACED ( 129720 92480 ) N ;
- FILLER_30_277 sky130_fd_sc_hd__decap_6 + PLACED ( 132940 92480 ) N ;
- FILLER_30_283 sky130_fd_sc_hd__fill_1 + PLACED ( 135700 92480 ) N ;
- FILLER_30_286 sky130_fd_sc_hd__decap_8 + PLACED ( 137080 92480 ) N ;
- FILLER_30_299 sky130_fd_sc_hd__decap_8 + PLACED ( 143060 92480 ) N ;
- FILLER_30_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 92480 ) N ;
- FILLER_30_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 92480 ) N ;
- FILLER_30_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 92480 ) N ;
- FILLER_30_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 92480 ) N ;
- FILLER_30_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 92480 ) N ;
- FILLER_30_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 92480 ) N ;
- FILLER_30_357 sky130_fd_sc_hd__fill_1 + PLACED ( 169740 92480 ) N ;
- FILLER_30_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 92480 ) N ;
- FILLER_30_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 92480 ) N ;
- FILLER_30_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 92480 ) N ;
- FILLER_30_378 sky130_fd_sc_hd__decap_4 + PLACED ( 179400 92480 ) N ;
- FILLER_30_386 sky130_fd_sc_hd__decap_4 + PLACED ( 183080 92480 ) N ;
- FILLER_30_394 sky130_fd_sc_hd__decap_4 + PLACED ( 186760 92480 ) N ;
- FILLER_30_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 92480 ) N ;
- FILLER_30_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 92480 ) N ;
- FILLER_30_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 92480 ) N ;
- FILLER_30_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 92480 ) N ;
- FILLER_30_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 92480 ) N ;
- FILLER_30_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 92480 ) N ;
- FILLER_31_103 sky130_fd_sc_hd__decap_3 + PLACED ( 52900 95200 ) FS ;
- FILLER_31_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 95200 ) FS ;
- FILLER_31_118 sky130_fd_sc_hd__decap_4 + PLACED ( 59800 95200 ) FS ;
- FILLER_31_124 sky130_fd_sc_hd__decap_12 + PLACED ( 62560 95200 ) FS ;
- FILLER_31_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 95200 ) FS ;
- FILLER_31_136 sky130_fd_sc_hd__decap_8 + PLACED ( 68080 95200 ) FS ;
- FILLER_31_144 sky130_fd_sc_hd__fill_1 + PLACED ( 71760 95200 ) FS ;
- FILLER_31_155 sky130_fd_sc_hd__decap_12 + PLACED ( 76820 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 95200 ) FS ;
- FILLER_31_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 95200 ) FS ;
- FILLER_31_183 sky130_fd_sc_hd__decap_4 + PLACED ( 89700 95200 ) FS ;
- FILLER_31_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 95200 ) FS ;
- FILLER_31_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 95200 ) FS ;
- FILLER_31_198 sky130_fd_sc_hd__decap_4 + PLACED ( 96600 95200 ) FS ;
- FILLER_31_204 sky130_fd_sc_hd__decap_8 + PLACED ( 99360 95200 ) FS ;
- FILLER_31_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 95200 ) FS ;
- FILLER_31_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 95200 ) FS ;
- FILLER_31_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 95200 ) FS ;
- FILLER_31_231 sky130_fd_sc_hd__decap_8 + PLACED ( 111780 95200 ) FS ;
- FILLER_31_239 sky130_fd_sc_hd__fill_1 + PLACED ( 115460 95200 ) FS ;
- FILLER_31_244 sky130_fd_sc_hd__decap_4 + PLACED ( 117760 95200 ) FS ;
- FILLER_31_250 sky130_fd_sc_hd__decap_4 + PLACED ( 120520 95200 ) FS ;
- FILLER_31_257 sky130_fd_sc_hd__decap_8 + PLACED ( 123740 95200 ) FS ;
- FILLER_31_265 sky130_fd_sc_hd__fill_1 + PLACED ( 127420 95200 ) FS ;
- FILLER_31_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 95200 ) FS ;
- FILLER_31_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 95200 ) FS ;
- FILLER_31_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 95200 ) FS ;
- FILLER_31_289 sky130_fd_sc_hd__decap_8 + PLACED ( 138460 95200 ) FS ;
- FILLER_31_297 sky130_fd_sc_hd__fill_1 + PLACED ( 142140 95200 ) FS ;
- FILLER_31_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 95200 ) FS ;
- FILLER_31_302 sky130_fd_sc_hd__decap_12 + PLACED ( 144440 95200 ) FS ;
- FILLER_31_314 sky130_fd_sc_hd__decap_12 + PLACED ( 149960 95200 ) FS ;
- FILLER_31_326 sky130_fd_sc_hd__decap_8 + PLACED ( 155480 95200 ) FS ;
- FILLER_31_334 sky130_fd_sc_hd__fill_2 + PLACED ( 159160 95200 ) FS ;
- FILLER_31_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 95200 ) FS ;
- FILLER_31_349 sky130_fd_sc_hd__decap_8 + PLACED ( 166060 95200 ) FS ;
- FILLER_31_357 sky130_fd_sc_hd__fill_2 + PLACED ( 169740 95200 ) FS ;
- FILLER_31_36 sky130_fd_sc_hd__decap_12 + PLACED ( 22080 95200 ) FS ;
- FILLER_31_361 sky130_fd_sc_hd__decap_4 + PLACED ( 171580 95200 ) FS ;
- FILLER_31_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 95200 ) FS ;
- FILLER_31_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 95200 ) FS ;
- FILLER_31_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 95200 ) FS ;
- FILLER_31_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 95200 ) FS ;
- FILLER_31_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 95200 ) FS ;
- FILLER_31_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 95200 ) FS ;
- FILLER_31_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 95200 ) FS ;
- FILLER_31_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 95200 ) FS ;
- FILLER_31_79 sky130_fd_sc_hd__decap_12 + PLACED ( 41860 95200 ) FS ;
- FILLER_31_95 sky130_fd_sc_hd__decap_8 + PLACED ( 49220 95200 ) FS ;
- FILLER_32_100 sky130_fd_sc_hd__decap_12 + PLACED ( 51520 97920 ) N ;
- FILLER_32_112 sky130_fd_sc_hd__decap_12 + PLACED ( 57040 97920 ) N ;
- FILLER_32_124 sky130_fd_sc_hd__decap_12 + PLACED ( 62560 97920 ) N ;
- FILLER_32_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 97920 ) N ;
- FILLER_32_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 97920 ) N ;
- FILLER_32_141 sky130_fd_sc_hd__fill_2 + PLACED ( 70380 97920 ) N ;
- FILLER_32_150 sky130_fd_sc_hd__decap_4 + PLACED ( 74520 97920 ) N ;
- FILLER_32_156 sky130_fd_sc_hd__decap_4 + PLACED ( 77280 97920 ) N ;
- FILLER_32_162 sky130_fd_sc_hd__decap_4 + PLACED ( 80040 97920 ) N ;
- FILLER_32_172 sky130_fd_sc_hd__decap_4 + PLACED ( 84640 97920 ) N ;
- FILLER_32_183 sky130_fd_sc_hd__decap_4 + PLACED ( 89700 97920 ) N ;
- FILLER_32_187 sky130_fd_sc_hd__fill_1 + PLACED ( 91540 97920 ) N ;
- FILLER_32_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 97920 ) N ;
- FILLER_32_202 sky130_fd_sc_hd__decap_4 + PLACED ( 98440 97920 ) N ;
- FILLER_32_208 sky130_fd_sc_hd__decap_4 + PLACED ( 101200 97920 ) N ;
- FILLER_32_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 97920 ) N ;
- FILLER_32_212 sky130_fd_sc_hd__fill_1 + PLACED ( 103040 97920 ) N ;
- FILLER_32_221 sky130_fd_sc_hd__decap_4 + PLACED ( 107180 97920 ) N ;
- FILLER_32_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 97920 ) N ;
- FILLER_32_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 97920 ) N ;
- FILLER_32_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 97920 ) N ;
- FILLER_32_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 97920 ) N ;
- FILLER_32_263 sky130_fd_sc_hd__decap_8 + PLACED ( 126500 97920 ) N ;
- FILLER_32_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 97920 ) N ;
- FILLER_32_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 97920 ) N ;
- FILLER_32_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 97920 ) N ;
- FILLER_32_289 sky130_fd_sc_hd__decap_6 + PLACED ( 138460 97920 ) N ;
- FILLER_32_295 sky130_fd_sc_hd__fill_1 + PLACED ( 141220 97920 ) N ;
- FILLER_32_298 sky130_fd_sc_hd__decap_8 + PLACED ( 142600 97920 ) N ;
- FILLER_32_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 97920 ) N ;
- FILLER_32_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 97920 ) N ;
- FILLER_32_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 97920 ) N ;
- FILLER_32_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 97920 ) N ;
- FILLER_32_329 sky130_fd_sc_hd__decap_12 + PLACED ( 156860 97920 ) N ;
- FILLER_32_341 sky130_fd_sc_hd__decap_12 + PLACED ( 162380 97920 ) N ;
- FILLER_32_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 97920 ) N ;
- FILLER_32_357 sky130_fd_sc_hd__fill_1 + PLACED ( 169740 97920 ) N ;
- FILLER_32_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 97920 ) N ;
- FILLER_32_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 97920 ) N ;
- FILLER_32_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 97920 ) N ;
- FILLER_32_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 97920 ) N ;
- FILLER_32_381 sky130_fd_sc_hd__decap_8 + PLACED ( 180780 97920 ) N ;
- FILLER_32_389 sky130_fd_sc_hd__fill_1 + PLACED ( 184460 97920 ) N ;
- FILLER_32_394 sky130_fd_sc_hd__decap_4 + PLACED ( 186760 97920 ) N ;
- FILLER_32_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 97920 ) N ;
- FILLER_32_44 sky130_fd_sc_hd__decap_8 + PLACED ( 25760 97920 ) N ;
- FILLER_32_52 sky130_fd_sc_hd__fill_1 + PLACED ( 29440 97920 ) N ;
- FILLER_32_58 sky130_fd_sc_hd__decap_4 + PLACED ( 32200 97920 ) N ;
- FILLER_32_64 sky130_fd_sc_hd__decap_12 + PLACED ( 34960 97920 ) N ;
- FILLER_32_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 97920 ) N ;
- FILLER_32_88 sky130_fd_sc_hd__decap_12 + PLACED ( 46000 97920 ) N ;
- FILLER_33_110 sky130_fd_sc_hd__fill_2 + PLACED ( 56120 100640 ) FS ;
- FILLER_33_113 sky130_fd_sc_hd__decap_8 + PLACED ( 57500 100640 ) FS ;
- FILLER_33_121 sky130_fd_sc_hd__decap_3 + PLACED ( 61180 100640 ) FS ;
- FILLER_33_126 sky130_fd_sc_hd__decap_4 + PLACED ( 63480 100640 ) FS ;
- FILLER_33_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 100640 ) FS ;
- FILLER_33_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 100640 ) FS ;
- FILLER_33_141 sky130_fd_sc_hd__decap_8 + PLACED ( 70380 100640 ) FS ;
- FILLER_33_149 sky130_fd_sc_hd__fill_1 + PLACED ( 74060 100640 ) FS ;
- FILLER_33_152 sky130_fd_sc_hd__decap_12 + PLACED ( 75440 100640 ) FS ;
- FILLER_33_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 100640 ) FS ;
- FILLER_33_174 sky130_fd_sc_hd__decap_4 + PLACED ( 85560 100640 ) FS ;
- FILLER_33_180 sky130_fd_sc_hd__decap_4 + PLACED ( 88320 100640 ) FS ;
- FILLER_33_186 sky130_fd_sc_hd__decap_4 + PLACED ( 91080 100640 ) FS ;
- FILLER_33_192 sky130_fd_sc_hd__decap_12 + PLACED ( 93840 100640 ) FS ;
- FILLER_33_204 sky130_fd_sc_hd__fill_1 + PLACED ( 99360 100640 ) FS ;
- FILLER_33_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 100640 ) FS ;
- FILLER_33_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 100640 ) FS ;
- FILLER_33_217 sky130_fd_sc_hd__fill_1 + PLACED ( 105340 100640 ) FS ;
- FILLER_33_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 100640 ) FS ;
- FILLER_33_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 100640 ) FS ;
- FILLER_33_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 100640 ) FS ;
- FILLER_33_233 sky130_fd_sc_hd__fill_1 + PLACED ( 112700 100640 ) FS ;
- FILLER_33_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 100640 ) FS ;
- FILLER_33_247 sky130_fd_sc_hd__decap_6 + PLACED ( 119140 100640 ) FS ;
- FILLER_33_256 sky130_fd_sc_hd__decap_4 + PLACED ( 123280 100640 ) FS ;
- FILLER_33_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 100640 ) FS ;
- FILLER_33_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 100640 ) FS ;
- FILLER_33_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 100640 ) FS ;
- FILLER_33_283 sky130_fd_sc_hd__decap_6 + PLACED ( 135700 100640 ) FS ;
- FILLER_33_294 sky130_fd_sc_hd__decap_4 + PLACED ( 140760 100640 ) FS ;
- FILLER_33_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 100640 ) FS ;
- FILLER_33_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 100640 ) FS ;
- FILLER_33_300 sky130_fd_sc_hd__decap_8 + PLACED ( 143520 100640 ) FS ;
- FILLER_33_311 sky130_fd_sc_hd__decap_4 + PLACED ( 148580 100640 ) FS ;
- FILLER_33_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 100640 ) FS ;
- FILLER_33_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 100640 ) FS ;
- FILLER_33_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 100640 ) FS ;
- FILLER_33_339 sky130_fd_sc_hd__decap_12 + PLACED ( 161460 100640 ) FS ;
- FILLER_33_351 sky130_fd_sc_hd__decap_6 + PLACED ( 166980 100640 ) FS ;
- FILLER_33_357 sky130_fd_sc_hd__fill_1 + PLACED ( 169740 100640 ) FS ;
- FILLER_33_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 100640 ) FS ;
- FILLER_33_366 sky130_fd_sc_hd__decap_4 + PLACED ( 173880 100640 ) FS ;
- FILLER_33_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 100640 ) FS ;
- FILLER_33_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 100640 ) FS ;
- FILLER_33_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 100640 ) FS ;
- FILLER_33_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 100640 ) FS ;
- FILLER_33_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 100640 ) FS ;
- FILLER_33_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 100640 ) FS ;
- FILLER_33_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 100640 ) FS ;
- FILLER_33_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 100640 ) FS ;
- FILLER_33_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 100640 ) FS ;
- FILLER_33_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 100640 ) FS ;
- FILLER_33_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_fd_sc_hd__decap_3 + PLACED ( 48300 100640 ) FS ;
- FILLER_33_98 sky130_fd_sc_hd__decap_12 + PLACED ( 50600 100640 ) FS ;
- FILLER_34_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 103360 ) N ;
- FILLER_34_114 sky130_fd_sc_hd__decap_12 + PLACED ( 57960 103360 ) N ;
- FILLER_34_126 sky130_fd_sc_hd__decap_12 + PLACED ( 63480 103360 ) N ;
- FILLER_34_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 103360 ) N ;
- FILLER_34_138 sky130_fd_sc_hd__fill_2 + PLACED ( 69000 103360 ) N ;
- FILLER_34_141 sky130_fd_sc_hd__decap_3 + PLACED ( 70380 103360 ) N ;
- FILLER_34_146 sky130_fd_sc_hd__decap_4 + PLACED ( 72680 103360 ) N ;
- FILLER_34_155 sky130_fd_sc_hd__decap_4 + PLACED ( 76820 103360 ) N ;
- FILLER_34_159 sky130_fd_sc_hd__fill_1 + PLACED ( 78660 103360 ) N ;
- FILLER_34_162 sky130_fd_sc_hd__decap_4 + PLACED ( 80040 103360 ) N ;
- FILLER_34_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 103360 ) N ;
- FILLER_34_179 sky130_fd_sc_hd__decap_8 + PLACED ( 87860 103360 ) N ;
- FILLER_34_187 sky130_fd_sc_hd__fill_1 + PLACED ( 91540 103360 ) N ;
- FILLER_34_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 103360 ) N ;
- FILLER_34_197 sky130_fd_sc_hd__decap_3 + PLACED ( 96140 103360 ) N ;
- FILLER_34_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 103360 ) N ;
- FILLER_34_211 sky130_fd_sc_hd__decap_4 + PLACED ( 102580 103360 ) N ;
- FILLER_34_217 sky130_fd_sc_hd__decap_4 + PLACED ( 105340 103360 ) N ;
- FILLER_34_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 103360 ) N ;
- FILLER_34_226 sky130_fd_sc_hd__decap_8 + PLACED ( 109480 103360 ) N ;
- FILLER_34_234 sky130_fd_sc_hd__fill_1 + PLACED ( 113160 103360 ) N ;
- FILLER_34_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 103360 ) N ;
- FILLER_34_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 103360 ) N ;
- FILLER_34_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 103360 ) N ;
- FILLER_34_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 103360 ) N ;
- FILLER_34_270 sky130_fd_sc_hd__decap_4 + PLACED ( 129720 103360 ) N ;
- FILLER_34_279 sky130_fd_sc_hd__decap_4 + PLACED ( 133860 103360 ) N ;
- FILLER_34_285 sky130_fd_sc_hd__decap_4 + PLACED ( 136620 103360 ) N ;
- FILLER_34_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 103360 ) N ;
- FILLER_34_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 103360 ) N ;
- FILLER_34_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 103360 ) N ;
- FILLER_34_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 103360 ) N ;
- FILLER_34_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 103360 ) N ;
- FILLER_34_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 103360 ) N ;
- FILLER_34_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 103360 ) N ;
- FILLER_34_335 sky130_fd_sc_hd__decap_4 + PLACED ( 159620 103360 ) N ;
- FILLER_34_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 103360 ) N ;
- FILLER_34_353 sky130_fd_sc_hd__decap_8 + PLACED ( 167900 103360 ) N ;
- FILLER_34_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 103360 ) N ;
- FILLER_34_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 103360 ) N ;
- FILLER_34_373 sky130_fd_sc_hd__decap_6 + PLACED ( 177100 103360 ) N ;
- FILLER_34_379 sky130_fd_sc_hd__fill_1 + PLACED ( 179860 103360 ) N ;
- FILLER_34_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 103360 ) N ;
- FILLER_34_383 sky130_fd_sc_hd__decap_4 + PLACED ( 181700 103360 ) N ;
- FILLER_34_397 sky130_fd_sc_hd__decap_4 + PLACED ( 188140 103360 ) N ;
- FILLER_34_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 103360 ) N ;
- FILLER_34_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 103360 ) N ;
- FILLER_34_50 sky130_fd_sc_hd__decap_12 + PLACED ( 28520 103360 ) N ;
- FILLER_34_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 103360 ) N ;
- FILLER_34_74 sky130_fd_sc_hd__decap_8 + PLACED ( 39560 103360 ) N ;
- FILLER_34_82 sky130_fd_sc_hd__fill_2 + PLACED ( 43240 103360 ) N ;
- FILLER_34_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 103360 ) N ;
- FILLER_34_96 sky130_fd_sc_hd__decap_4 + PLACED ( 49680 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 106080 ) FS ;
- FILLER_35_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 106080 ) FS ;
- FILLER_35_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 106080 ) FS ;
- FILLER_35_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_fd_sc_hd__decap_6 + PLACED ( 74060 106080 ) FS ;
- FILLER_35_157 sky130_fd_sc_hd__decap_8 + PLACED ( 77740 106080 ) FS ;
- FILLER_35_165 sky130_fd_sc_hd__decap_3 + PLACED ( 81420 106080 ) FS ;
- FILLER_35_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 106080 ) FS ;
- FILLER_35_180 sky130_fd_sc_hd__decap_4 + PLACED ( 88320 106080 ) FS ;
- FILLER_35_188 sky130_fd_sc_hd__decap_12 + PLACED ( 92000 106080 ) FS ;
- FILLER_35_20 sky130_fd_sc_hd__decap_4 + PLACED ( 14720 106080 ) FS ;
- FILLER_35_200 sky130_fd_sc_hd__decap_4 + PLACED ( 97520 106080 ) FS ;
- FILLER_35_204 sky130_fd_sc_hd__fill_1 + PLACED ( 99360 106080 ) FS ;
- FILLER_35_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 106080 ) FS ;
- FILLER_35_211 sky130_fd_sc_hd__fill_1 + PLACED ( 102580 106080 ) FS ;
- FILLER_35_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 106080 ) FS ;
- FILLER_35_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 106080 ) FS ;
- FILLER_35_230 sky130_fd_sc_hd__decap_4 + PLACED ( 111320 106080 ) FS ;
- FILLER_35_234 sky130_fd_sc_hd__fill_1 + PLACED ( 113160 106080 ) FS ;
- FILLER_35_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 106080 ) FS ;
- FILLER_35_243 sky130_fd_sc_hd__decap_4 + PLACED ( 117300 106080 ) FS ;
- FILLER_35_249 sky130_fd_sc_hd__decap_4 + PLACED ( 120060 106080 ) FS ;
- FILLER_35_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 106080 ) FS ;
- FILLER_35_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 106080 ) FS ;
- FILLER_35_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 106080 ) FS ;
- FILLER_35_274 sky130_fd_sc_hd__decap_6 + PLACED ( 131560 106080 ) FS ;
- FILLER_35_283 sky130_fd_sc_hd__decap_6 + PLACED ( 135700 106080 ) FS ;
- FILLER_35_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 106080 ) FS ;
- FILLER_35_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 106080 ) FS ;
- FILLER_35_301 sky130_fd_sc_hd__fill_1 + PLACED ( 143980 106080 ) FS ;
- FILLER_35_312 sky130_fd_sc_hd__decap_12 + PLACED ( 149040 106080 ) FS ;
- FILLER_35_324 sky130_fd_sc_hd__decap_12 + PLACED ( 154560 106080 ) FS ;
- FILLER_35_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 106080 ) FS ;
- FILLER_35_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 106080 ) FS ;
- FILLER_35_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 106080 ) FS ;
- FILLER_35_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 106080 ) FS ;
- FILLER_35_366 sky130_fd_sc_hd__decap_4 + PLACED ( 173880 106080 ) FS ;
- FILLER_35_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 106080 ) FS ;
- FILLER_35_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 106080 ) FS ;
- FILLER_35_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 106080 ) FS ;
- FILLER_35_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 106080 ) FS ;
- FILLER_35_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 106080 ) FS ;
- FILLER_35_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 106080 ) FS ;
- FILLER_35_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 106080 ) FS ;
- FILLER_35_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 106080 ) FS ;
- FILLER_35_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 106080 ) FS ;
- FILLER_35_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 106080 ) FS ;
- FILLER_35_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 106080 ) FS ;
- FILLER_35_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 108800 ) N ;
- FILLER_36_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 108800 ) N ;
- FILLER_36_153 sky130_fd_sc_hd__fill_1 + PLACED ( 75900 108800 ) N ;
- FILLER_36_164 sky130_fd_sc_hd__decap_8 + PLACED ( 80960 108800 ) N ;
- FILLER_36_172 sky130_fd_sc_hd__fill_1 + PLACED ( 84640 108800 ) N ;
- FILLER_36_175 sky130_fd_sc_hd__decap_12 + PLACED ( 86020 108800 ) N ;
- FILLER_36_187 sky130_fd_sc_hd__decap_8 + PLACED ( 91540 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 108800 ) N ;
- FILLER_36_209 sky130_fd_sc_hd__decap_8 + PLACED ( 101660 108800 ) N ;
- FILLER_36_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 108800 ) N ;
- FILLER_36_217 sky130_fd_sc_hd__fill_2 + PLACED ( 105340 108800 ) N ;
- FILLER_36_221 sky130_fd_sc_hd__decap_8 + PLACED ( 107180 108800 ) N ;
- FILLER_36_229 sky130_fd_sc_hd__fill_1 + PLACED ( 110860 108800 ) N ;
- FILLER_36_232 sky130_fd_sc_hd__decap_6 + PLACED ( 112240 108800 ) N ;
- FILLER_36_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 108800 ) N ;
- FILLER_36_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 108800 ) N ;
- FILLER_36_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 108800 ) N ;
- FILLER_36_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 108800 ) N ;
- FILLER_36_273 sky130_fd_sc_hd__decap_4 + PLACED ( 131100 108800 ) N ;
- FILLER_36_279 sky130_fd_sc_hd__decap_4 + PLACED ( 133860 108800 ) N ;
- FILLER_36_283 sky130_fd_sc_hd__fill_1 + PLACED ( 135700 108800 ) N ;
- FILLER_36_287 sky130_fd_sc_hd__decap_8 + PLACED ( 137540 108800 ) N ;
- FILLER_36_295 sky130_fd_sc_hd__fill_2 + PLACED ( 141220 108800 ) N ;
- FILLER_36_300 sky130_fd_sc_hd__decap_8 + PLACED ( 143520 108800 ) N ;
- FILLER_36_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 108800 ) N ;
- FILLER_36_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 108800 ) N ;
- FILLER_36_313 sky130_fd_sc_hd__fill_1 + PLACED ( 149500 108800 ) N ;
- FILLER_36_318 sky130_fd_sc_hd__decap_12 + PLACED ( 151800 108800 ) N ;
- FILLER_36_330 sky130_fd_sc_hd__decap_12 + PLACED ( 157320 108800 ) N ;
- FILLER_36_342 sky130_fd_sc_hd__decap_12 + PLACED ( 162840 108800 ) N ;
- FILLER_36_354 sky130_fd_sc_hd__decap_8 + PLACED ( 168360 108800 ) N ;
- FILLER_36_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 108800 ) N ;
- FILLER_36_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 108800 ) N ;
- FILLER_36_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 108800 ) N ;
- FILLER_36_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 108800 ) N ;
- FILLER_36_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 108800 ) N ;
- FILLER_36_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 108800 ) N ;
- FILLER_36_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 108800 ) N ;
- FILLER_36_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 108800 ) N ;
- FILLER_36_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 108800 ) N ;
- FILLER_36_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 108800 ) N ;
- FILLER_36_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 111520 ) FS ;
- FILLER_37_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 111520 ) FS ;
- FILLER_37_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 111520 ) FS ;
- FILLER_37_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 111520 ) FS ;
- FILLER_37_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 111520 ) FS ;
- FILLER_37_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 111520 ) FS ;
- FILLER_37_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 111520 ) FS ;
- FILLER_37_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 111520 ) FS ;
- FILLER_37_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 111520 ) FS ;
- FILLER_37_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 111520 ) FS ;
- FILLER_37_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 111520 ) FS ;
- FILLER_37_229 sky130_fd_sc_hd__fill_1 + PLACED ( 110860 111520 ) FS ;
- FILLER_37_234 sky130_fd_sc_hd__decap_4 + PLACED ( 113160 111520 ) FS ;
- FILLER_37_240 sky130_fd_sc_hd__decap_12 + PLACED ( 115920 111520 ) FS ;
- FILLER_37_252 sky130_fd_sc_hd__decap_3 + PLACED ( 121440 111520 ) FS ;
- FILLER_37_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 111520 ) FS ;
- FILLER_37_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 111520 ) FS ;
- FILLER_37_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 111520 ) FS ;
- FILLER_37_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 111520 ) FS ;
- FILLER_37_291 sky130_fd_sc_hd__decap_12 + PLACED ( 139380 111520 ) FS ;
- FILLER_37_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 111520 ) FS ;
- FILLER_37_303 sky130_fd_sc_hd__decap_12 + PLACED ( 144900 111520 ) FS ;
- FILLER_37_315 sky130_fd_sc_hd__decap_12 + PLACED ( 150420 111520 ) FS ;
- FILLER_37_327 sky130_fd_sc_hd__decap_8 + PLACED ( 155940 111520 ) FS ;
- FILLER_37_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 111520 ) FS ;
- FILLER_37_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 111520 ) FS ;
- FILLER_37_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 111520 ) FS ;
- FILLER_37_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 111520 ) FS ;
- FILLER_37_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 111520 ) FS ;
- FILLER_37_373 sky130_fd_sc_hd__decap_8 + PLACED ( 177100 111520 ) FS ;
- FILLER_37_381 sky130_fd_sc_hd__decap_3 + PLACED ( 180780 111520 ) FS ;
- FILLER_37_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 111520 ) FS ;
- FILLER_37_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 111520 ) FS ;
- FILLER_37_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 111520 ) FS ;
- FILLER_37_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 111520 ) FS ;
- FILLER_37_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 111520 ) FS ;
- FILLER_37_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 111520 ) FS ;
- FILLER_37_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 111520 ) FS ;
- FILLER_37_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 114240 ) N ;
- FILLER_38_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 114240 ) N ;
- FILLER_38_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 114240 ) N ;
- FILLER_38_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_fd_sc_hd__decap_8 + PLACED ( 81420 114240 ) N ;
- FILLER_38_173 sky130_fd_sc_hd__decap_3 + PLACED ( 85100 114240 ) N ;
- FILLER_38_180 sky130_fd_sc_hd__decap_12 + PLACED ( 88320 114240 ) N ;
- FILLER_38_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 114240 ) N ;
- FILLER_38_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 114240 ) N ;
- FILLER_38_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 114240 ) N ;
- FILLER_38_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 114240 ) N ;
- FILLER_38_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 114240 ) N ;
- FILLER_38_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 114240 ) N ;
- FILLER_38_245 sky130_fd_sc_hd__fill_1 + PLACED ( 118220 114240 ) N ;
- FILLER_38_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 114240 ) N ;
- FILLER_38_258 sky130_fd_sc_hd__decap_12 + PLACED ( 124200 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 114240 ) N ;
- FILLER_38_270 sky130_fd_sc_hd__decap_12 + PLACED ( 129720 114240 ) N ;
- FILLER_38_282 sky130_fd_sc_hd__decap_12 + PLACED ( 135240 114240 ) N ;
- FILLER_38_294 sky130_fd_sc_hd__decap_12 + PLACED ( 140760 114240 ) N ;
- FILLER_38_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 114240 ) N ;
- FILLER_38_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 114240 ) N ;
- FILLER_38_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 114240 ) N ;
- FILLER_38_313 sky130_fd_sc_hd__fill_1 + PLACED ( 149500 114240 ) N ;
- FILLER_38_318 sky130_fd_sc_hd__decap_12 + PLACED ( 151800 114240 ) N ;
- FILLER_38_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 114240 ) N ;
- FILLER_38_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 114240 ) N ;
- FILLER_38_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 114240 ) N ;
- FILLER_38_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 114240 ) N ;
- FILLER_38_365 sky130_fd_sc_hd__decap_8 + PLACED ( 173420 114240 ) N ;
- FILLER_38_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 114240 ) N ;
- FILLER_38_373 sky130_fd_sc_hd__decap_3 + PLACED ( 177100 114240 ) N ;
- FILLER_38_378 sky130_fd_sc_hd__decap_4 + PLACED ( 179400 114240 ) N ;
- FILLER_38_386 sky130_fd_sc_hd__decap_4 + PLACED ( 183080 114240 ) N ;
- FILLER_38_394 sky130_fd_sc_hd__decap_4 + PLACED ( 186760 114240 ) N ;
- FILLER_38_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 114240 ) N ;
- FILLER_38_49 sky130_fd_sc_hd__decap_12 + PLACED ( 28060 114240 ) N ;
- FILLER_38_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 114240 ) N ;
- FILLER_38_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 114240 ) N ;
- FILLER_38_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 114240 ) N ;
- FILLER_38_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 114240 ) N ;
- FILLER_39_100 sky130_fd_sc_hd__decap_12 + PLACED ( 51520 116960 ) FS ;
- FILLER_39_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 116960 ) FS ;
- FILLER_39_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 116960 ) FS ;
- FILLER_39_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 116960 ) FS ;
- FILLER_39_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 116960 ) FS ;
- FILLER_39_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 116960 ) FS ;
- FILLER_39_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 116960 ) FS ;
- FILLER_39_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 116960 ) FS ;
- FILLER_39_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 116960 ) FS ;
- FILLER_39_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 116960 ) FS ;
- FILLER_39_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 116960 ) FS ;
- FILLER_39_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_fd_sc_hd__fill_2 + PLACED ( 120060 116960 ) FS ;
- FILLER_39_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 116960 ) FS ;
- FILLER_39_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 116960 ) FS ;
- FILLER_39_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 116960 ) FS ;
- FILLER_39_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 116960 ) FS ;
- FILLER_39_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 116960 ) FS ;
- FILLER_39_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 116960 ) FS ;
- FILLER_39_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 116960 ) FS ;
- FILLER_39_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 116960 ) FS ;
- FILLER_39_329 sky130_fd_sc_hd__fill_1 + PLACED ( 156860 116960 ) FS ;
- FILLER_39_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 116960 ) FS ;
- FILLER_39_342 sky130_fd_sc_hd__decap_12 + PLACED ( 162840 116960 ) FS ;
- FILLER_39_354 sky130_fd_sc_hd__decap_12 + PLACED ( 168360 116960 ) FS ;
- FILLER_39_366 sky130_fd_sc_hd__decap_12 + PLACED ( 173880 116960 ) FS ;
- FILLER_39_378 sky130_fd_sc_hd__fill_1 + PLACED ( 179400 116960 ) FS ;
- FILLER_39_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 116960 ) FS ;
- FILLER_39_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 116960 ) FS ;
- FILLER_39_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 116960 ) FS ;
- FILLER_39_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 116960 ) FS ;
- FILLER_39_397 sky130_fd_sc_hd__fill_1 + PLACED ( 188140 116960 ) FS ;
- FILLER_39_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 116960 ) FS ;
- FILLER_39_44 sky130_fd_sc_hd__decap_6 + PLACED ( 25760 116960 ) FS ;
- FILLER_39_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 116960 ) FS ;
- FILLER_39_64 sky130_fd_sc_hd__decap_4 + PLACED ( 34960 116960 ) FS ;
- FILLER_39_70 sky130_fd_sc_hd__decap_4 + PLACED ( 37720 116960 ) FS ;
- FILLER_39_76 sky130_fd_sc_hd__decap_12 + PLACED ( 40480 116960 ) FS ;
- FILLER_39_88 sky130_fd_sc_hd__decap_12 + PLACED ( 46000 116960 ) FS ;
- FILLER_3_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 19040 ) FS ;
- FILLER_3_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 19040 ) FS ;
- FILLER_3_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 19040 ) FS ;
- FILLER_3_117 sky130_fd_sc_hd__decap_8 + PLACED ( 59340 19040 ) FS ;
- FILLER_3_129 sky130_fd_sc_hd__decap_8 + PLACED ( 64860 19040 ) FS ;
- FILLER_3_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 19040 ) FS ;
- FILLER_3_149 sky130_fd_sc_hd__decap_8 + PLACED ( 74060 19040 ) FS ;
- FILLER_3_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 19040 ) FS ;
- FILLER_3_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 19040 ) FS ;
- FILLER_3_169 sky130_fd_sc_hd__fill_2 + PLACED ( 83260 19040 ) FS ;
- FILLER_3_175 sky130_fd_sc_hd__decap_4 + PLACED ( 86020 19040 ) FS ;
- FILLER_3_183 sky130_fd_sc_hd__decap_4 + PLACED ( 89700 19040 ) FS ;
- FILLER_3_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 19040 ) FS ;
- FILLER_3_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 19040 ) FS ;
- FILLER_3_199 sky130_fd_sc_hd__decap_4 + PLACED ( 97060 19040 ) FS ;
- FILLER_3_203 sky130_fd_sc_hd__fill_1 + PLACED ( 98900 19040 ) FS ;
- FILLER_3_208 sky130_fd_sc_hd__decap_4 + PLACED ( 101200 19040 ) FS ;
- FILLER_3_216 sky130_fd_sc_hd__decap_8 + PLACED ( 104880 19040 ) FS ;
- FILLER_3_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 19040 ) FS ;
- FILLER_3_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 19040 ) FS ;
- FILLER_3_243 sky130_fd_sc_hd__decap_4 + PLACED ( 117300 19040 ) FS ;
- FILLER_3_251 sky130_fd_sc_hd__decap_6 + PLACED ( 120980 19040 ) FS ;
- FILLER_3_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 19040 ) FS ;
- FILLER_3_269 sky130_fd_sc_hd__decap_4 + PLACED ( 129260 19040 ) FS ;
- FILLER_3_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 19040 ) FS ;
- FILLER_3_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 19040 ) FS ;
- FILLER_3_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 19040 ) FS ;
- FILLER_3_289 sky130_fd_sc_hd__decap_6 + PLACED ( 138460 19040 ) FS ;
- FILLER_3_299 sky130_fd_sc_hd__decap_4 + PLACED ( 143060 19040 ) FS ;
- FILLER_3_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 19040 ) FS ;
- FILLER_3_307 sky130_fd_sc_hd__decap_4 + PLACED ( 146740 19040 ) FS ;
- FILLER_3_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 19040 ) FS ;
- FILLER_3_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 19040 ) FS ;
- FILLER_3_327 sky130_fd_sc_hd__fill_1 + PLACED ( 155940 19040 ) FS ;
- FILLER_3_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 19040 ) FS ;
- FILLER_3_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 19040 ) FS ;
- FILLER_3_341 sky130_fd_sc_hd__fill_1 + PLACED ( 162380 19040 ) FS ;
- FILLER_3_346 sky130_fd_sc_hd__decap_6 + PLACED ( 164680 19040 ) FS ;
- FILLER_3_35 sky130_fd_sc_hd__decap_6 + PLACED ( 21620 19040 ) FS ;
- FILLER_3_356 sky130_fd_sc_hd__decap_4 + PLACED ( 169280 19040 ) FS ;
- FILLER_3_364 sky130_fd_sc_hd__decap_4 + PLACED ( 172960 19040 ) FS ;
- FILLER_3_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 19040 ) FS ;
- FILLER_3_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 19040 ) FS ;
- FILLER_3_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 19040 ) FS ;
- FILLER_3_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 19040 ) FS ;
- FILLER_3_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 19040 ) FS ;
- FILLER_3_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 19040 ) FS ;
- FILLER_3_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 19040 ) FS ;
- FILLER_3_57 sky130_fd_sc_hd__fill_2 + PLACED ( 31740 19040 ) FS ;
- FILLER_3_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 19040 ) FS ;
- FILLER_3_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 19040 ) FS ;
- FILLER_3_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 19040 ) FS ;
- FILLER_3_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 19040 ) FS ;
- FILLER_3_97 sky130_fd_sc_hd__decap_6 + PLACED ( 50140 19040 ) FS ;
- FILLER_40_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 119680 ) N ;
- FILLER_40_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 119680 ) N ;
- FILLER_40_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 119680 ) N ;
- FILLER_40_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 119680 ) N ;
- FILLER_40_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 119680 ) N ;
- FILLER_40_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 119680 ) N ;
- FILLER_40_183 sky130_fd_sc_hd__fill_1 + PLACED ( 89700 119680 ) N ;
- FILLER_40_188 sky130_fd_sc_hd__decap_8 + PLACED ( 92000 119680 ) N ;
- FILLER_40_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 119680 ) N ;
- FILLER_40_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 119680 ) N ;
- FILLER_40_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 119680 ) N ;
- FILLER_40_217 sky130_fd_sc_hd__decap_12 + PLACED ( 105340 119680 ) N ;
- FILLER_40_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 119680 ) N ;
- FILLER_40_241 sky130_fd_sc_hd__decap_8 + PLACED ( 116380 119680 ) N ;
- FILLER_40_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 119680 ) N ;
- FILLER_40_255 sky130_fd_sc_hd__decap_12 + PLACED ( 122820 119680 ) N ;
- FILLER_40_267 sky130_fd_sc_hd__decap_12 + PLACED ( 128340 119680 ) N ;
- FILLER_40_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 119680 ) N ;
- FILLER_40_279 sky130_fd_sc_hd__decap_12 + PLACED ( 133860 119680 ) N ;
- FILLER_40_291 sky130_fd_sc_hd__decap_12 + PLACED ( 139380 119680 ) N ;
- FILLER_40_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 119680 ) N ;
- FILLER_40_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 119680 ) N ;
- FILLER_40_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 119680 ) N ;
- FILLER_40_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 119680 ) N ;
- FILLER_40_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 119680 ) N ;
- FILLER_40_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 119680 ) N ;
- FILLER_40_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 119680 ) N ;
- FILLER_40_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 119680 ) N ;
- FILLER_40_352 sky130_fd_sc_hd__decap_12 + PLACED ( 167440 119680 ) N ;
- FILLER_40_365 sky130_fd_sc_hd__decap_6 + PLACED ( 173420 119680 ) N ;
- FILLER_40_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 119680 ) N ;
- FILLER_40_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 119680 ) N ;
- FILLER_40_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 119680 ) N ;
- FILLER_40_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 119680 ) N ;
- FILLER_40_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 119680 ) N ;
- FILLER_40_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 119680 ) N ;
- FILLER_40_49 sky130_fd_sc_hd__decap_12 + PLACED ( 28060 119680 ) N ;
- FILLER_40_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 119680 ) N ;
- FILLER_40_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 119680 ) N ;
- FILLER_40_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 119680 ) N ;
- FILLER_40_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 119680 ) N ;
- FILLER_40_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 119680 ) N ;
- FILLER_41_102 sky130_fd_sc_hd__decap_8 + PLACED ( 52440 122400 ) FS ;
- FILLER_41_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 122400 ) FS ;
- FILLER_41_110 sky130_fd_sc_hd__fill_2 + PLACED ( 56120 122400 ) FS ;
- FILLER_41_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 122400 ) FS ;
- FILLER_41_125 sky130_fd_sc_hd__decap_8 + PLACED ( 63020 122400 ) FS ;
- FILLER_41_133 sky130_fd_sc_hd__decap_3 + PLACED ( 66700 122400 ) FS ;
- FILLER_41_138 sky130_fd_sc_hd__decap_12 + PLACED ( 69000 122400 ) FS ;
- FILLER_41_150 sky130_fd_sc_hd__decap_8 + PLACED ( 74520 122400 ) FS ;
- FILLER_41_158 sky130_fd_sc_hd__fill_2 + PLACED ( 78200 122400 ) FS ;
- FILLER_41_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 122400 ) FS ;
- FILLER_41_169 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 122400 ) FS ;
- FILLER_41_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 122400 ) FS ;
- FILLER_41_175 sky130_fd_sc_hd__decap_4 + PLACED ( 86020 122400 ) FS ;
- FILLER_41_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 122400 ) FS ;
- FILLER_41_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 122400 ) FS ;
- FILLER_41_200 sky130_fd_sc_hd__decap_12 + PLACED ( 97520 122400 ) FS ;
- FILLER_41_212 sky130_fd_sc_hd__decap_12 + PLACED ( 103040 122400 ) FS ;
- FILLER_41_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 122400 ) FS ;
- FILLER_41_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 122400 ) FS ;
- FILLER_41_231 sky130_fd_sc_hd__fill_1 + PLACED ( 111780 122400 ) FS ;
- FILLER_41_234 sky130_fd_sc_hd__decap_8 + PLACED ( 113160 122400 ) FS ;
- FILLER_41_242 sky130_fd_sc_hd__decap_3 + PLACED ( 116840 122400 ) FS ;
- FILLER_41_249 sky130_fd_sc_hd__decap_4 + PLACED ( 120060 122400 ) FS ;
- FILLER_41_263 sky130_fd_sc_hd__decap_4 + PLACED ( 126500 122400 ) FS ;
- FILLER_41_269 sky130_fd_sc_hd__decap_4 + PLACED ( 129260 122400 ) FS ;
- FILLER_41_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 122400 ) FS ;
- FILLER_41_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 122400 ) FS ;
- FILLER_41_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 122400 ) FS ;
- FILLER_41_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 122400 ) FS ;
- FILLER_41_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 122400 ) FS ;
- FILLER_41_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 122400 ) FS ;
- FILLER_41_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 122400 ) FS ;
- FILLER_41_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 122400 ) FS ;
- FILLER_41_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 122400 ) FS ;
- FILLER_41_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 122400 ) FS ;
- FILLER_41_361 sky130_fd_sc_hd__decap_8 + PLACED ( 171580 122400 ) FS ;
- FILLER_41_369 sky130_fd_sc_hd__fill_2 + PLACED ( 175260 122400 ) FS ;
- FILLER_41_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 122400 ) FS ;
- FILLER_41_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 122400 ) FS ;
- FILLER_41_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 122400 ) FS ;
- FILLER_41_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 122400 ) FS ;
- FILLER_41_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 122400 ) FS ;
- FILLER_41_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 122400 ) FS ;
- FILLER_41_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 122400 ) FS ;
- FILLER_41_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 122400 ) FS ;
- FILLER_41_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_fd_sc_hd__decap_6 + PLACED ( 48300 122400 ) FS ;
- FILLER_41_99 sky130_fd_sc_hd__fill_1 + PLACED ( 51060 122400 ) FS ;
- FILLER_42_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 125120 ) N ;
- FILLER_42_107 sky130_fd_sc_hd__decap_12 + PLACED ( 54740 125120 ) N ;
- FILLER_42_119 sky130_fd_sc_hd__decap_8 + PLACED ( 60260 125120 ) N ;
- FILLER_42_127 sky130_fd_sc_hd__fill_1 + PLACED ( 63940 125120 ) N ;
- FILLER_42_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 125120 ) N ;
- FILLER_42_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 125120 ) N ;
- FILLER_42_148 sky130_fd_sc_hd__fill_1 + PLACED ( 73600 125120 ) N ;
- FILLER_42_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 125120 ) N ;
- FILLER_42_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 125120 ) N ;
- FILLER_42_160 sky130_fd_sc_hd__decap_6 + PLACED ( 79120 125120 ) N ;
- FILLER_42_166 sky130_fd_sc_hd__fill_1 + PLACED ( 81880 125120 ) N ;
- FILLER_42_172 sky130_fd_sc_hd__decap_4 + PLACED ( 84640 125120 ) N ;
- FILLER_42_186 sky130_fd_sc_hd__decap_8 + PLACED ( 91080 125120 ) N ;
- FILLER_42_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 125120 ) N ;
- FILLER_42_199 sky130_fd_sc_hd__decap_12 + PLACED ( 97060 125120 ) N ;
- FILLER_42_211 sky130_fd_sc_hd__decap_8 + PLACED ( 102580 125120 ) N ;
- FILLER_42_221 sky130_fd_sc_hd__decap_4 + PLACED ( 107180 125120 ) N ;
- FILLER_42_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 125120 ) N ;
- FILLER_42_232 sky130_fd_sc_hd__decap_4 + PLACED ( 112240 125120 ) N ;
- FILLER_42_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 125120 ) N ;
- FILLER_42_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 125120 ) N ;
- FILLER_42_259 sky130_fd_sc_hd__decap_4 + PLACED ( 124660 125120 ) N ;
- FILLER_42_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 125120 ) N ;
- FILLER_42_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 125120 ) N ;
- FILLER_42_280 sky130_fd_sc_hd__fill_1 + PLACED ( 134320 125120 ) N ;
- FILLER_42_287 sky130_fd_sc_hd__decap_12 + PLACED ( 137540 125120 ) N ;
- FILLER_42_299 sky130_fd_sc_hd__decap_8 + PLACED ( 143060 125120 ) N ;
- FILLER_42_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 125120 ) N ;
- FILLER_42_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 125120 ) N ;
- FILLER_42_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 125120 ) N ;
- FILLER_42_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 125120 ) N ;
- FILLER_42_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 125120 ) N ;
- FILLER_42_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 125120 ) N ;
- FILLER_42_35 sky130_fd_sc_hd__fill_1 + PLACED ( 21620 125120 ) N ;
- FILLER_42_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 125120 ) N ;
- FILLER_42_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 125120 ) N ;
- FILLER_42_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 125120 ) N ;
- FILLER_42_369 sky130_fd_sc_hd__fill_1 + PLACED ( 175260 125120 ) N ;
- FILLER_42_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 125120 ) N ;
- FILLER_42_378 sky130_fd_sc_hd__decap_4 + PLACED ( 179400 125120 ) N ;
- FILLER_42_382 sky130_fd_sc_hd__fill_1 + PLACED ( 181240 125120 ) N ;
- FILLER_42_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 125120 ) N ;
- FILLER_42_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 125120 ) N ;
- FILLER_42_40 sky130_fd_sc_hd__decap_12 + PLACED ( 23920 125120 ) N ;
- FILLER_42_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 125120 ) N ;
- FILLER_42_52 sky130_fd_sc_hd__decap_12 + PLACED ( 29440 125120 ) N ;
- FILLER_42_64 sky130_fd_sc_hd__decap_12 + PLACED ( 34960 125120 ) N ;
- FILLER_42_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 125120 ) N ;
- FILLER_42_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 125120 ) N ;
- FILLER_42_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 125120 ) N ;
- FILLER_42_93 sky130_fd_sc_hd__decap_8 + PLACED ( 48300 125120 ) N ;
- FILLER_43_103 sky130_fd_sc_hd__decap_8 + PLACED ( 52900 127840 ) FS ;
- FILLER_43_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_fd_sc_hd__fill_1 + PLACED ( 68540 127840 ) FS ;
- FILLER_43_140 sky130_fd_sc_hd__decap_6 + PLACED ( 69920 127840 ) FS ;
- FILLER_43_148 sky130_fd_sc_hd__decap_4 + PLACED ( 73600 127840 ) FS ;
- FILLER_43_158 sky130_fd_sc_hd__decap_4 + PLACED ( 78200 127840 ) FS ;
- FILLER_43_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 127840 ) FS ;
- FILLER_43_175 sky130_fd_sc_hd__decap_8 + PLACED ( 86020 127840 ) FS ;
- FILLER_43_187 sky130_fd_sc_hd__decap_12 + PLACED ( 91540 127840 ) FS ;
- FILLER_43_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 127840 ) FS ;
- FILLER_43_199 sky130_fd_sc_hd__decap_12 + PLACED ( 97060 127840 ) FS ;
- FILLER_43_211 sky130_fd_sc_hd__decap_12 + PLACED ( 102580 127840 ) FS ;
- FILLER_43_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 127840 ) FS ;
- FILLER_43_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 127840 ) FS ;
- FILLER_43_237 sky130_fd_sc_hd__fill_1 + PLACED ( 114540 127840 ) FS ;
- FILLER_43_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 127840 ) FS ;
- FILLER_43_246 sky130_fd_sc_hd__decap_4 + PLACED ( 118680 127840 ) FS ;
- FILLER_43_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 127840 ) FS ;
- FILLER_43_259 sky130_fd_sc_hd__fill_1 + PLACED ( 124660 127840 ) FS ;
- FILLER_43_265 sky130_fd_sc_hd__decap_6 + PLACED ( 127420 127840 ) FS ;
- FILLER_43_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 127840 ) FS ;
- FILLER_43_271 sky130_fd_sc_hd__fill_1 + PLACED ( 130180 127840 ) FS ;
- FILLER_43_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 127840 ) FS ;
- FILLER_43_281 sky130_fd_sc_hd__fill_2 + PLACED ( 134780 127840 ) FS ;
- FILLER_43_288 sky130_fd_sc_hd__decap_4 + PLACED ( 138000 127840 ) FS ;
- FILLER_43_294 sky130_fd_sc_hd__decap_4 + PLACED ( 140760 127840 ) FS ;
- FILLER_43_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 127840 ) FS ;
- FILLER_43_300 sky130_fd_sc_hd__decap_12 + PLACED ( 143520 127840 ) FS ;
- FILLER_43_312 sky130_fd_sc_hd__decap_12 + PLACED ( 149040 127840 ) FS ;
- FILLER_43_324 sky130_fd_sc_hd__decap_12 + PLACED ( 154560 127840 ) FS ;
- FILLER_43_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 127840 ) FS ;
- FILLER_43_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 127840 ) FS ;
- FILLER_43_36 sky130_fd_sc_hd__decap_4 + PLACED ( 22080 127840 ) FS ;
- FILLER_43_361 sky130_fd_sc_hd__decap_4 + PLACED ( 171580 127840 ) FS ;
- FILLER_43_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 127840 ) FS ;
- FILLER_43_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 127840 ) FS ;
- FILLER_43_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 127840 ) FS ;
- FILLER_43_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 127840 ) FS ;
- FILLER_43_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 127840 ) FS ;
- FILLER_43_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 127840 ) FS ;
- FILLER_43_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 127840 ) FS ;
- FILLER_43_42 sky130_fd_sc_hd__decap_4 + PLACED ( 24840 127840 ) FS ;
- FILLER_43_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 127840 ) FS ;
- FILLER_43_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 127840 ) FS ;
- FILLER_43_69 sky130_fd_sc_hd__decap_6 + PLACED ( 37260 127840 ) FS ;
- FILLER_43_75 sky130_fd_sc_hd__fill_1 + PLACED ( 40020 127840 ) FS ;
- FILLER_43_79 sky130_fd_sc_hd__decap_12 + PLACED ( 41860 127840 ) FS ;
- FILLER_43_91 sky130_fd_sc_hd__decap_12 + PLACED ( 47380 127840 ) FS ;
- FILLER_44_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 130560 ) N ;
- FILLER_44_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 130560 ) N ;
- FILLER_44_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 130560 ) N ;
- FILLER_44_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 130560 ) N ;
- FILLER_44_146 sky130_fd_sc_hd__decap_4 + PLACED ( 72680 130560 ) N ;
- FILLER_44_152 sky130_fd_sc_hd__decap_12 + PLACED ( 75440 130560 ) N ;
- FILLER_44_164 sky130_fd_sc_hd__fill_1 + PLACED ( 80960 130560 ) N ;
- FILLER_44_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 130560 ) N ;
- FILLER_44_173 sky130_fd_sc_hd__fill_1 + PLACED ( 85100 130560 ) N ;
- FILLER_44_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 130560 ) N ;
- FILLER_44_18 sky130_fd_sc_hd__decap_4 + PLACED ( 13800 130560 ) N ;
- FILLER_44_183 sky130_fd_sc_hd__decap_12 + PLACED ( 89700 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 130560 ) N ;
- FILLER_44_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 130560 ) N ;
- FILLER_44_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 130560 ) N ;
- FILLER_44_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 130560 ) N ;
- FILLER_44_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 130560 ) N ;
- FILLER_44_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 130560 ) N ;
- FILLER_44_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 130560 ) N ;
- FILLER_44_253 sky130_fd_sc_hd__fill_1 + PLACED ( 121900 130560 ) N ;
- FILLER_44_257 sky130_fd_sc_hd__decap_6 + PLACED ( 123740 130560 ) N ;
- FILLER_44_263 sky130_fd_sc_hd__fill_1 + PLACED ( 126500 130560 ) N ;
- FILLER_44_268 sky130_fd_sc_hd__decap_8 + PLACED ( 128800 130560 ) N ;
- FILLER_44_276 sky130_fd_sc_hd__fill_1 + PLACED ( 132480 130560 ) N ;
- FILLER_44_281 sky130_fd_sc_hd__decap_8 + PLACED ( 134780 130560 ) N ;
- FILLER_44_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 130560 ) N ;
- FILLER_44_297 sky130_fd_sc_hd__decap_8 + PLACED ( 142140 130560 ) N ;
- FILLER_44_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 130560 ) N ;
- FILLER_44_305 sky130_fd_sc_hd__decap_3 + PLACED ( 145820 130560 ) N ;
- FILLER_44_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 130560 ) N ;
- FILLER_44_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 130560 ) N ;
- FILLER_44_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 130560 ) N ;
- FILLER_44_333 sky130_fd_sc_hd__decap_8 + PLACED ( 158700 130560 ) N ;
- FILLER_44_341 sky130_fd_sc_hd__decap_3 + PLACED ( 162380 130560 ) N ;
- FILLER_44_348 sky130_fd_sc_hd__decap_12 + PLACED ( 165600 130560 ) N ;
- FILLER_44_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 130560 ) N ;
- FILLER_44_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 130560 ) N ;
- FILLER_44_369 sky130_fd_sc_hd__fill_1 + PLACED ( 175260 130560 ) N ;
- FILLER_44_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 130560 ) N ;
- FILLER_44_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 130560 ) N ;
- FILLER_44_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 130560 ) N ;
- FILLER_44_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 130560 ) N ;
- FILLER_44_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 130560 ) N ;
- FILLER_44_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 130560 ) N ;
- FILLER_44_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 130560 ) N ;
- FILLER_44_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 130560 ) N ;
- FILLER_44_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 130560 ) N ;
- FILLER_44_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 130560 ) N ;
- FILLER_44_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 130560 ) N ;
- FILLER_44_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 133280 ) FS ;
- FILLER_45_117 sky130_fd_sc_hd__decap_12 + PLACED ( 59340 133280 ) FS ;
- FILLER_45_129 sky130_fd_sc_hd__decap_12 + PLACED ( 64860 133280 ) FS ;
- FILLER_45_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 133280 ) FS ;
- FILLER_45_141 sky130_fd_sc_hd__decap_8 + PLACED ( 70380 133280 ) FS ;
- FILLER_45_159 sky130_fd_sc_hd__decap_8 + PLACED ( 78660 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 133280 ) FS ;
- FILLER_45_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 133280 ) FS ;
- FILLER_45_189 sky130_fd_sc_hd__decap_12 + PLACED ( 92460 133280 ) FS ;
- FILLER_45_201 sky130_fd_sc_hd__decap_12 + PLACED ( 97980 133280 ) FS ;
- FILLER_45_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 133280 ) FS ;
- FILLER_45_213 sky130_fd_sc_hd__decap_8 + PLACED ( 103500 133280 ) FS ;
- FILLER_45_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 133280 ) FS ;
- FILLER_45_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 133280 ) FS ;
- FILLER_45_233 sky130_fd_sc_hd__fill_1 + PLACED ( 112700 133280 ) FS ;
- FILLER_45_238 sky130_fd_sc_hd__decap_12 + PLACED ( 115000 133280 ) FS ;
- FILLER_45_250 sky130_fd_sc_hd__decap_8 + PLACED ( 120520 133280 ) FS ;
- FILLER_45_258 sky130_fd_sc_hd__fill_2 + PLACED ( 124200 133280 ) FS ;
- FILLER_45_263 sky130_fd_sc_hd__decap_4 + PLACED ( 126500 133280 ) FS ;
- FILLER_45_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 133280 ) FS ;
- FILLER_45_270 sky130_fd_sc_hd__decap_4 + PLACED ( 129720 133280 ) FS ;
- FILLER_45_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 133280 ) FS ;
- FILLER_45_281 sky130_fd_sc_hd__decap_3 + PLACED ( 134780 133280 ) FS ;
- FILLER_45_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 133280 ) FS ;
- FILLER_45_298 sky130_fd_sc_hd__decap_12 + PLACED ( 142600 133280 ) FS ;
- FILLER_45_310 sky130_fd_sc_hd__decap_12 + PLACED ( 148120 133280 ) FS ;
- FILLER_45_322 sky130_fd_sc_hd__decap_12 + PLACED ( 153640 133280 ) FS ;
- FILLER_45_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 133280 ) FS ;
- FILLER_45_334 sky130_fd_sc_hd__fill_2 + PLACED ( 159160 133280 ) FS ;
- FILLER_45_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 133280 ) FS ;
- FILLER_45_349 sky130_fd_sc_hd__decap_8 + PLACED ( 166060 133280 ) FS ;
- FILLER_45_357 sky130_fd_sc_hd__fill_1 + PLACED ( 169740 133280 ) FS ;
- FILLER_45_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 133280 ) FS ;
- FILLER_45_366 sky130_fd_sc_hd__decap_4 + PLACED ( 173880 133280 ) FS ;
- FILLER_45_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 133280 ) FS ;
- FILLER_45_377 sky130_fd_sc_hd__fill_1 + PLACED ( 178940 133280 ) FS ;
- FILLER_45_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 133280 ) FS ;
- FILLER_45_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 133280 ) FS ;
- FILLER_45_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 133280 ) FS ;
- FILLER_45_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 133280 ) FS ;
- FILLER_45_397 sky130_fd_sc_hd__fill_1 + PLACED ( 188140 133280 ) FS ;
- FILLER_45_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 133280 ) FS ;
- FILLER_45_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 133280 ) FS ;
- FILLER_45_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 133280 ) FS ;
- FILLER_45_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 133280 ) FS ;
- FILLER_45_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 133280 ) FS ;
- FILLER_45_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 136000 ) N ;
- FILLER_46_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 136000 ) N ;
- FILLER_46_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 136000 ) N ;
- FILLER_46_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 136000 ) N ;
- FILLER_46_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_fd_sc_hd__fill_2 + PLACED ( 81420 136000 ) N ;
- FILLER_46_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 136000 ) N ;
- FILLER_46_173 sky130_fd_sc_hd__fill_1 + PLACED ( 85100 136000 ) N ;
- FILLER_46_176 sky130_fd_sc_hd__decap_4 + PLACED ( 86480 136000 ) N ;
- FILLER_46_182 sky130_fd_sc_hd__decap_6 + PLACED ( 89240 136000 ) N ;
- FILLER_46_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 136000 ) N ;
- FILLER_46_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 136000 ) N ;
- FILLER_46_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 136000 ) N ;
- FILLER_46_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 136000 ) N ;
- FILLER_46_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 136000 ) N ;
- FILLER_46_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 136000 ) N ;
- FILLER_46_226 sky130_fd_sc_hd__decap_4 + PLACED ( 109480 136000 ) N ;
- FILLER_46_235 sky130_fd_sc_hd__decap_12 + PLACED ( 113620 136000 ) N ;
- FILLER_46_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 136000 ) N ;
- FILLER_46_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 136000 ) N ;
- FILLER_46_253 sky130_fd_sc_hd__decap_6 + PLACED ( 121900 136000 ) N ;
- FILLER_46_259 sky130_fd_sc_hd__fill_1 + PLACED ( 124660 136000 ) N ;
- FILLER_46_266 sky130_fd_sc_hd__decap_12 + PLACED ( 127880 136000 ) N ;
- FILLER_46_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 136000 ) N ;
- FILLER_46_278 sky130_fd_sc_hd__decap_4 + PLACED ( 133400 136000 ) N ;
- FILLER_46_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 136000 ) N ;
- FILLER_46_288 sky130_fd_sc_hd__fill_1 + PLACED ( 138000 136000 ) N ;
- FILLER_46_291 sky130_fd_sc_hd__decap_12 + PLACED ( 139380 136000 ) N ;
- FILLER_46_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 136000 ) N ;
- FILLER_46_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 136000 ) N ;
- FILLER_46_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 136000 ) N ;
- FILLER_46_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 136000 ) N ;
- FILLER_46_321 sky130_fd_sc_hd__decap_6 + PLACED ( 153180 136000 ) N ;
- FILLER_46_327 sky130_fd_sc_hd__fill_1 + PLACED ( 155940 136000 ) N ;
- FILLER_46_332 sky130_fd_sc_hd__decap_12 + PLACED ( 158240 136000 ) N ;
- FILLER_46_344 sky130_fd_sc_hd__decap_8 + PLACED ( 163760 136000 ) N ;
- FILLER_46_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 136000 ) N ;
- FILLER_46_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 136000 ) N ;
- FILLER_46_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 136000 ) N ;
- FILLER_46_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 136000 ) N ;
- FILLER_46_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 136000 ) N ;
- FILLER_46_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 136000 ) N ;
- FILLER_46_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 136000 ) N ;
- FILLER_46_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 136000 ) N ;
- FILLER_46_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 136000 ) N ;
- FILLER_46_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 136000 ) N ;
- FILLER_46_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 136000 ) N ;
- FILLER_46_55 sky130_fd_sc_hd__decap_4 + PLACED ( 30820 136000 ) N ;
- FILLER_46_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 136000 ) N ;
- FILLER_46_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 136000 ) N ;
- FILLER_46_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 136000 ) N ;
- FILLER_46_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 136000 ) N ;
- FILLER_46_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 136000 ) N ;
- FILLER_47_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 138720 ) FS ;
- FILLER_47_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 138720 ) FS ;
- FILLER_47_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 138720 ) FS ;
- FILLER_47_117 sky130_fd_sc_hd__fill_1 + PLACED ( 59340 138720 ) FS ;
- FILLER_47_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 138720 ) FS ;
- FILLER_47_135 sky130_fd_sc_hd__decap_12 + PLACED ( 67620 138720 ) FS ;
- FILLER_47_147 sky130_fd_sc_hd__decap_12 + PLACED ( 73140 138720 ) FS ;
- FILLER_47_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 138720 ) FS ;
- FILLER_47_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 138720 ) FS ;
- FILLER_47_174 sky130_fd_sc_hd__decap_6 + PLACED ( 85560 138720 ) FS ;
- FILLER_47_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 138720 ) FS ;
- FILLER_47_194 sky130_fd_sc_hd__decap_4 + PLACED ( 94760 138720 ) FS ;
- FILLER_47_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 138720 ) FS ;
- FILLER_47_212 sky130_fd_sc_hd__decap_12 + PLACED ( 103040 138720 ) FS ;
- FILLER_47_225 sky130_fd_sc_hd__decap_8 + PLACED ( 109020 138720 ) FS ;
- FILLER_47_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 138720 ) FS ;
- FILLER_47_233 sky130_fd_sc_hd__fill_2 + PLACED ( 112700 138720 ) FS ;
- FILLER_47_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 138720 ) FS ;
- FILLER_47_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 138720 ) FS ;
- FILLER_47_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 138720 ) FS ;
- FILLER_47_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 138720 ) FS ;
- FILLER_47_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 138720 ) FS ;
- FILLER_47_293 sky130_fd_sc_hd__fill_1 + PLACED ( 140300 138720 ) FS ;
- FILLER_47_300 sky130_fd_sc_hd__decap_4 + PLACED ( 143520 138720 ) FS ;
- FILLER_47_307 sky130_fd_sc_hd__decap_12 + PLACED ( 146740 138720 ) FS ;
- FILLER_47_319 sky130_fd_sc_hd__decap_12 + PLACED ( 152260 138720 ) FS ;
- FILLER_47_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 138720 ) FS ;
- FILLER_47_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 138720 ) FS ;
- FILLER_47_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 138720 ) FS ;
- FILLER_47_341 sky130_fd_sc_hd__fill_1 + PLACED ( 162380 138720 ) FS ;
- FILLER_47_344 sky130_fd_sc_hd__decap_4 + PLACED ( 163760 138720 ) FS ;
- FILLER_47_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 138720 ) FS ;
- FILLER_47_350 sky130_fd_sc_hd__decap_4 + PLACED ( 166520 138720 ) FS ;
- FILLER_47_356 sky130_fd_sc_hd__decap_4 + PLACED ( 169280 138720 ) FS ;
- FILLER_47_362 sky130_fd_sc_hd__decap_4 + PLACED ( 172040 138720 ) FS ;
- FILLER_47_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 138720 ) FS ;
- FILLER_47_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 138720 ) FS ;
- FILLER_47_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 138720 ) FS ;
- FILLER_47_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 138720 ) FS ;
- FILLER_47_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 138720 ) FS ;
- FILLER_47_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 138720 ) FS ;
- FILLER_47_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 138720 ) FS ;
- FILLER_47_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 138720 ) FS ;
- FILLER_47_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 138720 ) FS ;
- FILLER_47_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 138720 ) FS ;
- FILLER_47_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 138720 ) FS ;
- FILLER_47_71 sky130_fd_sc_hd__decap_12 + PLACED ( 38180 138720 ) FS ;
- FILLER_47_83 sky130_fd_sc_hd__decap_12 + PLACED ( 43700 138720 ) FS ;
- FILLER_47_95 sky130_fd_sc_hd__decap_12 + PLACED ( 49220 138720 ) FS ;
- FILLER_48_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 141440 ) N ;
- FILLER_48_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 141440 ) N ;
- FILLER_48_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 141440 ) N ;
- FILLER_48_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 141440 ) N ;
- FILLER_48_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 141440 ) N ;
- FILLER_48_141 sky130_fd_sc_hd__decap_3 + PLACED ( 70380 141440 ) N ;
- FILLER_48_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 141440 ) N ;
- FILLER_48_161 sky130_fd_sc_hd__decap_8 + PLACED ( 79580 141440 ) N ;
- FILLER_48_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 141440 ) N ;
- FILLER_48_180 sky130_fd_sc_hd__decap_4 + PLACED ( 88320 141440 ) N ;
- FILLER_48_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 141440 ) N ;
- FILLER_48_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 141440 ) N ;
- FILLER_48_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 141440 ) N ;
- FILLER_48_201 sky130_fd_sc_hd__decap_12 + PLACED ( 97980 141440 ) N ;
- FILLER_48_213 sky130_fd_sc_hd__decap_12 + PLACED ( 103500 141440 ) N ;
- FILLER_48_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 141440 ) N ;
- FILLER_48_229 sky130_fd_sc_hd__fill_1 + PLACED ( 110860 141440 ) N ;
- FILLER_48_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 141440 ) N ;
- FILLER_48_241 sky130_fd_sc_hd__decap_8 + PLACED ( 116380 141440 ) N ;
- FILLER_48_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 141440 ) N ;
- FILLER_48_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 141440 ) N ;
- FILLER_48_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 141440 ) N ;
- FILLER_48_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 141440 ) N ;
- FILLER_48_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 141440 ) N ;
- FILLER_48_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 141440 ) N ;
- FILLER_48_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 141440 ) N ;
- FILLER_48_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 141440 ) N ;
- FILLER_48_321 sky130_fd_sc_hd__decap_8 + PLACED ( 153180 141440 ) N ;
- FILLER_48_329 sky130_fd_sc_hd__fill_2 + PLACED ( 156860 141440 ) N ;
- FILLER_48_333 sky130_fd_sc_hd__decap_4 + PLACED ( 158700 141440 ) N ;
- FILLER_48_339 sky130_fd_sc_hd__decap_4 + PLACED ( 161460 141440 ) N ;
- FILLER_48_345 sky130_fd_sc_hd__decap_6 + PLACED ( 164220 141440 ) N ;
- FILLER_48_351 sky130_fd_sc_hd__fill_1 + PLACED ( 166980 141440 ) N ;
- FILLER_48_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 141440 ) N ;
- FILLER_48_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 141440 ) N ;
- FILLER_48_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 141440 ) N ;
- FILLER_48_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 141440 ) N ;
- FILLER_48_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 141440 ) N ;
- FILLER_48_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 141440 ) N ;
- FILLER_48_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 141440 ) N ;
- FILLER_48_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 141440 ) N ;
- FILLER_48_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 141440 ) N ;
- FILLER_48_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 141440 ) N ;
- FILLER_48_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 141440 ) N ;
- FILLER_48_55 sky130_fd_sc_hd__decap_4 + PLACED ( 30820 141440 ) N ;
- FILLER_48_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 141440 ) N ;
- FILLER_48_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 141440 ) N ;
- FILLER_48_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 141440 ) N ;
- FILLER_48_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 141440 ) N ;
- FILLER_48_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 141440 ) N ;
- FILLER_48_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 141440 ) N ;
- FILLER_48_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 141440 ) N ;
- FILLER_49_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 144160 ) FS ;
- FILLER_49_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 144160 ) FS ;
- FILLER_49_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 144160 ) FS ;
- FILLER_49_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 144160 ) FS ;
- FILLER_49_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 144160 ) FS ;
- FILLER_49_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 144160 ) FS ;
- FILLER_49_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 144160 ) FS ;
- FILLER_49_169 sky130_fd_sc_hd__decap_6 + PLACED ( 83260 144160 ) FS ;
- FILLER_49_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 144160 ) FS ;
- FILLER_49_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 144160 ) FS ;
- FILLER_49_193 sky130_fd_sc_hd__decap_4 + PLACED ( 94300 144160 ) FS ;
- FILLER_49_199 sky130_fd_sc_hd__decap_8 + PLACED ( 97060 144160 ) FS ;
- FILLER_49_207 sky130_fd_sc_hd__fill_1 + PLACED ( 100740 144160 ) FS ;
- FILLER_49_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 144160 ) FS ;
- FILLER_49_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 144160 ) FS ;
- FILLER_49_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 144160 ) FS ;
- FILLER_49_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 144160 ) FS ;
- FILLER_49_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 144160 ) FS ;
- FILLER_49_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 144160 ) FS ;
- FILLER_49_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 144160 ) FS ;
- FILLER_49_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 144160 ) FS ;
- FILLER_49_305 sky130_fd_sc_hd__decap_4 + PLACED ( 145820 144160 ) FS ;
- FILLER_49_309 sky130_fd_sc_hd__fill_1 + PLACED ( 147660 144160 ) FS ;
- FILLER_49_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 144160 ) FS ;
- FILLER_49_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 144160 ) FS ;
- FILLER_49_329 sky130_fd_sc_hd__fill_1 + PLACED ( 156860 144160 ) FS ;
- FILLER_49_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 144160 ) FS ;
- FILLER_49_337 sky130_fd_sc_hd__fill_1 + PLACED ( 160540 144160 ) FS ;
- FILLER_49_340 sky130_fd_sc_hd__decap_6 + PLACED ( 161920 144160 ) FS ;
- FILLER_49_346 sky130_fd_sc_hd__fill_1 + PLACED ( 164680 144160 ) FS ;
- FILLER_49_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 144160 ) FS ;
- FILLER_49_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 144160 ) FS ;
- FILLER_49_355 sky130_fd_sc_hd__decap_4 + PLACED ( 168820 144160 ) FS ;
- FILLER_49_361 sky130_fd_sc_hd__decap_4 + PLACED ( 171580 144160 ) FS ;
- FILLER_49_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 144160 ) FS ;
- FILLER_49_373 sky130_fd_sc_hd__decap_6 + PLACED ( 177100 144160 ) FS ;
- FILLER_49_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 144160 ) FS ;
- FILLER_49_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 144160 ) FS ;
- FILLER_49_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 144160 ) FS ;
- FILLER_49_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 144160 ) FS ;
- FILLER_49_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 144160 ) FS ;
- FILLER_49_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 144160 ) FS ;
- FILLER_49_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 144160 ) FS ;
- FILLER_49_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 144160 ) FS ;
- FILLER_49_61 sky130_fd_sc_hd__fill_1 + PLACED ( 33580 144160 ) FS ;
- FILLER_49_66 sky130_fd_sc_hd__decap_4 + PLACED ( 35880 144160 ) FS ;
- FILLER_49_72 sky130_fd_sc_hd__decap_4 + PLACED ( 38640 144160 ) FS ;
- FILLER_49_78 sky130_fd_sc_hd__decap_4 + PLACED ( 41400 144160 ) FS ;
- FILLER_49_84 sky130_fd_sc_hd__decap_12 + PLACED ( 44160 144160 ) FS ;
- FILLER_49_96 sky130_fd_sc_hd__decap_12 + PLACED ( 49680 144160 ) FS ;
- FILLER_4_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 21760 ) N ;
- FILLER_4_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 21760 ) N ;
- FILLER_4_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 21760 ) N ;
- FILLER_4_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 21760 ) N ;
- FILLER_4_129 sky130_fd_sc_hd__decap_4 + PLACED ( 64860 21760 ) N ;
- FILLER_4_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 21760 ) N ;
- FILLER_4_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 21760 ) N ;
- FILLER_4_153 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 21760 ) N ;
- FILLER_4_161 sky130_fd_sc_hd__decap_8 + PLACED ( 79580 21760 ) N ;
- FILLER_4_173 sky130_fd_sc_hd__decap_6 + PLACED ( 85100 21760 ) N ;
- FILLER_4_179 sky130_fd_sc_hd__fill_1 + PLACED ( 87860 21760 ) N ;
- FILLER_4_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 21760 ) N ;
- FILLER_4_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 21760 ) N ;
- FILLER_4_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 21760 ) N ;
- FILLER_4_199 sky130_fd_sc_hd__decap_4 + PLACED ( 97060 21760 ) N ;
- FILLER_4_207 sky130_fd_sc_hd__decap_6 + PLACED ( 100740 21760 ) N ;
- FILLER_4_213 sky130_fd_sc_hd__fill_1 + PLACED ( 103500 21760 ) N ;
- FILLER_4_224 sky130_fd_sc_hd__decap_4 + PLACED ( 108560 21760 ) N ;
- FILLER_4_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 21760 ) N ;
- FILLER_4_239 sky130_fd_sc_hd__decap_4 + PLACED ( 115460 21760 ) N ;
- FILLER_4_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 21760 ) N ;
- FILLER_4_257 sky130_fd_sc_hd__decap_4 + PLACED ( 123740 21760 ) N ;
- FILLER_4_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 21760 ) N ;
- FILLER_4_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 21760 ) N ;
- FILLER_4_273 sky130_fd_sc_hd__decap_4 + PLACED ( 131100 21760 ) N ;
- FILLER_4_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 21760 ) N ;
- FILLER_4_287 sky130_fd_sc_hd__decap_4 + PLACED ( 137540 21760 ) N ;
- FILLER_4_295 sky130_fd_sc_hd__decap_4 + PLACED ( 141220 21760 ) N ;
- FILLER_4_299 sky130_fd_sc_hd__fill_1 + PLACED ( 143060 21760 ) N ;
- FILLER_4_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 21760 ) N ;
- FILLER_4_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 21760 ) N ;
- FILLER_4_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 21760 ) N ;
- FILLER_4_317 sky130_fd_sc_hd__decap_6 + PLACED ( 151340 21760 ) N ;
- FILLER_4_327 sky130_fd_sc_hd__decap_4 + PLACED ( 155940 21760 ) N ;
- FILLER_4_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 21760 ) N ;
- FILLER_4_335 sky130_fd_sc_hd__decap_4 + PLACED ( 159620 21760 ) N ;
- FILLER_4_343 sky130_fd_sc_hd__decap_4 + PLACED ( 163300 21760 ) N ;
- FILLER_4_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 21760 ) N ;
- FILLER_4_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 21760 ) N ;
- FILLER_4_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 21760 ) N ;
- FILLER_4_369 sky130_fd_sc_hd__decap_4 + PLACED ( 175260 21760 ) N ;
- FILLER_4_377 sky130_fd_sc_hd__decap_4 + PLACED ( 178940 21760 ) N ;
- FILLER_4_385 sky130_fd_sc_hd__decap_4 + PLACED ( 182620 21760 ) N ;
- FILLER_4_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 21760 ) N ;
- FILLER_4_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 21760 ) N ;
- FILLER_4_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 21760 ) N ;
- FILLER_4_49 sky130_fd_sc_hd__decap_8 + PLACED ( 28060 21760 ) N ;
- FILLER_4_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 21760 ) N ;
- FILLER_4_69 sky130_fd_sc_hd__decap_6 + PLACED ( 37260 21760 ) N ;
- FILLER_4_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 21760 ) N ;
- FILLER_4_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 21760 ) N ;
- FILLER_4_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 21760 ) N ;
- FILLER_4_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 21760 ) N ;
- FILLER_50_111 sky130_fd_sc_hd__decap_12 + PLACED ( 56580 146880 ) N ;
- FILLER_50_123 sky130_fd_sc_hd__decap_12 + PLACED ( 62100 146880 ) N ;
- FILLER_50_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 146880 ) N ;
- FILLER_50_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 146880 ) N ;
- FILLER_50_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 146880 ) N ;
- FILLER_50_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 146880 ) N ;
- FILLER_50_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 146880 ) N ;
- FILLER_50_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 146880 ) N ;
- FILLER_50_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 146880 ) N ;
- FILLER_50_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 146880 ) N ;
- FILLER_50_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 146880 ) N ;
- FILLER_50_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 146880 ) N ;
- FILLER_50_227 sky130_fd_sc_hd__decap_6 + PLACED ( 109940 146880 ) N ;
- FILLER_50_233 sky130_fd_sc_hd__fill_1 + PLACED ( 112700 146880 ) N ;
- FILLER_50_238 sky130_fd_sc_hd__decap_12 + PLACED ( 115000 146880 ) N ;
- FILLER_50_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 146880 ) N ;
- FILLER_50_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 146880 ) N ;
- FILLER_50_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 146880 ) N ;
- FILLER_50_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 146880 ) N ;
- FILLER_50_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 146880 ) N ;
- FILLER_50_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 146880 ) N ;
- FILLER_50_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 146880 ) N ;
- FILLER_50_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 146880 ) N ;
- FILLER_50_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 146880 ) N ;
- FILLER_50_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 146880 ) N ;
- FILLER_50_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 146880 ) N ;
- FILLER_50_321 sky130_fd_sc_hd__decap_4 + PLACED ( 153180 146880 ) N ;
- FILLER_50_327 sky130_fd_sc_hd__decap_4 + PLACED ( 155940 146880 ) N ;
- FILLER_50_333 sky130_fd_sc_hd__decap_4 + PLACED ( 158700 146880 ) N ;
- FILLER_50_339 sky130_fd_sc_hd__decap_6 + PLACED ( 161460 146880 ) N ;
- FILLER_50_345 sky130_fd_sc_hd__fill_1 + PLACED ( 164220 146880 ) N ;
- FILLER_50_348 sky130_fd_sc_hd__decap_4 + PLACED ( 165600 146880 ) N ;
- FILLER_50_354 sky130_fd_sc_hd__decap_4 + PLACED ( 168360 146880 ) N ;
- FILLER_50_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 146880 ) N ;
- FILLER_50_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 146880 ) N ;
- FILLER_50_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 146880 ) N ;
- FILLER_50_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 146880 ) N ;
- FILLER_50_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 146880 ) N ;
- FILLER_50_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 146880 ) N ;
- FILLER_50_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 146880 ) N ;
- FILLER_50_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 146880 ) N ;
- FILLER_50_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 146880 ) N ;
- FILLER_50_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 146880 ) N ;
- FILLER_50_55 sky130_fd_sc_hd__decap_4 + PLACED ( 30820 146880 ) N ;
- FILLER_50_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 146880 ) N ;
- FILLER_50_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 146880 ) N ;
- FILLER_50_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 146880 ) N ;
- FILLER_50_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 146880 ) N ;
- FILLER_50_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 146880 ) N ;
- FILLER_50_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 146880 ) N ;
- FILLER_50_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 146880 ) N ;
- FILLER_50_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 146880 ) N ;
- FILLER_50_99 sky130_fd_sc_hd__decap_12 + PLACED ( 51060 146880 ) N ;
- FILLER_51_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 149600 ) FS ;
- FILLER_51_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 149600 ) FS ;
- FILLER_51_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 149600 ) FS ;
- FILLER_51_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 149600 ) FS ;
- FILLER_51_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 149600 ) FS ;
- FILLER_51_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 149600 ) FS ;
- FILLER_51_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 149600 ) FS ;
- FILLER_51_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 149600 ) FS ;
- FILLER_51_150 sky130_fd_sc_hd__decap_12 + PLACED ( 74520 149600 ) FS ;
- FILLER_51_162 sky130_fd_sc_hd__decap_6 + PLACED ( 80040 149600 ) FS ;
- FILLER_51_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_fd_sc_hd__decap_8 + PLACED ( 88780 149600 ) FS ;
- FILLER_51_189 sky130_fd_sc_hd__fill_2 + PLACED ( 92460 149600 ) FS ;
- FILLER_51_193 sky130_fd_sc_hd__decap_8 + PLACED ( 94300 149600 ) FS ;
- FILLER_51_201 sky130_fd_sc_hd__decap_3 + PLACED ( 97980 149600 ) FS ;
- FILLER_51_206 sky130_fd_sc_hd__decap_12 + PLACED ( 100280 149600 ) FS ;
- FILLER_51_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 149600 ) FS ;
- FILLER_51_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 149600 ) FS ;
- FILLER_51_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 149600 ) FS ;
- FILLER_51_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 149600 ) FS ;
- FILLER_51_241 sky130_fd_sc_hd__decap_12 + PLACED ( 116380 149600 ) FS ;
- FILLER_51_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 149600 ) FS ;
- FILLER_51_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 149600 ) FS ;
- FILLER_51_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 149600 ) FS ;
- FILLER_51_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 149600 ) FS ;
- FILLER_51_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 149600 ) FS ;
- FILLER_51_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 149600 ) FS ;
- FILLER_51_305 sky130_fd_sc_hd__decap_6 + PLACED ( 145820 149600 ) FS ;
- FILLER_51_311 sky130_fd_sc_hd__fill_1 + PLACED ( 148580 149600 ) FS ;
- FILLER_51_314 sky130_fd_sc_hd__decap_4 + PLACED ( 149960 149600 ) FS ;
- FILLER_51_320 sky130_fd_sc_hd__decap_4 + PLACED ( 152720 149600 ) FS ;
- FILLER_51_326 sky130_fd_sc_hd__decap_4 + PLACED ( 155480 149600 ) FS ;
- FILLER_51_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 149600 ) FS ;
- FILLER_51_339 sky130_fd_sc_hd__decap_4 + PLACED ( 161460 149600 ) FS ;
- FILLER_51_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 149600 ) FS ;
- FILLER_51_35 sky130_fd_sc_hd__decap_8 + PLACED ( 21620 149600 ) FS ;
- FILLER_51_351 sky130_fd_sc_hd__decap_4 + PLACED ( 166980 149600 ) FS ;
- FILLER_51_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 149600 ) FS ;
- FILLER_51_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 149600 ) FS ;
- FILLER_51_366 sky130_fd_sc_hd__decap_4 + PLACED ( 173880 149600 ) FS ;
- FILLER_51_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 149600 ) FS ;
- FILLER_51_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 149600 ) FS ;
- FILLER_51_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 149600 ) FS ;
- FILLER_51_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 149600 ) FS ;
- FILLER_51_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 149600 ) FS ;
- FILLER_51_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 149600 ) FS ;
- FILLER_51_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 149600 ) FS ;
- FILLER_51_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 149600 ) FS ;
- FILLER_51_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 149600 ) FS ;
- FILLER_51_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 149600 ) FS ;
- FILLER_51_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 149600 ) FS ;
- FILLER_51_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 149600 ) FS ;
- FILLER_51_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 149600 ) FS ;
- FILLER_51_83 sky130_fd_sc_hd__decap_8 + PLACED ( 43700 149600 ) FS ;
- FILLER_51_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 149600 ) FS ;
- FILLER_51_98 sky130_fd_sc_hd__fill_1 + PLACED ( 50600 149600 ) FS ;
- FILLER_52_106 sky130_fd_sc_hd__decap_4 + PLACED ( 54280 152320 ) N ;
- FILLER_52_112 sky130_fd_sc_hd__decap_12 + PLACED ( 57040 152320 ) N ;
- FILLER_52_124 sky130_fd_sc_hd__decap_8 + PLACED ( 62560 152320 ) N ;
- FILLER_52_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 152320 ) N ;
- FILLER_52_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 152320 ) N ;
- FILLER_52_143 sky130_fd_sc_hd__decap_8 + PLACED ( 71300 152320 ) N ;
- FILLER_52_151 sky130_fd_sc_hd__fill_2 + PLACED ( 74980 152320 ) N ;
- FILLER_52_157 sky130_fd_sc_hd__decap_12 + PLACED ( 77740 152320 ) N ;
- FILLER_52_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 152320 ) N ;
- FILLER_52_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 152320 ) N ;
- FILLER_52_187 sky130_fd_sc_hd__decap_8 + PLACED ( 91540 152320 ) N ;
- FILLER_52_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 152320 ) N ;
- FILLER_52_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 152320 ) N ;
- FILLER_52_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 152320 ) N ;
- FILLER_52_207 sky130_fd_sc_hd__fill_1 + PLACED ( 100740 152320 ) N ;
- FILLER_52_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 152320 ) N ;
- FILLER_52_210 sky130_fd_sc_hd__decap_4 + PLACED ( 102120 152320 ) N ;
- FILLER_52_216 sky130_fd_sc_hd__decap_4 + PLACED ( 104880 152320 ) N ;
- FILLER_52_222 sky130_fd_sc_hd__decap_8 + PLACED ( 107640 152320 ) N ;
- FILLER_52_230 sky130_fd_sc_hd__fill_1 + PLACED ( 111320 152320 ) N ;
- FILLER_52_233 sky130_fd_sc_hd__decap_6 + PLACED ( 112700 152320 ) N ;
- FILLER_52_239 sky130_fd_sc_hd__fill_1 + PLACED ( 115460 152320 ) N ;
- FILLER_52_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 152320 ) N ;
- FILLER_52_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 152320 ) N ;
- FILLER_52_255 sky130_fd_sc_hd__decap_12 + PLACED ( 122820 152320 ) N ;
- FILLER_52_267 sky130_fd_sc_hd__decap_12 + PLACED ( 128340 152320 ) N ;
- FILLER_52_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 152320 ) N ;
- FILLER_52_279 sky130_fd_sc_hd__decap_8 + PLACED ( 133860 152320 ) N ;
- FILLER_52_287 sky130_fd_sc_hd__decap_3 + PLACED ( 137540 152320 ) N ;
- FILLER_52_292 sky130_fd_sc_hd__decap_8 + PLACED ( 139840 152320 ) N ;
- FILLER_52_300 sky130_fd_sc_hd__fill_2 + PLACED ( 143520 152320 ) N ;
- FILLER_52_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 152320 ) N ;
- FILLER_52_311 sky130_fd_sc_hd__decap_6 + PLACED ( 148580 152320 ) N ;
- FILLER_52_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 152320 ) N ;
- FILLER_52_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 152320 ) N ;
- FILLER_52_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 152320 ) N ;
- FILLER_52_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 152320 ) N ;
- FILLER_52_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 152320 ) N ;
- FILLER_52_343 sky130_fd_sc_hd__decap_4 + PLACED ( 163300 152320 ) N ;
- FILLER_52_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 152320 ) N ;
- FILLER_52_355 sky130_fd_sc_hd__decap_8 + PLACED ( 168820 152320 ) N ;
- FILLER_52_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 152320 ) N ;
- FILLER_52_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 152320 ) N ;
- FILLER_52_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 152320 ) N ;
- FILLER_52_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 152320 ) N ;
- FILLER_52_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 152320 ) N ;
- FILLER_52_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 152320 ) N ;
- FILLER_52_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 152320 ) N ;
- FILLER_52_43 sky130_fd_sc_hd__decap_8 + PLACED ( 25300 152320 ) N ;
- FILLER_52_51 sky130_fd_sc_hd__fill_1 + PLACED ( 28980 152320 ) N ;
- FILLER_52_56 sky130_fd_sc_hd__decap_4 + PLACED ( 31280 152320 ) N ;
- FILLER_52_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 152320 ) N ;
- FILLER_52_68 sky130_fd_sc_hd__decap_4 + PLACED ( 36800 152320 ) N ;
- FILLER_52_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 152320 ) N ;
- FILLER_52_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 152320 ) N ;
- FILLER_52_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 152320 ) N ;
- FILLER_52_91 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 152320 ) N ;
- FILLER_52_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 152320 ) N ;
- FILLER_53_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 155040 ) FS ;
- FILLER_53_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 155040 ) FS ;
- FILLER_53_115 sky130_fd_sc_hd__decap_6 + PLACED ( 58420 155040 ) FS ;
- FILLER_53_121 sky130_fd_sc_hd__fill_1 + PLACED ( 61180 155040 ) FS ;
- FILLER_53_126 sky130_fd_sc_hd__decap_12 + PLACED ( 63480 155040 ) FS ;
- FILLER_53_138 sky130_fd_sc_hd__decap_12 + PLACED ( 69000 155040 ) FS ;
- FILLER_53_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 155040 ) FS ;
- FILLER_53_150 sky130_fd_sc_hd__decap_12 + PLACED ( 74520 155040 ) FS ;
- FILLER_53_162 sky130_fd_sc_hd__decap_6 + PLACED ( 80040 155040 ) FS ;
- FILLER_53_169 sky130_fd_sc_hd__decap_6 + PLACED ( 83260 155040 ) FS ;
- FILLER_53_175 sky130_fd_sc_hd__fill_1 + PLACED ( 86020 155040 ) FS ;
- FILLER_53_178 sky130_fd_sc_hd__decap_4 + PLACED ( 87400 155040 ) FS ;
- FILLER_53_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 155040 ) FS ;
- FILLER_53_190 sky130_fd_sc_hd__decap_4 + PLACED ( 92920 155040 ) FS ;
- FILLER_53_194 sky130_fd_sc_hd__fill_1 + PLACED ( 94760 155040 ) FS ;
- FILLER_53_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 155040 ) FS ;
- FILLER_53_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 155040 ) FS ;
- FILLER_53_209 sky130_fd_sc_hd__decap_8 + PLACED ( 101660 155040 ) FS ;
- FILLER_53_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 155040 ) FS ;
- FILLER_53_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 155040 ) FS ;
- FILLER_53_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 155040 ) FS ;
- FILLER_53_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 155040 ) FS ;
- FILLER_53_233 sky130_fd_sc_hd__decap_6 + PLACED ( 112700 155040 ) FS ;
- FILLER_53_241 sky130_fd_sc_hd__decap_4 + PLACED ( 116380 155040 ) FS ;
- FILLER_53_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 155040 ) FS ;
- FILLER_53_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 155040 ) FS ;
- FILLER_53_259 sky130_fd_sc_hd__decap_12 + PLACED ( 124660 155040 ) FS ;
- FILLER_53_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 155040 ) FS ;
- FILLER_53_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 155040 ) FS ;
- FILLER_53_281 sky130_fd_sc_hd__fill_1 + PLACED ( 134780 155040 ) FS ;
- FILLER_53_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 155040 ) FS ;
- FILLER_53_290 sky130_fd_sc_hd__decap_4 + PLACED ( 138920 155040 ) FS ;
- FILLER_53_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 155040 ) FS ;
- FILLER_53_30 sky130_fd_sc_hd__decap_4 + PLACED ( 19320 155040 ) FS ;
- FILLER_53_302 sky130_fd_sc_hd__decap_4 + PLACED ( 144440 155040 ) FS ;
- FILLER_53_308 sky130_fd_sc_hd__decap_4 + PLACED ( 147200 155040 ) FS ;
- FILLER_53_314 sky130_fd_sc_hd__decap_4 + PLACED ( 149960 155040 ) FS ;
- FILLER_53_320 sky130_fd_sc_hd__decap_4 + PLACED ( 152720 155040 ) FS ;
- FILLER_53_326 sky130_fd_sc_hd__decap_4 + PLACED ( 155480 155040 ) FS ;
- FILLER_53_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 155040 ) FS ;
- FILLER_53_337 sky130_fd_sc_hd__decap_3 + PLACED ( 160540 155040 ) FS ;
- FILLER_53_342 sky130_fd_sc_hd__decap_4 + PLACED ( 162840 155040 ) FS ;
- FILLER_53_346 sky130_fd_sc_hd__fill_1 + PLACED ( 164680 155040 ) FS ;
- FILLER_53_349 sky130_fd_sc_hd__decap_6 + PLACED ( 166060 155040 ) FS ;
- FILLER_53_358 sky130_fd_sc_hd__decap_4 + PLACED ( 170200 155040 ) FS ;
- FILLER_53_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 155040 ) FS ;
- FILLER_53_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 155040 ) FS ;
- FILLER_53_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 155040 ) FS ;
- FILLER_53_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 155040 ) FS ;
- FILLER_53_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 155040 ) FS ;
- FILLER_53_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 155040 ) FS ;
- FILLER_53_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 155040 ) FS ;
- FILLER_53_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 155040 ) FS ;
- FILLER_53_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 155040 ) FS ;
- FILLER_53_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 155040 ) FS ;
- FILLER_53_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 155040 ) FS ;
- FILLER_53_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 155040 ) FS ;
- FILLER_53_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 155040 ) FS ;
- FILLER_53_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 155040 ) FS ;
- FILLER_53_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 155040 ) FS ;
- FILLER_53_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 155040 ) FS ;
- FILLER_53_96 sky130_fd_sc_hd__fill_1 + PLACED ( 49680 155040 ) FS ;
- FILLER_53_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 155040 ) FS ;
- FILLER_54_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 157760 ) N ;
- FILLER_54_111 sky130_fd_sc_hd__decap_4 + PLACED ( 56580 157760 ) N ;
- FILLER_54_117 sky130_fd_sc_hd__decap_8 + PLACED ( 59340 157760 ) N ;
- FILLER_54_127 sky130_fd_sc_hd__decap_6 + PLACED ( 63940 157760 ) N ;
- FILLER_54_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 157760 ) N ;
- FILLER_54_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 157760 ) N ;
- FILLER_54_143 sky130_fd_sc_hd__decap_12 + PLACED ( 71300 157760 ) N ;
- FILLER_54_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 157760 ) N ;
- FILLER_54_155 sky130_fd_sc_hd__fill_1 + PLACED ( 76820 157760 ) N ;
- FILLER_54_158 sky130_fd_sc_hd__decap_8 + PLACED ( 78200 157760 ) N ;
- FILLER_54_166 sky130_fd_sc_hd__decap_3 + PLACED ( 81880 157760 ) N ;
- FILLER_54_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 157760 ) N ;
- FILLER_54_177 sky130_fd_sc_hd__decap_4 + PLACED ( 86940 157760 ) N ;
- FILLER_54_183 sky130_fd_sc_hd__decap_4 + PLACED ( 89700 157760 ) N ;
- FILLER_54_187 sky130_fd_sc_hd__fill_1 + PLACED ( 91540 157760 ) N ;
- FILLER_54_190 sky130_fd_sc_hd__decap_6 + PLACED ( 92920 157760 ) N ;
- FILLER_54_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 157760 ) N ;
- FILLER_54_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 157760 ) N ;
- FILLER_54_204 sky130_fd_sc_hd__decap_4 + PLACED ( 99360 157760 ) N ;
- FILLER_54_210 sky130_fd_sc_hd__decap_6 + PLACED ( 102120 157760 ) N ;
- FILLER_54_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 157760 ) N ;
- FILLER_54_226 sky130_fd_sc_hd__decap_4 + PLACED ( 109480 157760 ) N ;
- FILLER_54_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 157760 ) N ;
- FILLER_54_232 sky130_fd_sc_hd__decap_4 + PLACED ( 112240 157760 ) N ;
- FILLER_54_238 sky130_fd_sc_hd__decap_8 + PLACED ( 115000 157760 ) N ;
- FILLER_54_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 157760 ) N ;
- FILLER_54_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 157760 ) N ;
- FILLER_54_258 sky130_fd_sc_hd__decap_4 + PLACED ( 124200 157760 ) N ;
- FILLER_54_264 sky130_fd_sc_hd__decap_6 + PLACED ( 126960 157760 ) N ;
- FILLER_54_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 157760 ) N ;
- FILLER_54_270 sky130_fd_sc_hd__fill_1 + PLACED ( 129720 157760 ) N ;
- FILLER_54_273 sky130_fd_sc_hd__decap_4 + PLACED ( 131100 157760 ) N ;
- FILLER_54_279 sky130_fd_sc_hd__decap_8 + PLACED ( 133860 157760 ) N ;
- FILLER_54_289 sky130_fd_sc_hd__decap_6 + PLACED ( 138460 157760 ) N ;
- FILLER_54_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 157760 ) N ;
- FILLER_54_301 sky130_fd_sc_hd__fill_1 + PLACED ( 143980 157760 ) N ;
- FILLER_54_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 157760 ) N ;
- FILLER_54_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 157760 ) N ;
- FILLER_54_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 157760 ) N ;
- FILLER_54_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 157760 ) N ;
- FILLER_54_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 157760 ) N ;
- FILLER_54_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 157760 ) N ;
- FILLER_54_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 157760 ) N ;
- FILLER_54_337 sky130_fd_sc_hd__decap_6 + PLACED ( 160540 157760 ) N ;
- FILLER_54_346 sky130_fd_sc_hd__decap_4 + PLACED ( 164680 157760 ) N ;
- FILLER_54_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 157760 ) N ;
- FILLER_54_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 157760 ) N ;
- FILLER_54_365 sky130_fd_sc_hd__fill_2 + PLACED ( 173420 157760 ) N ;
- FILLER_54_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 157760 ) N ;
- FILLER_54_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 157760 ) N ;
- FILLER_54_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 157760 ) N ;
- FILLER_54_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 157760 ) N ;
- FILLER_54_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 157760 ) N ;
- FILLER_54_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 157760 ) N ;
- FILLER_54_47 sky130_fd_sc_hd__decap_4 + PLACED ( 27140 157760 ) N ;
- FILLER_54_54 sky130_fd_sc_hd__decap_4 + PLACED ( 30360 157760 ) N ;
- FILLER_54_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 157760 ) N ;
- FILLER_54_68 sky130_fd_sc_hd__decap_4 + PLACED ( 36800 157760 ) N ;
- FILLER_54_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 157760 ) N ;
- FILLER_54_74 sky130_fd_sc_hd__decap_4 + PLACED ( 39560 157760 ) N ;
- FILLER_54_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 157760 ) N ;
- FILLER_54_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 157760 ) N ;
- FILLER_54_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 157760 ) N ;
- FILLER_54_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 157760 ) N ;
- FILLER_55_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 160480 ) FS ;
- FILLER_55_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 160480 ) FS ;
- FILLER_55_115 sky130_fd_sc_hd__decap_4 + PLACED ( 58420 160480 ) FS ;
- FILLER_55_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 160480 ) FS ;
- FILLER_55_127 sky130_fd_sc_hd__decap_8 + PLACED ( 63940 160480 ) FS ;
- FILLER_55_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 160480 ) FS ;
- FILLER_55_135 sky130_fd_sc_hd__fill_1 + PLACED ( 67620 160480 ) FS ;
- FILLER_55_138 sky130_fd_sc_hd__decap_4 + PLACED ( 69000 160480 ) FS ;
- FILLER_55_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 160480 ) FS ;
- FILLER_55_151 sky130_fd_sc_hd__decap_4 + PLACED ( 74980 160480 ) FS ;
- FILLER_55_157 sky130_fd_sc_hd__decap_4 + PLACED ( 77740 160480 ) FS ;
- FILLER_55_161 sky130_fd_sc_hd__fill_1 + PLACED ( 79580 160480 ) FS ;
- FILLER_55_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 160480 ) FS ;
- FILLER_55_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 160480 ) FS ;
- FILLER_55_173 sky130_fd_sc_hd__fill_1 + PLACED ( 85100 160480 ) FS ;
- FILLER_55_176 sky130_fd_sc_hd__decap_4 + PLACED ( 86480 160480 ) FS ;
- FILLER_55_180 sky130_fd_sc_hd__fill_1 + PLACED ( 88320 160480 ) FS ;
- FILLER_55_183 sky130_fd_sc_hd__decap_4 + PLACED ( 89700 160480 ) FS ;
- FILLER_55_189 sky130_fd_sc_hd__decap_4 + PLACED ( 92460 160480 ) FS ;
- FILLER_55_196 sky130_fd_sc_hd__decap_8 + PLACED ( 95680 160480 ) FS ;
- FILLER_55_206 sky130_fd_sc_hd__decap_6 + PLACED ( 100280 160480 ) FS ;
- FILLER_55_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 160480 ) FS ;
- FILLER_55_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 160480 ) FS ;
- FILLER_55_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 160480 ) FS ;
- FILLER_55_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 160480 ) FS ;
- FILLER_55_229 sky130_fd_sc_hd__decap_6 + PLACED ( 110860 160480 ) FS ;
- FILLER_55_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 160480 ) FS ;
- FILLER_55_244 sky130_fd_sc_hd__decap_6 + PLACED ( 117760 160480 ) FS ;
- FILLER_55_250 sky130_fd_sc_hd__fill_1 + PLACED ( 120520 160480 ) FS ;
- FILLER_55_254 sky130_fd_sc_hd__decap_8 + PLACED ( 122360 160480 ) FS ;
- FILLER_55_264 sky130_fd_sc_hd__decap_4 + PLACED ( 126960 160480 ) FS ;
- FILLER_55_268 sky130_fd_sc_hd__fill_1 + PLACED ( 128800 160480 ) FS ;
- FILLER_55_271 sky130_fd_sc_hd__decap_8 + PLACED ( 130180 160480 ) FS ;
- FILLER_55_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 160480 ) FS ;
- FILLER_55_283 sky130_fd_sc_hd__decap_6 + PLACED ( 135700 160480 ) FS ;
- FILLER_55_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 160480 ) FS ;
- FILLER_55_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 160480 ) FS ;
- FILLER_55_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 160480 ) FS ;
- FILLER_55_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 160480 ) FS ;
- FILLER_55_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 160480 ) FS ;
- FILLER_55_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 160480 ) FS ;
- FILLER_55_321 sky130_fd_sc_hd__decap_4 + PLACED ( 153180 160480 ) FS ;
- FILLER_55_327 sky130_fd_sc_hd__decap_8 + PLACED ( 155940 160480 ) FS ;
- FILLER_55_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 160480 ) FS ;
- FILLER_55_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 160480 ) FS ;
- FILLER_55_341 sky130_fd_sc_hd__fill_1 + PLACED ( 162380 160480 ) FS ;
- FILLER_55_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 160480 ) FS ;
- FILLER_55_349 sky130_fd_sc_hd__fill_1 + PLACED ( 166060 160480 ) FS ;
- FILLER_55_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 160480 ) FS ;
- FILLER_55_361 sky130_fd_sc_hd__decap_8 + PLACED ( 171580 160480 ) FS ;
- FILLER_55_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 160480 ) FS ;
- FILLER_55_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 160480 ) FS ;
- FILLER_55_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 160480 ) FS ;
- FILLER_55_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 160480 ) FS ;
- FILLER_55_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 160480 ) FS ;
- FILLER_55_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 160480 ) FS ;
- FILLER_55_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 160480 ) FS ;
- FILLER_55_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 160480 ) FS ;
- FILLER_55_60 sky130_fd_sc_hd__decap_4 + PLACED ( 33120 160480 ) FS ;
- FILLER_55_67 sky130_fd_sc_hd__decap_4 + PLACED ( 36340 160480 ) FS ;
- FILLER_55_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 160480 ) FS ;
- FILLER_55_79 sky130_fd_sc_hd__decap_8 + PLACED ( 41860 160480 ) FS ;
- FILLER_55_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 160480 ) FS ;
- FILLER_55_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 160480 ) FS ;
- FILLER_56_104 sky130_fd_sc_hd__fill_1 + PLACED ( 53360 163200 ) N ;
- FILLER_56_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 163200 ) N ;
- FILLER_56_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 163200 ) N ;
- FILLER_56_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 163200 ) N ;
- FILLER_56_125 sky130_fd_sc_hd__decap_4 + PLACED ( 63020 163200 ) N ;
- FILLER_56_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 163200 ) N ;
- FILLER_56_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 163200 ) N ;
- FILLER_56_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 163200 ) N ;
- FILLER_56_141 sky130_fd_sc_hd__decap_3 + PLACED ( 70380 163200 ) N ;
- FILLER_56_146 sky130_fd_sc_hd__decap_4 + PLACED ( 72680 163200 ) N ;
- FILLER_56_153 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 163200 ) N ;
- FILLER_56_160 sky130_fd_sc_hd__decap_4 + PLACED ( 79120 163200 ) N ;
- FILLER_56_167 sky130_fd_sc_hd__decap_4 + PLACED ( 82340 163200 ) N ;
- FILLER_56_174 sky130_fd_sc_hd__decap_6 + PLACED ( 85560 163200 ) N ;
- FILLER_56_183 sky130_fd_sc_hd__decap_4 + PLACED ( 89700 163200 ) N ;
- FILLER_56_190 sky130_fd_sc_hd__decap_6 + PLACED ( 92920 163200 ) N ;
- FILLER_56_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 163200 ) N ;
- FILLER_56_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 163200 ) N ;
- FILLER_56_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 163200 ) N ;
- FILLER_56_212 sky130_fd_sc_hd__decap_4 + PLACED ( 103040 163200 ) N ;
- FILLER_56_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 163200 ) N ;
- FILLER_56_224 sky130_fd_sc_hd__fill_1 + PLACED ( 108560 163200 ) N ;
- FILLER_56_228 sky130_fd_sc_hd__decap_4 + PLACED ( 110400 163200 ) N ;
- FILLER_56_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 163200 ) N ;
- FILLER_56_242 sky130_fd_sc_hd__decap_4 + PLACED ( 116840 163200 ) N ;
- FILLER_56_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 163200 ) N ;
- FILLER_56_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 163200 ) N ;
- FILLER_56_262 sky130_fd_sc_hd__decap_4 + PLACED ( 126040 163200 ) N ;
- FILLER_56_268 sky130_fd_sc_hd__decap_6 + PLACED ( 128800 163200 ) N ;
- FILLER_56_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 163200 ) N ;
- FILLER_56_274 sky130_fd_sc_hd__fill_1 + PLACED ( 131560 163200 ) N ;
- FILLER_56_278 sky130_fd_sc_hd__decap_8 + PLACED ( 133400 163200 ) N ;
- FILLER_56_288 sky130_fd_sc_hd__decap_4 + PLACED ( 138000 163200 ) N ;
- FILLER_56_294 sky130_fd_sc_hd__decap_8 + PLACED ( 140760 163200 ) N ;
- FILLER_56_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 163200 ) N ;
- FILLER_56_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 163200 ) N ;
- FILLER_56_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 163200 ) N ;
- FILLER_56_320 sky130_fd_sc_hd__decap_6 + PLACED ( 152720 163200 ) N ;
- FILLER_56_326 sky130_fd_sc_hd__fill_1 + PLACED ( 155480 163200 ) N ;
- FILLER_56_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 163200 ) N ;
- FILLER_56_330 sky130_fd_sc_hd__decap_4 + PLACED ( 157320 163200 ) N ;
- FILLER_56_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 163200 ) N ;
- FILLER_56_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 163200 ) N ;
- FILLER_56_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 163200 ) N ;
- FILLER_56_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 163200 ) N ;
- FILLER_56_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 163200 ) N ;
- FILLER_56_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 163200 ) N ;
- FILLER_56_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 163200 ) N ;
- FILLER_56_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 163200 ) N ;
- FILLER_56_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 163200 ) N ;
- FILLER_56_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 163200 ) N ;
- FILLER_56_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 163200 ) N ;
- FILLER_56_53 sky130_fd_sc_hd__fill_1 + PLACED ( 29900 163200 ) N ;
- FILLER_56_57 sky130_fd_sc_hd__decap_8 + PLACED ( 31740 163200 ) N ;
- FILLER_56_68 sky130_fd_sc_hd__decap_4 + PLACED ( 36800 163200 ) N ;
- FILLER_56_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 163200 ) N ;
- FILLER_56_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 163200 ) N ;
- FILLER_56_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 163200 ) N ;
- FILLER_56_93 sky130_fd_sc_hd__fill_1 + PLACED ( 48300 163200 ) N ;
- FILLER_56_96 sky130_fd_sc_hd__decap_8 + PLACED ( 49680 163200 ) N ;
- FILLER_57_102 sky130_fd_sc_hd__decap_4 + PLACED ( 52440 165920 ) FS ;
- FILLER_57_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 165920 ) FS ;
- FILLER_57_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 165920 ) FS ;
- FILLER_57_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 165920 ) FS ;
- FILLER_57_125 sky130_fd_sc_hd__decap_4 + PLACED ( 63020 165920 ) FS ;
- FILLER_57_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 165920 ) FS ;
- FILLER_57_137 sky130_fd_sc_hd__decap_4 + PLACED ( 68540 165920 ) FS ;
- FILLER_57_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 165920 ) FS ;
- FILLER_57_148 sky130_fd_sc_hd__fill_1 + PLACED ( 73600 165920 ) FS ;
- FILLER_57_152 sky130_fd_sc_hd__decap_4 + PLACED ( 75440 165920 ) FS ;
- FILLER_57_159 sky130_fd_sc_hd__decap_8 + PLACED ( 78660 165920 ) FS ;
- FILLER_57_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 165920 ) FS ;
- FILLER_57_169 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 165920 ) FS ;
- FILLER_57_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 165920 ) FS ;
- FILLER_57_173 sky130_fd_sc_hd__decap_8 + PLACED ( 85100 165920 ) FS ;
- FILLER_57_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 165920 ) FS ;
- FILLER_57_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 165920 ) FS ;
- FILLER_57_198 sky130_fd_sc_hd__decap_4 + PLACED ( 96600 165920 ) FS ;
- FILLER_57_202 sky130_fd_sc_hd__fill_1 + PLACED ( 98440 165920 ) FS ;
- FILLER_57_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 165920 ) FS ;
- FILLER_57_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 165920 ) FS ;
- FILLER_57_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 165920 ) FS ;
- FILLER_57_228 sky130_fd_sc_hd__decap_4 + PLACED ( 110400 165920 ) FS ;
- FILLER_57_234 sky130_fd_sc_hd__decap_4 + PLACED ( 113160 165920 ) FS ;
- FILLER_57_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 165920 ) FS ;
- FILLER_57_25 sky130_fd_sc_hd__decap_4 + PLACED ( 17020 165920 ) FS ;
- FILLER_57_254 sky130_fd_sc_hd__decap_4 + PLACED ( 122360 165920 ) FS ;
- FILLER_57_260 sky130_fd_sc_hd__decap_4 + PLACED ( 125120 165920 ) FS ;
- FILLER_57_267 sky130_fd_sc_hd__decap_6 + PLACED ( 128340 165920 ) FS ;
- FILLER_57_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 165920 ) FS ;
- FILLER_57_281 sky130_fd_sc_hd__decap_3 + PLACED ( 134780 165920 ) FS ;
- FILLER_57_287 sky130_fd_sc_hd__decap_4 + PLACED ( 137540 165920 ) FS ;
- FILLER_57_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 165920 ) FS ;
- FILLER_57_299 sky130_fd_sc_hd__decap_6 + PLACED ( 143060 165920 ) FS ;
- FILLER_57_307 sky130_fd_sc_hd__decap_4 + PLACED ( 146740 165920 ) FS ;
- FILLER_57_313 sky130_fd_sc_hd__decap_4 + PLACED ( 149500 165920 ) FS ;
- FILLER_57_320 sky130_fd_sc_hd__decap_6 + PLACED ( 152720 165920 ) FS ;
- FILLER_57_326 sky130_fd_sc_hd__fill_1 + PLACED ( 155480 165920 ) FS ;
- FILLER_57_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 165920 ) FS ;
- FILLER_57_330 sky130_fd_sc_hd__decap_6 + PLACED ( 157320 165920 ) FS ;
- FILLER_57_340 sky130_fd_sc_hd__decap_4 + PLACED ( 161920 165920 ) FS ;
- FILLER_57_348 sky130_fd_sc_hd__decap_4 + PLACED ( 165600 165920 ) FS ;
- FILLER_57_356 sky130_fd_sc_hd__decap_4 + PLACED ( 169280 165920 ) FS ;
- FILLER_57_364 sky130_fd_sc_hd__decap_4 + PLACED ( 172960 165920 ) FS ;
- FILLER_57_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 165920 ) FS ;
- FILLER_57_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 165920 ) FS ;
- FILLER_57_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 165920 ) FS ;
- FILLER_57_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 165920 ) FS ;
- FILLER_57_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 165920 ) FS ;
- FILLER_57_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 165920 ) FS ;
- FILLER_57_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 165920 ) FS ;
- FILLER_57_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 165920 ) FS ;
- FILLER_57_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 165920 ) FS ;
- FILLER_57_68 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 165920 ) FS ;
- FILLER_57_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 165920 ) FS ;
- FILLER_57_86 sky130_fd_sc_hd__decap_6 + PLACED ( 45080 165920 ) FS ;
- FILLER_57_9 sky130_fd_sc_hd__decap_4 + PLACED ( 9660 165920 ) FS ;
- FILLER_57_92 sky130_fd_sc_hd__fill_1 + PLACED ( 47840 165920 ) FS ;
- FILLER_57_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 165920 ) FS ;
- FILLER_58_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 168640 ) N ;
- FILLER_58_112 sky130_fd_sc_hd__decap_6 + PLACED ( 57040 168640 ) N ;
- FILLER_58_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 168640 ) N ;
- FILLER_58_122 sky130_fd_sc_hd__decap_4 + PLACED ( 61640 168640 ) N ;
- FILLER_58_129 sky130_fd_sc_hd__decap_4 + PLACED ( 64860 168640 ) N ;
- FILLER_58_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 168640 ) N ;
- FILLER_58_143 sky130_fd_sc_hd__decap_4 + PLACED ( 71300 168640 ) N ;
- FILLER_58_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 168640 ) N ;
- FILLER_58_151 sky130_fd_sc_hd__decap_6 + PLACED ( 74980 168640 ) N ;
- FILLER_58_160 sky130_fd_sc_hd__decap_8 + PLACED ( 79120 168640 ) N ;
- FILLER_58_171 sky130_fd_sc_hd__decap_6 + PLACED ( 84180 168640 ) N ;
- FILLER_58_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 168640 ) N ;
- FILLER_58_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 168640 ) N ;
- FILLER_58_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 168640 ) N ;
- FILLER_58_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 168640 ) N ;
- FILLER_58_208 sky130_fd_sc_hd__decap_4 + PLACED ( 101200 168640 ) N ;
- FILLER_58_215 sky130_fd_sc_hd__decap_4 + PLACED ( 104420 168640 ) N ;
- FILLER_58_222 sky130_fd_sc_hd__decap_8 + PLACED ( 107640 168640 ) N ;
- FILLER_58_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 168640 ) N ;
- FILLER_58_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 168640 ) N ;
- FILLER_58_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 168640 ) N ;
- FILLER_58_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 168640 ) N ;
- FILLER_58_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 168640 ) N ;
- FILLER_58_256 sky130_fd_sc_hd__decap_8 + PLACED ( 123280 168640 ) N ;
- FILLER_58_264 sky130_fd_sc_hd__fill_1 + PLACED ( 126960 168640 ) N ;
- FILLER_58_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 168640 ) N ;
- FILLER_58_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 168640 ) N ;
- FILLER_58_274 sky130_fd_sc_hd__decap_4 + PLACED ( 131560 168640 ) N ;
- FILLER_58_281 sky130_fd_sc_hd__decap_6 + PLACED ( 134780 168640 ) N ;
- FILLER_58_287 sky130_fd_sc_hd__fill_1 + PLACED ( 137540 168640 ) N ;
- FILLER_58_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 168640 ) N ;
- FILLER_58_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 168640 ) N ;
- FILLER_58_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 168640 ) N ;
- FILLER_58_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 168640 ) N ;
- FILLER_58_314 sky130_fd_sc_hd__decap_6 + PLACED ( 149960 168640 ) N ;
- FILLER_58_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 168640 ) N ;
- FILLER_58_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 168640 ) N ;
- FILLER_58_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 168640 ) N ;
- FILLER_58_339 sky130_fd_sc_hd__decap_6 + PLACED ( 161460 168640 ) N ;
- FILLER_58_349 sky130_fd_sc_hd__decap_6 + PLACED ( 166060 168640 ) N ;
- FILLER_58_355 sky130_fd_sc_hd__fill_1 + PLACED ( 168820 168640 ) N ;
- FILLER_58_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 168640 ) N ;
- FILLER_58_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 168640 ) N ;
- FILLER_58_370 sky130_fd_sc_hd__decap_4 + PLACED ( 175720 168640 ) N ;
- FILLER_58_378 sky130_fd_sc_hd__decap_4 + PLACED ( 179400 168640 ) N ;
- FILLER_58_386 sky130_fd_sc_hd__decap_4 + PLACED ( 183080 168640 ) N ;
- FILLER_58_394 sky130_fd_sc_hd__decap_4 + PLACED ( 186760 168640 ) N ;
- FILLER_58_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 168640 ) N ;
- FILLER_58_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 168640 ) N ;
- FILLER_58_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 168640 ) N ;
- FILLER_58_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 168640 ) N ;
- FILLER_58_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 168640 ) N ;
- FILLER_58_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 168640 ) N ;
- FILLER_58_72 sky130_fd_sc_hd__decap_4 + PLACED ( 38640 168640 ) N ;
- FILLER_58_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 168640 ) N ;
- FILLER_58_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 168640 ) N ;
- FILLER_58_85 sky130_fd_sc_hd__fill_2 + PLACED ( 44620 168640 ) N ;
- FILLER_58_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 168640 ) N ;
- FILLER_58_98 sky130_fd_sc_hd__decap_4 + PLACED ( 50600 168640 ) N ;
- FILLER_59_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 171360 ) FS ;
- FILLER_59_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 171360 ) FS ;
- FILLER_59_113 sky130_fd_sc_hd__fill_1 + PLACED ( 57500 171360 ) FS ;
- FILLER_59_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 171360 ) FS ;
- FILLER_59_124 sky130_fd_sc_hd__decap_4 + PLACED ( 62560 171360 ) FS ;
- FILLER_59_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 171360 ) FS ;
- FILLER_59_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 171360 ) FS ;
- FILLER_59_139 sky130_fd_sc_hd__decap_6 + PLACED ( 69460 171360 ) FS ;
- FILLER_59_149 sky130_fd_sc_hd__decap_6 + PLACED ( 74060 171360 ) FS ;
- FILLER_59_159 sky130_fd_sc_hd__decap_8 + PLACED ( 78660 171360 ) FS ;
- FILLER_59_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 171360 ) FS ;
- FILLER_59_173 sky130_fd_sc_hd__decap_4 + PLACED ( 85100 171360 ) FS ;
- FILLER_59_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 171360 ) FS ;
- FILLER_59_188 sky130_fd_sc_hd__decap_4 + PLACED ( 92000 171360 ) FS ;
- FILLER_59_196 sky130_fd_sc_hd__decap_4 + PLACED ( 95680 171360 ) FS ;
- FILLER_59_200 sky130_fd_sc_hd__fill_1 + PLACED ( 97520 171360 ) FS ;
- FILLER_59_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 171360 ) FS ;
- FILLER_59_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 171360 ) FS ;
- FILLER_59_212 sky130_fd_sc_hd__decap_4 + PLACED ( 103040 171360 ) FS ;
- FILLER_59_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 171360 ) FS ;
- FILLER_59_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 171360 ) FS ;
- FILLER_59_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 171360 ) FS ;
- FILLER_59_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 171360 ) FS ;
- FILLER_59_240 sky130_fd_sc_hd__decap_8 + PLACED ( 115920 171360 ) FS ;
- FILLER_59_248 sky130_fd_sc_hd__fill_1 + PLACED ( 119600 171360 ) FS ;
- FILLER_59_252 sky130_fd_sc_hd__decap_8 + PLACED ( 121440 171360 ) FS ;
- FILLER_59_260 sky130_fd_sc_hd__fill_1 + PLACED ( 125120 171360 ) FS ;
- FILLER_59_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 171360 ) FS ;
- FILLER_59_269 sky130_fd_sc_hd__fill_1 + PLACED ( 129260 171360 ) FS ;
- FILLER_59_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 171360 ) FS ;
- FILLER_59_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 171360 ) FS ;
- FILLER_59_281 sky130_fd_sc_hd__fill_2 + PLACED ( 134780 171360 ) FS ;
- FILLER_59_287 sky130_fd_sc_hd__decap_4 + PLACED ( 137540 171360 ) FS ;
- FILLER_59_295 sky130_fd_sc_hd__decap_6 + PLACED ( 141220 171360 ) FS ;
- FILLER_59_305 sky130_fd_sc_hd__decap_4 + PLACED ( 145820 171360 ) FS ;
- FILLER_59_311 sky130_fd_sc_hd__decap_4 + PLACED ( 148580 171360 ) FS ;
- FILLER_59_319 sky130_fd_sc_hd__decap_8 + PLACED ( 152260 171360 ) FS ;
- FILLER_59_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 171360 ) FS ;
- FILLER_59_327 sky130_fd_sc_hd__fill_1 + PLACED ( 155940 171360 ) FS ;
- FILLER_59_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 171360 ) FS ;
- FILLER_59_340 sky130_fd_sc_hd__decap_4 + PLACED ( 161920 171360 ) FS ;
- FILLER_59_348 sky130_fd_sc_hd__decap_4 + PLACED ( 165600 171360 ) FS ;
- FILLER_59_356 sky130_fd_sc_hd__decap_4 + PLACED ( 169280 171360 ) FS ;
- FILLER_59_364 sky130_fd_sc_hd__decap_4 + PLACED ( 172960 171360 ) FS ;
- FILLER_59_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 171360 ) FS ;
- FILLER_59_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 171360 ) FS ;
- FILLER_59_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 171360 ) FS ;
- FILLER_59_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 171360 ) FS ;
- FILLER_59_40 sky130_fd_sc_hd__decap_4 + PLACED ( 23920 171360 ) FS ;
- FILLER_59_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 171360 ) FS ;
- FILLER_59_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 171360 ) FS ;
- FILLER_59_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 171360 ) FS ;
- FILLER_59_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 171360 ) FS ;
- FILLER_59_75 sky130_fd_sc_hd__decap_4 + PLACED ( 40020 171360 ) FS ;
- FILLER_59_83 sky130_fd_sc_hd__decap_6 + PLACED ( 43700 171360 ) FS ;
- FILLER_59_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 171360 ) FS ;
- FILLER_5_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 24480 ) FS ;
- FILLER_5_105 sky130_fd_sc_hd__fill_1 + PLACED ( 53820 24480 ) FS ;
- FILLER_5_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 24480 ) FS ;
- FILLER_5_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 24480 ) FS ;
- FILLER_5_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 24480 ) FS ;
- FILLER_5_119 sky130_fd_sc_hd__decap_4 + PLACED ( 60260 24480 ) FS ;
- FILLER_5_126 sky130_fd_sc_hd__decap_4 + PLACED ( 63480 24480 ) FS ;
- FILLER_5_130 sky130_fd_sc_hd__fill_1 + PLACED ( 65320 24480 ) FS ;
- FILLER_5_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 24480 ) FS ;
- FILLER_5_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 24480 ) FS ;
- FILLER_5_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 24480 ) FS ;
- FILLER_5_156 sky130_fd_sc_hd__decap_4 + PLACED ( 77280 24480 ) FS ;
- FILLER_5_160 sky130_fd_sc_hd__fill_1 + PLACED ( 79120 24480 ) FS ;
- FILLER_5_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 24480 ) FS ;
- FILLER_5_172 sky130_fd_sc_hd__decap_4 + PLACED ( 84640 24480 ) FS ;
- FILLER_5_176 sky130_fd_sc_hd__fill_1 + PLACED ( 86480 24480 ) FS ;
- FILLER_5_181 sky130_fd_sc_hd__decap_8 + PLACED ( 88780 24480 ) FS ;
- FILLER_5_193 sky130_fd_sc_hd__decap_6 + PLACED ( 94300 24480 ) FS ;
- FILLER_5_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 24480 ) FS ;
- FILLER_5_211 sky130_fd_sc_hd__decap_4 + PLACED ( 102580 24480 ) FS ;
- FILLER_5_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 24480 ) FS ;
- FILLER_5_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 24480 ) FS ;
- FILLER_5_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 24480 ) FS ;
- FILLER_5_236 sky130_fd_sc_hd__decap_4 + PLACED ( 114080 24480 ) FS ;
- FILLER_5_240 sky130_fd_sc_hd__fill_1 + PLACED ( 115920 24480 ) FS ;
- FILLER_5_245 sky130_fd_sc_hd__decap_8 + PLACED ( 118220 24480 ) FS ;
- FILLER_5_257 sky130_fd_sc_hd__decap_8 + PLACED ( 123740 24480 ) FS ;
- FILLER_5_265 sky130_fd_sc_hd__fill_1 + PLACED ( 127420 24480 ) FS ;
- FILLER_5_269 sky130_fd_sc_hd__decap_4 + PLACED ( 129260 24480 ) FS ;
- FILLER_5_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 24480 ) FS ;
- FILLER_5_285 sky130_fd_sc_hd__decap_4 + PLACED ( 136620 24480 ) FS ;
- FILLER_5_293 sky130_fd_sc_hd__decap_8 + PLACED ( 140300 24480 ) FS ;
- FILLER_5_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 24480 ) FS ;
- FILLER_5_305 sky130_fd_sc_hd__decap_6 + PLACED ( 145820 24480 ) FS ;
- FILLER_5_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 24480 ) FS ;
- FILLER_5_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 24480 ) FS ;
- FILLER_5_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 24480 ) FS ;
- FILLER_5_327 sky130_fd_sc_hd__fill_1 + PLACED ( 155940 24480 ) FS ;
- FILLER_5_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 24480 ) FS ;
- FILLER_5_341 sky130_fd_sc_hd__decap_4 + PLACED ( 162380 24480 ) FS ;
- FILLER_5_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 24480 ) FS ;
- FILLER_5_355 sky130_fd_sc_hd__decap_4 + PLACED ( 168820 24480 ) FS ;
- FILLER_5_359 sky130_fd_sc_hd__fill_1 + PLACED ( 170660 24480 ) FS ;
- FILLER_5_364 sky130_fd_sc_hd__decap_4 + PLACED ( 172960 24480 ) FS ;
- FILLER_5_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 24480 ) FS ;
- FILLER_5_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 24480 ) FS ;
- FILLER_5_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 24480 ) FS ;
- FILLER_5_39 sky130_fd_sc_hd__decap_4 + PLACED ( 23460 24480 ) FS ;
- FILLER_5_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 24480 ) FS ;
- FILLER_5_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 24480 ) FS ;
- FILLER_5_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 24480 ) FS ;
- FILLER_5_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 24480 ) FS ;
- FILLER_5_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 24480 ) FS ;
- FILLER_5_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 24480 ) FS ;
- FILLER_5_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 24480 ) FS ;
- FILLER_5_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 24480 ) FS ;
- FILLER_60_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 174080 ) N ;
- FILLER_60_112 sky130_fd_sc_hd__decap_4 + PLACED ( 57040 174080 ) N ;
- FILLER_60_116 sky130_fd_sc_hd__fill_1 + PLACED ( 58880 174080 ) N ;
- FILLER_60_121 sky130_fd_sc_hd__decap_6 + PLACED ( 61180 174080 ) N ;
- FILLER_60_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 174080 ) N ;
- FILLER_60_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 174080 ) N ;
- FILLER_60_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 174080 ) N ;
- FILLER_60_145 sky130_fd_sc_hd__decap_8 + PLACED ( 72220 174080 ) N ;
- FILLER_60_157 sky130_fd_sc_hd__decap_4 + PLACED ( 77740 174080 ) N ;
- FILLER_60_165 sky130_fd_sc_hd__decap_6 + PLACED ( 81420 174080 ) N ;
- FILLER_60_171 sky130_fd_sc_hd__fill_1 + PLACED ( 84180 174080 ) N ;
- FILLER_60_176 sky130_fd_sc_hd__decap_4 + PLACED ( 86480 174080 ) N ;
- FILLER_60_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 174080 ) N ;
- FILLER_60_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 174080 ) N ;
- FILLER_60_202 sky130_fd_sc_hd__decap_4 + PLACED ( 98440 174080 ) N ;
- FILLER_60_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 174080 ) N ;
- FILLER_60_210 sky130_fd_sc_hd__decap_4 + PLACED ( 102120 174080 ) N ;
- FILLER_60_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 174080 ) N ;
- FILLER_60_227 sky130_fd_sc_hd__decap_6 + PLACED ( 109940 174080 ) N ;
- FILLER_60_237 sky130_fd_sc_hd__decap_6 + PLACED ( 114540 174080 ) N ;
- FILLER_60_243 sky130_fd_sc_hd__fill_1 + PLACED ( 117300 174080 ) N ;
- FILLER_60_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 174080 ) N ;
- FILLER_60_253 sky130_fd_sc_hd__fill_2 + PLACED ( 121900 174080 ) N ;
- FILLER_60_259 sky130_fd_sc_hd__decap_8 + PLACED ( 124660 174080 ) N ;
- FILLER_60_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 174080 ) N ;
- FILLER_60_271 sky130_fd_sc_hd__decap_4 + PLACED ( 130180 174080 ) N ;
- FILLER_60_277 sky130_fd_sc_hd__decap_4 + PLACED ( 132940 174080 ) N ;
- FILLER_60_285 sky130_fd_sc_hd__decap_6 + PLACED ( 136620 174080 ) N ;
- FILLER_60_291 sky130_fd_sc_hd__fill_1 + PLACED ( 139380 174080 ) N ;
- FILLER_60_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 174080 ) N ;
- FILLER_60_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 174080 ) N ;
- FILLER_60_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 174080 ) N ;
- FILLER_60_313 sky130_fd_sc_hd__decap_8 + PLACED ( 149500 174080 ) N ;
- FILLER_60_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 174080 ) N ;
- FILLER_60_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 174080 ) N ;
- FILLER_60_333 sky130_fd_sc_hd__decap_6 + PLACED ( 158700 174080 ) N ;
- FILLER_60_339 sky130_fd_sc_hd__fill_1 + PLACED ( 161460 174080 ) N ;
- FILLER_60_344 sky130_fd_sc_hd__decap_4 + PLACED ( 163760 174080 ) N ;
- FILLER_60_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 174080 ) N ;
- FILLER_60_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 174080 ) N ;
- FILLER_60_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 174080 ) N ;
- FILLER_60_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 174080 ) N ;
- FILLER_60_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 174080 ) N ;
- FILLER_60_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 174080 ) N ;
- FILLER_60_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 174080 ) N ;
- FILLER_60_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 174080 ) N ;
- FILLER_60_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 174080 ) N ;
- FILLER_60_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 174080 ) N ;
- FILLER_60_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 174080 ) N ;
- FILLER_60_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 174080 ) N ;
- FILLER_60_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 174080 ) N ;
- FILLER_60_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 174080 ) N ;
- FILLER_60_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 174080 ) N ;
- FILLER_61_100 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 176800 ) FS ;
- FILLER_61_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 176800 ) FS ;
- FILLER_61_117 sky130_fd_sc_hd__decap_6 + PLACED ( 59340 176800 ) FS ;
- FILLER_61_127 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 176800 ) FS ;
- FILLER_61_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 176800 ) FS ;
- FILLER_61_143 sky130_fd_sc_hd__decap_8 + PLACED ( 71300 176800 ) FS ;
- FILLER_61_151 sky130_fd_sc_hd__fill_1 + PLACED ( 74980 176800 ) FS ;
- FILLER_61_156 sky130_fd_sc_hd__decap_4 + PLACED ( 77280 176800 ) FS ;
- FILLER_61_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 176800 ) FS ;
- FILLER_61_169 sky130_fd_sc_hd__fill_1 + PLACED ( 83260 176800 ) FS ;
- FILLER_61_17 sky130_fd_sc_hd__decap_4 + PLACED ( 13340 176800 ) FS ;
- FILLER_61_174 sky130_fd_sc_hd__decap_4 + PLACED ( 85560 176800 ) FS ;
- FILLER_61_180 sky130_fd_sc_hd__decap_4 + PLACED ( 88320 176800 ) FS ;
- FILLER_61_188 sky130_fd_sc_hd__decap_4 + PLACED ( 92000 176800 ) FS ;
- FILLER_61_196 sky130_fd_sc_hd__decap_4 + PLACED ( 95680 176800 ) FS ;
- FILLER_61_204 sky130_fd_sc_hd__decap_4 + PLACED ( 99360 176800 ) FS ;
- FILLER_61_212 sky130_fd_sc_hd__decap_4 + PLACED ( 103040 176800 ) FS ;
- FILLER_61_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 176800 ) FS ;
- FILLER_61_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 176800 ) FS ;
- FILLER_61_231 sky130_fd_sc_hd__decap_6 + PLACED ( 111780 176800 ) FS ;
- FILLER_61_241 sky130_fd_sc_hd__decap_4 + PLACED ( 116380 176800 ) FS ;
- FILLER_61_249 sky130_fd_sc_hd__decap_4 + PLACED ( 120060 176800 ) FS ;
- FILLER_61_25 sky130_fd_sc_hd__decap_4 + PLACED ( 17020 176800 ) FS ;
- FILLER_61_257 sky130_fd_sc_hd__decap_6 + PLACED ( 123740 176800 ) FS ;
- FILLER_61_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 176800 ) FS ;
- FILLER_61_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 176800 ) FS ;
- FILLER_61_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 176800 ) FS ;
- FILLER_61_285 sky130_fd_sc_hd__decap_4 + PLACED ( 136620 176800 ) FS ;
- FILLER_61_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 176800 ) FS ;
- FILLER_61_301 sky130_fd_sc_hd__decap_4 + PLACED ( 143980 176800 ) FS ;
- FILLER_61_307 sky130_fd_sc_hd__decap_4 + PLACED ( 146740 176800 ) FS ;
- FILLER_61_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 176800 ) FS ;
- FILLER_61_319 sky130_fd_sc_hd__fill_1 + PLACED ( 152260 176800 ) FS ;
- FILLER_61_324 sky130_fd_sc_hd__decap_4 + PLACED ( 154560 176800 ) FS ;
- FILLER_61_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 176800 ) FS ;
- FILLER_61_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 176800 ) FS ;
- FILLER_61_337 sky130_fd_sc_hd__fill_2 + PLACED ( 160540 176800 ) FS ;
- FILLER_61_343 sky130_fd_sc_hd__decap_4 + PLACED ( 163300 176800 ) FS ;
- FILLER_61_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 176800 ) FS ;
- FILLER_61_357 sky130_fd_sc_hd__decap_4 + PLACED ( 169740 176800 ) FS ;
- FILLER_61_363 sky130_fd_sc_hd__decap_4 + PLACED ( 172500 176800 ) FS ;
- FILLER_61_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 176800 ) FS ;
- FILLER_61_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 176800 ) FS ;
- FILLER_61_383 sky130_fd_sc_hd__fill_1 + PLACED ( 181700 176800 ) FS ;
- FILLER_61_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 176800 ) FS ;
- FILLER_61_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 176800 ) FS ;
- FILLER_61_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 176800 ) FS ;
- FILLER_61_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 176800 ) FS ;
- FILLER_61_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 176800 ) FS ;
- FILLER_61_61 sky130_fd_sc_hd__decap_4 + PLACED ( 33580 176800 ) FS ;
- FILLER_61_69 sky130_fd_sc_hd__decap_4 + PLACED ( 37260 176800 ) FS ;
- FILLER_61_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 176800 ) FS ;
- FILLER_61_84 sky130_fd_sc_hd__decap_4 + PLACED ( 44160 176800 ) FS ;
- FILLER_61_9 sky130_fd_sc_hd__decap_4 + PLACED ( 9660 176800 ) FS ;
- FILLER_61_92 sky130_fd_sc_hd__decap_4 + PLACED ( 47840 176800 ) FS ;
- FILLER_62_103 sky130_fd_sc_hd__decap_4 + PLACED ( 52900 179520 ) N ;
- FILLER_62_111 sky130_fd_sc_hd__decap_4 + PLACED ( 56580 179520 ) N ;
- FILLER_62_117 sky130_fd_sc_hd__decap_4 + PLACED ( 59340 179520 ) N ;
- FILLER_62_125 sky130_fd_sc_hd__decap_4 + PLACED ( 63020 179520 ) N ;
- FILLER_62_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 179520 ) N ;
- FILLER_62_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 179520 ) N ;
- FILLER_62_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 179520 ) N ;
- FILLER_62_145 sky130_fd_sc_hd__decap_4 + PLACED ( 72220 179520 ) N ;
- FILLER_62_153 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 179520 ) N ;
- FILLER_62_161 sky130_fd_sc_hd__decap_4 + PLACED ( 79580 179520 ) N ;
- FILLER_62_165 sky130_fd_sc_hd__fill_1 + PLACED ( 81420 179520 ) N ;
- FILLER_62_170 sky130_fd_sc_hd__decap_6 + PLACED ( 83720 179520 ) N ;
- FILLER_62_176 sky130_fd_sc_hd__fill_1 + PLACED ( 86480 179520 ) N ;
- FILLER_62_182 sky130_fd_sc_hd__decap_6 + PLACED ( 89240 179520 ) N ;
- FILLER_62_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 179520 ) N ;
- FILLER_62_202 sky130_fd_sc_hd__decap_4 + PLACED ( 98440 179520 ) N ;
- FILLER_62_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 179520 ) N ;
- FILLER_62_210 sky130_fd_sc_hd__decap_4 + PLACED ( 102120 179520 ) N ;
- FILLER_62_218 sky130_fd_sc_hd__decap_4 + PLACED ( 105800 179520 ) N ;
- FILLER_62_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 179520 ) N ;
- FILLER_62_235 sky130_fd_sc_hd__decap_8 + PLACED ( 113620 179520 ) N ;
- FILLER_62_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 179520 ) N ;
- FILLER_62_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 179520 ) N ;
- FILLER_62_257 sky130_fd_sc_hd__decap_4 + PLACED ( 123740 179520 ) N ;
- FILLER_62_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 179520 ) N ;
- FILLER_62_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 179520 ) N ;
- FILLER_62_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 179520 ) N ;
- FILLER_62_283 sky130_fd_sc_hd__decap_6 + PLACED ( 135700 179520 ) N ;
- FILLER_62_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 179520 ) N ;
- FILLER_62_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 179520 ) N ;
- FILLER_62_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 179520 ) N ;
- FILLER_62_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 179520 ) N ;
- FILLER_62_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 179520 ) N ;
- FILLER_62_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 179520 ) N ;
- FILLER_62_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 179520 ) N ;
- FILLER_62_329 sky130_fd_sc_hd__fill_1 + PLACED ( 156860 179520 ) N ;
- FILLER_62_334 sky130_fd_sc_hd__decap_8 + PLACED ( 159160 179520 ) N ;
- FILLER_62_342 sky130_fd_sc_hd__fill_1 + PLACED ( 162840 179520 ) N ;
- FILLER_62_347 sky130_fd_sc_hd__decap_8 + PLACED ( 165140 179520 ) N ;
- FILLER_62_355 sky130_fd_sc_hd__fill_1 + PLACED ( 168820 179520 ) N ;
- FILLER_62_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 179520 ) N ;
- FILLER_62_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 179520 ) N ;
- FILLER_62_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 179520 ) N ;
- FILLER_62_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 179520 ) N ;
- FILLER_62_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 179520 ) N ;
- FILLER_62_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 179520 ) N ;
- FILLER_62_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 179520 ) N ;
- FILLER_62_41 sky130_fd_sc_hd__fill_1 + PLACED ( 24380 179520 ) N ;
- FILLER_62_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 179520 ) N ;
- FILLER_62_54 sky130_fd_sc_hd__decap_4 + PLACED ( 30360 179520 ) N ;
- FILLER_62_58 sky130_fd_sc_hd__fill_1 + PLACED ( 32200 179520 ) N ;
- FILLER_62_63 sky130_fd_sc_hd__decap_4 + PLACED ( 34500 179520 ) N ;
- FILLER_62_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 179520 ) N ;
- FILLER_62_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 179520 ) N ;
- FILLER_62_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 179520 ) N ;
- FILLER_62_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 179520 ) N ;
- FILLER_62_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 179520 ) N ;
- FILLER_63_100 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 182240 ) FS ;
- FILLER_63_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 182240 ) FS ;
- FILLER_63_113 sky130_fd_sc_hd__decap_4 + PLACED ( 57500 182240 ) FS ;
- FILLER_63_122 sky130_fd_sc_hd__decap_4 + PLACED ( 61640 182240 ) FS ;
- FILLER_63_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 182240 ) FS ;
- FILLER_63_130 sky130_fd_sc_hd__decap_4 + PLACED ( 65320 182240 ) FS ;
- FILLER_63_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 182240 ) FS ;
- FILLER_63_144 sky130_fd_sc_hd__decap_8 + PLACED ( 71760 182240 ) FS ;
- FILLER_63_157 sky130_fd_sc_hd__decap_4 + PLACED ( 77740 182240 ) FS ;
- FILLER_63_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 182240 ) FS ;
- FILLER_63_174 sky130_fd_sc_hd__decap_4 + PLACED ( 85560 182240 ) FS ;
- FILLER_63_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 182240 ) FS ;
- FILLER_63_195 sky130_fd_sc_hd__decap_4 + PLACED ( 95220 182240 ) FS ;
- FILLER_63_209 sky130_fd_sc_hd__decap_4 + PLACED ( 101660 182240 ) FS ;
- FILLER_63_213 sky130_fd_sc_hd__fill_1 + PLACED ( 103500 182240 ) FS ;
- FILLER_63_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 182240 ) FS ;
- FILLER_63_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 182240 ) FS ;
- FILLER_63_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 182240 ) FS ;
- FILLER_63_230 sky130_fd_sc_hd__decap_8 + PLACED ( 111320 182240 ) FS ;
- FILLER_63_238 sky130_fd_sc_hd__fill_1 + PLACED ( 115000 182240 ) FS ;
- FILLER_63_244 sky130_fd_sc_hd__decap_6 + PLACED ( 117760 182240 ) FS ;
- FILLER_63_255 sky130_fd_sc_hd__decap_4 + PLACED ( 122820 182240 ) FS ;
- FILLER_63_263 sky130_fd_sc_hd__decap_8 + PLACED ( 126500 182240 ) FS ;
- FILLER_63_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 182240 ) FS ;
- FILLER_63_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 182240 ) FS ;
- FILLER_63_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 182240 ) FS ;
- FILLER_63_289 sky130_fd_sc_hd__decap_8 + PLACED ( 138460 182240 ) FS ;
- FILLER_63_301 sky130_fd_sc_hd__decap_4 + PLACED ( 143980 182240 ) FS ;
- FILLER_63_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 182240 ) FS ;
- FILLER_63_31 sky130_fd_sc_hd__decap_4 + PLACED ( 19780 182240 ) FS ;
- FILLER_63_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 182240 ) FS ;
- FILLER_63_321 sky130_fd_sc_hd__fill_1 + PLACED ( 153180 182240 ) FS ;
- FILLER_63_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 182240 ) FS ;
- FILLER_63_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 182240 ) FS ;
- FILLER_63_355 sky130_fd_sc_hd__decap_4 + PLACED ( 168820 182240 ) FS ;
- FILLER_63_362 sky130_fd_sc_hd__decap_4 + PLACED ( 172040 182240 ) FS ;
- FILLER_63_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 182240 ) FS ;
- FILLER_63_370 sky130_fd_sc_hd__decap_4 + PLACED ( 175720 182240 ) FS ;
- FILLER_63_378 sky130_fd_sc_hd__decap_4 + PLACED ( 179400 182240 ) FS ;
- FILLER_63_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 182240 ) FS ;
- FILLER_63_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 182240 ) FS ;
- FILLER_63_46 sky130_fd_sc_hd__decap_4 + PLACED ( 26680 182240 ) FS ;
- FILLER_63_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 182240 ) FS ;
- FILLER_63_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 182240 ) FS ;
- FILLER_63_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 182240 ) FS ;
- FILLER_63_81 sky130_fd_sc_hd__decap_4 + PLACED ( 42780 182240 ) FS ;
- FILLER_63_89 sky130_fd_sc_hd__decap_6 + PLACED ( 46460 182240 ) FS ;
- FILLER_63_95 sky130_fd_sc_hd__fill_1 + PLACED ( 49220 182240 ) FS ;
- FILLER_64_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 184960 ) N ;
- FILLER_64_123 sky130_fd_sc_hd__decap_4 + PLACED ( 62100 184960 ) N ;
- FILLER_64_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 184960 ) N ;
- FILLER_64_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 184960 ) N ;
- FILLER_64_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 184960 ) N ;
- FILLER_64_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 184960 ) N ;
- FILLER_64_153 sky130_fd_sc_hd__decap_6 + PLACED ( 75900 184960 ) N ;
- FILLER_64_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 184960 ) N ;
- FILLER_64_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 184960 ) N ;
- FILLER_64_188 sky130_fd_sc_hd__decap_8 + PLACED ( 92000 184960 ) N ;
- FILLER_64_207 sky130_fd_sc_hd__decap_8 + PLACED ( 100740 184960 ) N ;
- FILLER_64_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 184960 ) N ;
- FILLER_64_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 184960 ) N ;
- FILLER_64_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 184960 ) N ;
- FILLER_64_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 184960 ) N ;
- FILLER_64_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 184960 ) N ;
- FILLER_64_258 sky130_fd_sc_hd__decap_4 + PLACED ( 124200 184960 ) N ;
- FILLER_64_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 184960 ) N ;
- FILLER_64_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 184960 ) N ;
- FILLER_64_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 184960 ) N ;
- FILLER_64_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 184960 ) N ;
- FILLER_64_286 sky130_fd_sc_hd__decap_8 + PLACED ( 137080 184960 ) N ;
- FILLER_64_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 184960 ) N ;
- FILLER_64_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 184960 ) N ;
- FILLER_64_319 sky130_fd_sc_hd__decap_4 + PLACED ( 152260 184960 ) N ;
- FILLER_64_327 sky130_fd_sc_hd__decap_8 + PLACED ( 155940 184960 ) N ;
- FILLER_64_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 184960 ) N ;
- FILLER_64_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 184960 ) N ;
- FILLER_64_355 sky130_fd_sc_hd__decap_8 + PLACED ( 168820 184960 ) N ;
- FILLER_64_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 184960 ) N ;
- FILLER_64_369 sky130_fd_sc_hd__decap_4 + PLACED ( 175260 184960 ) N ;
- FILLER_64_378 sky130_fd_sc_hd__decap_4 + PLACED ( 179400 184960 ) N ;
- FILLER_64_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 184960 ) N ;
- FILLER_64_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 184960 ) N ;
- FILLER_64_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 184960 ) N ;
- FILLER_64_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 184960 ) N ;
- FILLER_64_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 184960 ) N ;
- FILLER_64_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 184960 ) N ;
- FILLER_64_69 sky130_fd_sc_hd__fill_1 + PLACED ( 37260 184960 ) N ;
- FILLER_64_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 184960 ) N ;
- FILLER_64_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 184960 ) N ;
- FILLER_64_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 184960 ) N ;
- FILLER_64_97 sky130_fd_sc_hd__fill_1 + PLACED ( 50140 184960 ) N ;
- FILLER_6_100 sky130_fd_sc_hd__decap_4 + PLACED ( 51520 27200 ) N ;
- FILLER_6_106 sky130_fd_sc_hd__decap_8 + PLACED ( 54280 27200 ) N ;
- FILLER_6_116 sky130_fd_sc_hd__decap_4 + PLACED ( 58880 27200 ) N ;
- FILLER_6_122 sky130_fd_sc_hd__decap_4 + PLACED ( 61640 27200 ) N ;
- FILLER_6_129 sky130_fd_sc_hd__decap_4 + PLACED ( 64860 27200 ) N ;
- FILLER_6_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 27200 ) N ;
- FILLER_6_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 27200 ) N ;
- FILLER_6_146 sky130_fd_sc_hd__decap_4 + PLACED ( 72680 27200 ) N ;
- FILLER_6_153 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 27200 ) N ;
- FILLER_6_159 sky130_fd_sc_hd__decap_4 + PLACED ( 78660 27200 ) N ;
- FILLER_6_163 sky130_fd_sc_hd__fill_1 + PLACED ( 80500 27200 ) N ;
- FILLER_6_166 sky130_fd_sc_hd__decap_4 + PLACED ( 81880 27200 ) N ;
- FILLER_6_173 sky130_fd_sc_hd__decap_8 + PLACED ( 85100 27200 ) N ;
- FILLER_6_184 sky130_fd_sc_hd__decap_4 + PLACED ( 90160 27200 ) N ;
- FILLER_6_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 27200 ) N ;
- FILLER_6_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 27200 ) N ;
- FILLER_6_200 sky130_fd_sc_hd__decap_4 + PLACED ( 97520 27200 ) N ;
- FILLER_6_207 sky130_fd_sc_hd__decap_4 + PLACED ( 100740 27200 ) N ;
- FILLER_6_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 27200 ) N ;
- FILLER_6_215 sky130_fd_sc_hd__decap_4 + PLACED ( 104420 27200 ) N ;
- FILLER_6_227 sky130_fd_sc_hd__decap_4 + PLACED ( 109940 27200 ) N ;
- FILLER_6_234 sky130_fd_sc_hd__decap_4 + PLACED ( 113160 27200 ) N ;
- FILLER_6_241 sky130_fd_sc_hd__decap_4 + PLACED ( 116380 27200 ) N ;
- FILLER_6_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 27200 ) N ;
- FILLER_6_256 sky130_fd_sc_hd__decap_4 + PLACED ( 123280 27200 ) N ;
- FILLER_6_263 sky130_fd_sc_hd__decap_4 + PLACED ( 126500 27200 ) N ;
- FILLER_6_269 sky130_fd_sc_hd__decap_4 + PLACED ( 129260 27200 ) N ;
- FILLER_6_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 27200 ) N ;
- FILLER_6_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 27200 ) N ;
- FILLER_6_283 sky130_fd_sc_hd__decap_4 + PLACED ( 135700 27200 ) N ;
- FILLER_6_291 sky130_fd_sc_hd__decap_4 + PLACED ( 139380 27200 ) N ;
- FILLER_6_298 sky130_fd_sc_hd__decap_4 + PLACED ( 142600 27200 ) N ;
- FILLER_6_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 27200 ) N ;
- FILLER_6_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 27200 ) N ;
- FILLER_6_313 sky130_fd_sc_hd__decap_8 + PLACED ( 149500 27200 ) N ;
- FILLER_6_325 sky130_fd_sc_hd__decap_4 + PLACED ( 155020 27200 ) N ;
- FILLER_6_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 27200 ) N ;
- FILLER_6_333 sky130_fd_sc_hd__decap_4 + PLACED ( 158700 27200 ) N ;
- FILLER_6_339 sky130_fd_sc_hd__decap_4 + PLACED ( 161460 27200 ) N ;
- FILLER_6_350 sky130_fd_sc_hd__decap_4 + PLACED ( 166520 27200 ) N ;
- FILLER_6_358 sky130_fd_sc_hd__decap_6 + PLACED ( 170200 27200 ) N ;
- FILLER_6_367 sky130_fd_sc_hd__decap_4 + PLACED ( 174340 27200 ) N ;
- FILLER_6_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 27200 ) N ;
- FILLER_6_379 sky130_fd_sc_hd__fill_1 + PLACED ( 179860 27200 ) N ;
- FILLER_6_384 sky130_fd_sc_hd__decap_4 + PLACED ( 182160 27200 ) N ;
- FILLER_6_392 sky130_fd_sc_hd__decap_4 + PLACED ( 185840 27200 ) N ;
- FILLER_6_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 27200 ) N ;
- FILLER_6_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 27200 ) N ;
- FILLER_6_49 sky130_fd_sc_hd__decap_4 + PLACED ( 28060 27200 ) N ;
- FILLER_6_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 27200 ) N ;
- FILLER_6_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 27200 ) N ;
- FILLER_6_73 sky130_fd_sc_hd__decap_4 + PLACED ( 39100 27200 ) N ;
- FILLER_6_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 27200 ) N ;
- FILLER_6_88 sky130_fd_sc_hd__decap_4 + PLACED ( 46000 27200 ) N ;
- FILLER_6_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 27200 ) N ;
- FILLER_7_100 sky130_fd_sc_hd__fill_1 + PLACED ( 51520 29920 ) FS ;
- FILLER_7_103 sky130_fd_sc_hd__decap_8 + PLACED ( 52900 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 29920 ) FS ;
- FILLER_7_115 sky130_fd_sc_hd__decap_4 + PLACED ( 58420 29920 ) FS ;
- FILLER_7_121 sky130_fd_sc_hd__decap_4 + PLACED ( 61180 29920 ) FS ;
- FILLER_7_127 sky130_fd_sc_hd__decap_6 + PLACED ( 63940 29920 ) FS ;
- FILLER_7_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 29920 ) FS ;
- FILLER_7_136 sky130_fd_sc_hd__decap_4 + PLACED ( 68080 29920 ) FS ;
- FILLER_7_142 sky130_fd_sc_hd__decap_4 + PLACED ( 70840 29920 ) FS ;
- FILLER_7_148 sky130_fd_sc_hd__decap_8 + PLACED ( 73600 29920 ) FS ;
- FILLER_7_158 sky130_fd_sc_hd__decap_4 + PLACED ( 78200 29920 ) FS ;
- FILLER_7_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 29920 ) FS ;
- FILLER_7_169 sky130_fd_sc_hd__decap_3 + PLACED ( 83260 29920 ) FS ;
- FILLER_7_175 sky130_fd_sc_hd__decap_4 + PLACED ( 86020 29920 ) FS ;
- FILLER_7_182 sky130_fd_sc_hd__decap_8 + PLACED ( 89240 29920 ) FS ;
- FILLER_7_193 sky130_fd_sc_hd__decap_4 + PLACED ( 94300 29920 ) FS ;
- FILLER_7_204 sky130_fd_sc_hd__decap_6 + PLACED ( 99360 29920 ) FS ;
- FILLER_7_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 29920 ) FS ;
- FILLER_7_214 sky130_fd_sc_hd__decap_4 + PLACED ( 103960 29920 ) FS ;
- FILLER_7_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 29920 ) FS ;
- FILLER_7_225 sky130_fd_sc_hd__decap_3 + PLACED ( 109020 29920 ) FS ;
- FILLER_7_231 sky130_fd_sc_hd__decap_4 + PLACED ( 111780 29920 ) FS ;
- FILLER_7_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 29920 ) FS ;
- FILLER_7_244 sky130_fd_sc_hd__decap_6 + PLACED ( 117760 29920 ) FS ;
- FILLER_7_250 sky130_fd_sc_hd__fill_1 + PLACED ( 120520 29920 ) FS ;
- FILLER_7_254 sky130_fd_sc_hd__decap_8 + PLACED ( 122360 29920 ) FS ;
- FILLER_7_262 sky130_fd_sc_hd__fill_1 + PLACED ( 126040 29920 ) FS ;
- FILLER_7_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 29920 ) FS ;
- FILLER_7_270 sky130_fd_sc_hd__fill_1 + PLACED ( 129720 29920 ) FS ;
- FILLER_7_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 29920 ) FS ;
- FILLER_7_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 29920 ) FS ;
- FILLER_7_29 sky130_fd_sc_hd__decap_8 + PLACED ( 18860 29920 ) FS ;
- FILLER_7_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 29920 ) FS ;
- FILLER_7_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 29920 ) FS ;
- FILLER_7_310 sky130_fd_sc_hd__decap_4 + PLACED ( 148120 29920 ) FS ;
- FILLER_7_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 29920 ) FS ;
- FILLER_7_324 sky130_fd_sc_hd__decap_4 + PLACED ( 154560 29920 ) FS ;
- FILLER_7_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 29920 ) FS ;
- FILLER_7_337 sky130_fd_sc_hd__decap_3 + PLACED ( 160540 29920 ) FS ;
- FILLER_7_347 sky130_fd_sc_hd__decap_8 + PLACED ( 165140 29920 ) FS ;
- FILLER_7_355 sky130_fd_sc_hd__fill_1 + PLACED ( 168820 29920 ) FS ;
- FILLER_7_360 sky130_fd_sc_hd__decap_8 + PLACED ( 171120 29920 ) FS ;
- FILLER_7_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 29920 ) FS ;
- FILLER_7_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 29920 ) FS ;
- FILLER_7_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 29920 ) FS ;
- FILLER_7_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 29920 ) FS ;
- FILLER_7_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 29920 ) FS ;
- FILLER_7_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 29920 ) FS ;
- FILLER_7_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 29920 ) FS ;
- FILLER_7_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 29920 ) FS ;
- FILLER_7_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 29920 ) FS ;
- FILLER_7_64 sky130_fd_sc_hd__decap_4 + PLACED ( 34960 29920 ) FS ;
- FILLER_7_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 29920 ) FS ;
- FILLER_7_82 sky130_fd_sc_hd__decap_4 + PLACED ( 43240 29920 ) FS ;
- FILLER_7_88 sky130_fd_sc_hd__decap_4 + PLACED ( 46000 29920 ) FS ;
- FILLER_7_94 sky130_fd_sc_hd__decap_6 + PLACED ( 48760 29920 ) FS ;
- FILLER_8_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 32640 ) N ;
- FILLER_8_111 sky130_fd_sc_hd__decap_6 + PLACED ( 56580 32640 ) N ;
- FILLER_8_119 sky130_fd_sc_hd__decap_6 + PLACED ( 60260 32640 ) N ;
- FILLER_8_127 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 32640 ) N ;
- FILLER_8_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 32640 ) N ;
- FILLER_8_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 32640 ) N ;
- FILLER_8_146 sky130_fd_sc_hd__decap_4 + PLACED ( 72680 32640 ) N ;
- FILLER_8_152 sky130_fd_sc_hd__decap_4 + PLACED ( 75440 32640 ) N ;
- FILLER_8_158 sky130_fd_sc_hd__decap_4 + PLACED ( 78200 32640 ) N ;
- FILLER_8_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 32640 ) N ;
- FILLER_8_170 sky130_fd_sc_hd__decap_8 + PLACED ( 83720 32640 ) N ;
- FILLER_8_178 sky130_fd_sc_hd__fill_1 + PLACED ( 87400 32640 ) N ;
- FILLER_8_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 32640 ) N ;
- FILLER_8_188 sky130_fd_sc_hd__decap_8 + PLACED ( 92000 32640 ) N ;
- FILLER_8_197 sky130_fd_sc_hd__decap_3 + PLACED ( 96140 32640 ) N ;
- FILLER_8_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 32640 ) N ;
- FILLER_8_207 sky130_fd_sc_hd__fill_1 + PLACED ( 100740 32640 ) N ;
- FILLER_8_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 32640 ) N ;
- FILLER_8_211 sky130_fd_sc_hd__decap_4 + PLACED ( 102580 32640 ) N ;
- FILLER_8_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 32640 ) N ;
- FILLER_8_224 sky130_fd_sc_hd__fill_1 + PLACED ( 108560 32640 ) N ;
- FILLER_8_228 sky130_fd_sc_hd__decap_4 + PLACED ( 110400 32640 ) N ;
- FILLER_8_235 sky130_fd_sc_hd__decap_4 + PLACED ( 113620 32640 ) N ;
- FILLER_8_241 sky130_fd_sc_hd__decap_4 + PLACED ( 116380 32640 ) N ;
- FILLER_8_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 32640 ) N ;
- FILLER_8_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 32640 ) N ;
- FILLER_8_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 32640 ) N ;
- FILLER_8_258 sky130_fd_sc_hd__decap_4 + PLACED ( 124200 32640 ) N ;
- FILLER_8_264 sky130_fd_sc_hd__decap_4 + PLACED ( 126960 32640 ) N ;
- FILLER_8_268 sky130_fd_sc_hd__fill_1 + PLACED ( 128800 32640 ) N ;
- FILLER_8_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 32640 ) N ;
- FILLER_8_271 sky130_fd_sc_hd__decap_6 + PLACED ( 130180 32640 ) N ;
- FILLER_8_277 sky130_fd_sc_hd__fill_1 + PLACED ( 132940 32640 ) N ;
- FILLER_8_280 sky130_fd_sc_hd__decap_4 + PLACED ( 134320 32640 ) N ;
- FILLER_8_287 sky130_fd_sc_hd__decap_6 + PLACED ( 137540 32640 ) N ;
- FILLER_8_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 32640 ) N ;
- FILLER_8_293 sky130_fd_sc_hd__fill_1 + PLACED ( 140300 32640 ) N ;
- FILLER_8_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 32640 ) N ;
- FILLER_8_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 32640 ) N ;
- FILLER_8_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 32640 ) N ;
- FILLER_8_311 sky130_fd_sc_hd__decap_4 + PLACED ( 148580 32640 ) N ;
- FILLER_8_318 sky130_fd_sc_hd__decap_4 + PLACED ( 151800 32640 ) N ;
- FILLER_8_325 sky130_fd_sc_hd__decap_6 + PLACED ( 155020 32640 ) N ;
- FILLER_8_331 sky130_fd_sc_hd__fill_1 + PLACED ( 157780 32640 ) N ;
- FILLER_8_335 sky130_fd_sc_hd__decap_4 + PLACED ( 159620 32640 ) N ;
- FILLER_8_343 sky130_fd_sc_hd__decap_4 + PLACED ( 163300 32640 ) N ;
- FILLER_8_347 sky130_fd_sc_hd__fill_1 + PLACED ( 165140 32640 ) N ;
- FILLER_8_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 32640 ) N ;
- FILLER_8_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 32640 ) N ;
- FILLER_8_365 sky130_fd_sc_hd__fill_2 + PLACED ( 173420 32640 ) N ;
- FILLER_8_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 32640 ) N ;
- FILLER_8_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 32640 ) N ;
- FILLER_8_379 sky130_fd_sc_hd__decap_4 + PLACED ( 179860 32640 ) N ;
- FILLER_8_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 32640 ) N ;
- FILLER_8_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 32640 ) N ;
- FILLER_8_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 32640 ) N ;
- FILLER_8_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 32640 ) N ;
- FILLER_8_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 32640 ) N ;
- FILLER_8_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 32640 ) N ;
- FILLER_8_66 sky130_fd_sc_hd__decap_4 + PLACED ( 35880 32640 ) N ;
- FILLER_8_72 sky130_fd_sc_hd__decap_4 + PLACED ( 38640 32640 ) N ;
- FILLER_8_76 sky130_fd_sc_hd__fill_1 + PLACED ( 40480 32640 ) N ;
- FILLER_8_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 32640 ) N ;
- FILLER_8_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 32640 ) N ;
- FILLER_8_87 sky130_fd_sc_hd__decap_4 + PLACED ( 45540 32640 ) N ;
- FILLER_8_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 32640 ) N ;
- FILLER_8_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 32640 ) N ;
- FILLER_9_101 sky130_fd_sc_hd__decap_4 + PLACED ( 51980 35360 ) FS ;
- FILLER_9_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 35360 ) FS ;
- FILLER_9_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 35360 ) FS ;
- FILLER_9_115 sky130_fd_sc_hd__decap_4 + PLACED ( 58420 35360 ) FS ;
- FILLER_9_121 sky130_fd_sc_hd__decap_6 + PLACED ( 61180 35360 ) FS ;
- FILLER_9_127 sky130_fd_sc_hd__fill_1 + PLACED ( 63940 35360 ) FS ;
- FILLER_9_131 sky130_fd_sc_hd__decap_4 + PLACED ( 65780 35360 ) FS ;
- FILLER_9_137 sky130_fd_sc_hd__decap_4 + PLACED ( 68540 35360 ) FS ;
- FILLER_9_141 sky130_fd_sc_hd__fill_1 + PLACED ( 70380 35360 ) FS ;
- FILLER_9_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 35360 ) FS ;
- FILLER_9_150 sky130_fd_sc_hd__decap_6 + PLACED ( 74520 35360 ) FS ;
- FILLER_9_156 sky130_fd_sc_hd__fill_1 + PLACED ( 77280 35360 ) FS ;
- FILLER_9_16 sky130_fd_sc_hd__decap_4 + PLACED ( 12880 35360 ) FS ;
- FILLER_9_160 sky130_fd_sc_hd__decap_8 + PLACED ( 79120 35360 ) FS ;
- FILLER_9_169 sky130_fd_sc_hd__fill_2 + PLACED ( 83260 35360 ) FS ;
- FILLER_9_173 sky130_fd_sc_hd__decap_4 + PLACED ( 85100 35360 ) FS ;
- FILLER_9_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 35360 ) FS ;
- FILLER_9_185 sky130_fd_sc_hd__decap_4 + PLACED ( 90620 35360 ) FS ;
- FILLER_9_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 35360 ) FS ;
- FILLER_9_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 35360 ) FS ;
- FILLER_9_204 sky130_fd_sc_hd__decap_4 + PLACED ( 99360 35360 ) FS ;
- FILLER_9_208 sky130_fd_sc_hd__fill_1 + PLACED ( 101200 35360 ) FS ;
- FILLER_9_212 sky130_fd_sc_hd__decap_4 + PLACED ( 103040 35360 ) FS ;
- FILLER_9_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 35360 ) FS ;
- FILLER_9_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 35360 ) FS ;
- FILLER_9_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 35360 ) FS ;
- FILLER_9_235 sky130_fd_sc_hd__decap_8 + PLACED ( 113620 35360 ) FS ;
- FILLER_9_24 sky130_fd_sc_hd__decap_4 + PLACED ( 16560 35360 ) FS ;
- FILLER_9_245 sky130_fd_sc_hd__decap_4 + PLACED ( 118220 35360 ) FS ;
- FILLER_9_251 sky130_fd_sc_hd__decap_4 + PLACED ( 120980 35360 ) FS ;
- FILLER_9_257 sky130_fd_sc_hd__decap_4 + PLACED ( 123740 35360 ) FS ;
- FILLER_9_263 sky130_fd_sc_hd__decap_4 + PLACED ( 126500 35360 ) FS ;
- FILLER_9_267 sky130_fd_sc_hd__fill_1 + PLACED ( 128340 35360 ) FS ;
- FILLER_9_270 sky130_fd_sc_hd__decap_4 + PLACED ( 129720 35360 ) FS ;
- FILLER_9_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 35360 ) FS ;
- FILLER_9_28 sky130_fd_sc_hd__fill_1 + PLACED ( 18400 35360 ) FS ;
- FILLER_9_281 sky130_fd_sc_hd__fill_1 + PLACED ( 134780 35360 ) FS ;
- FILLER_9_284 sky130_fd_sc_hd__decap_8 + PLACED ( 136160 35360 ) FS ;
- FILLER_9_292 sky130_fd_sc_hd__fill_1 + PLACED ( 139840 35360 ) FS ;
- FILLER_9_296 sky130_fd_sc_hd__decap_4 + PLACED ( 141680 35360 ) FS ;
- FILLER_9_302 sky130_fd_sc_hd__decap_4 + PLACED ( 144440 35360 ) FS ;
- FILLER_9_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 35360 ) FS ;
- FILLER_9_316 sky130_fd_sc_hd__decap_4 + PLACED ( 150880 35360 ) FS ;
- FILLER_9_322 sky130_fd_sc_hd__decap_4 + PLACED ( 153640 35360 ) FS ;
- FILLER_9_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 35360 ) FS ;
- FILLER_9_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 35360 ) FS ;
- FILLER_9_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 35360 ) FS ;
- FILLER_9_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 35360 ) FS ;
- FILLER_9_344 sky130_fd_sc_hd__decap_4 + PLACED ( 163760 35360 ) FS ;
- FILLER_9_352 sky130_fd_sc_hd__decap_4 + PLACED ( 167440 35360 ) FS ;
- FILLER_9_360 sky130_fd_sc_hd__decap_8 + PLACED ( 171120 35360 ) FS ;
- FILLER_9_372 sky130_fd_sc_hd__decap_4 + PLACED ( 176640 35360 ) FS ;
- FILLER_9_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 35360 ) FS ;
- FILLER_9_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 35360 ) FS ;
- FILLER_9_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 35360 ) FS ;
- FILLER_9_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 35360 ) FS ;
- FILLER_9_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 35360 ) FS ;
- FILLER_9_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 35360 ) FS ;
- FILLER_9_59 sky130_fd_sc_hd__decap_4 + PLACED ( 32660 35360 ) FS ;
- FILLER_9_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 35360 ) FS ;
- FILLER_9_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 35360 ) FS ;
- FILLER_9_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 35360 ) FS ;
- FILLER_9_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 35360 ) FS ;
- FILLER_9_83 sky130_fd_sc_hd__decap_4 + PLACED ( 43700 35360 ) FS ;
- FILLER_9_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 35360 ) FS ;
- FILLER_9_95 sky130_fd_sc_hd__decap_4 + PLACED ( 49220 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 165920 ) S ;
- PHY_116 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 168640 ) N ;
- PHY_117 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 168640 ) FN ;
- PHY_118 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 171360 ) FS ;
- PHY_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 171360 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_120 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 174080 ) N ;
- PHY_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 174080 ) FN ;
- PHY_122 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 176800 ) FS ;
- PHY_123 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 176800 ) S ;
- PHY_124 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 179520 ) N ;
- PHY_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 179520 ) FN ;
- PHY_126 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 182240 ) FS ;
- PHY_127 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 182240 ) S ;
- PHY_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 184960 ) N ;
- PHY_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 184960 ) FN ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 27200 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 29920 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 144160 ) S ;
- TAP_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 10880 ) N ;
- TAP_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 10880 ) N ;
- TAP_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 10880 ) N ;
- TAP_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 10880 ) N ;
- TAP_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 13600 ) FS ;
- TAP_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 13600 ) FS ;
- TAP_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 16320 ) N ;
- TAP_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 16320 ) N ;
- TAP_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 19040 ) FS ;
- TAP_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 19040 ) FS ;
- TAP_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 21760 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 21760 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 24480 ) FS ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 24480 ) FS ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 27200 ) N ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 27200 ) N ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 29920 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 29920 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 32640 ) N ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 32640 ) N ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 35360 ) FS ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 35360 ) FS ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 38080 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 38080 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 40800 ) FS ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 40800 ) FS ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 43520 ) N ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 43520 ) N ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 46240 ) FS ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 46240 ) FS ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 48960 ) N ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 48960 ) N ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 51680 ) FS ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 51680 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 54400 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 54400 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 57120 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 57120 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 59840 ) N ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 59840 ) N ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 62560 ) FS ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 62560 ) FS ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 65280 ) N ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 65280 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 68000 ) FS ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 68000 ) FS ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 70720 ) N ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 70720 ) N ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 73440 ) FS ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 73440 ) FS ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 76160 ) N ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 76160 ) N ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 78880 ) FS ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 78880 ) FS ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 81600 ) N ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 81600 ) N ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 84320 ) FS ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 84320 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 87040 ) N ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 87040 ) N ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 89760 ) FS ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 89760 ) FS ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 92480 ) N ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 92480 ) N ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 95200 ) FS ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 95200 ) FS ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 97920 ) N ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 97920 ) N ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 100640 ) FS ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 100640 ) FS ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 103360 ) N ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 103360 ) N ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 106080 ) FS ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 106080 ) FS ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 108800 ) N ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 108800 ) N ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 111520 ) FS ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 111520 ) FS ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 114240 ) N ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 114240 ) N ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 116960 ) FS ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 116960 ) FS ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 119680 ) N ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 119680 ) N ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 122400 ) FS ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 122400 ) FS ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 125120 ) N ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 125120 ) N ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 127840 ) FS ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 127840 ) FS ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 130560 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 130560 ) N ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 133280 ) FS ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 133280 ) FS ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 136000 ) N ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 136000 ) N ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 138720 ) FS ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 138720 ) FS ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 141440 ) N ;
- TAP_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 141440 ) N ;
- TAP_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 144160 ) FS ;
- TAP_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 144160 ) FS ;
- TAP_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 146880 ) N ;
- TAP_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 146880 ) N ;
- TAP_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 149600 ) FS ;
- TAP_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 149600 ) FS ;
- TAP_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 152320 ) N ;
- TAP_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 152320 ) N ;
- TAP_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- TAP_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 155040 ) FS ;
- TAP_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 155040 ) FS ;
- TAP_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 157760 ) N ;
- TAP_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 157760 ) N ;
- TAP_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 157760 ) N ;
- TAP_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 157760 ) N ;
- TAP_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 157760 ) N ;
- TAP_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 157760 ) N ;
- TAP_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 157760 ) N ;
- TAP_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 160480 ) FS ;
- TAP_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 160480 ) FS ;
- TAP_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 160480 ) FS ;
- TAP_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 160480 ) FS ;
- TAP_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 160480 ) FS ;
- TAP_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 160480 ) FS ;
- TAP_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 160480 ) FS ;
- TAP_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 163200 ) N ;
- TAP_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 163200 ) N ;
- TAP_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 163200 ) N ;
- TAP_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 163200 ) N ;
- TAP_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 163200 ) N ;
- TAP_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 163200 ) N ;
- TAP_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 163200 ) N ;
- TAP_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 165920 ) FS ;
- TAP_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 165920 ) FS ;
- TAP_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 165920 ) FS ;
- TAP_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 165920 ) FS ;
- TAP_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 165920 ) FS ;
- TAP_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 165920 ) FS ;
- TAP_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 165920 ) FS ;
- TAP_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 168640 ) N ;
- TAP_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 168640 ) N ;
- TAP_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 168640 ) N ;
- TAP_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 168640 ) N ;
- TAP_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 168640 ) N ;
- TAP_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 168640 ) N ;
- TAP_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 168640 ) N ;
- TAP_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 171360 ) FS ;
- TAP_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 171360 ) FS ;
- TAP_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 171360 ) FS ;
- TAP_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 171360 ) FS ;
- TAP_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 171360 ) FS ;
- TAP_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 171360 ) FS ;
- TAP_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 171360 ) FS ;
- TAP_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 174080 ) N ;
- TAP_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 174080 ) N ;
- TAP_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 174080 ) N ;
- TAP_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 174080 ) N ;
- TAP_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 174080 ) N ;
- TAP_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 174080 ) N ;
- TAP_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 174080 ) N ;
- TAP_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 176800 ) FS ;
- TAP_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 176800 ) FS ;
- TAP_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 176800 ) FS ;
- TAP_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 176800 ) FS ;
- TAP_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 176800 ) FS ;
- TAP_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 176800 ) FS ;
- TAP_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 176800 ) FS ;
- TAP_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 179520 ) N ;
- TAP_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 179520 ) N ;
- TAP_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 179520 ) N ;
- TAP_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 179520 ) N ;
- TAP_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 179520 ) N ;
- TAP_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 179520 ) N ;
- TAP_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 179520 ) N ;
- TAP_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 182240 ) FS ;
- TAP_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 182240 ) FS ;
- TAP_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 182240 ) FS ;
- TAP_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 182240 ) FS ;
- TAP_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 182240 ) FS ;
- TAP_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 182240 ) FS ;
- TAP_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 182240 ) FS ;
- TAP_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 184960 ) N ;
- TAP_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 184960 ) N ;
- TAP_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 184960 ) N ;
- TAP_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 184960 ) N ;
- TAP_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 184960 ) N ;
- TAP_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 184960 ) N ;
- TAP_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 184960 ) N ;
- TAP_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 184960 ) N ;
- TAP_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 184960 ) N ;
- TAP_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 184960 ) N ;
- TAP_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 184960 ) N ;
- TAP_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 184960 ) N ;
- TAP_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 184960 ) N ;
- TAP_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 184960 ) N ;
- _190_ sky130_fd_sc_hd__and2_1 + PLACED ( 129720 100640 ) S ;
- _191_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 124660 97920 ) FN ;
- _192_ sky130_fd_sc_hd__and2_1 + PLACED ( 127880 95200 ) S ;
- _193_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 127880 92480 ) FN ;
- _194_ sky130_fd_sc_hd__and2_1 + PLACED ( 130180 97920 ) N ;
- _195_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 136160 108800 ) FN ;
- _196_ sky130_fd_sc_hd__and2_1 + PLACED ( 127420 103360 ) FN ;
- _197_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 113620 103360 ) FN ;
- _198_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 91080 89760 ) FS ;
- _199_ sky130_fd_sc_hd__buf_2 + PLACED ( 86020 144160 ) S ;
- _200_ sky130_fd_sc_hd__and2_1 + PLACED ( 73600 184960 ) N ;
- _201_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 83260 184960 ) N ;
- _202_ sky130_fd_sc_hd__and2_1 + PLACED ( 23000 152320 ) FN ;
- _203_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 20700 146880 ) FN ;
- _204_ sky130_fd_sc_hd__and2_1 + PLACED ( 47840 152320 ) FN ;
- _205_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 155040 ) FS ;
- _206_ sky130_fd_sc_hd__and2_1 + PLACED ( 24380 182240 ) FS ;
- _207_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 42780 176800 ) FS ;
- _208_ sky130_fd_sc_hd__and2_1 + PLACED ( 78660 184960 ) N ;
- _209_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 79580 182240 ) FS ;
- _210_ sky130_fd_sc_hd__buf_4 + PLACED ( 92000 138720 ) FS ;
- _211_ sky130_fd_sc_hd__and2_1 + PLACED ( 160540 116960 ) FS ;
- _212_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 166060 119680 ) FN ;
- _213_ sky130_fd_sc_hd__and2_1 + PLACED ( 134780 184960 ) FN ;
- _214_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 129720 171360 ) FS ;
- _215_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 68000 ) S ;
- _216_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 68000 ) S ;
- _217_ sky130_fd_sc_hd__and2_1 + PLACED ( 59340 182240 ) FS ;
- _218_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 70380 184960 ) N ;
- _219_ sky130_fd_sc_hd__and2_1 + PLACED ( 76820 125120 ) FN ;
- _220_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 74060 133280 ) S ;
- _221_ sky130_fd_sc_hd__buf_2 + PLACED ( 96140 141440 ) N ;
- _222_ sky130_fd_sc_hd__and2_1 + PLACED ( 111320 136000 ) N ;
- _223_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 113160 133280 ) FS ;
- _224_ sky130_fd_sc_hd__and2_1 + PLACED ( 83260 182240 ) FS ;
- _225_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 87400 182240 ) S ;
- _226_ sky130_fd_sc_hd__and2_1 + PLACED ( 83260 138720 ) FS ;
- _227_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 89700 144160 ) FS ;
- _228_ sky130_fd_sc_hd__and2_1 + PLACED ( 75440 182240 ) FS ;
- _229_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 77740 168640 ) FN ;
- _230_ sky130_fd_sc_hd__and2_1 + PLACED ( 17940 171360 ) FS ;
- _231_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 37260 168640 ) N ;
- _232_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97060 78880 ) FS ;
- _233_ sky130_fd_sc_hd__and2_1 + PLACED ( 102120 48960 ) N ;
- _234_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 109020 51680 ) FS ;
- _235_ sky130_fd_sc_hd__and2_1 + PLACED ( 139840 46240 ) FS ;
- _236_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 147660 48960 ) FN ;
- _237_ sky130_fd_sc_hd__and2_1 + PLACED ( 112700 70720 ) N ;
- _238_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 117760 76160 ) N ;
- _239_ sky130_fd_sc_hd__and2_1 + PLACED ( 102120 73440 ) S ;
- _240_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 76160 ) FN ;
- _241_ sky130_fd_sc_hd__and2_1 + PLACED ( 102120 10880 ) FN ;
- _242_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92460 16320 ) N ;
- _243_ sky130_fd_sc_hd__buf_2 + PLACED ( 92000 136000 ) N ;
- _244_ sky130_fd_sc_hd__and2_1 + PLACED ( 89700 184960 ) FN ;
- _245_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 82800 168640 ) N ;
- _246_ sky130_fd_sc_hd__and2_1 + PLACED ( 86940 179520 ) FN ;
- _247_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 83720 165920 ) FS ;
- _248_ sky130_fd_sc_hd__and2_1 + PLACED ( 104420 184960 ) N ;
- _249_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 114540 171360 ) S ;
- _250_ sky130_fd_sc_hd__and2_1 + PLACED ( 86020 141440 ) N ;
- _251_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88320 138720 ) FS ;
- _252_ sky130_fd_sc_hd__and2_1 + PLACED ( 19780 127840 ) FS ;
- _253_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 22080 125120 ) N ;
- _254_ sky130_fd_sc_hd__buf_4 + PLACED ( 95680 84320 ) FS ;
- _255_ sky130_fd_sc_hd__and2_1 + PLACED ( 13340 95200 ) FS ;
- _256_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21160 100640 ) FS ;
- _257_ sky130_fd_sc_hd__and2_1 + PLACED ( 103960 182240 ) S ;
- _258_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103960 174080 ) N ;
- _259_ sky130_fd_sc_hd__and2_1 + PLACED ( 160540 78880 ) FS ;
- _260_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 165140 81600 ) FN ;
- _261_ sky130_fd_sc_hd__and2_1 + PLACED ( 83260 100640 ) FS ;
- _262_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85100 95200 ) S ;
- _263_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 46240 ) S ;
- _264_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 183080 48960 ) FN ;
- _265_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 95220 46240 ) S ;
- _266_ sky130_fd_sc_hd__and2_1 + PLACED ( 79580 38080 ) FN ;
- _267_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 74060 43520 ) FN ;
- _268_ sky130_fd_sc_hd__and2_1 + PLACED ( 166980 10880 ) FN ;
- _269_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 160540 16320 ) FN ;
- _270_ sky130_fd_sc_hd__and2_1 + PLACED ( 84180 40800 ) FS ;
- _271_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 90160 38080 ) FN ;
- _272_ sky130_fd_sc_hd__and2_1 + PLACED ( 101200 38080 ) FN ;
- _273_ sky130_fd_sc_hd__buf_2 + PLACED ( 98440 43520 ) FN ;
- _274_ sky130_fd_sc_hd__and2_1 + PLACED ( 97060 38080 ) FN ;
- _275_ sky130_fd_sc_hd__buf_2 + PLACED ( 96140 40800 ) S ;
- _276_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 59800 48960 ) FN ;
- _277_ sky130_fd_sc_hd__and2_1 + PLACED ( 54740 48960 ) N ;
- _278_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 60720 54400 ) N ;
- _279_ sky130_fd_sc_hd__and2_1 + PLACED ( 47380 48960 ) N ;
- _280_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 53820 46240 ) S ;
- _281_ sky130_fd_sc_hd__and2_1 + PLACED ( 6900 46240 ) FS ;
- _282_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14720 46240 ) S ;
- _283_ sky130_fd_sc_hd__and2_1 + PLACED ( 57500 46240 ) FS ;
- _284_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 61640 46240 ) FS ;
- _285_ sky130_fd_sc_hd__and2_1 + PLACED ( 8280 51680 ) FS ;
- _286_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 54400 ) FN ;
- _287_ sky130_fd_sc_hd__buf_4 + PLACED ( 96140 81600 ) N ;
- _288_ sky130_fd_sc_hd__and2_1 + PLACED ( 96140 97920 ) FN ;
- _289_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 103360 ) FN ;
- _290_ sky130_fd_sc_hd__and2_1 + PLACED ( 96140 174080 ) FN ;
- _291_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92000 165920 ) FS ;
- _292_ sky130_fd_sc_hd__and2_1 + PLACED ( 178480 97920 ) N ;
- _293_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 183540 103360 ) N ;
- _294_ sky130_fd_sc_hd__o211ai_4 + PLACED ( 123280 84320 ) FS ;
- _295_ sky130_fd_sc_hd__buf_4 + PLACED ( 109020 95200 ) FS ;
- _296_ sky130_fd_sc_hd__or2_1 + PLACED ( 131100 87040 ) FN ;
- _297_ sky130_fd_sc_hd__buf_4 + PLACED ( 114080 87040 ) FN ;
- _298_ sky130_fd_sc_hd__a22o_1 + PLACED ( 6900 65280 ) N ;
- _299_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 81880 97920 ) N ;
- _300_ sky130_fd_sc_hd__a22o_1 + PLACED ( 109020 125120 ) N ;
- _301_ sky130_fd_sc_hd__a22o_1 + PLACED ( 31740 116960 ) S ;
- _302_ sky130_fd_sc_hd__a22o_2 + PLACED ( 64400 125120 ) N ;
- _303_ sky130_fd_sc_hd__a22o_1 + PLACED ( 108100 54400 ) N ;
- _304_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 116840 78880 ) S ;
- _305_ sky130_fd_sc_hd__a22o_2 + PLACED ( 96140 48960 ) FN ;
- _306_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 108100 92480 ) N ;
- _307_ sky130_fd_sc_hd__a22o_2 + PLACED ( 161460 103360 ) FN ;
- _308_ sky130_fd_sc_hd__a22o_1 + PLACED ( 96140 29920 ) S ;
- _309_ sky130_fd_sc_hd__a22o_1 + PLACED ( 161920 29920 ) FS ;
- _310_ sky130_fd_sc_hd__a22o_2 + PLACED ( 141220 103360 ) N ;
- _311_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 109480 84320 ) S ;
- _312_ sky130_fd_sc_hd__a22o_2 + PLACED ( 106260 27200 ) FN ;
- _313_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 112700 92480 ) N ;
- _314_ sky130_fd_sc_hd__a22o_2 + PLACED ( 103500 97920 ) FN ;
- _315_ sky130_fd_sc_hd__a22o_2 + PLACED ( 109020 97920 ) N ;
- _316_ sky130_fd_sc_hd__a22o_1 + PLACED ( 163300 27200 ) N ;
- _317_ sky130_fd_sc_hd__a22o_1 + PLACED ( 187680 27200 ) N ;
- _318_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 115920 89760 ) S ;
- _319_ sky130_fd_sc_hd__a22o_1 + PLACED ( 187680 81600 ) N ;
- _320_ sky130_fd_sc_hd__a22o_1 + PLACED ( 187680 65280 ) N ;
- _321_ sky130_fd_sc_hd__a22o_1 + PLACED ( 86480 97920 ) FN ;
- _322_ sky130_fd_sc_hd__a22o_2 + PLACED ( 75900 65280 ) FN ;
- _323_ sky130_fd_sc_hd__a22o_1 + PLACED ( 71300 97920 ) N ;
- _324_ sky130_fd_sc_hd__and2_1 + PLACED ( 13340 48960 ) N ;
- _325_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 48960 ) N ;
- _326_ sky130_fd_sc_hd__nor2_1 + PLACED ( 134780 78880 ) FS ;
- _327_ sky130_fd_sc_hd__and4b_1 + PLACED ( 131100 81600 ) N ;
- _328_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 135240 87040 ) N ;
- _329_ sky130_fd_sc_hd__nor2_2 + PLACED ( 121900 76160 ) FN ;
- _330_ sky130_fd_sc_hd__and4bb_1 + PLACED ( 115000 81600 ) N ;
- _331_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 122360 95200 ) FS ;
- _332_ sky130_fd_sc_hd__inv_2 + PLACED ( 140300 84320 ) FS ;
- _333_ sky130_fd_sc_hd__inv_2 + PLACED ( 111780 81600 ) FN ;
- _334_ sky130_fd_sc_hd__or2_1 + PLACED ( 126040 76160 ) N ;
- _335_ sky130_fd_sc_hd__or2_1 + PLACED ( 136620 81600 ) FN ;
- _336_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 129720 89760 ) S ;
- _337_ sky130_fd_sc_hd__nand2_1 + PLACED ( 53820 84320 ) FS ;
- _338_ sky130_fd_sc_hd__nand2_1 + PLACED ( 134780 89760 ) S ;
- _339_ sky130_fd_sc_hd__inv_2 + PLACED ( 113620 78880 ) FS ;
- _340_ sky130_fd_sc_hd__nor2_1 + PLACED ( 131560 92480 ) N ;
- _341_ sky130_fd_sc_hd__nor2_1 + PLACED ( 140760 81600 ) N ;
- _342_ sky130_fd_sc_hd__a311o_1 + PLACED ( 134780 84320 ) FS ;
- _343_ sky130_fd_sc_hd__inv_2 + PLACED ( 110860 87040 ) FN ;
- _344_ sky130_fd_sc_hd__inv_2 + PLACED ( 114540 76160 ) FN ;
- _345_ sky130_fd_sc_hd__or2_1 + PLACED ( 121440 73440 ) FS ;
- _346_ sky130_fd_sc_hd__a21oi_1 + PLACED ( 130640 78880 ) S ;
- _347_ sky130_fd_sc_hd__or2_2 + PLACED ( 123740 92480 ) FN ;
- _348_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 87040 ) FN ;
- _349_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 101660 89760 ) FS ;
- _350_ sky130_fd_sc_hd__buf_2 + PLACED ( 115920 95200 ) FS ;
- _351_ sky130_fd_sc_hd__and2_1 + PLACED ( 130180 76160 ) N ;
- _352_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 138460 87040 ) FN ;
- _353_ sky130_fd_sc_hd__and2_1 + PLACED ( 121900 114240 ) N ;
- _354_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 129720 108800 ) FN ;
- _355_ sky130_fd_sc_hd__and2_1 + PLACED ( 121900 51680 ) S ;
- _356_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 118680 46240 ) S ;
- _357_ sky130_fd_sc_hd__and2_1 + PLACED ( 124660 70720 ) N ;
- _358_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 130640 73440 ) S ;
- _359_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 114240 ) FN ;
- _360_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 116960 ) S ;
- _361_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 114540 97920 ) N ;
- _362_ sky130_fd_sc_hd__and2_1 + PLACED ( 47380 103360 ) FN ;
- _363_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 97920 ) N ;
- _364_ sky130_fd_sc_hd__and2_1 + PLACED ( 109020 182240 ) FS ;
- _365_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 111320 168640 ) FN ;
- _366_ sky130_fd_sc_hd__and2_1 + PLACED ( 29900 97920 ) N ;
- _367_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 31740 95200 ) FS ;
- _368_ sky130_fd_sc_hd__and2_1 + PLACED ( 97520 103360 ) FN ;
- _369_ sky130_fd_sc_hd__buf_2 + PLACED ( 92000 97920 ) FN ;
- _370_ sky130_fd_sc_hd__and2_1 + PLACED ( 116840 100640 ) FS ;
- _371_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 123280 108800 ) N ;
- _372_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 86480 125120 ) FN ;
- _373_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85100 133280 ) S ;
- _374_ sky130_fd_sc_hd__and2_1 + PLACED ( 96600 138720 ) FS ;
- _375_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 101200 144160 ) FS ;
- _376_ sky130_fd_sc_hd__and2_1 + PLACED ( 71760 141440 ) N ;
- _377_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 78660 138720 ) FS ;
- _378_ sky130_fd_sc_hd__and2_1 + PLACED ( 59800 138720 ) S ;
- _379_ sky130_fd_sc_hd__buf_2 + PLACED ( 57500 133280 ) S ;
- _380_ sky130_fd_sc_hd__and2_1 + PLACED ( 96140 179520 ) FN ;
- _381_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 95220 165920 ) FS ;
- _382_ sky130_fd_sc_hd__and2_1 + PLACED ( 100740 138720 ) FS ;
- _383_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 109020 133280 ) FS ;
- _384_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 75440 127840 ) S ;
- _385_ sky130_fd_sc_hd__and2_1 + PLACED ( 70380 130560 ) FN ;
- _386_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 70380 125120 ) N ;
- _387_ sky130_fd_sc_hd__and2_1 + PLACED ( 69460 149600 ) FS ;
- _388_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 75900 152320 ) N ;
- _389_ sky130_fd_sc_hd__and2_1 + PLACED ( 70380 32640 ) FN ;
- _390_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 66700 27200 ) N ;
- _391_ sky130_fd_sc_hd__and2_1 + PLACED ( 65320 100640 ) FS ;
- _392_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 72220 95200 ) FS ;
- _393_ sky130_fd_sc_hd__and2_1 + PLACED ( 13340 103360 ) FN ;
- _394_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 97920 ) FN ;
- _395_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 81420 130560 ) N ;
- _396_ sky130_fd_sc_hd__and2_1 + PLACED ( 81880 103360 ) N ;
- _397_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 90160 106080 ) FS ;
- _398_ sky130_fd_sc_hd__and2_1 + PLACED ( 74520 103360 ) N ;
- _399_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 76360 108800 ) N ;
- _400_ sky130_fd_sc_hd__and2_1 + PLACED ( 85100 81600 ) FN ;
- _401_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 78660 81600 ) FN ;
- _402_ sky130_fd_sc_hd__and2_1 + PLACED ( 89700 171360 ) FS ;
- _403_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 101660 171360 ) FS ;
- _404_ sky130_fd_sc_hd__and2_1 + PLACED ( 86020 106080 ) S ;
- _405_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86020 103360 ) FN ;
- _406_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 79120 122400 ) S ;
- _407_ sky130_fd_sc_hd__and2_1 + PLACED ( 120520 127840 ) FS ;
- _408_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 122360 130560 ) FN ;
- _409_ sky130_fd_sc_hd__and2_1 + PLACED ( 82340 125120 ) N ;
- _410_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89700 127840 ) FS ;
- _411_ sky130_fd_sc_hd__and2_1 + PLACED ( 83720 122400 ) FS ;
- _412_ sky130_fd_sc_hd__buf_2 + PLACED ( 92000 122400 ) FS ;
- _413_ sky130_fd_sc_hd__and2_1 + PLACED ( 87860 122400 ) FS ;
- _414_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 90160 119680 ) N ;
- _415_ sky130_fd_sc_hd__and2_1 + PLACED ( 52440 125120 ) N ;
- _416_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 54280 130560 ) N ;
- _417_ sky130_fd_sc_hd__buf_4 + PLACED ( 83260 127840 ) FS ;
- _418_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 97920 ) FN ;
- _419_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 100640 ) S ;
- _420_ sky130_fd_sc_hd__and2_1 + PLACED ( 100280 70720 ) FN ;
- _421_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 65280 ) FN ;
- _422_ sky130_fd_sc_hd__and2_1 + PLACED ( 96140 70720 ) N ;
- _423_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 104420 70720 ) N ;
- _424_ sky130_fd_sc_hd__and2_1 + PLACED ( 155940 10880 ) N ;
- _425_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 162380 35360 ) S ;
- _426_ sky130_fd_sc_hd__and2_1 + PLACED ( 51980 152320 ) FN ;
- _427_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 47380 149600 ) FS ;
- _428_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 121900 122400 ) FS ;
- _429_ sky130_fd_sc_hd__buf_2 + PLACED ( 130640 125120 ) N ;
- _430_ sky130_fd_sc_hd__and2_1 + PLACED ( 121900 184960 ) FN ;
- _431_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 120060 171360 ) S ;
- _432_ sky130_fd_sc_hd__and2_1 + PLACED ( 126500 125120 ) N ;
- _433_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 128340 133280 ) S ;
- _434_ sky130_fd_sc_hd__and2_1 + PLACED ( 123280 103360 ) N ;
- _435_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 134320 97920 ) FN ;
- _436_ sky130_fd_sc_hd__and2_1 + PLACED ( 125580 73440 ) FS ;
- _437_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 134320 76160 ) FN ;
- _438_ sky130_fd_sc_hd__and2_1 + PLACED ( 178020 89760 ) FS ;
- _439_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 95200 ) S ;
- _440_ sky130_fd_sc_hd__buf_4 + PLACED ( 121900 125120 ) N ;
- _441_ sky130_fd_sc_hd__and2_1 + PLACED ( 110400 21760 ) FN ;
- _442_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 103960 21760 ) FN ;
- _443_ sky130_fd_sc_hd__and2_1 + PLACED ( 107640 179520 ) FN ;
- _444_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 109020 184960 ) N ;
- _445_ sky130_fd_sc_hd__and2_1 + PLACED ( 65780 81600 ) N ;
- _446_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 67160 84320 ) FS ;
- _447_ sky130_fd_sc_hd__and2_1 + PLACED ( 71300 40800 ) FS ;
- _448_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 77740 35360 ) S ;
- _449_ sky130_fd_sc_hd__and2_1 + PLACED ( 125120 127840 ) S ;
- _450_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 125120 133280 ) S ;
- _451_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 130640 127840 ) S ;
- _452_ sky130_fd_sc_hd__and2_1 + PLACED ( 136160 133280 ) S ;
- _453_ sky130_fd_sc_hd__buf_2 + PLACED ( 132940 130560 ) FN ;
- _454_ sky130_fd_sc_hd__and2_1 + PLACED ( 129720 184960 ) N ;
- _455_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 143980 168640 ) N ;
- _456_ sky130_fd_sc_hd__and2_1 + PLACED ( 135700 127840 ) FS ;
- _457_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 142140 108800 ) FN ;
- _458_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 133280 ) S ;
- _459_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182160 136000 ) N ;
- _460_ sky130_fd_sc_hd__and2_1 + PLACED ( 140300 133280 ) FS ;
- _461_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 145360 138720 ) S ;
- _462_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 116840 125120 ) FN ;
- _463_ sky130_fd_sc_hd__and2_1 + PLACED ( 69920 13600 ) S ;
- _464_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 66700 21760 ) N ;
- _465_ sky130_fd_sc_hd__and2_1 + PLACED ( 103500 81600 ) FN ;
- _466_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102580 76160 ) FN ;
- _467_ sky130_fd_sc_hd__and2_1 + PLACED ( 115000 165920 ) FS ;
- _468_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 120980 165920 ) S ;
- _469_ sky130_fd_sc_hd__and2_1 + PLACED ( 89700 10880 ) FN ;
- _470_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 81880 16320 ) N ;
- _471_ sky130_fd_sc_hd__and2_1 + PLACED ( 72220 57120 ) FS ;
- _472_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 76820 54400 ) FN ;
- _473_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 134780 125120 ) N ;
- _474_ sky130_fd_sc_hd__and2_1 + PLACED ( 169740 13600 ) S ;
- _475_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 158240 32640 ) FN ;
- _476_ sky130_fd_sc_hd__and2_1 + PLACED ( 149500 13600 ) FS ;
- _477_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 153180 29920 ) S ;
- _478_ sky130_fd_sc_hd__and2_1 + PLACED ( 177100 184960 ) FN ;
- _479_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 170660 182240 ) S ;
- _480_ sky130_fd_sc_hd__and2_1 + PLACED ( 140760 92480 ) N ;
- _481_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149500 97920 ) N ;
- _482_ sky130_fd_sc_hd__and2_1 + PLACED ( 138460 100640 ) FS ;
- _483_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 144440 106080 ) FS ;
- _484_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 122820 111520 ) FS ;
- _485_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 124660 106080 ) FS ;
- _486_ sky130_fd_sc_hd__and2_1 + PLACED ( 115920 152320 ) FN ;
- _487_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 113160 146880 ) FN ;
- _488_ sky130_fd_sc_hd__and2_1 + PLACED ( 70380 27200 ) N ;
- _489_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 75900 24480 ) FS ;
- _490_ sky130_fd_sc_hd__and2_1 + PLACED ( 115460 182240 ) S ;
- _491_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 114540 168640 ) N ;
- _492_ sky130_fd_sc_hd__and2_1 + PLACED ( 109020 106080 ) FS ;
- _493_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 113160 100640 ) FS ;
- _494_ sky130_fd_sc_hd__and2_1 + PLACED ( 129260 106080 ) FS ;
- _495_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 134780 111520 ) FS ;
- _496_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 125120 100640 ) FS ;
- _497_ sky130_fd_sc_hd__and2_1 + PLACED ( 97980 73440 ) FS ;
- _498_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 110860 68000 ) FS ;
- _499_ sky130_fd_sc_hd__and2_1 + PLACED ( 13340 100640 ) S ;
- _500_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 92480 ) FN ;
- _501_ sky130_fd_sc_hd__and2_1 + PLACED ( 57500 95200 ) FS ;
- _502_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 62560 92480 ) N ;
- _503_ sky130_fd_sc_hd__and2_1 + PLACED ( 103040 95200 ) S ;
- _504_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 99820 92480 ) FN ;
- _505_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 62560 ) S ;
- _506_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182160 59840 ) FN ;
- _507_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 129260 111520 ) FS ;
- _508_ sky130_fd_sc_hd__and2_1 + PLACED ( 107180 103360 ) N ;
- _509_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 109020 100640 ) FS ;
- _510_ sky130_fd_sc_hd__and2_1 + PLACED ( 41400 68000 ) FS ;
- _511_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 65280 ) N ;
- _512_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 116960 ) S ;
- _513_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182160 119680 ) FN ;
- _514_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 92480 ) FN ;
- _515_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 180320 103360 ) N ;
- _516_ sky130_fd_sc_hd__and2_1 + PLACED ( 111320 89760 ) FS ;
- _517_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 117760 92480 ) N ;
- _518_ sky130_fd_sc_hd__buf_4 + PLACED ( 125120 136000 ) FN ;
- _519_ sky130_fd_sc_hd__and2_1 + PLACED ( 111320 141440 ) FN ;
- _520_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 108560 146880 ) N ;
- _521_ sky130_fd_sc_hd__and2_1 + PLACED ( 120520 182240 ) FS ;
- _522_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 127420 168640 ) FN ;
- _523_ sky130_fd_sc_hd__and2_1 + PLACED ( 90160 141440 ) N ;
- _524_ sky130_fd_sc_hd__buf_2 + PLACED ( 96140 136000 ) N ;
- _525_ sky130_fd_sc_hd__and2_1 + PLACED ( 188600 168640 ) FN ;
- _526_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 160480 ) FS ;
- _527_ sky130_fd_sc_hd__and2_1 + PLACED ( 27140 184960 ) N ;
- _528_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40480 168640 ) N ;
- _529_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 121900 100640 ) S ;
- _530_ sky130_fd_sc_hd__and2_1 + PLACED ( 131560 103360 ) N ;
- _531_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 95200 ) FS ;
- _532_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 174800 43520 ) N ;
- _533_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40480 165920 ) S ;
- _534_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 55660 174080 ) FN ;
- _535_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 127840 ) S ;
- _536_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 157320 114240 ) FN ;
- _537_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 124660 13600 ) S ;
- _538_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 103360 ) FN ;
- _539_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14260 73440 ) FS ;
- _540_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 141440 ) FN ;
- _541_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 7820 13600 ) FS ;
- _542_ sky130_fd_sc_hd__buf_2 + PLACED ( 111780 179520 ) N ;
- _543_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 133400 168640 ) FN ;
- _544_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 70840 160480 ) S ;
- _545_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 179520 ) N ;
- _546_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 127840 ) S ;
- _547_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 148580 168640 ) N ;
- _548_ sky130_fd_sc_hd__buf_2 + PLACED ( 123280 16320 ) N ;
- _549_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 83260 24480 ) FS ;
- _550_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 188140 95200 ) FS ;
- _551_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 131100 19040 ) S ;
- _552_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 34040 144160 ) FS ;
- _553_ sky130_fd_sc_hd__buf_2 + PLACED ( 102580 16320 ) FN ;
- _554_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149500 119680 ) FN ;
- _555_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92000 27200 ) N ;
- _556_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 100280 179520 ) N ;
- _557_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149500 16320 ) N ;
- _558_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 43520 ) FN ;
- _559_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46460 125120 ) N ;
- _560_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 130560 ) N ;
- _561_ sky130_fd_sc_hd__buf_2 + PLACED ( 24840 179520 ) FN ;
- _562_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 182240 ) FS ;
- _563_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 182620 179520 ) FN ;
- _564_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 147200 100640 ) S ;
- _565_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 69920 182240 ) S ;
- _566_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 140760 38080 ) FN ;
- _567_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 61640 155040 ) FS ;
- _568_ sky130_fd_sc_hd__buf_2 + PLACED ( 106260 16320 ) FN ;
- _569_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14260 57120 ) S ;
- _570_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109940 40800 ) FS ;
- _571_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 51060 179520 ) N ;
- _572_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 108800 ) N ;
- _573_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 28060 19040 ) S ;
- _574_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 163760 130560 ) FN ;
- _575_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 10120 92480 ) N ;
- _576_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 163760 16320 ) N ;
- _577_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 111320 111520 ) S ;
- _578_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 62560 ) S ;
- _579_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 124200 43520 ) N ;
- _580_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 160540 171360 ) FS ;
- _581_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 11500 176800 ) FS ;
- _582_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175720 133280 ) FS ;
- _583_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 155940 165920 ) S ;
- _584_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 47380 95200 ) FS ;
- _585_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 166060 163200 ) FN ;
- _586_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 166980 184960 ) FN ;
- _587_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 96140 27200 ) N ;
- _588_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 153640 32640 ) FN ;
- _589_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 48760 68000 ) FS ;
- _590_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 119680 ) N ;
- _591_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 85560 130560 ) FN ;
- _592_ sky130_fd_sc_hd__buf_2 + PLACED ( 65320 46240 ) S ;
- _593_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 179400 95200 ) S ;
- _594_ sky130_fd_sc_hd__buf_2 + PLACED ( 162840 19040 ) FS ;
- _595_ sky130_fd_sc_hd__buf_2 + PLACED ( 182620 16320 ) N ;
- _596_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 90160 13600 ) FS ;
- _597_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 78880 ) S ;
- _598_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 157320 179520 ) FN ;
- _599_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 166060 40800 ) S ;
- _600_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 37260 16320 ) N ;
- _601_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 47840 24480 ) S ;
- _602_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 97920 ) N ;
- _603_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 160540 165920 ) FS ;
- _604_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 118220 122400 ) S ;
- _605_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 154100 184960 ) FN ;
- _606_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 57960 171360 ) S ;
- _607_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 62100 24480 ) S ;
- _608_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 12420 51680 ) S ;
- _609_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 166520 43520 ) FN ;
- _610_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 155040 ) S ;
- _611_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 124200 10880 ) FN ;
- _612_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 106080 ) S ;
- _613_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 29440 152320 ) FN ;
- _614_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 11960 65280 ) FN ;
- _615_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 46460 16320 ) N ;
- _616_ sky130_fd_sc_hd__buf_2 + PLACED ( 156400 19040 ) S ;
- _617_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 112700 24480 ) FS ;
- _618_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 168640 ) FN ;
- _619_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86480 89760 ) S ;
- _620_ sky130_fd_sc_hd__buf_2 + PLACED ( 17940 182240 ) FS ;
- _621_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 155480 35360 ) S ;
- _622_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 160540 38080 ) FN ;
- _623_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 59840 ) N ;
- _624_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 67620 40800 ) FS ;
- _625_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 38080 ) N ;
- _626_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 28060 32640 ) N ;
- _627_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 42780 24480 ) FS ;
- _628_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 131100 24480 ) FS ;
- _629_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 104880 171360 ) FS ;
- _630_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 157320 38080 ) FN ;
- _631_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 50600 46240 ) S ;
- _632_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 142600 10880 ) FN ;
- _633_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 142600 16320 ) FN ;
- _634_ sky130_fd_sc_hd__buf_2 + PLACED ( 125580 179520 ) N ;
- _635_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 97980 16320 ) FN ;
- _636_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 149960 29920 ) S ;
- _637_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 64400 171360 ) S ;
- _638_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 140760 138720 ) S ;
- _639_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 150420 32640 ) FN ;
- _640_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 30360 163200 ) FN ;
- _641_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99360 27200 ) N ;
- _642_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 35420 165920 ) S ;
- _643_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 24380 38080 ) N ;
- _644_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 141220 27200 ) N ;
- _645_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 38640 163200 ) N ;
- _646_ sky130_fd_sc_hd__buf_2 + PLACED ( 115460 16320 ) N ;
- _647_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 49220 168640 ) N ;
- _648_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 179520 ) N ;
- _649_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 38180 10880 ) N ;
- _650_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 82800 59840 ) N ;
- _651_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 117760 168640 ) N ;
- _652_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 182160 176800 ) S ;
- _653_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 81880 179520 ) N ;
- _654_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 97520 32640 ) FN ;
- _655_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 166520 160480 ) S ;
- _656_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 51680 ) FS ;
- _657_ sky130_fd_sc_hd__buf_2 + PLACED ( 83720 176800 ) S ;
- _658_ sky130_fd_sc_hd__buf_2 + PLACED ( 47380 179520 ) N ;
- _659_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 104880 157760 ) N ;
- _660_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 29920 ) S ;
- _661_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 61180 171360 ) S ;
- _662_ sky130_fd_sc_hd__buf_2 + PLACED ( 65780 176800 ) FS ;
- _663_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 133280 ) FS ;
- _664_ sky130_fd_sc_hd__buf_2 + PLACED ( 149960 108800 ) FN ;
- _665_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 140300 29920 ) S ;
- _666_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182160 106080 ) FS ;
- _667_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 19780 84320 ) FS ;
- _668_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 146880 ) N ;
- _669_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 34500 13600 ) FS ;
- _670_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103040 168640 ) N ;
- _671_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 138000 168640 ) FN ;
- _672_ sky130_fd_sc_hd__buf_2 + PLACED ( 66240 152320 ) FN ;
- _673_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 152320 ) N ;
- _674_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178480 130560 ) N ;
- _675_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178480 152320 ) FN ;
- _676_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 121900 27200 ) N ;
- _677_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 77280 16320 ) N ;
- _678_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 89760 ) FS ;
- _679_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 134320 27200 ) FN ;
- _680_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 38640 152320 ) N ;
- _681_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 111780 27200 ) N ;
- _682_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149960 114240 ) FN ;
- _683_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 95220 13600 ) FS ;
- _684_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 90160 176800 ) S ;
- _685_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 141220 13600 ) S ;
- _686_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 188140 21760 ) FN ;
- _687_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40480 127840 ) S ;
- _688_ sky130_fd_sc_hd__buf_2 + PLACED ( 13340 136000 ) N ;
- _689_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 33580 184960 ) N ;
- _690_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 126960 165920 ) S ;
- _691_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 136000 ) FN ;
- _692_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 142600 95200 ) FS ;
- _693_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 77280 165920 ) S ;
- _694_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 136160 32640 ) FN ;
- _695_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 59340 149600 ) FS ;
- _696_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 10880 ) FN ;
- _697_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 62560 ) FS ;
- _698_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 179860 48960 ) N ;
- _699_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 53820 171360 ) FS ;
- _700_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21620 116960 ) FS ;
- _701_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 24480 ) S ;
- _702_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 156400 136000 ) FN ;
- _703_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 84320 ) FS ;
- _704_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 152260 19040 ) S ;
- _705_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 117760 108800 ) N ;
- _706_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 128340 57120 ) S ;
- _707_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 118220 51680 ) S ;
- _708_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 152720 168640 ) FN ;
- _709_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13800 152320 ) FN ;
- _710_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 179400 127840 ) S ;
- _711_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 162840 160480 ) S ;
- _712_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 19780 89760 ) FS ;
- _713_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175260 155040 ) S ;
- _714_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 179520 ) N ;
- _715_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 101200 32640 ) FN ;
- _716_ sky130_fd_sc_hd__buf_2 + PLACED ( 148580 19040 ) FS ;
- _717_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46460 76160 ) N ;
- _718_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86480 114240 ) FN ;
- _719_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 95680 122400 ) FS ;
- _720_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 72220 38080 ) N ;
- _721_ sky130_fd_sc_hd__buf_2 + PLACED ( 187680 89760 ) FS ;
- _722_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175260 46240 ) FS ;
- _723_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 178940 16320 ) FN ;
- _724_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 83720 27200 ) FN ;
- _725_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 183080 76160 ) N ;
- _726_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 151340 165920 ) FS ;
- _727_ sky130_fd_sc_hd__buf_2 + PLACED ( 182160 19040 ) FS ;
- _728_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 38180 13600 ) FS ;
- _729_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 27200 ) N ;
- _730_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 89760 ) FS ;
- _731_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 157760 ) N ;
- _732_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 126960 130560 ) N ;
- _733_ sky130_fd_sc_hd__buf_2 + PLACED ( 177560 182240 ) FS ;
- _734_ sky130_fd_sc_hd__buf_2 + PLACED ( 54740 179520 ) FN ;
- _735_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 63480 27200 ) FN ;
- _736_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17940 46240 ) FS ;
- _737_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 184460 21760 ) FN ;
- _738_ sky130_fd_sc_hd__buf_2 + PLACED ( 15180 176800 ) S ;
- _739_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 127880 24480 ) S ;
- _740_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 116960 ) FS ;
- _741_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 35420 163200 ) FN ;
- _742_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14260 62560 ) FS ;
- _743_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40940 27200 ) FN ;
- _744_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 145820 13600 ) S ;
- _745_ sky130_fd_sc_hd__buf_2 + PLACED ( 109940 16320 ) FN ;
- _746_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 88780 165920 ) FS ;
- _747_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 91080 84320 ) FS ;
- _748_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 174080 ) N ;
- _749_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 146740 29920 ) S ;
- _750_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 180780 21760 ) N ;
- _751_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 54400 ) N ;
- _752_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 64400 35360 ) S ;
- _753_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92920 29920 ) S ;
- _754_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 10120 35360 ) S ;
- _755_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 88780 27200 ) FN ;
- _756_ sky130_fd_sc_hd__buf_2 + PLACED ( 138000 16320 ) FN ;
- _757_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 136160 165920 ) FS ;
- _758_ sky130_fd_sc_hd__clkbuf_4 + PLACED ( 155940 16320 ) FN ;
- _759_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46460 54400 ) N ;
- _760_ sky130_fd_sc_hd__buf_2 + PLACED ( 154100 21760 ) N ;
- _761_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 149500 35360 ) S ;
- _762_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 126040 184960 ) FN ;
- _763_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 103960 13600 ) FS ;
- _764_ sky130_fd_sc_hd__buf_2 + PLACED ( 157780 21760 ) N ;
- _765_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 70380 165920 ) S ;
- _766_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 148120 144160 ) S ;
- _767_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 143520 29920 ) S ;
- _768_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 32660 179520 ) N ;
- _769_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 103040 19040 ) FS ;
- _770_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 28520 179520 ) FN ;
- _771_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21620 40800 ) FS ;
- _772_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 133400 16320 ) FN ;
- _773_ sky130_fd_sc_hd__buf_2 + PLACED ( 46000 176800 ) FS ;
- _774_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 110400 29920 ) S ;
- _775_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 49680 176800 ) FS ;
- _776_ sky130_fd_sc_hd__buf_2 + PLACED ( 84640 174080 ) FN ;
- _777_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 33580 29920 ) FS ;
- _778_ sky130_fd_sc_hd__buf_2 + PLACED ( 75440 62560 ) S ;
- _779_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 121900 168640 ) FN ;
- _780_ sky130_fd_sc_hd__buf_2 + PLACED ( 173420 184960 ) FN ;
- _781_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 77740 163200 ) N ;
- _782_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102120 29920 ) FS ;
- _783_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 166980 182240 ) S ;
- _784_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 182160 24480 ) S ;
- _785_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 88320 163200 ) FN ;
- _786_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 52440 168640 ) FN ;
- _787_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 163200 ) FN ;
- _788_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 121900 81600 ) FN ;
- _789_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 121900 87040 ) FN ;
- _790_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 114080 84320 ) FS ;
- _791_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 121440 78880 ) S ;
- _792_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 120520 89760 ) S ;
- input1 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 111520 ) S ;
- input10 sky130_fd_sc_hd__buf_4 + PLACED ( 6900 165920 ) FS ;
- input100 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 159160 163200 ) FN ;
- input101 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 142140 182240 ) S ;
- input102 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 20700 184960 ) N ;
- input103 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 35360 ) S ;
- input104 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 87040 ) N ;
- input105 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21160 155040 ) FS ;
- input106 sky130_fd_sc_hd__buf_2 + PLACED ( 130180 182240 ) FS ;
- input107 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 29920 ) S ;
- input108 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 19040 ) FS ;
- input109 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34960 160480 ) FS ;
- input11 sky130_fd_sc_hd__buf_2 + PLACED ( 27140 13600 ) FS ;
- input110 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17480 62560 ) FS ;
- input111 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 41860 29920 ) S ;
- input112 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 162840 40800 ) FS ;
- input113 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 162380 43520 ) N ;
- input114 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 136000 ) FN ;
- input115 sky130_fd_sc_hd__buf_2 + PLACED ( 163300 179520 ) N ;
- input116 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 152320 ) N ;
- input117 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 115460 184960 ) N ;
- input118 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 181240 182240 ) S ;
- input119 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 127880 10880 ) FN ;
- input12 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 50600 10880 ) FN ;
- input120 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17480 57120 ) FS ;
- input121 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 119680 ) N ;
- input122 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 32640 ) N ;
- input123 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 43520 ) FN ;
- input124 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 40800 ) FS ;
- input125 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 179400 51680 ) FS ;
- input126 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 188140 19040 ) S ;
- input127 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 176640 48960 ) N ;
- input128 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 19780 51680 ) S ;
- input129 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 26220 35360 ) S ;
- input13 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 106260 168640 ) FN ;
- input130 sky130_fd_sc_hd__buf_2 + PLACED ( 178940 174080 ) FN ;
- input131 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 125120 27200 ) FN ;
- input132 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 31740 10880 ) FN ;
- input133 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 140300 35360 ) FS ;
- input134 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109020 32640 ) FN ;
- input135 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 184000 27200 ) FN ;
- input136 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 113620 29920 ) FS ;
- input137 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 184960 ) N ;
- input138 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 155940 163200 ) N ;
- input139 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109020 165920 ) FS ;
- input14 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31740 160480 ) S ;
- input140 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 18860 176800 ) FS ;
- input141 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 130560 ) FN ;
- input142 sky130_fd_sc_hd__buf_2 + PLACED ( 41860 13600 ) FS ;
- input143 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 54400 ) N ;
- input144 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109020 163200 ) N ;
- input145 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 154100 38080 ) FN ;
- input146 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 96140 184960 ) N ;
- input147 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182160 54400 ) FN ;
- input148 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 79580 24480 ) S ;
- input149 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 172040 46240 ) FS ;
- input15 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31280 32640 ) N ;
- input150 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 48960 ) FN ;
- input151 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 163300 13600 ) FS ;
- input152 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 126500 29920 ) FS ;
- input153 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13800 146880 ) FN ;
- input154 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 10880 ) FN ;
- input155 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46460 184960 ) N ;
- input156 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 103360 ) N ;
- input157 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 20700 57120 ) S ;
- input158 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34500 32640 ) N ;
- input159 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 161460 21760 ) FN ;
- input16 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 24480 ) FS ;
- input160 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 176800 ) FS ;
- input161 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 10880 ) N ;
- input162 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 60260 168640 ) FN ;
- input163 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 182240 ) FS ;
- input164 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 101660 163200 ) N ;
- input165 sky130_fd_sc_hd__buf_2 + PLACED ( 174340 176800 ) S ;
- input166 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17480 149600 ) S ;
- input167 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 35360 ) S ;
- input168 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 50600 184960 ) FN ;
- input169 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 184960 ) FN ;
- input17 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 43700 165920 ) FS ;
- input170 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 168640 ) N ;
- input171 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 43520 ) N ;
- input172 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 70380 10880 ) N ;
- input173 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 19040 ) S ;
- input174 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 174080 ) N ;
- input175 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 97060 182240 ) FS ;
- input176 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 174340 13600 ) S ;
- input177 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 114240 ) FN ;
- input178 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 133280 ) S ;
- input179 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 22540 176800 ) FS ;
- input18 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 115000 27200 ) N ;
- input180 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 22540 16320 ) N ;
- input181 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 54400 ) N ;
- input182 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 180780 65280 ) FN ;
- input183 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 76160 ) N ;
- input184 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 73440 ) S ;
- input185 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 150880 38080 ) FN ;
- input186 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 51060 165920 ) S ;
- input187 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 37720 184960 ) FN ;
- input188 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 122400 ) FS ;
- input189 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 157760 ) N ;
- input19 sky130_fd_sc_hd__buf_2 + PLACED ( 44620 10880 ) N ;
- input190 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 63940 13600 ) FS ;
- input191 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 178020 13600 ) FS ;
- input192 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 120980 29920 ) S ;
- input193 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 118220 27200 ) N ;
- input194 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 151340 163200 ) N ;
- input195 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 78880 ) S ;
- input196 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21160 46240 ) S ;
- input197 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 122400 ) FS ;
- input198 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 179520 ) N ;
- input199 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 131100 165920 ) FS ;
- input2 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 148580 10880 ) N ;
- input20 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 163200 ) FN ;
- input200 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 127840 ) FS ;
- input201 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 106080 ) S ;
- input202 sky130_fd_sc_hd__buf_2 + PLACED ( 76820 10880 ) N ;
- input203 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182160 146880 ) FN ;
- input204 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 74520 27200 ) N ;
- input205 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 179520 ) FN ;
- input206 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 112240 163200 ) FN ;
- input207 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 13600 ) FS ;
- input208 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 68000 ) S ;
- input209 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 166520 46240 ) S ;
- input21 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 36800 29920 ) FS ;
- input210 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 12420 19040 ) FS ;
- input211 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 146880 ) N ;
- input212 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 61180 16320 ) N ;
- input213 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 97920 ) N ;
- input214 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 57500 184960 ) N ;
- input215 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 163200 ) N ;
- input216 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24840 40800 ) S ;
- input217 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 120980 160480 ) FS ;
- input218 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 63480 182240 ) FS ;
- input219 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 16320 ) FN ;
- input22 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 83260 10880 ) N ;
- input220 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 78880 ) S ;
- input221 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 179520 ) FN ;
- input222 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 136000 ) FN ;
- input223 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 155040 ) S ;
- input224 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 176180 51680 ) S ;
- input225 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 105340 165920 ) S ;
- input226 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 40940 182240 ) FS ;
- input227 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 70720 ) FN ;
- input228 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175720 149600 ) S ;
- input229 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 16320 ) FN ;
- input23 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 181240 10880 ) FN ;
- input230 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 95200 ) S ;
- input231 sky130_fd_sc_hd__buf_2 + PLACED ( 174800 19040 ) S ;
- input232 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 116380 160480 ) S ;
- input233 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 80960 163200 ) FN ;
- input234 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 168820 155040 ) S ;
- input235 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 25760 157760 ) FN ;
- input236 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16560 106080 ) S ;
- input237 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 111520 ) FS ;
- input238 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 169280 16320 ) FN ;
- input239 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175260 97920 ) N ;
- input24 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 26220 176800 ) FS ;
- input240 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 127880 13600 ) S ;
- input241 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175720 100640 ) FS ;
- input242 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 66700 168640 ) FN ;
- input243 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 28980 157760 ) N ;
- input244 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 52900 13600 ) S ;
- input245 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 24380 155040 ) FS ;
- input246 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 132020 163200 ) N ;
- input247 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 182160 171360 ) S ;
- input248 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 153640 13600 ) S ;
- input249 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 163300 157760 ) N ;
- input25 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 172040 155040 ) S ;
- input250 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 177560 65280 ) FN ;
- input251 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 27600 155040 ) S ;
- input252 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85100 13600 ) S ;
- input253 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 138720 ) FS ;
- input254 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 55660 168640 ) FN ;
- input255 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 87040 ) N ;
- input256 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 74520 163200 ) FN ;
- input257 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 32200 157760 ) N ;
- input258 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 118220 13600 ) FS ;
- input259 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 43520 ) N ;
- input26 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98900 165920 ) FS ;
- input260 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15640 68000 ) FS ;
- input261 sky130_fd_sc_hd__buf_2 + PLACED ( 167900 176800 ) FS ;
- input262 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 174080 ) FN ;
- input263 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 174080 ) N ;
- input264 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 173420 48960 ) N ;
- input265 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 134780 13600 ) FS ;
- input266 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 144160 ) S ;
- input267 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175720 106080 ) S ;
- input268 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 121900 179520 ) FN ;
- input269 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 87860 29920 ) FS ;
- input27 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 21760 ) N ;
- input270 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 106080 ) S ;
- input271 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 11500 165920 ) FS ;
- input272 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 29900 16320 ) FN ;
- input273 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 63480 168640 ) FN ;
- input274 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 38080 ) FN ;
- input275 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 90620 32640 ) FN ;
- input276 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 177100 21760 ) FN ;
- input277 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 13340 184960 ) N ;
- input278 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 168820 48960 ) N ;
- input279 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 174080 ) N ;
- input28 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 70720 ) FN ;
- input280 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 178480 24480 ) S ;
- input281 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 57500 10880 ) N ;
- input282 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 108800 ) FN ;
- input283 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 163200 ) FN ;
- input284 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 112240 32640 ) N ;
- input285 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 36340 179520 ) N ;
- input286 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 44620 182240 ) FS ;
- input287 sky130_fd_sc_hd__buf_4 + PLACED ( 13340 182240 ) FS ;
- input288 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 51680 ) S ;
- input289 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 84320 ) FS ;
- input29 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 134780 10880 ) N ;
- input290 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 59840 ) FN ;
- input291 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 171360 ) FS ;
- input292 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 10580 16320 ) N ;
- input293 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 138460 179520 ) FN ;
- input294 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 111780 10880 ) FN ;
- input295 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 34500 182240 ) FS ;
- input296 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 130560 ) FN ;
- input297 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 168640 ) N ;
- input298 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 171120 19040 ) S ;
- input299 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 119680 ) N ;
- input3 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 143980 32640 ) FN ;
- input30 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 160540 184960 ) N ;
- input300 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 101660 35360 ) FS ;
- input301 sky130_fd_sc_hd__buf_4 + PLACED ( 6900 176800 ) FS ;
- input302 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 140760 184960 ) FN ;
- input303 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 32640 ) N ;
- input304 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 168640 ) N ;
- input305 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 73440 ) FS ;
- input31 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 178940 179520 ) FN ;
- input32 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 102120 165920 ) S ;
- input33 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 157760 ) FN ;
- input34 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22540 157760 ) N ;
- input35 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 27200 ) N ;
- input36 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 19040 ) S ;
- input37 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 45540 13600 ) S ;
- input38 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 18860 10880 ) FN ;
- input39 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178020 92480 ) FN ;
- input4 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 146280 35360 ) FS ;
- input40 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 103360 ) N ;
- input41 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 160480 ) S ;
- input42 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 14260 149600 ) S ;
- input43 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 174340 10880 ) N ;
- input44 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 160480 ) S ;
- input45 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 84640 29920 ) S ;
- input46 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 94300 160480 ) S ;
- input47 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 140760 32640 ) FN ;
- input48 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 100640 ) S ;
- input49 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 76160 ) N ;
- input5 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 124660 163200 ) FN ;
- input50 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 28060 160480 ) FS ;
- input51 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 35420 157760 ) N ;
- input52 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 182240 ) S ;
- input53 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 20700 179520 ) N ;
- input54 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 178940 149600 ) S ;
- input55 sky130_fd_sc_hd__buf_2 + PLACED ( 63940 184960 ) N ;
- input56 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 74060 165920 ) S ;
- input57 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 174080 ) N ;
- input58 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 171360 ) S ;
- input59 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 160540 10880 ) N ;
- input6 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 104420 32640 ) N ;
- input60 sky130_fd_sc_hd__buf_4 + PLACED ( 188140 171360 ) S ;
- input61 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 181240 184960 ) FN ;
- input62 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 84180 163200 ) N ;
- input63 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 179400 133280 ) S ;
- input64 sky130_fd_sc_hd__buf_2 + PLACED ( 184920 114240 ) FN ;
- input65 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 28980 38080 ) N ;
- input66 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 160540 182240 ) FS ;
- input67 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23000 89760 ) FS ;
- input68 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 156860 40800 ) S ;
- input69 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 115460 10880 ) FN ;
- input7 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 25300 10880 ) N ;
- input70 sky130_fd_sc_hd__buf_2 + PLACED ( 173880 182240 ) S ;
- input71 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 48960 ) N ;
- input72 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 115460 163200 ) FN ;
- input73 sky130_fd_sc_hd__buf_2 + PLACED ( 182620 174080 ) FN ;
- input74 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 153640 182240 ) FS ;
- input75 sky130_fd_sc_hd__buf_4 + PLACED ( 13800 10880 ) FN ;
- input76 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 100640 ) FS ;
- input77 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 81600 ) FN ;
- input78 sky130_fd_sc_hd__buf_4 + PLACED ( 11500 13600 ) FS ;
- input79 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 166520 157760 ) FN ;
- input8 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 144160 ) FS ;
- input80 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 97980 35360 ) S ;
- input81 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 16320 ) N ;
- input82 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 17480 73440 ) S ;
- input83 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 90620 182240 ) FS ;
- input84 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 13600 ) FS ;
- input85 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 33580 16320 ) N ;
- input86 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 66700 29920 ) S ;
- input87 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 176180 95200 ) S ;
- input88 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 131100 27200 ) FN ;
- input89 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 163300 46240 ) S ;
- input9 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 147660 184960 ) N ;
- input90 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 68000 ) FS ;
- input91 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 20700 13600 ) FS ;
- input92 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 175260 152320 ) N ;
- input93 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 64860 10880 ) N ;
- input94 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 81600 ) N ;
- input95 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 32640 ) FN ;
- input96 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 178020 176800 ) FS ;
- input97 sky130_fd_sc_hd__buf_2 + PLACED ( 70380 179520 ) N ;
- input98 sky130_fd_sc_hd__clkbuf_4 + PLACED ( 8740 111520 ) FS ;
- input99 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 126960 16320 ) N ;
- output306 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 84320 ) FS ;
- output307 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 59840 ) N ;
- output308 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 57120 ) FS ;
- output309 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 174080 ) N ;
- output310 sky130_fd_sc_hd__buf_2 + PLACED ( 61180 179520 ) FN ;
- output311 sky130_fd_sc_hd__buf_2 + PLACED ( 64860 16320 ) FN ;
- output312 sky130_fd_sc_hd__buf_2 + PLACED ( 40020 179520 ) FN ;
- output313 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 174080 ) FN ;
- output314 sky130_fd_sc_hd__buf_2 + PLACED ( 117300 179520 ) N ;
- output315 sky130_fd_sc_hd__buf_2 + PLACED ( 127420 19040 ) FS ;
- output316 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 16320 ) FN ;
- output317 sky130_fd_sc_hd__buf_2 + PLACED ( 161460 176800 ) FS ;
- output318 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 141440 ) FN ;
- output319 sky130_fd_sc_hd__buf_2 + PLACED ( 74060 179520 ) FN ;
- output320 sky130_fd_sc_hd__buf_2 + PLACED ( 184920 92480 ) N ;
- output321 sky130_fd_sc_hd__buf_2 + PLACED ( 16100 13600 ) S ;
- output322 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 32640 ) N ;
- output323 sky130_fd_sc_hd__buf_2 + PLACED ( 64860 179520 ) FN ;
- output324 sky130_fd_sc_hd__buf_2 + PLACED ( 167440 19040 ) FS ;
- output325 sky130_fd_sc_hd__buf_2 + PLACED ( 98900 13600 ) FS ;
- output326 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 168640 ) FN ;
- output327 sky130_fd_sc_hd__buf_2 + PLACED ( 53360 182240 ) S ;
- output328 sky130_fd_sc_hd__buf_2 + PLACED ( 85100 16320 ) N ;
- output329 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 165920 ) FS ;
- output330 sky130_fd_sc_hd__buf_2 + PLACED ( 63020 19040 ) FS ;
- output331 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 16320 ) FN ;
- output332 sky130_fd_sc_hd__buf_2 + PLACED ( 173420 21760 ) N ;
- output333 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 152320 ) FN ;
- output334 sky130_fd_sc_hd__buf_2 + PLACED ( 22080 171360 ) S ;
- output335 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 176800 ) S ;
- output336 sky130_fd_sc_hd__buf_2 + PLACED ( 184920 168640 ) N ;
- output337 sky130_fd_sc_hd__buf_2 + PLACED ( 16100 19040 ) S ;
- output338 sky130_fd_sc_hd__buf_2 + PLACED ( 25760 171360 ) S ;
- output339 sky130_fd_sc_hd__buf_2 + PLACED ( 132940 21760 ) N ;
- output340 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 125120 ) FN ;
- output341 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 152320 ) N ;
- output342 sky130_fd_sc_hd__buf_2 + PLACED ( 43700 19040 ) S ;
- output343 sky130_fd_sc_hd__buf_2 + PLACED ( 78660 13600 ) FS ;
- output344 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 16320 ) FN ;
- output345 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 108800 ) N ;
- output346 sky130_fd_sc_hd__buf_2 + PLACED ( 153180 179520 ) N ;
- output347 sky130_fd_sc_hd__buf_2 + PLACED ( 77740 179520 ) N ;
- output348 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 81600 ) FN ;
- output349 sky130_fd_sc_hd__buf_2 + PLACED ( 93840 176800 ) S ;
- output350 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 21760 ) FN ;
- output351 sky130_fd_sc_hd__buf_2 + PLACED ( 124660 182240 ) FS ;
- output352 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 171360 ) FS ;
- output353 sky130_fd_sc_hd__buf_2 + PLACED ( 180320 27200 ) N ;
- output354 sky130_fd_sc_hd__buf_2 + PLACED ( 182620 87040 ) N ;
- output355 sky130_fd_sc_hd__buf_2 + PLACED ( 49680 182240 ) S ;
- output356 sky130_fd_sc_hd__buf_2 + PLACED ( 51060 16320 ) FN ;
- output357 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 157760 ) N ;
- output358 sky130_fd_sc_hd__buf_2 + PLACED ( 24380 19040 ) S ;
- output359 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 70720 ) N ;
- output360 sky130_fd_sc_hd__buf_2 + PLACED ( 174800 24480 ) FS ;
- output361 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 155040 ) S ;
- output362 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 176800 ) FS ;
- output363 sky130_fd_sc_hd__buf_2 + PLACED ( 133860 179520 ) N ;
- output364 sky130_fd_sc_hd__buf_2 + PLACED ( 184920 97920 ) N ;
- output365 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 84320 ) FS ;
- output366 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 106080 ) FS ;
- output367 sky130_fd_sc_hd__buf_2 + PLACED ( 184000 81600 ) N ;
- output368 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 24480 ) S ;
- output369 sky130_fd_sc_hd__buf_2 + PLACED ( 15180 165920 ) S ;
- output370 sky130_fd_sc_hd__buf_2 + PLACED ( 69460 176800 ) S ;
- output371 sky130_fd_sc_hd__buf_2 + PLACED ( 84180 19040 ) FS ;
- output372 sky130_fd_sc_hd__buf_2 + PLACED ( 129260 179520 ) N ;
- output373 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 16320 ) FN ;
- output374 sky130_fd_sc_hd__buf_2 + PLACED ( 79120 176800 ) S ;
- output375 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 108800 ) N ;
- output376 sky130_fd_sc_hd__buf_2 + PLACED ( 182620 163200 ) N ;
- output377 sky130_fd_sc_hd__buf_2 + PLACED ( 165600 174080 ) N ;
- output378 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 119680 ) N ;
- output379 sky130_fd_sc_hd__buf_2 + PLACED ( 19780 19040 ) S ;
- output380 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 57120 ) S ;
- output381 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 165920 ) FS ;
- output382 sky130_fd_sc_hd__buf_2 + PLACED ( 74980 13600 ) S ;
- output383 sky130_fd_sc_hd__buf_2 + PLACED ( 88780 16320 ) N ;
- output384 sky130_fd_sc_hd__buf_2 + PLACED ( 167900 21760 ) N ;
- output385 sky130_fd_sc_hd__buf_2 + PLACED ( 164220 24480 ) FS ;
- output386 sky130_fd_sc_hd__buf_2 + PLACED ( 181240 168640 ) N ;
- output387 sky130_fd_sc_hd__buf_2 + PLACED ( 177560 168640 ) N ;
- output388 sky130_fd_sc_hd__buf_2 + PLACED ( 149500 182240 ) FS ;
- output389 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 70720 ) FN ;
- output390 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 138720 ) S ;
- output391 sky130_fd_sc_hd__buf_2 + PLACED ( 73140 16320 ) FN ;
- output392 sky130_fd_sc_hd__buf_2 + PLACED ( 88320 174080 ) FN ;
- output393 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 40800 ) FS ;
- output394 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 176800 ) S ;
- output395 sky130_fd_sc_hd__buf_2 + PLACED ( 176180 27200 ) N ;
- output396 sky130_fd_sc_hd__buf_2 + PLACED ( 17480 95200 ) FS ;
- output397 sky130_fd_sc_hd__buf_2 + PLACED ( 68540 19040 ) FS ;
- output398 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 29920 ) S ;
- output399 sky130_fd_sc_hd__buf_2 + PLACED ( 184000 65280 ) N ;
- output400 sky130_fd_sc_hd__buf_2 + PLACED ( 114540 176800 ) FS ;
- output401 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 38080 ) N ;
- output402 sky130_fd_sc_hd__buf_2 + PLACED ( 40480 16320 ) FN ;
- output403 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 122400 ) FS ;
- output404 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 165920 ) S ;
- output405 sky130_fd_sc_hd__buf_2 + PLACED ( 171120 24480 ) FS ;
- output406 sky130_fd_sc_hd__buf_2 + PLACED ( 103960 179520 ) FN ;
- output407 sky130_fd_sc_hd__buf_2 + PLACED ( 145820 182240 ) FS ;
- output408 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 51680 ) FS ;
- output409 sky130_fd_sc_hd__buf_2 + PLACED ( 174800 171360 ) S ;
- output410 sky130_fd_sc_hd__buf_2 + PLACED ( 30820 174080 ) FN ;
- output411 sky130_fd_sc_hd__buf_2 + PLACED ( 32660 19040 ) S ;
- output412 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 32640 ) N ;
- output413 sky130_fd_sc_hd__buf_2 + PLACED ( 97520 176800 ) S ;
- output414 sky130_fd_sc_hd__buf_2 + PLACED ( 77740 19040 ) S ;
- output415 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 119680 ) N ;
- output416 sky130_fd_sc_hd__buf_2 + PLACED ( 109940 176800 ) S ;
- output417 sky130_fd_sc_hd__buf_2 + PLACED ( 171120 171360 ) FS ;
- output418 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 27200 ) FN ;
- output419 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 163200 ) FN ;
- output420 sky130_fd_sc_hd__buf_2 + PLACED ( 35420 176800 ) S ;
- output421 sky130_fd_sc_hd__buf_2 + PLACED ( 39100 176800 ) S ;
- output422 sky130_fd_sc_hd__buf_2 + PLACED ( 17940 24480 ) S ;
- output423 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 149600 ) FS ;
- output424 sky130_fd_sc_hd__buf_2 + PLACED ( 126500 176800 ) S ;
- output425 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 70720 ) N ;
- output426 sky130_fd_sc_hd__buf_2 + PLACED ( 62100 176800 ) S ;
- output427 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 138720 ) S ;
- output428 sky130_fd_sc_hd__buf_2 + PLACED ( 114540 13600 ) S ;
- output429 sky130_fd_sc_hd__buf_2 + PLACED ( 92000 174080 ) N ;
- output430 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 146880 ) N ;
- output431 sky130_fd_sc_hd__buf_2 + PLACED ( 79580 174080 ) N ;
- output432 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 168640 ) FN ;
- output433 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 141440 ) N ;
- output434 sky130_fd_sc_hd__buf_2 + PLACED ( 91540 19040 ) S ;
- output435 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 68000 ) FS ;
- output436 sky130_fd_sc_hd__buf_2 + PLACED ( 173880 168640 ) N ;
- output437 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 21760 ) N ;
- output438 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 27200 ) FN ;
- output439 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 21760 ) FN ;
- output440 sky130_fd_sc_hd__buf_2 + PLACED ( 149500 21760 ) N ;
- output441 sky130_fd_sc_hd__buf_2 + PLACED ( 168360 27200 ) N ;
- output442 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 130560 ) N ;
- output443 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 43520 ) FN ;
- output444 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 29920 ) S ;
- output445 sky130_fd_sc_hd__buf_2 + PLACED ( 87860 19040 ) FS ;
- output446 sky130_fd_sc_hd__buf_2 + PLACED ( 75440 176800 ) S ;
- output447 sky130_fd_sc_hd__buf_2 + PLACED ( 72220 19040 ) S ;
- output448 sky130_fd_sc_hd__buf_2 + PLACED ( 142140 179520 ) N ;
- output449 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 119680 ) FN ;
- output450 sky130_fd_sc_hd__buf_2 + PLACED ( 141220 19040 ) FS ;
- output451 sky130_fd_sc_hd__buf_2 + PLACED ( 110860 13600 ) FS ;
- output452 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 157760 ) FN ;
- output453 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 114240 ) FN ;
- output454 sky130_fd_sc_hd__buf_2 + PLACED ( 95220 19040 ) S ;
- output455 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 29920 ) FS ;
- output456 sky130_fd_sc_hd__buf_2 + PLACED ( 174800 29920 ) FS ;
- output457 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 29920 ) S ;
- output458 sky130_fd_sc_hd__buf_2 + PLACED ( 70380 21760 ) FN ;
- output459 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 165920 ) S ;
- output460 sky130_fd_sc_hd__buf_2 + PLACED ( 129260 21760 ) N ;
- output461 sky130_fd_sc_hd__buf_2 + PLACED ( 34500 174080 ) FN ;
- output462 sky130_fd_sc_hd__buf_2 + PLACED ( 152260 24480 ) FS ;
- output463 sky130_fd_sc_hd__buf_2 + PLACED ( 70380 174080 ) N ;
- output464 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 38080 ) FN ;
- output465 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 35360 ) FS ;
- output466 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 171360 ) FS ;
- output467 sky130_fd_sc_hd__buf_2 + PLACED ( 99360 19040 ) FS ;
- output468 sky130_fd_sc_hd__buf_2 + PLACED ( 119140 19040 ) FS ;
- output469 sky130_fd_sc_hd__buf_2 + PLACED ( 75900 174080 ) N ;
- output470 sky130_fd_sc_hd__buf_2 + PLACED ( 138460 176800 ) FS ;
- output471 sky130_fd_sc_hd__buf_2 + PLACED ( 139380 21760 ) FN ;
- output472 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 160480 ) S ;
- output473 sky130_fd_sc_hd__buf_2 + PLACED ( 142140 176800 ) FS ;
- output474 sky130_fd_sc_hd__buf_2 + PLACED ( 48300 174080 ) FN ;
- output475 sky130_fd_sc_hd__buf_2 + PLACED ( 167440 171360 ) FS ;
- output476 sky130_fd_sc_hd__buf_2 + PLACED ( 144900 19040 ) FS ;
- output477 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 59840 ) N ;
- output478 sky130_fd_sc_hd__buf_2 + PLACED ( 83260 171360 ) S ;
- output479 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 174080 ) N ;
- output480 sky130_fd_sc_hd__buf_2 + PLACED ( 178020 32640 ) N ;
- output481 sky130_fd_sc_hd__buf_2 + PLACED ( 83260 21760 ) N ;
- output482 sky130_fd_sc_hd__buf_2 + PLACED ( 98900 21760 ) N ;
- output483 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 168640 ) N ;
- output484 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 35360 ) S ;
- output485 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 43520 ) FN ;
- output486 sky130_fd_sc_hd__buf_2 + PLACED ( 48300 19040 ) S ;
- output487 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 130560 ) N ;
- output488 sky130_fd_sc_hd__buf_2 + PLACED ( 72220 171360 ) FS ;
- output489 sky130_fd_sc_hd__buf_2 + PLACED ( 161920 174080 ) N ;
- output490 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 125120 ) N ;
- output491 sky130_fd_sc_hd__buf_2 + PLACED ( 108100 174080 ) FN ;
- output492 sky130_fd_sc_hd__buf_2 + PLACED ( 130180 176800 ) S ;
- output493 sky130_fd_sc_hd__buf_2 + PLACED ( 74060 21760 ) FN ;
- output494 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 157760 ) FN ;
- output495 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 24480 ) FS ;
- output496 sky130_fd_sc_hd__buf_2 + PLACED ( 40020 174080 ) FN ;
- output497 sky130_fd_sc_hd__buf_2 + PLACED ( 36340 19040 ) S ;
- output498 sky130_fd_sc_hd__buf_2 + PLACED ( 101200 176800 ) S ;
- output499 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 116960 ) S ;
- output500 sky130_fd_sc_hd__buf_2 + PLACED ( 92000 21760 ) N ;
- output501 sky130_fd_sc_hd__buf_2 + PLACED ( 178940 163200 ) N ;
- output502 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 78880 ) FS ;
- output503 sky130_fd_sc_hd__buf_2 + PLACED ( 174340 32640 ) N ;
- output504 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 125120 ) N ;
- output505 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 40800 ) S ;
- output506 sky130_fd_sc_hd__buf_2 + PLACED ( 21620 24480 ) S ;
- output507 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 176800 ) FS ;
- output508 sky130_fd_sc_hd__buf_2 + PLACED ( 174800 165920 ) FS ;
- output509 sky130_fd_sc_hd__buf_2 + PLACED ( 44620 174080 ) FN ;
- output510 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 100640 ) FS ;
- output511 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 163200 ) FN ;
- output512 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 43520 ) N ;
- output513 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 152320 ) N ;
- output514 sky130_fd_sc_hd__buf_2 + PLACED ( 104880 176800 ) S ;
- output515 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 21760 ) N ;
- output516 sky130_fd_sc_hd__buf_2 + PLACED ( 97060 24480 ) S ;
- output517 sky130_fd_sc_hd__buf_2 + PLACED ( 53360 19040 ) FS ;
- output518 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 32640 ) N ;
- output519 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 27200 ) N ;
- output520 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 19040 ) FS ;
- output521 sky130_fd_sc_hd__buf_2 + PLACED ( 160540 24480 ) FS ;
- output522 sky130_fd_sc_hd__buf_2 + PLACED ( 156400 176800 ) FS ;
- output523 sky130_fd_sc_hd__buf_2 + PLACED ( 180320 81600 ) N ;
- output524 sky130_fd_sc_hd__buf_2 + PLACED ( 17940 116960 ) S ;
- output525 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 62560 ) FS ;
- output526 sky130_fd_sc_hd__buf_2 + PLACED ( 123740 19040 ) FS ;
- output527 sky130_fd_sc_hd__buf_2 + PLACED ( 152720 176800 ) S ;
- output528 sky130_fd_sc_hd__buf_2 + PLACED ( 17940 40800 ) S ;
- output529 sky130_fd_sc_hd__buf_2 + PLACED ( 181240 114240 ) N ;
- output530 sky130_fd_sc_hd__buf_2 + PLACED ( 163760 171360 ) S ;
- output531 sky130_fd_sc_hd__buf_2 + PLACED ( 156860 174080 ) N ;
- output532 sky130_fd_sc_hd__buf_2 + PLACED ( 112700 174080 ) N ;
- output533 sky130_fd_sc_hd__buf_2 + PLACED ( 178940 160480 ) FS ;
- output534 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 165920 ) S ;
- output535 sky130_fd_sc_hd__buf_2 + PLACED ( 59340 21760 ) FN ;
- output536 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 38080 ) N ;
- output537 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 54400 ) N ;
- output538 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 122400 ) FS ;
- output539 sky130_fd_sc_hd__buf_2 + PLACED ( 86940 168640 ) N ;
- output540 sky130_fd_sc_hd__buf_2 + PLACED ( 138460 24480 ) FS ;
- output541 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 168640 ) FN ;
- output542 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 144160 ) FS ;
- output543 sky130_fd_sc_hd__buf_2 + PLACED ( 164220 168640 ) N ;
- output544 sky130_fd_sc_hd__buf_2 + PLACED ( 175260 163200 ) N ;
- output545 sky130_fd_sc_hd__buf_2 + PLACED ( 165600 32640 ) N ;
- output546 sky130_fd_sc_hd__buf_2 + PLACED ( 171120 165920 ) FS ;
- output547 sky130_fd_sc_hd__buf_2 + PLACED ( 156400 24480 ) FS ;
- output548 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 40800 ) FS ;
- output549 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 21760 ) FN ;
- output550 sky130_fd_sc_hd__buf_2 + PLACED ( 51980 21760 ) N ;
- output551 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 35360 ) S ;
- output552 sky130_fd_sc_hd__buf_2 + PLACED ( 17480 100640 ) S ;
- output553 sky130_fd_sc_hd__buf_2 + PLACED ( 149500 179520 ) FN ;
- output554 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 127840 ) S ;
- output555 sky130_fd_sc_hd__buf_2 + PLACED ( 93840 171360 ) S ;
- output556 sky130_fd_sc_hd__buf_2 + PLACED ( 59340 174080 ) N ;
- output557 sky130_fd_sc_hd__buf_2 + PLACED ( 63020 21760 ) N ;
- output558 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 149600 ) S ;
- output559 sky130_fd_sc_hd__buf_2 + PLACED ( 178020 38080 ) N ;
- output560 sky130_fd_sc_hd__buf_2 + PLACED ( 53360 176800 ) FS ;
- output561 sky130_fd_sc_hd__buf_2 + PLACED ( 40020 19040 ) S ;
- output562 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 76160 ) FN ;
- output563 sky130_fd_sc_hd__buf_2 + PLACED ( 17020 111520 ) FS ;
- output564 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 87040 ) FN ;
- output565 sky130_fd_sc_hd__buf_2 + PLACED ( 148580 176800 ) FS ;
- output566 sky130_fd_sc_hd__buf_2 + PLACED ( 156400 171360 ) FS ;
- output567 sky130_fd_sc_hd__buf_2 + PLACED ( 159620 168640 ) N ;
- output568 sky130_fd_sc_hd__buf_2 + PLACED ( 109020 19040 ) S ;
- output569 sky130_fd_sc_hd__buf_2 + PLACED ( 100280 174080 ) FN ;
- output570 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 89760 ) S ;
- output571 sky130_fd_sc_hd__buf_2 + PLACED ( 25300 24480 ) S ;
- output572 sky130_fd_sc_hd__buf_2 + PLACED ( 156860 27200 ) N ;
- output573 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 133280 ) FS ;
- output574 sky130_fd_sc_hd__buf_2 + PLACED ( 174800 35360 ) FS ;
- output575 sky130_fd_sc_hd__buf_2 + PLACED ( 17020 160480 ) S ;
- output576 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 40800 ) FS ;
- output577 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 163200 ) FN ;
- output578 sky130_fd_sc_hd__buf_2 + PLACED ( 17020 29920 ) S ;
- output579 sky130_fd_sc_hd__buf_2 + PLACED ( 40020 21760 ) FN ;
- output580 sky130_fd_sc_hd__buf_2 + PLACED ( 88320 21760 ) FN ;
- output581 sky130_fd_sc_hd__buf_2 + PLACED ( 97980 171360 ) S ;
- output582 sky130_fd_sc_hd__buf_2 + PLACED ( 161460 32640 ) N ;
- output583 sky130_fd_sc_hd__buf_2 + PLACED ( 77740 21760 ) N ;
- output584 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 165920 ) S ;
- output585 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 141440 ) N ;
- output586 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 157760 ) N ;
- output587 sky130_fd_sc_hd__buf_2 + PLACED ( 153180 27200 ) N ;
- output588 sky130_fd_sc_hd__buf_2 + PLACED ( 29900 168640 ) FN ;
- output589 sky130_fd_sc_hd__buf_2 + PLACED ( 174340 38080 ) N ;
- output590 sky130_fd_sc_hd__buf_2 + PLACED ( 175260 160480 ) FS ;
- output591 sky130_fd_sc_hd__buf_2 + PLACED ( 76820 171360 ) FS ;
- output592 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 146880 ) N ;
- output593 sky130_fd_sc_hd__buf_2 + PLACED ( 148580 24480 ) FS ;
- output594 sky130_fd_sc_hd__buf_2 + PLACED ( 118220 176800 ) FS ;
- output595 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 38080 ) N ;
- output596 sky130_fd_sc_hd__buf_2 + PLACED ( 63940 174080 ) FN ;
- output597 sky130_fd_sc_hd__buf_2 + PLACED ( 16100 127840 ) S ;
- output598 sky130_fd_sc_hd__buf_2 + PLACED ( 14720 35360 ) S ;
- output599 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 27200 ) FN ;
- output600 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 136000 ) N ;
- output601 sky130_fd_sc_hd__buf_2 + PLACED ( 112700 19040 ) FS ;
- output602 sky130_fd_sc_hd__buf_2 + PLACED ( 167440 165920 ) FS ;
- output603 sky130_fd_sc_hd__buf_2 + PLACED ( 48300 21760 ) FN ;
- output604 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 57120 ) S ;
- output605 sky130_fd_sc_hd__buf_2 + PLACED ( 35420 171360 ) S ;
- output606 sky130_fd_sc_hd__buf_2 + PLACED ( 122820 174080 ) N ;
- output607 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 163200 ) N ;
- output608 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 155040 ) S ;
- output609 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 163200 ) FN ;
- output610 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 43520 ) N ;
- output611 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 155040 ) S ;
- output612 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 84320 ) S ;
- output613 sky130_fd_sc_hd__buf_2 + PLACED ( 90620 168640 ) N ;
- output614 sky130_fd_sc_hd__buf_2 + PLACED ( 51980 174080 ) FN ;
- output615 sky130_fd_sc_hd__buf_2 + PLACED ( 20700 160480 ) S ;
- output616 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 29920 ) S ;
- output617 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 125120 ) N ;
- output618 sky130_fd_sc_hd__buf_2 + PLACED ( 153180 174080 ) FN ;
- output619 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 155040 ) FS ;
- output620 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 21760 ) N ;
- output621 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 152320 ) N ;
- output622 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 73440 ) FS ;
- output623 sky130_fd_sc_hd__buf_2 + PLACED ( 165600 35360 ) FS ;
- output624 sky130_fd_sc_hd__buf_2 + PLACED ( 96140 168640 ) N ;
- output625 sky130_fd_sc_hd__buf_2 + PLACED ( 147660 174080 ) N ;
- output626 sky130_fd_sc_hd__buf_2 + PLACED ( 109020 24480 ) S ;
- output627 sky130_fd_sc_hd__buf_2 + PLACED ( 143520 21760 ) N ;
- output628 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 46240 ) FS ;
- output629 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 157760 ) FN ;
- output630 sky130_fd_sc_hd__buf_2 + PLACED ( 20700 32640 ) FN ;
- output631 sky130_fd_sc_hd__buf_2 + PLACED ( 29900 27200 ) FN ;
- output632 sky130_fd_sc_hd__buf_2 + PLACED ( 41860 171360 ) FS ;
- output633 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 138720 ) FS ;
- output634 sky130_fd_sc_hd__buf_2 + PLACED ( 163760 165920 ) FS ;
- output635 sky130_fd_sc_hd__buf_2 + PLACED ( 72220 24480 ) FS ;
- output636 sky130_fd_sc_hd__buf_2 + PLACED ( 128340 174080 ) N ;
- output637 sky130_fd_sc_hd__buf_2 + PLACED ( 181240 92480 ) N ;
- output638 sky130_fd_sc_hd__buf_2 + PLACED ( 143980 24480 ) FS ;
- output639 sky130_fd_sc_hd__buf_2 + PLACED ( 125580 171360 ) FS ;
- output640 sky130_fd_sc_hd__buf_2 + PLACED ( 137540 27200 ) N ;
- output641 sky130_fd_sc_hd__buf_2 + PLACED ( 58420 24480 ) S ;
- output642 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 24480 ) S ;
- output643 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 62560 ) S ;
- output644 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 46240 ) S ;
- output645 sky130_fd_sc_hd__buf_2 + PLACED ( 46460 171360 ) S ;
- output646 sky130_fd_sc_hd__buf_2 + PLACED ( 178020 157760 ) FN ;
- output647 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 125120 ) FN ;
- output648 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 146880 ) FN ;
- output649 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 138720 ) S ;
- output650 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 35360 ) FS ;
- output651 sky130_fd_sc_hd__buf_2 + PLACED ( 39100 24480 ) S ;
- output652 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 111520 ) FS ;
- output653 sky130_fd_sc_hd__buf_2 + PLACED ( 104420 24480 ) S ;
- output654 sky130_fd_sc_hd__buf_2 + PLACED ( 117760 174080 ) FN ;
- output655 sky130_fd_sc_hd__buf_2 + PLACED ( 162380 163200 ) N ;
- output656 sky130_fd_sc_hd__buf_2 + PLACED ( 33580 168640 ) N ;
- output657 sky130_fd_sc_hd__buf_2 + PLACED ( 35420 21760 ) FN ;
- output658 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 130560 ) N ;
- output659 sky130_fd_sc_hd__buf_2 + PLACED ( 169740 160480 ) FS ;
- output660 sky130_fd_sc_hd__buf_2 + PLACED ( 14720 92480 ) FN ;
- output661 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 155040 ) FS ;
- output662 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 54400 ) N ;
- output663 sky130_fd_sc_hd__buf_2 + PLACED ( 125580 21760 ) N ;
- output664 sky130_fd_sc_hd__buf_2 + PLACED ( 150420 171360 ) FS ;
- output665 sky130_fd_sc_hd__buf_2 + PLACED ( 45540 168640 ) FN ;
- output666 sky130_fd_sc_hd__buf_2 + PLACED ( 55660 21760 ) FN ;
- output667 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 125120 ) N ;
- output668 sky130_fd_sc_hd__buf_2 + PLACED ( 147660 27200 ) N ;
- output669 sky130_fd_sc_hd__buf_2 + PLACED ( 178020 43520 ) N ;
- output670 sky130_fd_sc_hd__buf_2 + PLACED ( 16100 84320 ) S ;
- output671 sky130_fd_sc_hd__buf_2 + PLACED ( 174800 40800 ) FS ;
- output672 sky130_fd_sc_hd__buf_2 + PLACED ( 33580 27200 ) FN ;
- output673 sky130_fd_sc_hd__buf_2 + PLACED ( 86940 24480 ) FS ;
- output674 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 78880 ) S ;
- output675 sky130_fd_sc_hd__buf_2 + PLACED ( 143520 174080 ) FN ;
- output676 sky130_fd_sc_hd__buf_2 + PLACED ( 174340 157760 ) N ;
- output677 sky130_fd_sc_hd__buf_2 + PLACED ( 165600 38080 ) N ;
- output678 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 35360 ) S ;
- output679 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 108800 ) N ;
- output680 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 38080 ) N ;
- output681 sky130_fd_sc_hd__buf_2 + PLACED ( 155940 168640 ) FN ;
- output682 sky130_fd_sc_hd__buf_2 + PLACED ( 143980 171360 ) FS ;
- output683 sky130_fd_sc_hd__buf_2 + PLACED ( 169280 40800 ) FS ;
- output684 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 29920 ) S ;
- output685 sky130_fd_sc_hd__buf_2 + PLACED ( 117300 21760 ) N ;
- output686 sky130_fd_sc_hd__buf_2 + PLACED ( 11040 46240 ) S ;
- output687 sky130_fd_sc_hd__buf_2 + PLACED ( 116380 24480 ) S ;
- output688 sky130_fd_sc_hd__buf_2 + PLACED ( 24380 32640 ) FN ;
- output689 sky130_fd_sc_hd__buf_2 + PLACED ( 136620 29920 ) FS ;
- output690 sky130_fd_sc_hd__buf_2 + PLACED ( 16100 89760 ) S ;
- output691 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 165920 ) FS ;
- output692 sky130_fd_sc_hd__buf_2 + PLACED ( 67620 171360 ) FS ;
- output693 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 59840 ) FN ;
- output694 sky130_fd_sc_hd__buf_2 + PLACED ( 44620 21760 ) N ;
- output695 sky130_fd_sc_hd__buf_2 + PLACED ( 35420 24480 ) S ;
- output696 sky130_fd_sc_hd__buf_2 + PLACED ( 110860 171360 ) FS ;
- output697 sky130_fd_sc_hd__buf_2 + PLACED ( 73140 168640 ) FN ;
- output698 sky130_fd_sc_hd__buf_2 + PLACED ( 92460 24480 ) FS ;
- output699 sky130_fd_sc_hd__buf_2 + PLACED ( 139840 174080 ) N ;
- output700 sky130_fd_sc_hd__buf_2 + PLACED ( 156400 29920 ) FS ;
- output701 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 149600 ) FS ;
- output702 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 149600 ) FS ;
- output703 sky130_fd_sc_hd__buf_2 + PLACED ( 37260 27200 ) N ;
- output704 sky130_fd_sc_hd__buf_2 + PLACED ( 65780 24480 ) FS ;
- output705 sky130_fd_sc_hd__buf_2 + PLACED ( 100740 24480 ) FS ;
- output706 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 141440 ) N ;
- output707 sky130_fd_sc_hd__buf_2 + PLACED ( 102580 27200 ) N ;
- output708 sky130_fd_sc_hd__buf_2 + PLACED ( 139380 171360 ) FS ;
- output709 sky130_fd_sc_hd__buf_2 + PLACED ( 135700 171360 ) FS ;
- output710 sky130_fd_sc_hd__buf_2 + PLACED ( 24380 160480 ) S ;
- output711 sky130_fd_sc_hd__buf_2 + PLACED ( 50140 171360 ) S ;
- output712 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 24480 ) FS ;
- output713 sky130_fd_sc_hd__buf_2 + PLACED ( 16100 51680 ) S ;
END COMPONENTS
PINS 716 ;
- clk + NET clk + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 111860 ) N ;
- data_from_mem[0] + NET data_from_mem[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 2000 ) N ;
- data_from_mem[100] + NET data_from_mem[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 2000 ) N ;
- data_from_mem[101] + NET data_from_mem[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 2000 ) N ;
- data_from_mem[102] + NET data_from_mem[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 198000 ) N ;
- data_from_mem[103] + NET data_from_mem[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100510 2000 ) N ;
- data_from_mem[104] + NET data_from_mem[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 2000 ) N ;
- data_from_mem[105] + NET data_from_mem[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 144500 ) N ;
- data_from_mem[106] + NET data_from_mem[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 198000 ) N ;
- data_from_mem[107] + NET data_from_mem[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 162180 ) N ;
- data_from_mem[108] + NET data_from_mem[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 2000 ) N ;
- data_from_mem[109] + NET data_from_mem[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 2000 ) N ;
- data_from_mem[10] + NET data_from_mem[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 198000 ) N ;
- data_from_mem[110] + NET data_from_mem[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29670 198000 ) N ;
- data_from_mem[111] + NET data_from_mem[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21390 2000 ) N ;
- data_from_mem[112] + NET data_from_mem[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 24820 ) N ;
- data_from_mem[113] + NET data_from_mem[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42550 198000 ) N ;
- data_from_mem[114] + NET data_from_mem[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110630 2000 ) N ;
- data_from_mem[115] + NET data_from_mem[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40710 2000 ) N ;
- data_from_mem[116] + NET data_from_mem[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 198000 ) N ;
- data_from_mem[117] + NET data_from_mem[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 2000 ) N ;
- data_from_mem[118] + NET data_from_mem[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79350 2000 ) N ;
- data_from_mem[119] + NET data_from_mem[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 2000 ) N ;
- data_from_mem[11] + NET data_from_mem[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 198000 ) N ;
- data_from_mem[120] + NET data_from_mem[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189750 198000 ) N ;
- data_from_mem[121] + NET data_from_mem[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 198000 ) N ;
- data_from_mem[122] + NET data_from_mem[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 20740 ) N ;
- data_from_mem[123] + NET data_from_mem[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 72420 ) N ;
- data_from_mem[124] + NET data_from_mem[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131790 2000 ) N ;
- data_from_mem[125] + NET data_from_mem[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 198000 ) N ;
- data_from_mem[126] + NET data_from_mem[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191590 198000 ) N ;
- data_from_mem[127] + NET data_from_mem[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 198000 ) N ;
- data_from_mem[12] + NET data_from_mem[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 156740 ) N ;
- data_from_mem[13] + NET data_from_mem[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9430 198000 ) N ;
- data_from_mem[14] + NET data_from_mem[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 26180 ) N ;
- data_from_mem[15] + NET data_from_mem[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 16660 ) N ;
- data_from_mem[16] + NET data_from_mem[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 2000 ) N ;
- data_from_mem[17] + NET data_from_mem[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16790 2000 ) N ;
- data_from_mem[18] + NET data_from_mem[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 92820 ) N ;
- data_from_mem[19] + NET data_from_mem[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 106420 ) N ;
- data_from_mem[1] + NET data_from_mem[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 159460 ) N ;
- data_from_mem[20] + NET data_from_mem[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 148580 ) N ;
- data_from_mem[21] + NET data_from_mem[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 2000 ) N ;
- data_from_mem[22] + NET data_from_mem[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 160820 ) N ;
- data_from_mem[23] + NET data_from_mem[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85790 2000 ) N ;
- data_from_mem[24] + NET data_from_mem[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94070 198000 ) N ;
- data_from_mem[25] + NET data_from_mem[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 2000 ) N ;
- data_from_mem[26] + NET data_from_mem[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 99620 ) N ;
- data_from_mem[27] + NET data_from_mem[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 75140 ) N ;
- data_from_mem[28] + NET data_from_mem[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 178500 ) N ;
- data_from_mem[29] + NET data_from_mem[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28750 198000 ) N ;
- data_from_mem[2] + NET data_from_mem[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 181220 ) N ;
- data_from_mem[30] + NET data_from_mem[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 192100 ) N ;
- data_from_mem[31] + NET data_from_mem[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 183940 ) N ;
- data_from_mem[32] + NET data_from_mem[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 198000 ) N ;
- data_from_mem[33] + NET data_from_mem[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 198000 ) N ;
- data_from_mem[34] + NET data_from_mem[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 174420 ) N ;
- data_from_mem[35] + NET data_from_mem[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 171700 ) N ;
- data_from_mem[36] + NET data_from_mem[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 2000 ) N ;
- data_from_mem[37] + NET data_from_mem[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 170340 ) N ;
- data_from_mem[38] + NET data_from_mem[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 198000 ) N ;
- data_from_mem[39] + NET data_from_mem[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 198000 ) N ;
- data_from_mem[3] + NET data_from_mem[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 132260 ) N ;
- data_from_mem[40] + NET data_from_mem[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 113220 ) N ;
- data_from_mem[41] + NET data_from_mem[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 23460 ) N ;
- data_from_mem[42] + NET data_from_mem[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160310 198000 ) N ;
- data_from_mem[43] + NET data_from_mem[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 90100 ) N ;
- data_from_mem[44] + NET data_from_mem[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160310 2000 ) N ;
- data_from_mem[45] + NET data_from_mem[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 2000 ) N ;
- data_from_mem[46] + NET data_from_mem[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190670 198000 ) N ;
- data_from_mem[47] + NET data_from_mem[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 49300 ) N ;
- data_from_mem[48] + NET data_from_mem[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 198000 ) N ;
- data_from_mem[49] + NET data_from_mem[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 198000 ) N ;
- data_from_mem[4] + NET data_from_mem[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153870 198000 ) N ;
- data_from_mem[50] + NET data_from_mem[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 2000 ) N ;
- data_from_mem[51] + NET data_from_mem[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 100980 ) N ;
- data_from_mem[52] + NET data_from_mem[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 80580 ) N ;
- data_from_mem[53] + NET data_from_mem[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11270 2000 ) N ;
- data_from_mem[54] + NET data_from_mem[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168590 198000 ) N ;
- data_from_mem[55] + NET data_from_mem[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97750 2000 ) N ;
- data_from_mem[56] + NET data_from_mem[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 1700 ) N ;
- data_from_mem[57] + NET data_from_mem[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 73780 ) N ;
- data_from_mem[58] + NET data_from_mem[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 198000 ) N ;
- data_from_mem[59] + NET data_from_mem[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 2000 ) N ;
- data_from_mem[5] + NET data_from_mem[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33350 2000 ) N ;
- data_from_mem[60] + NET data_from_mem[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 2000 ) N ;
- data_from_mem[61] + NET data_from_mem[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 94180 ) N ;
- data_from_mem[62] + NET data_from_mem[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 2000 ) N ;
- data_from_mem[63] + NET data_from_mem[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 7140 ) N ;
- data_from_mem[64] + NET data_from_mem[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 66980 ) N ;
- data_from_mem[65] + NET data_from_mem[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 2000 ) N ;
- data_from_mem[66] + NET data_from_mem[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 198000 ) N ;
- data_from_mem[67] + NET data_from_mem[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 2000 ) N ;
- data_from_mem[68] + NET data_from_mem[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 84660 ) N ;
- data_from_mem[69] + NET data_from_mem[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 30260 ) N ;
- data_from_mem[6] + NET data_from_mem[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177790 198000 ) N ;
- data_from_mem[70] + NET data_from_mem[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 198000 ) N ;
- data_from_mem[71] + NET data_from_mem[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 111860 ) N ;
- data_from_mem[72] + NET data_from_mem[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 2000 ) N ;
- data_from_mem[73] + NET data_from_mem[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 193460 ) N ;
- data_from_mem[74] + NET data_from_mem[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 198000 ) N ;
- data_from_mem[75] + NET data_from_mem[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 198000 ) N ;
- data_from_mem[76] + NET data_from_mem[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 24820 ) N ;
- data_from_mem[77] + NET data_from_mem[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 86020 ) N ;
- data_from_mem[78] + NET data_from_mem[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 198000 ) N ;
- data_from_mem[79] + NET data_from_mem[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 198000 ) N ;
- data_from_mem[7] + NET data_from_mem[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 28900 ) N ;
- data_from_mem[80] + NET data_from_mem[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 13940 ) N ;
- data_from_mem[81] + NET data_from_mem[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33350 198000 ) N ;
- data_from_mem[82] + NET data_from_mem[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 62900 ) N ;
- data_from_mem[83] + NET data_from_mem[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 2000 ) N ;
- data_from_mem[84] + NET data_from_mem[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 3060 ) N ;
- data_from_mem[85] + NET data_from_mem[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162150 2000 ) N ;
- data_from_mem[86] + NET data_from_mem[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 134980 ) N ;
- data_from_mem[87] + NET data_from_mem[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 198000 ) N ;
- data_from_mem[88] + NET data_from_mem[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 190740 ) N ;
- data_from_mem[89] + NET data_from_mem[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 198000 ) N ;
- data_from_mem[8] + NET data_from_mem[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182390 198000 ) N ;
- data_from_mem[90] + NET data_from_mem[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 2000 ) N ;
- data_from_mem[91] + NET data_from_mem[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 57460 ) N ;
- data_from_mem[92] + NET data_from_mem[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 115940 ) N ;
- data_from_mem[93] + NET data_from_mem[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 31620 ) N ;
- data_from_mem[94] + NET data_from_mem[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 32980 ) N ;
- data_from_mem[95] + NET data_from_mem[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 37060 ) N ;
- data_from_mem[96] + NET data_from_mem[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181470 2000 ) N ;
- data_from_mem[97] + NET data_from_mem[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 15300 ) N ;
- data_from_mem[98] + NET data_from_mem[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 2000 ) N ;
- data_from_mem[99] + NET data_from_mem[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 50660 ) N ;
- data_from_mem[9] + NET data_from_mem[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 8500 ) N ;
- dcache_re + NET dcache_re + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74750 198000 ) N ;
- dcache_request + NET dcache_request + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 198000 ) N ;
- dcache_to_mem_data_in[0] + NET dcache_to_mem_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 2000 ) N ;
- dcache_to_mem_data_in[100] + NET dcache_to_mem_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 2000 ) N ;
- dcache_to_mem_data_in[101] + NET dcache_to_mem_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 2000 ) N ;
- dcache_to_mem_data_in[102] + NET dcache_to_mem_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 2000 ) N ;
- dcache_to_mem_data_in[103] + NET dcache_to_mem_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 20740 ) N ;
- dcache_to_mem_data_in[104] + NET dcache_to_mem_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106950 2000 ) N ;
- dcache_to_mem_data_in[105] + NET dcache_to_mem_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 190740 ) N ;
- dcache_to_mem_data_in[106] + NET dcache_to_mem_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157550 198000 ) N ;
- dcache_to_mem_data_in[107] + NET dcache_to_mem_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106950 198000 ) N ;
- dcache_to_mem_data_in[108] + NET dcache_to_mem_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7590 198000 ) N ;
- dcache_to_mem_data_in[109] + NET dcache_to_mem_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 129540 ) N ;
- dcache_to_mem_data_in[10] + NET dcache_to_mem_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42550 2000 ) N ;
- dcache_to_mem_data_in[110] + NET dcache_to_mem_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 54740 ) N ;
- dcache_to_mem_data_in[111] + NET dcache_to_mem_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 198000 ) N ;
- dcache_to_mem_data_in[112] + NET dcache_to_mem_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 2000 ) N ;
- dcache_to_mem_data_in[113] + NET dcache_to_mem_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 198000 ) N ;
- dcache_to_mem_data_in[114] + NET dcache_to_mem_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 47940 ) N ;
- dcache_to_mem_data_in[115] + NET dcache_to_mem_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 2000 ) N ;
- dcache_to_mem_data_in[116] + NET dcache_to_mem_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195270 2000 ) N ;
- dcache_to_mem_data_in[117] + NET dcache_to_mem_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 49300 ) N ;
- dcache_to_mem_data_in[118] + NET dcache_to_mem_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 2000 ) N ;
- dcache_to_mem_data_in[119] + NET dcache_to_mem_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126270 2000 ) N ;
- dcache_to_mem_data_in[11] + NET dcache_to_mem_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 147220 ) N ;
- dcache_to_mem_data_in[120] + NET dcache_to_mem_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6670 2000 ) N ;
- dcache_to_mem_data_in[121] + NET dcache_to_mem_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40710 198000 ) N ;
- dcache_to_mem_data_in[122] + NET dcache_to_mem_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 103700 ) N ;
- dcache_to_mem_data_in[123] + NET dcache_to_mem_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 56100 ) N ;
- dcache_to_mem_data_in[124] + NET dcache_to_mem_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10350 2000 ) N ;
- dcache_to_mem_data_in[125] + NET dcache_to_mem_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157550 2000 ) N ;
- dcache_to_mem_data_in[126] + NET dcache_to_mem_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 175780 ) N ;
- dcache_to_mem_data_in[127] + NET dcache_to_mem_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 2000 ) N ;
- dcache_to_mem_data_in[12] + NET dcache_to_mem_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 198000 ) N ;
- dcache_to_mem_data_in[13] + NET dcache_to_mem_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185150 198000 ) N ;
- dcache_to_mem_data_in[14] + NET dcache_to_mem_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100510 198000 ) N ;
- dcache_to_mem_data_in[15] + NET dcache_to_mem_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 179860 ) N ;
- dcache_to_mem_data_in[16] + NET dcache_to_mem_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 155380 ) N ;
- dcache_to_mem_data_in[17] + NET dcache_to_mem_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 32980 ) N ;
- dcache_to_mem_data_in[18] + NET dcache_to_mem_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 198000 ) N ;
- dcache_to_mem_data_in[19] + NET dcache_to_mem_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 198000 ) N ;
- dcache_to_mem_data_in[1] + NET dcache_to_mem_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 189380 ) N ;
- dcache_to_mem_data_in[20] + NET dcache_to_mem_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 41140 ) N ;
- dcache_to_mem_data_in[21] + NET dcache_to_mem_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67390 2000 ) N ;
- dcache_to_mem_data_in[22] + NET dcache_to_mem_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 2000 ) N ;
- dcache_to_mem_data_in[23] + NET dcache_to_mem_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 173060 ) N ;
- dcache_to_mem_data_in[24] + NET dcache_to_mem_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95910 198000 ) N ;
- dcache_to_mem_data_in[25] + NET dcache_to_mem_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 2000 ) N ;
- dcache_to_mem_data_in[26] + NET dcache_to_mem_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 113220 ) N ;
- dcache_to_mem_data_in[27] + NET dcache_to_mem_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 133620 ) N ;
- dcache_to_mem_data_in[28] + NET dcache_to_mem_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 198000 ) N ;
- dcache_to_mem_data_in[29] + NET dcache_to_mem_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22310 2000 ) N ;
- dcache_to_mem_data_in[2] + NET dcache_to_mem_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 52020 ) N ;
- dcache_to_mem_data_in[30] + NET dcache_to_mem_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 68340 ) N ;
- dcache_to_mem_data_in[31] + NET dcache_to_mem_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 76500 ) N ;
- dcache_to_mem_data_in[32] + NET dcache_to_mem_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 72420 ) N ;
- dcache_to_mem_data_in[33] + NET dcache_to_mem_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 2000 ) N ;
- dcache_to_mem_data_in[34] + NET dcache_to_mem_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 198000 ) N ;
- dcache_to_mem_data_in[35] + NET dcache_to_mem_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 198000 ) N ;
- dcache_to_mem_data_in[36] + NET dcache_to_mem_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 121380 ) N ;
- dcache_to_mem_data_in[37] + NET dcache_to_mem_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 160820 ) N ;
- dcache_to_mem_data_in[38] + NET dcache_to_mem_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63710 2000 ) N ;
- dcache_to_mem_data_in[39] + NET dcache_to_mem_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177790 2000 ) N ;
- dcache_to_mem_data_in[3] + NET dcache_to_mem_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120750 2000 ) N ;
- dcache_to_mem_data_in[40] + NET dcache_to_mem_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 2000 ) N ;
- dcache_to_mem_data_in[41] + NET dcache_to_mem_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151110 198000 ) N ;
- dcache_to_mem_data_in[42] + NET dcache_to_mem_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 77860 ) N ;
- dcache_to_mem_data_in[43] + NET dcache_to_mem_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 46580 ) N ;
- dcache_to_mem_data_in[44] + NET dcache_to_mem_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 122740 ) N ;
- dcache_to_mem_data_in[45] + NET dcache_to_mem_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 185300 ) N ;
- dcache_to_mem_data_in[46] + NET dcache_to_mem_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 198000 ) N ;
- dcache_to_mem_data_in[47] + NET dcache_to_mem_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 130900 ) N ;
- dcache_to_mem_data_in[48] + NET dcache_to_mem_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 105060 ) N ;
- dcache_to_mem_data_in[49] + NET dcache_to_mem_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74750 2000 ) N ;
- dcache_to_mem_data_in[4] + NET dcache_to_mem_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 188020 ) N ;
- dcache_to_mem_data_in[50] + NET dcache_to_mem_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71070 2000 ) N ;
- dcache_to_mem_data_in[51] + NET dcache_to_mem_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 198000 ) N ;
- dcache_to_mem_data_in[52] + NET dcache_to_mem_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 198000 ) N ;
- dcache_to_mem_data_in[53] + NET dcache_to_mem_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 4420 ) N ;
- dcache_to_mem_data_in[54] + NET dcache_to_mem_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 64260 ) N ;
- dcache_to_mem_data_in[55] + NET dcache_to_mem_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 2000 ) N ;
- dcache_to_mem_data_in[56] + NET dcache_to_mem_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 18020 ) N ;
- dcache_to_mem_data_in[57] + NET dcache_to_mem_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198950 198000 ) N ;
- dcache_to_mem_data_in[58] + NET dcache_to_mem_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 2000 ) N ;
- dcache_to_mem_data_in[59] + NET dcache_to_mem_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 96900 ) N ;
- dcache_to_mem_data_in[5] + NET dcache_to_mem_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 198000 ) N ;
- dcache_to_mem_data_in[60] + NET dcache_to_mem_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 162180 ) N ;
- dcache_to_mem_data_in[61] + NET dcache_to_mem_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 27540 ) N ;
- dcache_to_mem_data_in[62] + NET dcache_to_mem_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120750 198000 ) N ;
- dcache_to_mem_data_in[63] + NET dcache_to_mem_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 198000 ) N ;
- dcache_to_mem_data_in[64] + NET dcache_to_mem_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198950 2000 ) N ;
- dcache_to_mem_data_in[65] + NET dcache_to_mem_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 79220 ) N ;
- dcache_to_mem_data_in[66] + NET dcache_to_mem_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 188020 ) N ;
- dcache_to_mem_data_in[67] + NET dcache_to_mem_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 134980 ) N ;
- dcache_to_mem_data_in[68] + NET dcache_to_mem_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 158100 ) N ;
- dcache_to_mem_data_in[69] + NET dcache_to_mem_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 1700 ) N ;
- dcache_to_mem_data_in[6] + NET dcache_to_mem_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 198000 ) N ;
- dcache_to_mem_data_in[70] + NET dcache_to_mem_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 198000 ) N ;
- dcache_to_mem_data_in[71] + NET dcache_to_mem_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 69700 ) N ;
- dcache_to_mem_data_in[72] + NET dcache_to_mem_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 198000 ) N ;
- dcache_to_mem_data_in[73] + NET dcache_to_mem_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197110 2000 ) N ;
- dcache_to_mem_data_in[74] + NET dcache_to_mem_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 98260 ) N ;
- dcache_to_mem_data_in[75] + NET dcache_to_mem_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 11220 ) N ;
- dcache_to_mem_data_in[76] + NET dcache_to_mem_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 198000 ) N ;
- dcache_to_mem_data_in[77] + NET dcache_to_mem_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82110 198000 ) N ;
- dcache_to_mem_data_in[78] + NET dcache_to_mem_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 164900 ) N ;
- dcache_to_mem_data_in[79] + NET dcache_to_mem_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10350 198000 ) N ;
- dcache_to_mem_data_in[7] + NET dcache_to_mem_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 102340 ) N ;
- dcache_to_mem_data_in[80] + NET dcache_to_mem_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 107780 ) N ;
- dcache_to_mem_data_in[81] + NET dcache_to_mem_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171350 2000 ) N ;
- dcache_to_mem_data_in[82] + NET dcache_to_mem_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 98260 ) N ;
- dcache_to_mem_data_in[83] + NET dcache_to_mem_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128110 2000 ) N ;
- dcache_to_mem_data_in[84] + NET dcache_to_mem_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 96900 ) N ;
- dcache_to_mem_data_in[85] + NET dcache_to_mem_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 198000 ) N ;
- dcache_to_mem_data_in[86] + NET dcache_to_mem_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25070 198000 ) N ;
- dcache_to_mem_data_in[87] + NET dcache_to_mem_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 2000 ) N ;
- dcache_to_mem_data_in[88] + NET dcache_to_mem_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 179860 ) N ;
- dcache_to_mem_data_in[89] + NET dcache_to_mem_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131790 198000 ) N ;
- dcache_to_mem_data_in[8] + NET dcache_to_mem_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 198000 ) N ;
- dcache_to_mem_data_in[90] + NET dcache_to_mem_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 2000 ) N ;
- dcache_to_mem_data_in[91] + NET dcache_to_mem_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 198000 ) N ;
- dcache_to_mem_data_in[92] + NET dcache_to_mem_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 65620 ) N ;
- dcache_to_mem_data_in[93] + NET dcache_to_mem_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14950 198000 ) N ;
- dcache_to_mem_data_in[94] + NET dcache_to_mem_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82110 2000 ) N ;
- dcache_to_mem_data_in[95] + NET dcache_to_mem_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 139060 ) N ;
- dcache_to_mem_data_in[96] + NET dcache_to_mem_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 198000 ) N ;
- dcache_to_mem_data_in[97] + NET dcache_to_mem_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 88740 ) N ;
- dcache_to_mem_data_in[98] + NET dcache_to_mem_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75670 198000 ) N ;
- dcache_to_mem_data_in[99] + NET dcache_to_mem_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 167620 ) N ;
- dcache_to_mem_data_in[9] + NET dcache_to_mem_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 2000 ) N ;
- dcache_to_mem_data_out[0] + NET dcache_to_mem_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 90100 ) N ;
- dcache_to_mem_data_out[100] + NET dcache_to_mem_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 58820 ) N ;
- dcache_to_mem_data_out[101] + NET dcache_to_mem_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 56100 ) N ;
- dcache_to_mem_data_out[102] + NET dcache_to_mem_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 198000 ) N ;
- dcache_to_mem_data_out[103] + NET dcache_to_mem_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 198000 ) N ;
- dcache_to_mem_data_out[104] + NET dcache_to_mem_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 2000 ) N ;
- dcache_to_mem_data_out[105] + NET dcache_to_mem_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 198000 ) N ;
- dcache_to_mem_data_out[106] + NET dcache_to_mem_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 198000 ) N ;
- dcache_to_mem_data_out[107] + NET dcache_to_mem_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117070 198000 ) N ;
- dcache_to_mem_data_out[108] + NET dcache_to_mem_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 2000 ) N ;
- dcache_to_mem_data_out[109] + NET dcache_to_mem_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 2000 ) N ;
- dcache_to_mem_data_out[10] + NET dcache_to_mem_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 198000 ) N ;
- dcache_to_mem_data_out[110] + NET dcache_to_mem_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 143140 ) N ;
- dcache_to_mem_data_out[111] + NET dcache_to_mem_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71070 198000 ) N ;
- dcache_to_mem_data_out[112] + NET dcache_to_mem_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 88740 ) N ;
- dcache_to_mem_data_out[113] + NET dcache_to_mem_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 3060 ) N ;
- dcache_to_mem_data_out[114] + NET dcache_to_mem_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 28900 ) N ;
- dcache_to_mem_data_out[115] + NET dcache_to_mem_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 198000 ) N ;
- dcache_to_mem_data_out[116] + NET dcache_to_mem_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168590 2000 ) N ;
- dcache_to_mem_data_out[117] + NET dcache_to_mem_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95910 2000 ) N ;
- dcache_to_mem_data_out[118] + NET dcache_to_mem_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 175780 ) N ;
- dcache_to_mem_data_out[119] + NET dcache_to_mem_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 198000 ) N ;
- dcache_to_mem_data_out[11] + NET dcache_to_mem_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 2000 ) N ;
- dcache_to_mem_data_out[120] + NET dcache_to_mem_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197110 198000 ) N ;
- dcache_to_mem_data_out[121] + NET dcache_to_mem_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 2000 ) N ;
- dcache_to_mem_data_out[122] + NET dcache_to_mem_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 2000 ) N ;
- dcache_to_mem_data_out[123] + NET dcache_to_mem_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189750 2000 ) N ;
- dcache_to_mem_data_out[124] + NET dcache_to_mem_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 152660 ) N ;
- dcache_to_mem_data_out[125] + NET dcache_to_mem_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14030 198000 ) N ;
- dcache_to_mem_data_out[126] + NET dcache_to_mem_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 198000 ) N ;
- dcache_to_mem_data_out[127] + NET dcache_to_mem_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 198000 ) N ;
- dcache_to_mem_data_out[12] + NET dcache_to_mem_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 5780 ) N ;
- dcache_to_mem_data_out[13] + NET dcache_to_mem_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22310 198000 ) N ;
- dcache_to_mem_data_out[14] + NET dcache_to_mem_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132710 2000 ) N ;
- dcache_to_mem_data_out[15] + NET dcache_to_mem_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 122740 ) N ;
- dcache_to_mem_data_out[16] + NET dcache_to_mem_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 154020 ) N ;
- dcache_to_mem_data_out[17] + NET dcache_to_mem_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 2000 ) N ;
- dcache_to_mem_data_out[18] + NET dcache_to_mem_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78430 2000 ) N ;
- dcache_to_mem_data_out[19] + NET dcache_to_mem_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 2000 ) N ;
- dcache_to_mem_data_out[1] + NET dcache_to_mem_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 107780 ) N ;
- dcache_to_mem_data_out[20] + NET dcache_to_mem_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 198000 ) N ;
- dcache_to_mem_data_out[21] + NET dcache_to_mem_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 198000 ) N ;
- dcache_to_mem_data_out[22] + NET dcache_to_mem_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 81940 ) N ;
- dcache_to_mem_data_out[23] + NET dcache_to_mem_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92230 198000 ) N ;
- dcache_to_mem_data_out[24] + NET dcache_to_mem_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2070 2000 ) N ;
- dcache_to_mem_data_out[25] + NET dcache_to_mem_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 198000 ) N ;
- dcache_to_mem_data_out[26] + NET dcache_to_mem_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 198000 ) N ;
- dcache_to_mem_data_out[27] + NET dcache_to_mem_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 2000 ) N ;
- dcache_to_mem_data_out[28] + NET dcache_to_mem_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 87380 ) N ;
- dcache_to_mem_data_out[29] + NET dcache_to_mem_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 198000 ) N ;
- dcache_to_mem_data_out[2] + NET dcache_to_mem_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46230 2000 ) N ;
- dcache_to_mem_data_out[30] + NET dcache_to_mem_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 159460 ) N ;
- dcache_to_mem_data_out[31] + NET dcache_to_mem_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24150 2000 ) N ;
- dcache_to_mem_data_out[32] + NET dcache_to_mem_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 73780 ) N ;
- dcache_to_mem_data_out[33] + NET dcache_to_mem_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 2000 ) N ;
- dcache_to_mem_data_out[34] + NET dcache_to_mem_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 154020 ) N ;
- dcache_to_mem_data_out[35] + NET dcache_to_mem_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 198000 ) N ;
- dcache_to_mem_data_out[36] + NET dcache_to_mem_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 198000 ) N ;
- dcache_to_mem_data_out[37] + NET dcache_to_mem_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 95540 ) N ;
- dcache_to_mem_data_out[38] + NET dcache_to_mem_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 83300 ) N ;
- dcache_to_mem_data_out[39] + NET dcache_to_mem_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 102340 ) N ;
- dcache_to_mem_data_out[3] + NET dcache_to_mem_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 80580 ) N ;
- dcache_to_mem_data_out[40] + NET dcache_to_mem_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 22100 ) N ;
- dcache_to_mem_data_out[41] + NET dcache_to_mem_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 196180 ) N ;
- dcache_to_mem_data_out[42] + NET dcache_to_mem_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 198000 ) N ;
- dcache_to_mem_data_out[43] + NET dcache_to_mem_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 2000 ) N ;
- dcache_to_mem_data_out[44] + NET dcache_to_mem_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 198000 ) N ;
- dcache_to_mem_data_out[45] + NET dcache_to_mem_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 2000 ) N ;
- dcache_to_mem_data_out[46] + NET dcache_to_mem_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 198000 ) N ;
- dcache_to_mem_data_out[47] + NET dcache_to_mem_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 106420 ) N ;
- dcache_to_mem_data_out[48] + NET dcache_to_mem_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 163540 ) N ;
- dcache_to_mem_data_out[49] + NET dcache_to_mem_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 198000 ) N ;
- dcache_to_mem_data_out[4] + NET dcache_to_mem_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 115940 ) N ;
- dcache_to_mem_data_out[50] + NET dcache_to_mem_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 19380 ) N ;
- dcache_to_mem_data_out[51] + NET dcache_to_mem_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 60180 ) N ;
- dcache_to_mem_data_out[52] + NET dcache_to_mem_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 166260 ) N ;
- dcache_to_mem_data_out[53] + NET dcache_to_mem_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75670 2000 ) N ;
- dcache_to_mem_data_out[54] + NET dcache_to_mem_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 2000 ) N ;
- dcache_to_mem_data_out[55] + NET dcache_to_mem_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 2000 ) N ;
- dcache_to_mem_data_out[56] + NET dcache_to_mem_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163990 2000 ) N ;
- dcache_to_mem_data_out[57] + NET dcache_to_mem_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 186660 ) N ;
- dcache_to_mem_data_out[58] + NET dcache_to_mem_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 167620 ) N ;
- dcache_to_mem_data_out[59] + NET dcache_to_mem_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149270 198000 ) N ;
- dcache_to_mem_data_out[5] + NET dcache_to_mem_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 68340 ) N ;
- dcache_to_mem_data_out[60] + NET dcache_to_mem_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 140420 ) N ;
- dcache_to_mem_data_out[61] + NET dcache_to_mem_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 2000 ) N ;
- dcache_to_mem_data_out[62] + NET dcache_to_mem_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 198000 ) N ;
- dcache_to_mem_data_out[63] + NET dcache_to_mem_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 38420 ) N ;
- dcache_to_mem_data_out[64] + NET dcache_to_mem_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132710 198000 ) N ;
- dcache_to_mem_data_out[65] + NET dcache_to_mem_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 2000 ) N ;
- dcache_to_mem_data_out[66] + NET dcache_to_mem_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 95540 ) N ;
- dcache_to_mem_data_out[67] + NET dcache_to_mem_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 2000 ) N ;
- dcache_to_mem_data_out[68] + NET dcache_to_mem_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 30260 ) N ;
- dcache_to_mem_data_out[69] + NET dcache_to_mem_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 64260 ) N ;
- dcache_to_mem_data_out[6] + NET dcache_to_mem_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114310 198000 ) N ;
- dcache_to_mem_data_out[70] + NET dcache_to_mem_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 35700 ) N ;
- dcache_to_mem_data_out[71] + NET dcache_to_mem_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 2000 ) N ;
- dcache_to_mem_data_out[72] + NET dcache_to_mem_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 118660 ) N ;
- dcache_to_mem_data_out[73] + NET dcache_to_mem_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 168980 ) N ;
- dcache_to_mem_data_out[74] + NET dcache_to_mem_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 2000 ) N ;
- dcache_to_mem_data_out[75] + NET dcache_to_mem_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 198000 ) N ;
- dcache_to_mem_data_out[76] + NET dcache_to_mem_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145590 198000 ) N ;
- dcache_to_mem_data_out[77] + NET dcache_to_mem_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 50660 ) N ;
- dcache_to_mem_data_out[78] + NET dcache_to_mem_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 177140 ) N ;
- dcache_to_mem_data_out[79] + NET dcache_to_mem_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 198000 ) N ;
- dcache_to_mem_data_out[7] + NET dcache_to_mem_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 2000 ) N ;
- dcache_to_mem_data_out[80] + NET dcache_to_mem_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 31620 ) N ;
- dcache_to_mem_data_out[81] + NET dcache_to_mem_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 198000 ) N ;
- dcache_to_mem_data_out[82] + NET dcache_to_mem_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 2000 ) N ;
- dcache_to_mem_data_out[83] + NET dcache_to_mem_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 117300 ) N ;
- dcache_to_mem_data_out[84] + NET dcache_to_mem_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 198000 ) N ;
- dcache_to_mem_data_out[85] + NET dcache_to_mem_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 198000 ) N ;
- dcache_to_mem_data_out[86] + NET dcache_to_mem_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5750 2000 ) N ;
- dcache_to_mem_data_out[87] + NET dcache_to_mem_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 183940 ) N ;
- dcache_to_mem_data_out[88] + NET dcache_to_mem_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 198000 ) N ;
- dcache_to_mem_data_out[89] + NET dcache_to_mem_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38870 198000 ) N ;
- dcache_to_mem_data_out[8] + NET dcache_to_mem_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 7140 ) N ;
- dcache_to_mem_data_out[90] + NET dcache_to_mem_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 152660 ) N ;
- dcache_to_mem_data_out[91] + NET dcache_to_mem_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126270 198000 ) N ;
- dcache_to_mem_data_out[92] + NET dcache_to_mem_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 69700 ) N ;
- dcache_to_mem_data_out[93] + NET dcache_to_mem_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 198000 ) N ;
- dcache_to_mem_data_out[94] + NET dcache_to_mem_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 139060 ) N ;
- dcache_to_mem_data_out[95] + NET dcache_to_mem_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114310 2000 ) N ;
- dcache_to_mem_data_out[96] + NET dcache_to_mem_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 198000 ) N ;
- dcache_to_mem_data_out[97] + NET dcache_to_mem_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 148580 ) N ;
- dcache_to_mem_data_out[98] + NET dcache_to_mem_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79350 198000 ) N ;
- dcache_to_mem_data_out[99] + NET dcache_to_mem_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21390 198000 ) N ;
- dcache_to_mem_data_out[9] + NET dcache_to_mem_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 141780 ) N ;
- dcache_we + NET dcache_we + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 45220 ) N ;
- dtlb_physical_addr_in[0] + NET dtlb_physical_addr_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 65620 ) N ;
- dtlb_physical_addr_in[10] + NET dtlb_physical_addr_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 198000 ) N ;
- dtlb_physical_addr_in[11] + NET dtlb_physical_addr_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 198000 ) N ;
- dtlb_physical_addr_in[12] + NET dtlb_physical_addr_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 198000 ) N ;
- dtlb_physical_addr_in[13] + NET dtlb_physical_addr_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172270 2000 ) N ;
- dtlb_physical_addr_in[14] + NET dtlb_physical_addr_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134550 2000 ) N ;
- dtlb_physical_addr_in[15] + NET dtlb_physical_addr_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 144500 ) N ;
- dtlb_physical_addr_in[16] + NET dtlb_physical_addr_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 103700 ) N ;
- dtlb_physical_addr_in[17] + NET dtlb_physical_addr_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 198000 ) N ;
- dtlb_physical_addr_in[18] + NET dtlb_physical_addr_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 2000 ) N ;
- dtlb_physical_addr_in[19] + NET dtlb_physical_addr_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 105060 ) N ;
- dtlb_physical_addr_in[1] + NET dtlb_physical_addr_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 166260 ) N ;
- dtlb_physical_addr_in[2] + NET dtlb_physical_addr_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29670 2000 ) N ;
- dtlb_physical_addr_in[3] + NET dtlb_physical_addr_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 198000 ) N ;
- dtlb_physical_addr_in[4] + NET dtlb_physical_addr_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 34340 ) N ;
- dtlb_physical_addr_in[5] + NET dtlb_physical_addr_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 2000 ) N ;
- dtlb_physical_addr_in[6] + NET dtlb_physical_addr_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 13940 ) N ;
- dtlb_physical_addr_in[7] + NET dtlb_physical_addr_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3910 198000 ) N ;
- dtlb_physical_addr_in[8] + NET dtlb_physical_addr_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 2000 ) N ;
- dtlb_physical_addr_in[9] + NET dtlb_physical_addr_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 198000 ) N ;
- hit_dtlb_in + NET hit_dtlb_in + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 5780 ) N ;
- hit_itlb_in + NET hit_itlb_in + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 2000 ) N ;
- icache_request + NET icache_request + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 109140 ) N ;
- is_dcache_ready + NET is_dcache_ready + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 2000 ) N ;
- is_icache_ready + NET is_icache_ready + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 66980 ) N ;
- is_mem_req + NET is_mem_req + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181470 198000 ) N ;
- itlb_physical_addr_in[0] + NET itlb_physical_addr_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 163540 ) N ;
- itlb_physical_addr_in[10] + NET itlb_physical_addr_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 2000 ) N ;
- itlb_physical_addr_in[11] + NET itlb_physical_addr_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35190 198000 ) N ;
- itlb_physical_addr_in[12] + NET itlb_physical_addr_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 198000 ) N ;
- itlb_physical_addr_in[13] + NET itlb_physical_addr_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 185300 ) N ;
- itlb_physical_addr_in[14] + NET itlb_physical_addr_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 2000 ) N ;
- itlb_physical_addr_in[15] + NET itlb_physical_addr_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 83300 ) N ;
- itlb_physical_addr_in[16] + NET itlb_physical_addr_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 61540 ) N ;
- itlb_physical_addr_in[17] + NET itlb_physical_addr_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 177140 ) N ;
- itlb_physical_addr_in[18] + NET itlb_physical_addr_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 4420 ) N ;
- itlb_physical_addr_in[19] + NET itlb_physical_addr_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 198000 ) N ;
- itlb_physical_addr_in[1] + NET itlb_physical_addr_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 2000 ) N ;
- itlb_physical_addr_in[2] + NET itlb_physical_addr_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 198000 ) N ;
- itlb_physical_addr_in[3] + NET itlb_physical_addr_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 132260 ) N ;
- itlb_physical_addr_in[4] + NET itlb_physical_addr_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 173060 ) N ;
- itlb_physical_addr_in[5] + NET itlb_physical_addr_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 340 ) N ;
- itlb_physical_addr_in[6] + NET itlb_physical_addr_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 120020 ) N ;
- itlb_physical_addr_in[7] + NET itlb_physical_addr_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 2000 ) N ;
- itlb_physical_addr_in[8] + NET itlb_physical_addr_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 186660 ) N ;
- itlb_physical_addr_in[9] + NET itlb_physical_addr_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142830 198000 ) N ;
- mem_addr[0] + NET mem_addr[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 12580 ) N ;
- mem_addr[10] + NET mem_addr[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 9860 ) N ;
- mem_addr[11] + NET mem_addr[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 2000 ) N ;
- mem_addr[12] + NET mem_addr[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149270 2000 ) N ;
- mem_addr[13] + NET mem_addr[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 2000 ) N ;
- mem_addr[14] + NET mem_addr[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 133620 ) N ;
- mem_addr[15] + NET mem_addr[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 45220 ) N ;
- mem_addr[16] + NET mem_addr[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 22100 ) N ;
- mem_addr[17] + NET mem_addr[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89470 2000 ) N ;
- mem_addr[18] + NET mem_addr[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78430 198000 ) N ;
- mem_addr[19] + NET mem_addr[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 2000 ) N ;
- mem_addr[1] + NET mem_addr[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 198000 ) N ;
- mem_addr[2] + NET mem_addr[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 118660 ) N ;
- mem_addr[3] + NET mem_addr[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 2000 ) N ;
- mem_addr[4] + NET mem_addr[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 2000 ) N ;
- mem_addr[5] + NET mem_addr[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 156740 ) N ;
- mem_addr[6] + NET mem_addr[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 114580 ) N ;
- mem_addr[7] + NET mem_addr[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 2000 ) N ;
- mem_addr[8] + NET mem_addr[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 8500 ) N ;
- mem_addr[9] + NET mem_addr[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 2000 ) N ;
- mem_ready + NET mem_ready + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 34340 ) N ;
- mem_to_dcache_data[0] + NET mem_to_dcache_data[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 26180 ) N ;
- mem_to_dcache_data[100] + NET mem_to_dcache_data[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 2000 ) N ;
- mem_to_dcache_data[101] + NET mem_to_dcache_data[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230 198000 ) N ;
- mem_to_dcache_data[102] + NET mem_to_dcache_data[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 2000 ) N ;
- mem_to_dcache_data[103] + NET mem_to_dcache_data[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 198000 ) N ;
- mem_to_dcache_data[104] + NET mem_to_dcache_data[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 2000 ) N ;
- mem_to_dcache_data[105] + NET mem_to_dcache_data[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 198000 ) N ;
- mem_to_dcache_data[106] + NET mem_to_dcache_data[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 38420 ) N ;
- mem_to_dcache_data[107] + NET mem_to_dcache_data[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185150 2000 ) N ;
- mem_to_dcache_data[108] + NET mem_to_dcache_data[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31510 198000 ) N ;
- mem_to_dcache_data[109] + NET mem_to_dcache_data[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99590 2000 ) N ;
- mem_to_dcache_data[10] + NET mem_to_dcache_data[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 2000 ) N ;
- mem_to_dcache_data[110] + NET mem_to_dcache_data[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 198000 ) N ;
- mem_to_dcache_data[111] + NET mem_to_dcache_data[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 198000 ) N ;
- mem_to_dcache_data[112] + NET mem_to_dcache_data[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139150 2000 ) N ;
- mem_to_dcache_data[113] + NET mem_to_dcache_data[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 198900 ) N ;
- mem_to_dcache_data[114] + NET mem_to_dcache_data[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 198000 ) N ;
- mem_to_dcache_data[115] + NET mem_to_dcache_data[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 198000 ) N ;
- mem_to_dcache_data[116] + NET mem_to_dcache_data[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 198000 ) N ;
- mem_to_dcache_data[117] + NET mem_to_dcache_data[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 2000 ) N ;
- mem_to_dcache_data[118] + NET mem_to_dcache_data[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 60180 ) N ;
- mem_to_dcache_data[119] + NET mem_to_dcache_data[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 198000 ) N ;
- mem_to_dcache_data[11] + NET mem_to_dcache_data[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134550 198000 ) N ;
- mem_to_dcache_data[120] + NET mem_to_dcache_data[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 2000 ) N ;
- mem_to_dcache_data[121] + NET mem_to_dcache_data[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 2000 ) N ;
- mem_to_dcache_data[122] + NET mem_to_dcache_data[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 2000 ) N ;
- mem_to_dcache_data[123] + NET mem_to_dcache_data[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171350 198000 ) N ;
- mem_to_dcache_data[124] + NET mem_to_dcache_data[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 9860 ) N ;
- mem_to_dcache_data[125] + NET mem_to_dcache_data[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 43860 ) N ;
- mem_to_dcache_data[126] + NET mem_to_dcache_data[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 2000 ) N ;
- mem_to_dcache_data[127] + NET mem_to_dcache_data[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 130900 ) N ;
- mem_to_dcache_data[12] + NET mem_to_dcache_data[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71990 198000 ) N ;
- mem_to_dcache_data[13] + NET mem_to_dcache_data[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164910 198000 ) N ;
- mem_to_dcache_data[14] + NET mem_to_dcache_data[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 126820 ) N ;
- mem_to_dcache_data[15] + NET mem_to_dcache_data[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 198000 ) N ;
- mem_to_dcache_data[16] + NET mem_to_dcache_data[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 198000 ) N ;
- mem_to_dcache_data[17] + NET mem_to_dcache_data[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 2000 ) N ;
- mem_to_dcache_data[18] + NET mem_to_dcache_data[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 158100 ) N ;
- mem_to_dcache_data[19] + NET mem_to_dcache_data[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 2000 ) N ;
- mem_to_dcache_data[1] + NET mem_to_dcache_data[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 198000 ) N ;
- mem_to_dcache_data[20] + NET mem_to_dcache_data[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35190 2000 ) N ;
- mem_to_dcache_data[21] + NET mem_to_dcache_data[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 198000 ) N ;
- mem_to_dcache_data[22] + NET mem_to_dcache_data[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 120020 ) N ;
- mem_to_dcache_data[23] + NET mem_to_dcache_data[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94070 2000 ) N ;
- mem_to_dcache_data[24] + NET mem_to_dcache_data[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 198000 ) N ;
- mem_to_dcache_data[25] + NET mem_to_dcache_data[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 79220 ) N ;
- mem_to_dcache_data[26] + NET mem_to_dcache_data[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 2000 ) N ;
- mem_to_dcache_data[27] + NET mem_to_dcache_data[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 125460 ) N ;
- mem_to_dcache_data[28] + NET mem_to_dcache_data[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 42500 ) N ;
- mem_to_dcache_data[29] + NET mem_to_dcache_data[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 16660 ) N ;
- mem_to_dcache_data[2] + NET mem_to_dcache_data[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53590 198000 ) N ;
- mem_to_dcache_data[30] + NET mem_to_dcache_data[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 192100 ) N ;
- mem_to_dcache_data[31] + NET mem_to_dcache_data[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 198000 ) N ;
- mem_to_dcache_data[32] + NET mem_to_dcache_data[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 100980 ) N ;
- mem_to_dcache_data[33] + NET mem_to_dcache_data[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 182580 ) N ;
- mem_to_dcache_data[34] + NET mem_to_dcache_data[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 39780 ) N ;
- mem_to_dcache_data[35] + NET mem_to_dcache_data[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 155380 ) N ;
- mem_to_dcache_data[36] + NET mem_to_dcache_data[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 198000 ) N ;
- mem_to_dcache_data[37] + NET mem_to_dcache_data[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14950 2000 ) N ;
- mem_to_dcache_data[38] + NET mem_to_dcache_data[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 2000 ) N ;
- mem_to_dcache_data[39] + NET mem_to_dcache_data[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 2000 ) N ;
- mem_to_dcache_data[3] + NET mem_to_dcache_data[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 19380 ) N ;
- mem_to_dcache_data[40] + NET mem_to_dcache_data[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 2000 ) N ;
- mem_to_dcache_data[41] + NET mem_to_dcache_data[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53590 2000 ) N ;
- mem_to_dcache_data[42] + NET mem_to_dcache_data[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 12580 ) N ;
- mem_to_dcache_data[43] + NET mem_to_dcache_data[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 198000 ) N ;
- mem_to_dcache_data[44] + NET mem_to_dcache_data[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 81940 ) N ;
- mem_to_dcache_data[45] + NET mem_to_dcache_data[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 117300 ) N ;
- mem_to_dcache_data[46] + NET mem_to_dcache_data[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 62900 ) N ;
- mem_to_dcache_data[47] + NET mem_to_dcache_data[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 2000 ) N ;
- mem_to_dcache_data[48] + NET mem_to_dcache_data[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 198000 ) N ;
- mem_to_dcache_data[49] + NET mem_to_dcache_data[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 39780 ) N ;
- mem_to_dcache_data[4] + NET mem_to_dcache_data[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 114580 ) N ;
- mem_to_dcache_data[50] + NET mem_to_dcache_data[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 198000 ) N ;
- mem_to_dcache_data[51] + NET mem_to_dcache_data[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156630 198000 ) N ;
- mem_to_dcache_data[52] + NET mem_to_dcache_data[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 198000 ) N ;
- mem_to_dcache_data[53] + NET mem_to_dcache_data[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 198000 ) N ;
- mem_to_dcache_data[54] + NET mem_to_dcache_data[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11270 198000 ) N ;
- mem_to_dcache_data[55] + NET mem_to_dcache_data[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 2000 ) N ;
- mem_to_dcache_data[56] + NET mem_to_dcache_data[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191590 2000 ) N ;
- mem_to_dcache_data[57] + NET mem_to_dcache_data[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 54740 ) N ;
- mem_to_dcache_data[58] + NET mem_to_dcache_data[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 121380 ) N ;
- mem_to_dcache_data[59] + NET mem_to_dcache_data[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86710 198000 ) N ;
- mem_to_dcache_data[5] + NET mem_to_dcache_data[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 2000 ) N ;
- mem_to_dcache_data[60] + NET mem_to_dcache_data[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17710 198000 ) N ;
- mem_to_dcache_data[61] + NET mem_to_dcache_data[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 147220 ) N ;
- mem_to_dcache_data[62] + NET mem_to_dcache_data[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163990 198000 ) N ;
- mem_to_dcache_data[63] + NET mem_to_dcache_data[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195270 198000 ) N ;
- mem_to_dcache_data[64] + NET mem_to_dcache_data[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164910 2000 ) N ;
- mem_to_dcache_data[65] + NET mem_to_dcache_data[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 198000 ) N ;
- mem_to_dcache_data[66] + NET mem_to_dcache_data[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 2000 ) N ;
- mem_to_dcache_data[67] + NET mem_to_dcache_data[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 2000 ) N ;
- mem_to_dcache_data[68] + NET mem_to_dcache_data[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31510 2000 ) N ;
- mem_to_dcache_data[69] + NET mem_to_dcache_data[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 2000 ) N ;
- mem_to_dcache_data[6] + NET mem_to_dcache_data[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 2000 ) N ;
- mem_to_dcache_data[70] + NET mem_to_dcache_data[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 99620 ) N ;
- mem_to_dcache_data[71] + NET mem_to_dcache_data[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 181220 ) N ;
- mem_to_dcache_data[72] + NET mem_to_dcache_data[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 126820 ) N ;
- mem_to_dcache_data[73] + NET mem_to_dcache_data[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85790 198000 ) N ;
- mem_to_dcache_data[74] + NET mem_to_dcache_data[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 198000 ) N ;
- mem_to_dcache_data[75] + NET mem_to_dcache_data[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 2000 ) N ;
- mem_to_dcache_data[76] + NET mem_to_dcache_data[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 151300 ) N ;
- mem_to_dcache_data[77] + NET mem_to_dcache_data[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 2000 ) N ;
- mem_to_dcache_data[78] + NET mem_to_dcache_data[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 198000 ) N ;
- mem_to_dcache_data[79] + NET mem_to_dcache_data[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 2000 ) N ;
- mem_to_dcache_data[7] + NET mem_to_dcache_data[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 76500 ) N ;
- mem_to_dcache_data[80] + NET mem_to_dcache_data[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 110500 ) N ;
- mem_to_dcache_data[81] + NET mem_to_dcache_data[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 86020 ) N ;
- mem_to_dcache_data[82] + NET mem_to_dcache_data[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 198000 ) N ;
- mem_to_dcache_data[83] + NET mem_to_dcache_data[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 198000 ) N ;
- mem_to_dcache_data[84] + NET mem_to_dcache_data[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 198000 ) N ;
- mem_to_dcache_data[85] + NET mem_to_dcache_data[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 2000 ) N ;
- mem_to_dcache_data[86] + NET mem_to_dcache_data[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99590 198000 ) N ;
- mem_to_dcache_data[87] + NET mem_to_dcache_data[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 91460 ) N ;
- mem_to_dcache_data[88] + NET mem_to_dcache_data[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 2000 ) N ;
- mem_to_dcache_data[89] + NET mem_to_dcache_data[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156630 2000 ) N ;
- mem_to_dcache_data[8] + NET mem_to_dcache_data[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 136340 ) N ;
- mem_to_dcache_data[90] + NET mem_to_dcache_data[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 18020 ) N ;
- mem_to_dcache_data[91] + NET mem_to_dcache_data[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 168980 ) N ;
- mem_to_dcache_data[92] + NET mem_to_dcache_data[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 41140 ) N ;
- mem_to_dcache_data[93] + NET mem_to_dcache_data[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 198000 ) N ;
- mem_to_dcache_data[94] + NET mem_to_dcache_data[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 2000 ) N ;
- mem_to_dcache_data[95] + NET mem_to_dcache_data[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 2000 ) N ;
- mem_to_dcache_data[96] + NET mem_to_dcache_data[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 2000 ) N ;
- mem_to_dcache_data[97] + NET mem_to_dcache_data[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97750 198000 ) N ;
- mem_to_dcache_data[98] + NET mem_to_dcache_data[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 2000 ) N ;
- mem_to_dcache_data[99] + NET mem_to_dcache_data[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 2000 ) N ;
- mem_to_dcache_data[9] + NET mem_to_dcache_data[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 170340 ) N ;
- mem_to_icache_data[0] + NET mem_to_icache_data[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 143140 ) N ;
- mem_to_icache_data[100] + NET mem_to_icache_data[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 196180 ) N ;
- mem_to_icache_data[101] + NET mem_to_icache_data[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153870 2000 ) N ;
- mem_to_icache_data[102] + NET mem_to_icache_data[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 198000 ) N ;
- mem_to_icache_data[103] + NET mem_to_icache_data[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 2000 ) N ;
- mem_to_icache_data[104] + NET mem_to_icache_data[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 182580 ) N ;
- mem_to_icache_data[105] + NET mem_to_icache_data[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 198000 ) N ;
- mem_to_icache_data[106] + NET mem_to_icache_data[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 145860 ) N ;
- mem_to_icache_data[107] + NET mem_to_icache_data[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150190 2000 ) N ;
- mem_to_icache_data[108] + NET mem_to_icache_data[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 198000 ) N ;
- mem_to_icache_data[109] + NET mem_to_icache_data[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 23460 ) N ;
- mem_to_icache_data[10] + NET mem_to_icache_data[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63710 198000 ) N ;
- mem_to_icache_data[110] + NET mem_to_icache_data[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 128180 ) N ;
- mem_to_icache_data[111] + NET mem_to_icache_data[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 35700 ) N ;
- mem_to_icache_data[112] + NET mem_to_icache_data[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25070 2000 ) N ;
- mem_to_icache_data[113] + NET mem_to_icache_data[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 136340 ) N ;
- mem_to_icache_data[114] + NET mem_to_icache_data[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 2000 ) N ;
- mem_to_icache_data[115] + NET mem_to_icache_data[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 178500 ) N ;
- mem_to_icache_data[116] + NET mem_to_icache_data[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49910 2000 ) N ;
- mem_to_icache_data[117] + NET mem_to_icache_data[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 53380 ) N ;
- mem_to_icache_data[118] + NET mem_to_icache_data[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 198000 ) N ;
- mem_to_icache_data[119] + NET mem_to_icache_data[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 198000 ) N ;
- mem_to_icache_data[11] + NET mem_to_icache_data[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 198000 ) N ;
- mem_to_icache_data[120] + NET mem_to_icache_data[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6670 198000 ) N ;
- mem_to_icache_data[121] + NET mem_to_icache_data[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24150 198000 ) N ;
- mem_to_icache_data[122] + NET mem_to_icache_data[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 37060 ) N ;
- mem_to_icache_data[123] + NET mem_to_icache_data[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 194820 ) N ;
- mem_to_icache_data[124] + NET mem_to_icache_data[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 84660 ) N ;
- mem_to_icache_data[125] + NET mem_to_icache_data[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89470 198000 ) N ;
- mem_to_icache_data[126] + NET mem_to_icache_data[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49910 198000 ) N ;
- mem_to_icache_data[127] + NET mem_to_icache_data[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 164900 ) N ;
- mem_to_icache_data[12] + NET mem_to_icache_data[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17710 2000 ) N ;
- mem_to_icache_data[13] + NET mem_to_icache_data[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 124100 ) N ;
- mem_to_icache_data[14] + NET mem_to_icache_data[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 189380 ) N ;
- mem_to_icache_data[15] + NET mem_to_icache_data[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 171700 ) N ;
- mem_to_icache_data[16] + NET mem_to_icache_data[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 2000 ) N ;
- mem_to_icache_data[17] + NET mem_to_icache_data[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 151300 ) N ;
- mem_to_icache_data[18] + NET mem_to_icache_data[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 71060 ) N ;
- mem_to_icache_data[19] + NET mem_to_icache_data[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 2000 ) N ;
- mem_to_icache_data[1] + NET mem_to_icache_data[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 198000 ) N ;
- mem_to_icache_data[20] + NET mem_to_icache_data[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 198000 ) N ;
- mem_to_icache_data[21] + NET mem_to_icache_data[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 2000 ) N ;
- mem_to_icache_data[22] + NET mem_to_icache_data[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151110 2000 ) N ;
- mem_to_icache_data[23] + NET mem_to_icache_data[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 43860 ) N ;
- mem_to_icache_data[24] + NET mem_to_icache_data[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 197540 ) N ;
- mem_to_icache_data[25] + NET mem_to_icache_data[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 15300 ) N ;
- mem_to_icache_data[26] + NET mem_to_icache_data[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 2000 ) N ;
- mem_to_icache_data[27] + NET mem_to_icache_data[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 198000 ) N ;
- mem_to_icache_data[28] + NET mem_to_icache_data[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 137700 ) N ;
- mem_to_icache_data[29] + NET mem_to_icache_data[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172270 198000 ) N ;
- mem_to_icache_data[2] + NET mem_to_icache_data[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71990 2000 ) N ;
- mem_to_icache_data[30] + NET mem_to_icache_data[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128110 198000 ) N ;
- mem_to_icache_data[31] + NET mem_to_icache_data[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 91460 ) N ;
- mem_to_icache_data[32] + NET mem_to_icache_data[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 2000 ) N ;
- mem_to_icache_data[33] + NET mem_to_icache_data[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 198000 ) N ;
- mem_to_icache_data[34] + NET mem_to_icache_data[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 2000 ) N ;
- mem_to_icache_data[35] + NET mem_to_icache_data[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 2000 ) N ;
- mem_to_icache_data[36] + NET mem_to_icache_data[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9430 2000 ) N ;
- mem_to_icache_data[37] + NET mem_to_icache_data[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 61540 ) N ;
- mem_to_icache_data[38] + NET mem_to_icache_data[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 46580 ) N ;
- mem_to_icache_data[39] + NET mem_to_icache_data[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46230 198000 ) N ;
- mem_to_icache_data[3] + NET mem_to_icache_data[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 198000 ) N ;
- mem_to_icache_data[40] + NET mem_to_icache_data[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 125460 ) N ;
- mem_to_icache_data[41] + NET mem_to_icache_data[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 145860 ) N ;
- mem_to_icache_data[42] + NET mem_to_icache_data[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 137700 ) N ;
- mem_to_icache_data[43] + NET mem_to_icache_data[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7590 2000 ) N ;
- mem_to_icache_data[44] + NET mem_to_icache_data[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38870 2000 ) N ;
- mem_to_icache_data[45] + NET mem_to_icache_data[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 110500 ) N ;
- mem_to_icache_data[46] + NET mem_to_icache_data[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 2000 ) N ;
- mem_to_icache_data[47] + NET mem_to_icache_data[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 198000 ) N ;
- mem_to_icache_data[48] + NET mem_to_icache_data[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162150 198000 ) N ;
- mem_to_icache_data[49] + NET mem_to_icache_data[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5750 198000 ) N ;
- mem_to_icache_data[4] + NET mem_to_icache_data[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 2000 ) N ;
- mem_to_icache_data[50] + NET mem_to_icache_data[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 129540 ) N ;
- mem_to_icache_data[51] + NET mem_to_icache_data[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 198000 ) N ;
- mem_to_icache_data[52] + NET mem_to_icache_data[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 92820 ) N ;
- mem_to_icache_data[53] + NET mem_to_icache_data[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 174420 ) N ;
- mem_to_icache_data[54] + NET mem_to_icache_data[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 53380 ) N ;
- mem_to_icache_data[55] + NET mem_to_icache_data[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 2000 ) N ;
- mem_to_icache_data[56] + NET mem_to_icache_data[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150190 198000 ) N ;
- mem_to_icache_data[57] + NET mem_to_icache_data[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 198000 ) N ;
- mem_to_icache_data[58] + NET mem_to_icache_data[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56350 2000 ) N ;
- mem_to_icache_data[59] + NET mem_to_icache_data[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 124100 ) N ;
- mem_to_icache_data[5] + NET mem_to_icache_data[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145590 2000 ) N ;
- mem_to_icache_data[60] + NET mem_to_icache_data[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 42500 ) N ;
- mem_to_icache_data[61] + NET mem_to_icache_data[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 87380 ) N ;
- mem_to_icache_data[62] + NET mem_to_icache_data[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182390 2000 ) N ;
- mem_to_icache_data[63] + NET mem_to_icache_data[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28750 2000 ) N ;
- mem_to_icache_data[64] + NET mem_to_icache_data[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86710 2000 ) N ;
- mem_to_icache_data[65] + NET mem_to_icache_data[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 77860 ) N ;
- mem_to_icache_data[66] + NET mem_to_icache_data[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 198000 ) N ;
- mem_to_icache_data[67] + NET mem_to_icache_data[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 194820 ) N ;
- mem_to_icache_data[68] + NET mem_to_icache_data[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 2000 ) N ;
- mem_to_icache_data[69] + NET mem_to_icache_data[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14030 2000 ) N ;
- mem_to_icache_data[6] + NET mem_to_icache_data[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 109140 ) N ;
- mem_to_icache_data[70] + NET mem_to_icache_data[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3910 2000 ) N ;
- mem_to_icache_data[71] + NET mem_to_icache_data[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 197540 ) N ;
- mem_to_icache_data[72] + NET mem_to_icache_data[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 198000 ) N ;
- mem_to_icache_data[73] + NET mem_to_icache_data[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 2000 ) N ;
- mem_to_icache_data[74] + NET mem_to_icache_data[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 11220 ) N ;
- mem_to_icache_data[75] + NET mem_to_icache_data[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117070 2000 ) N ;
- mem_to_icache_data[76] + NET mem_to_icache_data[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 47940 ) N ;
- mem_to_icache_data[77] + NET mem_to_icache_data[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 2000 ) N ;
- mem_to_icache_data[78] + NET mem_to_icache_data[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230 2000 ) N ;
- mem_to_icache_data[79] + NET mem_to_icache_data[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 2000 ) N ;
- mem_to_icache_data[7] + NET mem_to_icache_data[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 94180 ) N ;
- mem_to_icache_data[80] + NET mem_to_icache_data[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 193460 ) N ;
- mem_to_icache_data[81] + NET mem_to_icache_data[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67390 198000 ) N ;
- mem_to_icache_data[82] + NET mem_to_icache_data[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 58820 ) N ;
- mem_to_icache_data[83] + NET mem_to_icache_data[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 2000 ) N ;
- mem_to_icache_data[84] + NET mem_to_icache_data[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 2000 ) N ;
- mem_to_icache_data[85] + NET mem_to_icache_data[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110630 198000 ) N ;
- mem_to_icache_data[86] + NET mem_to_icache_data[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 198000 ) N ;
- mem_to_icache_data[87] + NET mem_to_icache_data[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92230 2000 ) N ;
- mem_to_icache_data[88] + NET mem_to_icache_data[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 198000 ) N ;
- mem_to_icache_data[89] + NET mem_to_icache_data[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 27540 ) N ;
- mem_to_icache_data[8] + NET mem_to_icache_data[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 149940 ) N ;
- mem_to_icache_data[90] + NET mem_to_icache_data[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 149940 ) N ;
- mem_to_icache_data[91] + NET mem_to_icache_data[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 2000 ) N ;
- mem_to_icache_data[92] + NET mem_to_icache_data[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 2000 ) N ;
- mem_to_icache_data[93] + NET mem_to_icache_data[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 2000 ) N ;
- mem_to_icache_data[94] + NET mem_to_icache_data[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 140420 ) N ;
- mem_to_icache_data[95] + NET mem_to_icache_data[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103270 2000 ) N ;
- mem_to_icache_data[96] + NET mem_to_icache_data[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139150 198000 ) N ;
- mem_to_icache_data[97] + NET mem_to_icache_data[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 198000 ) N ;
- mem_to_icache_data[98] + NET mem_to_icache_data[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16790 198000 ) N ;
- mem_to_icache_data[99] + NET mem_to_icache_data[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 198000 ) N ;
- mem_to_icache_data[9] + NET mem_to_icache_data[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 2000 ) N ;
- mem_we + NET mem_we + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 52020 ) N ;
- reset + NET reset + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2070 198000 ) N ;
- reset_mem_req + NET reset_mem_req + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 75140 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ LAYER met4 ( -154400 -88640 ) ( -152800 88640 )
+ FIXED ( 175440 99280 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ FIXED ( 98640 99280 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 200000 200000 ) ;
END BLOCKAGES
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met3 0 + SHAPE STRIPE ( 175440 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 175440 10640 ) ( 175440 187920 )
NEW met4 1600 + SHAPE STRIPE ( 21840 10640 ) ( 21840 187920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 194120 187680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 194120 182240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 194120 176800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 194120 171360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 194120 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 194120 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 194120 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 194120 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 194120 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 194120 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 194120 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 194120 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 194120 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 194120 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 194120 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 194120 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 194120 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 194120 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 194120 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 194120 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 194120 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 194120 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 194120 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 194120 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 194120 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 194120 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 194120 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 194120 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 194120 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 194120 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 194120 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 194120 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 194120 13600 ) ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met3 0 + SHAPE STRIPE ( 98640 184960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 184960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 184960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 179520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 179520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 179520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 174080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 174080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 174080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 168640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 168640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 168640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 163200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 163200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 163200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 157760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 157760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 157760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 152320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 152320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 152320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 146880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 146880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 146880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 141440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 141440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 141440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 136000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 136000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 136000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 130560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 130560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 130560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 125120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 125120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 125120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 119680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 119680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 119680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 114240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 114240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 114240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 10880 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 98640 10640 ) ( 98640 187920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 194120 184960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 194120 179520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 194120 174080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 194120 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 194120 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 194120 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 194120 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 194120 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 194120 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 194120 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 194120 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 194120 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 194120 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 194120 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 194120 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 194120 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 194120 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 194120 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 194120 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 194120 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 194120 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 194120 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 194120 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 194120 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 194120 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 194120 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 194120 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 194120 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 194120 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 194120 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 194120 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 194120 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 194120 10880 ) ;
END SPECIALNETS
NETS 1622 ;
- _000_ ( _790_ D ) ( _331_ X ) + USE SIGNAL
+ ROUTED met1 ( 115645 86190 ) ( 116150 * )
NEW met2 ( 116150 86190 ) ( * 89250 )
NEW met1 ( 116150 89250 ) ( 122590 * )
NEW met2 ( 122590 89250 ) ( * 95710 )
NEW li1 ( 115645 86190 ) L1M1_PR_MR
NEW met1 ( 116150 86190 ) M1M2_PR
NEW met1 ( 116150 89250 ) M1M2_PR
NEW met1 ( 122590 89250 ) M1M2_PR
NEW li1 ( 122590 95710 ) L1M1_PR_MR
NEW met1 ( 122590 95710 ) M1M2_PR
NEW met1 ( 122590 95710 ) RECT ( -355 -70 0 70 ) ;
- _001_ ( _791_ D ) ( _328_ X ) + USE SIGNAL
+ ROUTED met1 ( 127290 80410 ) ( 130410 * )
NEW met1 ( 130410 80070 ) ( * 80410 )
NEW met1 ( 130410 80070 ) ( 132710 * )
NEW met2 ( 132710 80070 ) ( * 87550 )
NEW met1 ( 132710 87550 ) ( 135470 * )
NEW li1 ( 127290 80410 ) L1M1_PR_MR
NEW met1 ( 132710 80070 ) M1M2_PR
NEW met1 ( 132710 87550 ) M1M2_PR
NEW li1 ( 135470 87550 ) L1M1_PR_MR ;
- _002_ ( _788_ D ) ( _342_ X ) + USE SIGNAL
+ ROUTED met1 ( 127805 82450 ) ( 129950 * )
NEW met1 ( 129950 82450 ) ( * 82790 )
NEW met1 ( 129950 82790 ) ( 131790 * )
NEW met2 ( 131790 82790 ) ( * 84830 )
NEW met1 ( 131790 84830 ) ( 135010 * )
NEW li1 ( 127805 82450 ) L1M1_PR_MR
NEW met1 ( 131790 82790 ) M1M2_PR
NEW met1 ( 131790 84830 ) M1M2_PR
NEW li1 ( 135010 84830 ) L1M1_PR_MR ;
- _003_ ( _789_ D ) ( _336_ Y ) + USE SIGNAL
+ ROUTED met1 ( 127190 87890 ) ( 127695 * )
NEW met2 ( 127190 87890 ) ( * 89420 )
NEW met3 ( 127190 89420 ) ( 129030 * )
NEW met2 ( 129030 89420 ) ( * 90270 )
NEW met1 ( 129030 90270 ) ( 131330 * )
NEW li1 ( 127695 87890 ) L1M1_PR_MR
NEW met1 ( 127190 87890 ) M1M2_PR
NEW met2 ( 127190 89420 ) M2M3_PR_M
NEW met2 ( 129030 89420 ) M2M3_PR_M
NEW met1 ( 129030 90270 ) M1M2_PR
NEW li1 ( 131330 90270 ) L1M1_PR_MR ;
- _004_ ( _792_ D ) ( _346_ Y ) + USE SIGNAL
+ ROUTED met1 ( 130870 81090 ) ( 131755 * )
NEW met2 ( 130870 81090 ) ( * 81260 )
NEW met3 ( 124890 81260 ) ( 130870 * )
NEW met2 ( 124890 81260 ) ( * 91290 )
NEW met1 ( 124890 91290 ) ( 126315 * )
NEW li1 ( 131755 81090 ) L1M1_PR_MR
NEW met1 ( 130870 81090 ) M1M2_PR
NEW met2 ( 130870 81260 ) M2M3_PR_M
NEW met2 ( 124890 81260 ) M2M3_PR_M
NEW met1 ( 124890 91290 ) M1M2_PR
NEW li1 ( 126315 91290 ) L1M1_PR_MR ;
- _005_ ( _336_ A1 ) ( _332_ Y ) ( _294_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 128110 85510 ) ( 140990 * )
NEW met1 ( 130410 91290 ) ( 130870 * )
NEW met2 ( 130870 88910 ) ( * 91290 )
NEW met1 ( 129030 88910 ) ( 130870 * )
NEW met2 ( 129030 85170 ) ( * 88910 )
NEW met1 ( 129030 85170 ) ( * 85510 )
NEW li1 ( 128110 85510 ) L1M1_PR_MR
NEW li1 ( 140990 85510 ) L1M1_PR_MR
NEW li1 ( 130410 91290 ) L1M1_PR_MR
NEW met1 ( 130870 91290 ) M1M2_PR
NEW met1 ( 130870 88910 ) M1M2_PR
NEW met1 ( 129030 88910 ) M1M2_PR
NEW met1 ( 129030 85170 ) M1M2_PR ;
- _006_ ( _334_ B ) ( _333_ Y ) ( _326_ B ) + USE SIGNAL
+ ROUTED met1 ( 126270 76670 ) ( * 77010 )
NEW met1 ( 116610 76670 ) ( 126270 * )
NEW met2 ( 116610 76670 ) ( * 82110 )
NEW met1 ( 112470 82110 ) ( 116610 * )
NEW met2 ( 135010 76670 ) ( * 80410 )
NEW met1 ( 126270 76670 ) ( 135010 * )
NEW li1 ( 126270 77010 ) L1M1_PR_MR
NEW met1 ( 116610 76670 ) M1M2_PR
NEW met1 ( 116610 82110 ) M1M2_PR
NEW li1 ( 112470 82110 ) L1M1_PR_MR
NEW li1 ( 135010 80410 ) L1M1_PR_MR
NEW met1 ( 135010 80410 ) M1M2_PR
NEW met1 ( 135010 76670 ) M1M2_PR
NEW met1 ( 135010 80410 ) RECT ( -355 -70 0 70 ) ;
- _007_ ( _336_ A2 ) ( _334_ X ) + USE SIGNAL
+ ROUTED met1 ( 128110 78370 ) ( 129950 * )
NEW met2 ( 129950 78370 ) ( * 91290 )
NEW li1 ( 128110 78370 ) L1M1_PR_MR
NEW met1 ( 129950 78370 ) M1M2_PR
NEW li1 ( 129950 91290 ) L1M1_PR_MR
NEW met1 ( 129950 91290 ) M1M2_PR
NEW met1 ( 129950 91290 ) RECT ( -355 -70 0 70 ) ;
- _008_ ( _346_ B1 ) ( _342_ B1 ) ( _336_ B1 ) ( _335_ X ) ( _330_ B_N ) ( _327_ A_N ) + USE SIGNAL
+ ROUTED met1 ( 130870 83130 ) ( 131330 * )
NEW met2 ( 130870 83130 ) ( * 83300 )
NEW met3 ( 126270 83300 ) ( 130870 * )
NEW met2 ( 126270 82450 ) ( * 83300 )
NEW met1 ( 115690 82450 ) ( 126270 * )
NEW met2 ( 132250 80750 ) ( * 83130 )
NEW met1 ( 131330 83130 ) ( 132250 * )
NEW met1 ( 134090 83470 ) ( 136850 * )
NEW met1 ( 134090 83130 ) ( * 83470 )
NEW met1 ( 132250 83130 ) ( 134090 * )
NEW met2 ( 137770 83470 ) ( * 85850 )
NEW met1 ( 136850 83470 ) ( 137770 * )
NEW met1 ( 131330 90950 ) ( * 91290 )
NEW met1 ( 131330 90950 ) ( 132250 * )
NEW met2 ( 132250 86530 ) ( * 90950 )
NEW met1 ( 132250 86530 ) ( 137770 * )
NEW met1 ( 137770 85850 ) ( * 86530 )
NEW li1 ( 131330 83130 ) L1M1_PR_MR
NEW met1 ( 130870 83130 ) M1M2_PR
NEW met2 ( 130870 83300 ) M2M3_PR_M
NEW met2 ( 126270 83300 ) M2M3_PR_M
NEW met1 ( 126270 82450 ) M1M2_PR
NEW li1 ( 115690 82450 ) L1M1_PR_MR
NEW li1 ( 132250 80750 ) L1M1_PR_MR
NEW met1 ( 132250 80750 ) M1M2_PR
NEW met1 ( 132250 83130 ) M1M2_PR
NEW li1 ( 136850 83470 ) L1M1_PR_MR
NEW li1 ( 137770 85850 ) L1M1_PR_MR
NEW met1 ( 137770 85850 ) M1M2_PR
NEW met1 ( 137770 83470 ) M1M2_PR
NEW li1 ( 131330 91290 ) L1M1_PR_MR
NEW met1 ( 132250 90950 ) M1M2_PR
NEW met1 ( 132250 86530 ) M1M2_PR
NEW met1 ( 132250 80750 ) RECT ( 0 -70 355 70 )
NEW met1 ( 137770 85850 ) RECT ( -355 -70 0 70 ) ;
- _009_ ( _342_ A2 ) ( _337_ Y ) ( _330_ A_N ) + USE SIGNAL
+ ROUTED met2 ( 70610 83470 ) ( * 84830 )
NEW met1 ( 54510 84830 ) ( 70610 * )
NEW met1 ( 115690 83470 ) ( 123050 * )
NEW met2 ( 123050 83470 ) ( * 86190 )
NEW met1 ( 123050 86190 ) ( 136390 * )
NEW met1 ( 70610 83470 ) ( 115690 * )
NEW met1 ( 70610 84830 ) M1M2_PR
NEW met1 ( 70610 83470 ) M1M2_PR
NEW li1 ( 54510 84830 ) L1M1_PR_MR
NEW li1 ( 115690 83470 ) L1M1_PR_MR
NEW met1 ( 123050 83470 ) M1M2_PR
NEW met1 ( 123050 86190 ) M1M2_PR
NEW li1 ( 136390 86190 ) L1M1_PR_MR ;
- _010_ ( _342_ A3 ) ( _338_ Y ) ( _330_ C ) + USE SIGNAL
+ ROUTED met1 ( 132250 85850 ) ( 135930 * )
NEW met2 ( 132250 83810 ) ( * 85850 )
NEW met1 ( 123970 83810 ) ( 132250 * )
NEW met1 ( 123970 82790 ) ( * 83810 )
NEW met1 ( 117990 82790 ) ( 123970 * )
NEW met1 ( 135470 90270 ) ( 135930 * )
NEW met2 ( 135930 85850 ) ( * 90270 )
NEW li1 ( 135930 85850 ) L1M1_PR_MR
NEW met1 ( 132250 85850 ) M1M2_PR
NEW met1 ( 132250 83810 ) M1M2_PR
NEW li1 ( 117990 82790 ) L1M1_PR_MR
NEW li1 ( 135470 90270 ) L1M1_PR_MR
NEW met1 ( 135930 90270 ) M1M2_PR
NEW met1 ( 135930 85850 ) M1M2_PR
NEW met1 ( 135930 85850 ) RECT ( -595 -70 0 70 ) ;
- _011_ ( _341_ A ) ( _339_ Y ) ( _329_ A ) ( _326_ A ) + USE SIGNAL
+ ROUTED met1 ( 135930 80410 ) ( 141910 * )
NEW met2 ( 141910 80410 ) ( * 82790 )
NEW met1 ( 123970 77350 ) ( 130870 * )
NEW met1 ( 130870 77350 ) ( * 77690 )
NEW met1 ( 130870 77690 ) ( 138230 * )
NEW met2 ( 138230 77690 ) ( * 80410 )
NEW met1 ( 114310 79730 ) ( 123050 * )
NEW met2 ( 123050 77350 ) ( * 79730 )
NEW met1 ( 123050 77350 ) ( 123970 * )
NEW li1 ( 135930 80410 ) L1M1_PR_MR
NEW met1 ( 141910 80410 ) M1M2_PR
NEW li1 ( 141910 82790 ) L1M1_PR_MR
NEW met1 ( 141910 82790 ) M1M2_PR
NEW li1 ( 123970 77350 ) L1M1_PR_MR
NEW met1 ( 138230 77690 ) M1M2_PR
NEW met1 ( 138230 80410 ) M1M2_PR
NEW li1 ( 114310 79730 ) L1M1_PR_MR
NEW met1 ( 123050 79730 ) M1M2_PR
NEW met1 ( 123050 77350 ) M1M2_PR
NEW met1 ( 141910 82790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 138230 80410 ) RECT ( -595 -70 0 70 ) ;
- _012_ ( _341_ B ) ( _340_ Y ) ( _294_ A2 ) + USE SIGNAL
+ ROUTED met2 ( 126270 84830 ) ( * 85850 )
NEW met1 ( 126270 84830 ) ( 131330 * )
NEW met1 ( 131330 84830 ) ( * 85170 )
NEW met1 ( 131330 85170 ) ( 140990 * )
NEW met1 ( 140990 84830 ) ( * 85170 )
NEW met2 ( 140990 82790 ) ( * 84830 )
NEW met1 ( 132250 92990 ) ( 133170 * )
NEW met2 ( 133170 85170 ) ( * 92990 )
NEW li1 ( 126270 85850 ) L1M1_PR_MR
NEW met1 ( 126270 85850 ) M1M2_PR
NEW met1 ( 126270 84830 ) M1M2_PR
NEW met1 ( 140990 84830 ) M1M2_PR
NEW li1 ( 140990 82790 ) L1M1_PR_MR
NEW met1 ( 140990 82790 ) M1M2_PR
NEW li1 ( 132250 92990 ) L1M1_PR_MR
NEW met1 ( 133170 92990 ) M1M2_PR
NEW met1 ( 133170 85170 ) M1M2_PR
NEW met1 ( 126270 85850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 140990 82790 ) RECT ( 0 -70 355 70 )
NEW met1 ( 133170 85170 ) RECT ( -595 -70 0 70 ) ;
- _013_ ( _342_ C1 ) ( _341_ Y ) + USE SIGNAL
+ ROUTED met1 ( 138230 83810 ) ( 140990 * )
NEW met2 ( 138230 83810 ) ( * 85850 )
NEW li1 ( 140990 83810 ) L1M1_PR_MR
NEW met1 ( 138230 83810 ) M1M2_PR
NEW li1 ( 138230 85850 ) L1M1_PR_MR
NEW met1 ( 138230 85850 ) M1M2_PR
NEW met1 ( 138230 85850 ) RECT ( 0 -70 355 70 ) ;
- _014_ ( _346_ A1 ) ( _343_ Y ) ( _294_ C1 ) + USE SIGNAL
+ ROUTED met1 ( 126730 85850 ) ( 129030 * )
NEW met1 ( 126730 85510 ) ( * 85850 )
NEW met1 ( 124430 85510 ) ( 126730 * )
NEW met2 ( 124430 85510 ) ( * 87890 )
NEW met1 ( 118450 87890 ) ( 124430 * )
NEW met1 ( 118450 87550 ) ( * 87890 )
NEW met1 ( 111550 87550 ) ( 118450 * )
NEW met2 ( 131330 80750 ) ( * 85850 )
NEW met1 ( 129030 85850 ) ( 131330 * )
NEW li1 ( 129030 85850 ) L1M1_PR_MR
NEW met1 ( 124430 85510 ) M1M2_PR
NEW met1 ( 124430 87890 ) M1M2_PR
NEW li1 ( 111550 87550 ) L1M1_PR_MR
NEW li1 ( 131330 80750 ) L1M1_PR_MR
NEW met1 ( 131330 80750 ) M1M2_PR
NEW met1 ( 131330 85850 ) M1M2_PR
NEW met1 ( 131330 80750 ) RECT ( 0 -70 355 70 ) ;
- _015_ ( _345_ B ) ( _344_ Y ) ( _329_ B ) + USE SIGNAL
+ ROUTED met1 ( 117530 77350 ) ( 122590 * )
NEW met1 ( 117530 77010 ) ( * 77350 )
NEW met1 ( 115230 77010 ) ( 117530 * )
NEW met2 ( 121670 75310 ) ( * 77350 )
NEW li1 ( 122590 77350 ) L1M1_PR_MR
NEW li1 ( 115230 77010 ) L1M1_PR_MR
NEW li1 ( 121670 75310 ) L1M1_PR_MR
NEW met1 ( 121670 75310 ) M1M2_PR
NEW met1 ( 121670 77350 ) M1M2_PR
NEW met1 ( 121670 75310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 121670 77350 ) RECT ( -595 -70 0 70 ) ;
- _016_ ( _346_ A2 ) ( _345_ X ) + USE SIGNAL
+ ROUTED met1 ( 123510 75650 ) ( 124430 * )
NEW met2 ( 124430 75650 ) ( * 80750 )
NEW met1 ( 124430 80750 ) ( 130870 * )
NEW met1 ( 130870 80410 ) ( * 80750 )
NEW li1 ( 123510 75650 ) L1M1_PR_MR
NEW met1 ( 124430 75650 ) M1M2_PR
NEW met1 ( 124430 80750 ) M1M2_PR
NEW li1 ( 130870 80410 ) L1M1_PR_MR ;
- _017_ ( _484_ A ) ( _428_ A ) ( _372_ A ) ( _348_ A ) ( _347_ X ) ( _198_ A ) + USE SIGNAL
+ ROUTED met2 ( 99130 88230 ) ( * 91290 )
NEW met1 ( 91770 91290 ) ( 99130 * )
NEW met2 ( 90850 124270 ) ( * 126310 )
NEW met1 ( 123050 94690 ) ( 124430 * )
NEW met2 ( 111090 91290 ) ( * 92990 )
NEW met1 ( 111090 92990 ) ( 117990 * )
NEW met2 ( 117990 92990 ) ( * 94690 )
NEW met1 ( 117990 94690 ) ( 123050 * )
NEW met1 ( 99130 91290 ) ( 111090 * )
NEW met1 ( 122130 123930 ) ( * 124270 )
NEW met1 ( 122130 113050 ) ( 123050 * )
NEW met2 ( 122130 113050 ) ( * 123930 )
NEW met1 ( 90850 124270 ) ( 122130 * )
NEW met2 ( 123050 94690 ) ( * 113050 )
NEW li1 ( 99130 88230 ) L1M1_PR_MR
NEW met1 ( 99130 88230 ) M1M2_PR
NEW met1 ( 99130 91290 ) M1M2_PR
NEW li1 ( 91770 91290 ) L1M1_PR_MR
NEW met1 ( 90850 124270 ) M1M2_PR
NEW li1 ( 90850 126310 ) L1M1_PR_MR
NEW met1 ( 90850 126310 ) M1M2_PR
NEW li1 ( 124430 94690 ) L1M1_PR_MR
NEW met1 ( 123050 94690 ) M1M2_PR
NEW met1 ( 111090 91290 ) M1M2_PR
NEW met1 ( 111090 92990 ) M1M2_PR
NEW met1 ( 117990 92990 ) M1M2_PR
NEW met1 ( 117990 94690 ) M1M2_PR
NEW li1 ( 122130 123930 ) L1M1_PR_MR
NEW li1 ( 123050 113050 ) L1M1_PR_MR
NEW met1 ( 122130 113050 ) M1M2_PR
NEW met1 ( 122130 123930 ) M1M2_PR
NEW met1 ( 123050 113050 ) M1M2_PR
NEW met1 ( 99130 88230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 90850 126310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 122130 123930 ) RECT ( -595 -70 0 70 )
NEW met1 ( 123050 113050 ) RECT ( -595 -70 0 70 ) ;
- _018_ ( _349_ A ) ( _348_ X ) ( _287_ A ) ( _276_ A ) ( _265_ A ) ( _254_ A ) + USE SIGNAL
+ ROUTED met1 ( 95910 85850 ) ( 96370 * )
NEW met2 ( 96370 82790 ) ( * 85850 )
NEW met1 ( 96370 87890 ) ( 98210 * )
NEW met2 ( 96370 85850 ) ( * 87890 )
NEW met2 ( 101890 87890 ) ( * 90950 )
NEW met1 ( 98210 87890 ) ( 101890 * )
NEW met2 ( 96370 48110 ) ( * 50150 )
NEW met1 ( 64170 50150 ) ( 96370 * )
NEW met2 ( 96370 50150 ) ( * 82790 )
NEW li1 ( 96370 82790 ) L1M1_PR_MR
NEW met1 ( 96370 82790 ) M1M2_PR
NEW li1 ( 95910 85850 ) L1M1_PR_MR
NEW met1 ( 96370 85850 ) M1M2_PR
NEW li1 ( 98210 87890 ) L1M1_PR_MR
NEW met1 ( 96370 87890 ) M1M2_PR
NEW li1 ( 101890 90950 ) L1M1_PR_MR
NEW met1 ( 101890 90950 ) M1M2_PR
NEW met1 ( 101890 87890 ) M1M2_PR
NEW li1 ( 96370 48110 ) L1M1_PR_MR
NEW met1 ( 96370 48110 ) M1M2_PR
NEW met1 ( 96370 50150 ) M1M2_PR
NEW li1 ( 64170 50150 ) L1M1_PR_MR
NEW met1 ( 96370 82790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 101890 90950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 96370 48110 ) RECT ( -355 -70 0 70 ) ;
- _019_ ( _361_ A ) ( _350_ A ) ( _349_ X ) ( _313_ A ) ( _306_ A ) ( _295_ A ) + USE SIGNAL
+ ROUTED met2 ( 108790 90950 ) ( * 93330 )
NEW met1 ( 103270 90950 ) ( 108790 * )
NEW met1 ( 108790 96730 ) ( 109250 * )
NEW met1 ( 108790 96390 ) ( * 96730 )
NEW met2 ( 108790 93330 ) ( * 96390 )
NEW met2 ( 115230 96730 ) ( * 98770 )
NEW met1 ( 108790 93330 ) ( 113390 * )
NEW met1 ( 109250 96730 ) ( 116150 * )
NEW li1 ( 108790 93330 ) L1M1_PR_MR
NEW met1 ( 108790 93330 ) M1M2_PR
NEW met1 ( 108790 90950 ) M1M2_PR
NEW li1 ( 103270 90950 ) L1M1_PR_MR
NEW li1 ( 109250 96730 ) L1M1_PR_MR
NEW met1 ( 108790 96390 ) M1M2_PR
NEW li1 ( 113390 93330 ) L1M1_PR_MR
NEW li1 ( 116150 96730 ) L1M1_PR_MR
NEW li1 ( 115230 98770 ) L1M1_PR_MR
NEW met1 ( 115230 98770 ) M1M2_PR
NEW met1 ( 115230 96730 ) M1M2_PR
NEW met1 ( 108790 93330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 115230 98770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 115230 96730 ) RECT ( -595 -70 0 70 ) ;
- _020_ ( ANTENNA__351__B DIODE ) ( ANTENNA__353__B DIODE ) ( ANTENNA__355__B DIODE ) ( ANTENNA__357__B DIODE ) ( ANTENNA__359__B DIODE ) ( _359_ B ) ( _357_ B )
( _355_ B ) ( _353_ B ) ( _351_ B ) ( _350_ X ) + USE SIGNAL
+ ROUTED met2 ( 189750 113390 ) ( * 115430 )
NEW met1 ( 186530 113390 ) ( 189750 * )
NEW met1 ( 117070 95710 ) ( 121670 * )
NEW met2 ( 121670 95710 ) ( * 107100 )
NEW met2 ( 121670 107100 ) ( 122130 * )
NEW met2 ( 122130 72930 ) ( * 79900 )
NEW met2 ( 121670 79900 ) ( 122130 * )
NEW met2 ( 121670 79900 ) ( * 88910 )
NEW met1 ( 119370 88910 ) ( 121670 * )
NEW met2 ( 119370 88910 ) ( * 95710 )
NEW met1 ( 125810 71910 ) ( * 72250 )
NEW met1 ( 122130 72250 ) ( 125810 * )
NEW met1 ( 122130 72250 ) ( * 72930 )
NEW met1 ( 125810 72250 ) ( 131790 * )
NEW met2 ( 131330 72250 ) ( * 77350 )
NEW met1 ( 122590 51170 ) ( 123050 * )
NEW met2 ( 123050 51170 ) ( * 53210 )
NEW met2 ( 123050 53210 ) ( * 72250 )
NEW met2 ( 122130 107100 ) ( * 110400 )
NEW met1 ( 123050 115430 ) ( 137310 * )
NEW met2 ( 137310 113390 ) ( * 115430 )
NEW met1 ( 121670 117470 ) ( 123050 * )
NEW met2 ( 123050 115430 ) ( * 117470 )
NEW met2 ( 122130 110400 ) ( 122590 * )
NEW met2 ( 122590 110400 ) ( * 113900 )
NEW met2 ( 122590 113900 ) ( 123050 * )
NEW met2 ( 123050 113900 ) ( * 115430 )
NEW met1 ( 137310 113390 ) ( 186530 * )
NEW li1 ( 186530 113390 ) L1M1_PR_MR
NEW li1 ( 189750 115430 ) L1M1_PR_MR
NEW met1 ( 189750 115430 ) M1M2_PR
NEW met1 ( 189750 113390 ) M1M2_PR
NEW li1 ( 117070 95710 ) L1M1_PR_MR
NEW met1 ( 121670 95710 ) M1M2_PR
NEW li1 ( 122130 72930 ) L1M1_PR_MR
NEW met1 ( 122130 72930 ) M1M2_PR
NEW met1 ( 121670 88910 ) M1M2_PR
NEW met1 ( 119370 88910 ) M1M2_PR
NEW met1 ( 119370 95710 ) M1M2_PR
NEW li1 ( 125810 71910 ) L1M1_PR_MR
NEW li1 ( 131790 72250 ) L1M1_PR_MR
NEW li1 ( 131330 77350 ) L1M1_PR_MR
NEW met1 ( 131330 77350 ) M1M2_PR
NEW met1 ( 131330 72250 ) M1M2_PR
NEW met1 ( 123050 72250 ) M1M2_PR
NEW li1 ( 123050 53210 ) L1M1_PR_MR
NEW met1 ( 123050 53210 ) M1M2_PR
NEW li1 ( 122590 51170 ) L1M1_PR_MR
NEW met1 ( 123050 51170 ) M1M2_PR
NEW li1 ( 123050 115430 ) L1M1_PR_MR
NEW met1 ( 137310 115430 ) M1M2_PR
NEW met1 ( 137310 113390 ) M1M2_PR
NEW li1 ( 121670 117470 ) L1M1_PR_MR
NEW met1 ( 123050 117470 ) M1M2_PR
NEW met1 ( 123050 115430 ) M1M2_PR
NEW met1 ( 189750 115430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 122130 72930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 119370 95710 ) RECT ( -595 -70 0 70 )
NEW met1 ( 131330 77350 ) RECT ( 0 -70 355 70 )
NEW met1 ( 131330 72250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 123050 72250 ) RECT ( -595 -70 0 70 )
NEW met1 ( 123050 53210 ) RECT ( -355 -70 0 70 )
NEW met1 ( 123050 115430 ) RECT ( 0 -70 595 70 ) ;
- _021_ ( _352_ A ) ( _351_ X ) + USE SIGNAL
+ ROUTED met2 ( 132250 78370 ) ( * 78540 )
NEW met3 ( 132250 78540 ) ( 138690 * )
NEW met2 ( 138690 78540 ) ( * 88230 )
NEW li1 ( 132250 78370 ) L1M1_PR_MR
NEW met1 ( 132250 78370 ) M1M2_PR
NEW met2 ( 132250 78540 ) M2M3_PR_M
NEW met2 ( 138690 78540 ) M2M3_PR_M
NEW li1 ( 138690 88230 ) L1M1_PR_MR
NEW met1 ( 138690 88230 ) M1M2_PR
NEW met1 ( 132250 78370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 138690 88230 ) RECT ( -355 -70 0 70 ) ;
- _022_ ( _354_ A ) ( _353_ X ) + USE SIGNAL
+ ROUTED met1 ( 123970 114750 ) ( 129950 * )
NEW met2 ( 129950 109990 ) ( * 114750 )
NEW li1 ( 129950 109990 ) L1M1_PR_MR
NEW met1 ( 129950 109990 ) M1M2_PR
NEW met1 ( 129950 114750 ) M1M2_PR
NEW li1 ( 123970 114750 ) L1M1_PR_MR
NEW met1 ( 129950 109990 ) RECT ( -355 -70 0 70 ) ;
- _023_ ( _356_ A ) ( _355_ X ) + USE SIGNAL
+ ROUTED met1 ( 122130 47770 ) ( 123050 * )
NEW met2 ( 122130 47770 ) ( * 52190 )
NEW li1 ( 123050 47770 ) L1M1_PR_MR
NEW met1 ( 122130 47770 ) M1M2_PR
NEW li1 ( 122130 52190 ) L1M1_PR_MR
NEW met1 ( 122130 52190 ) M1M2_PR
NEW met1 ( 122130 52190 ) RECT ( -355 -70 0 70 ) ;
- _024_ ( _358_ A ) ( _357_ X ) + USE SIGNAL
+ ROUTED met1 ( 126730 72930 ) ( 130870 * )
NEW met2 ( 130870 72930 ) ( * 74970 )
NEW li1 ( 126730 72930 ) L1M1_PR_MR
NEW met1 ( 130870 72930 ) M1M2_PR
NEW li1 ( 130870 74970 ) L1M1_PR_MR
NEW met1 ( 130870 74970 ) M1M2_PR
NEW met1 ( 130870 74970 ) RECT ( -355 -70 0 70 ) ;
- _025_ ( _360_ A ) ( _359_ X ) + USE SIGNAL
+ ROUTED met2 ( 188830 116450 ) ( * 118490 )
NEW met1 ( 182850 118490 ) ( 188830 * )
NEW li1 ( 188830 116450 ) L1M1_PR_MR
NEW met1 ( 188830 116450 ) M1M2_PR
NEW met1 ( 188830 118490 ) M1M2_PR
NEW li1 ( 182850 118490 ) L1M1_PR_MR
NEW met1 ( 188830 116450 ) RECT ( -355 -70 0 70 ) ;
- _026_ ( ANTENNA__362__B DIODE ) ( ANTENNA__364__B DIODE ) ( ANTENNA__366__B DIODE ) ( ANTENNA__368__B DIODE ) ( ANTENNA__370__B DIODE ) ( _370_ B ) ( _368_ B )
( _366_ B ) ( _364_ B ) ( _362_ B ) ( _361_ X ) + USE SIGNAL
+ ROUTED met1 ( 50370 102850 ) ( 51290 * )
NEW met2 ( 51290 102850 ) ( * 109650 )
NEW met1 ( 48530 104210 ) ( * 104550 )
NEW met1 ( 48530 104210 ) ( 51290 * )
NEW met1 ( 34730 100130 ) ( 51290 * )
NEW met2 ( 51290 100130 ) ( * 102850 )
NEW met1 ( 31050 99110 ) ( 34730 * )
NEW met1 ( 34730 99110 ) ( * 100130 )
NEW met1 ( 112930 168130 ) ( 114310 * )
NEW met2 ( 114310 168130 ) ( * 178500 )
NEW met3 ( 113620 178500 ) ( 114310 * )
NEW met3 ( 113620 178500 ) ( * 180540 )
NEW met3 ( 112930 180540 ) ( 113620 * )
NEW met2 ( 112930 180540 ) ( * 183770 )
NEW met2 ( 113850 168130 ) ( 114310 * )
NEW met1 ( 110170 183770 ) ( 112930 * )
NEW met2 ( 113850 158700 ) ( * 168130 )
NEW met2 ( 113390 158700 ) ( 113850 * )
NEW met1 ( 113390 107950 ) ( 116610 * )
NEW met1 ( 116610 102170 ) ( 117990 * )
NEW met2 ( 116610 102170 ) ( * 106590 )
NEW met1 ( 116610 106590 ) ( 117070 * )
NEW met1 ( 117070 106590 ) ( * 107610 )
NEW met1 ( 116610 107610 ) ( 117070 * )
NEW met1 ( 116610 107610 ) ( * 107950 )
NEW met2 ( 116610 99790 ) ( * 102170 )
NEW met1 ( 100510 108290 ) ( 110630 * )
NEW met1 ( 110630 107610 ) ( * 108290 )
NEW met1 ( 110630 107610 ) ( 112010 * )
NEW met1 ( 112010 107600 ) ( * 107610 )
NEW met1 ( 112010 107600 ) ( 112470 * )
NEW met1 ( 112470 107600 ) ( * 107610 )
NEW met1 ( 112470 107610 ) ( 113390 * )
NEW met1 ( 113390 107610 ) ( * 107950 )
NEW met1 ( 98670 104210 ) ( * 104550 )
NEW met1 ( 98670 104210 ) ( 100510 * )
NEW met2 ( 100510 104210 ) ( * 108290 )
NEW met2 ( 100510 108290 ) ( * 109650 )
NEW met1 ( 51290 109650 ) ( 100510 * )
NEW met2 ( 113390 107950 ) ( * 158700 )
NEW li1 ( 110170 183770 ) L1M1_PR_MR
NEW li1 ( 50370 102850 ) L1M1_PR_MR
NEW met1 ( 51290 102850 ) M1M2_PR
NEW met1 ( 51290 109650 ) M1M2_PR
NEW li1 ( 48530 104550 ) L1M1_PR_MR
NEW met1 ( 51290 104210 ) M1M2_PR
NEW li1 ( 34730 100130 ) L1M1_PR_MR
NEW met1 ( 51290 100130 ) M1M2_PR
NEW li1 ( 31050 99110 ) L1M1_PR_MR
NEW li1 ( 112930 168130 ) L1M1_PR_MR
NEW met1 ( 114310 168130 ) M1M2_PR
NEW met2 ( 114310 178500 ) M2M3_PR_M
NEW met2 ( 112930 180540 ) M2M3_PR_M
NEW met1 ( 112930 183770 ) M1M2_PR
NEW li1 ( 116610 107950 ) L1M1_PR_MR
NEW met1 ( 113390 107950 ) M1M2_PR
NEW li1 ( 117990 102170 ) L1M1_PR_MR
NEW met1 ( 116610 102170 ) M1M2_PR
NEW met1 ( 116610 106590 ) M1M2_PR
NEW li1 ( 116610 99790 ) L1M1_PR_MR
NEW met1 ( 116610 99790 ) M1M2_PR
NEW li1 ( 100510 108290 ) L1M1_PR_MR
NEW li1 ( 98670 104550 ) L1M1_PR_MR
NEW met1 ( 100510 104210 ) M1M2_PR
NEW met1 ( 100510 108290 ) M1M2_PR
NEW met1 ( 100510 109650 ) M1M2_PR
NEW met2 ( 51290 104210 ) RECT ( -70 -485 70 0 )
NEW met1 ( 116610 99790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 100510 108290 ) RECT ( -595 -70 0 70 ) ;
- _027_ ( _363_ A ) ( _362_ X ) + USE SIGNAL
+ ROUTED met2 ( 45770 99110 ) ( * 103870 )
NEW met1 ( 45770 103870 ) ( 47610 * )
NEW li1 ( 45770 99110 ) L1M1_PR_MR
NEW met1 ( 45770 99110 ) M1M2_PR
NEW met1 ( 45770 103870 ) M1M2_PR
NEW li1 ( 47610 103870 ) L1M1_PR_MR
NEW met1 ( 45770 99110 ) RECT ( -355 -70 0 70 ) ;
- _028_ ( _365_ A ) ( _364_ X ) + USE SIGNAL
+ ROUTED met2 ( 111550 169830 ) ( * 182750 )
NEW met1 ( 111550 182750 ) ( * 183090 )
NEW met1 ( 111090 183090 ) ( 111550 * )
NEW li1 ( 111550 169830 ) L1M1_PR_MR
NEW met1 ( 111550 169830 ) M1M2_PR
NEW met1 ( 111550 182750 ) M1M2_PR
NEW li1 ( 111090 183090 ) L1M1_PR_MR
NEW met1 ( 111550 169830 ) RECT ( -355 -70 0 70 ) ;
- _029_ ( _367_ A ) ( _366_ X ) + USE SIGNAL
+ ROUTED met2 ( 31970 96730 ) ( * 98430 )
NEW li1 ( 31970 96730 ) L1M1_PR_MR
NEW met1 ( 31970 96730 ) M1M2_PR
NEW li1 ( 31970 98430 ) L1M1_PR_MR
NEW met1 ( 31970 98430 ) M1M2_PR
NEW met1 ( 31970 96730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 31970 98430 ) RECT ( -355 -70 0 70 ) ;
- _030_ ( _369_ A ) ( _368_ X ) + USE SIGNAL
+ ROUTED met1 ( 93610 99110 ) ( 96830 * )
NEW met2 ( 96830 99110 ) ( * 103870 )
NEW met1 ( 96830 103870 ) ( 97750 * )
NEW li1 ( 93610 99110 ) L1M1_PR_MR
NEW met1 ( 96830 99110 ) M1M2_PR
NEW met1 ( 96830 103870 ) M1M2_PR
NEW li1 ( 97750 103870 ) L1M1_PR_MR ;
- _031_ ( _371_ A ) ( _370_ X ) + USE SIGNAL
+ ROUTED met1 ( 118450 101490 ) ( 118910 * )
NEW met2 ( 118450 101490 ) ( * 110330 )
NEW met1 ( 118450 110330 ) ( 123510 * )
NEW li1 ( 118910 101490 ) L1M1_PR_MR
NEW met1 ( 118450 101490 ) M1M2_PR
NEW met1 ( 118450 110330 ) M1M2_PR
NEW li1 ( 123510 110330 ) L1M1_PR_MR ;
- _032_ ( _417_ A ) ( _406_ A ) ( _395_ A ) ( _384_ A ) ( _373_ A ) ( _372_ X ) + USE SIGNAL
+ ROUTED met1 ( 83490 129370 ) ( 89470 * )
NEW met2 ( 89470 126650 ) ( * 129370 )
NEW met1 ( 82110 131410 ) ( 83490 * )
NEW met2 ( 83490 129370 ) ( * 131410 )
NEW met1 ( 80270 124270 ) ( 83490 * )
NEW met2 ( 83490 124270 ) ( * 129370 )
NEW met1 ( 77510 129370 ) ( 83490 * )
NEW met1 ( 83490 134810 ) ( 86250 * )
NEW met2 ( 83490 131410 ) ( * 134810 )
NEW li1 ( 83490 129370 ) L1M1_PR_MR
NEW met1 ( 89470 129370 ) M1M2_PR
NEW li1 ( 89470 126650 ) L1M1_PR_MR
NEW met1 ( 89470 126650 ) M1M2_PR
NEW li1 ( 82110 131410 ) L1M1_PR_MR
NEW met1 ( 83490 131410 ) M1M2_PR
NEW met1 ( 83490 129370 ) M1M2_PR
NEW li1 ( 80270 124270 ) L1M1_PR_MR
NEW met1 ( 83490 124270 ) M1M2_PR
NEW li1 ( 77510 129370 ) L1M1_PR_MR
NEW li1 ( 86250 134810 ) L1M1_PR_MR
NEW met1 ( 83490 134810 ) M1M2_PR
NEW met1 ( 89470 126650 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83490 129370 ) RECT ( -595 -70 0 70 ) ;
- _033_ ( _382_ B ) ( _380_ B ) ( _378_ B ) ( _376_ B ) ( _374_ B ) ( _373_ X ) + USE SIGNAL
+ ROUTED met1 ( 97290 180370 ) ( * 180710 )
NEW met1 ( 72450 142630 ) ( 72910 * )
NEW met2 ( 72450 140590 ) ( * 142630 )
NEW met1 ( 84410 135150 ) ( 85330 * )
NEW met2 ( 84410 135150 ) ( * 140930 )
NEW met1 ( 72450 140930 ) ( 84410 * )
NEW met1 ( 72450 140590 ) ( * 140930 )
NEW met1 ( 97750 140250 ) ( * 140590 )
NEW met1 ( 91310 140590 ) ( 97750 * )
NEW met1 ( 91310 140250 ) ( * 140590 )
NEW met1 ( 86710 140250 ) ( 91310 * )
NEW met1 ( 86710 140250 ) ( * 140590 )
NEW met1 ( 84410 140590 ) ( 86710 * )
NEW met1 ( 84410 140590 ) ( * 140930 )
NEW met1 ( 101890 140250 ) ( * 140590 )
NEW met1 ( 97750 140590 ) ( 101890 * )
NEW met1 ( 62100 140590 ) ( 72450 * )
NEW met1 ( 62100 140250 ) ( * 140590 )
NEW met1 ( 60950 140250 ) ( 62100 * )
NEW met3 ( 91540 162860 ) ( 91770 * )
NEW met4 ( 91540 162860 ) ( * 179180 )
NEW met3 ( 91540 179180 ) ( 94530 * )
NEW met2 ( 94530 179180 ) ( * 180370 )
NEW met2 ( 91770 140590 ) ( * 162860 )
NEW met1 ( 94530 180370 ) ( 97290 * )
NEW li1 ( 97290 180710 ) L1M1_PR_MR
NEW li1 ( 72910 142630 ) L1M1_PR_MR
NEW met1 ( 72450 142630 ) M1M2_PR
NEW met1 ( 72450 140590 ) M1M2_PR
NEW li1 ( 85330 135150 ) L1M1_PR_MR
NEW met1 ( 84410 135150 ) M1M2_PR
NEW met1 ( 84410 140930 ) M1M2_PR
NEW li1 ( 97750 140250 ) L1M1_PR_MR
NEW li1 ( 101890 140250 ) L1M1_PR_MR
NEW met1 ( 91770 140590 ) M1M2_PR
NEW li1 ( 60950 140250 ) L1M1_PR_MR
NEW met2 ( 91770 162860 ) M2M3_PR_M
NEW met3 ( 91540 162860 ) M3M4_PR_M
NEW met3 ( 91540 179180 ) M3M4_PR_M
NEW met2 ( 94530 179180 ) M2M3_PR_M
NEW met1 ( 94530 180370 ) M1M2_PR
NEW met1 ( 91770 140590 ) RECT ( -595 -70 0 70 )
NEW met3 ( 91770 162860 ) RECT ( 0 -150 390 150 ) ;
- _034_ ( _375_ A ) ( _374_ X ) + USE SIGNAL
+ ROUTED met1 ( 98670 140930 ) ( 101430 * )
NEW met2 ( 101430 140930 ) ( * 145350 )
NEW li1 ( 98670 140930 ) L1M1_PR_MR
NEW met1 ( 101430 140930 ) M1M2_PR
NEW li1 ( 101430 145350 ) L1M1_PR_MR
NEW met1 ( 101430 145350 ) M1M2_PR
NEW met1 ( 101430 145350 ) RECT ( -355 -70 0 70 ) ;
- _035_ ( _377_ A ) ( _376_ X ) + USE SIGNAL
+ ROUTED met2 ( 79350 140590 ) ( * 141950 )
NEW met1 ( 73830 141950 ) ( 79350 * )
NEW li1 ( 79350 140590 ) L1M1_PR_MR
NEW met1 ( 79350 140590 ) M1M2_PR
NEW met1 ( 79350 141950 ) M1M2_PR
NEW li1 ( 73830 141950 ) L1M1_PR_MR
NEW met1 ( 79350 140590 ) RECT ( -355 -70 0 70 ) ;
- _036_ ( _379_ A ) ( _378_ X ) + USE SIGNAL
+ ROUTED met2 ( 59110 134810 ) ( * 139230 )
NEW met1 ( 59110 139230 ) ( 60030 * )
NEW li1 ( 59110 134810 ) L1M1_PR_MR
NEW met1 ( 59110 134810 ) M1M2_PR
NEW met1 ( 59110 139230 ) M1M2_PR
NEW li1 ( 60030 139230 ) L1M1_PR_MR
NEW met1 ( 59110 134810 ) RECT ( -355 -70 0 70 ) ;
- _037_ ( _381_ A ) ( _380_ X ) + USE SIGNAL
+ ROUTED met2 ( 96370 167450 ) ( * 168300 )
NEW met3 ( 94300 168300 ) ( 96370 * )
NEW met4 ( 94300 168300 ) ( * 180540 )
NEW met3 ( 94300 180540 ) ( 96370 * )
NEW met2 ( 96370 180540 ) ( * 180710 )
NEW li1 ( 96370 167450 ) L1M1_PR_MR
NEW met1 ( 96370 167450 ) M1M2_PR
NEW met2 ( 96370 168300 ) M2M3_PR_M
NEW met3 ( 94300 168300 ) M3M4_PR_M
NEW met3 ( 94300 180540 ) M3M4_PR_M
NEW met2 ( 96370 180540 ) M2M3_PR_M
NEW li1 ( 96370 180710 ) L1M1_PR_MR
NEW met1 ( 96370 180710 ) M1M2_PR
NEW met1 ( 96370 167450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 96370 180710 ) RECT ( -355 -70 0 70 ) ;
- _038_ ( _383_ A ) ( _382_ X ) + USE SIGNAL
+ ROUTED met2 ( 109710 135150 ) ( * 139230 )
NEW met1 ( 102810 139230 ) ( 109710 * )
NEW li1 ( 109710 135150 ) L1M1_PR_MR
NEW met1 ( 109710 135150 ) M1M2_PR
NEW met1 ( 109710 139230 ) M1M2_PR
NEW li1 ( 102810 139230 ) L1M1_PR_MR
NEW met1 ( 109710 135150 ) RECT ( -355 -70 0 70 ) ;
- _039_ ( ANTENNA__385__B DIODE ) ( ANTENNA__387__B DIODE ) ( ANTENNA__389__B DIODE ) ( ANTENNA__391__B DIODE ) ( ANTENNA__393__B DIODE ) ( _393_ B ) ( _391_ B )
( _389_ B ) ( _387_ B ) ( _385_ B ) ( _384_ X ) + USE SIGNAL
+ ROUTED met1 ( 66470 102170 ) ( 70150 * )
NEW met2 ( 70150 101150 ) ( * 102170 )
NEW met1 ( 70150 33830 ) ( 71530 * )
NEW met1 ( 70150 32130 ) ( 72910 * )
NEW met2 ( 70150 32130 ) ( * 33830 )
NEW met2 ( 70150 33830 ) ( * 101150 )
NEW met1 ( 69690 128350 ) ( 72910 * )
NEW met2 ( 69690 128180 ) ( * 128350 )
NEW met2 ( 69230 128180 ) ( 69690 * )
NEW met1 ( 72910 128350 ) ( 76130 * )
NEW met1 ( 69690 131750 ) ( 71530 * )
NEW met2 ( 69690 128350 ) ( * 131750 )
NEW met2 ( 73830 131750 ) ( * 150110 )
NEW met1 ( 71530 131750 ) ( 73830 * )
NEW met1 ( 70610 151130 ) ( 73830 * )
NEW met2 ( 73830 150110 ) ( * 151130 )
NEW met2 ( 69230 102170 ) ( * 128180 )
NEW met1 ( 22770 105230 ) ( 23230 * )
NEW met2 ( 23230 105230 ) ( * 107950 )
NEW met1 ( 14490 104550 ) ( 18630 * )
NEW met1 ( 18630 104550 ) ( * 104890 )
NEW met1 ( 18630 104890 ) ( 22770 * )
NEW met1 ( 22770 104890 ) ( * 105230 )
NEW met1 ( 23230 107950 ) ( 69230 * )
NEW li1 ( 70150 101150 ) L1M1_PR_MR
NEW met1 ( 70150 101150 ) M1M2_PR
NEW li1 ( 66470 102170 ) L1M1_PR_MR
NEW met1 ( 70150 102170 ) M1M2_PR
NEW met1 ( 69230 102170 ) M1M2_PR
NEW met1 ( 69230 107950 ) M1M2_PR
NEW li1 ( 71530 33830 ) L1M1_PR_MR
NEW met1 ( 70150 33830 ) M1M2_PR
NEW li1 ( 72910 32130 ) L1M1_PR_MR
NEW met1 ( 70150 32130 ) M1M2_PR
NEW li1 ( 72910 128350 ) L1M1_PR_MR
NEW met1 ( 69690 128350 ) M1M2_PR
NEW li1 ( 76130 128350 ) L1M1_PR_MR
NEW li1 ( 71530 131750 ) L1M1_PR_MR
NEW met1 ( 69690 131750 ) M1M2_PR
NEW li1 ( 73830 150110 ) L1M1_PR_MR
NEW met1 ( 73830 150110 ) M1M2_PR
NEW met1 ( 73830 131750 ) M1M2_PR
NEW li1 ( 70610 151130 ) L1M1_PR_MR
NEW met1 ( 73830 151130 ) M1M2_PR
NEW li1 ( 22770 105230 ) L1M1_PR_MR
NEW met1 ( 23230 105230 ) M1M2_PR
NEW met1 ( 23230 107950 ) M1M2_PR
NEW li1 ( 14490 104550 ) L1M1_PR_MR
NEW met1 ( 70150 101150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 69230 102170 ) RECT ( -595 -70 0 70 )
NEW met2 ( 69230 107950 ) RECT ( -70 -485 70 0 )
NEW met1 ( 73830 150110 ) RECT ( -355 -70 0 70 ) ;
- _040_ ( _386_ A ) ( _385_ X ) + USE SIGNAL
+ ROUTED met2 ( 71530 126310 ) ( * 131070 )
NEW met1 ( 70610 131070 ) ( 71530 * )
NEW li1 ( 71530 126310 ) L1M1_PR_MR
NEW met1 ( 71530 126310 ) M1M2_PR
NEW met1 ( 71530 131070 ) M1M2_PR
NEW li1 ( 70610 131070 ) L1M1_PR_MR
NEW met1 ( 71530 126310 ) RECT ( -355 -70 0 70 ) ;
- _041_ ( _388_ A ) ( _387_ X ) + USE SIGNAL
+ ROUTED met1 ( 71530 151470 ) ( 76590 * )
NEW met2 ( 76590 151470 ) ( * 153170 )
NEW li1 ( 71530 151470 ) L1M1_PR_MR
NEW met1 ( 76590 151470 ) M1M2_PR
NEW li1 ( 76590 153170 ) L1M1_PR_MR
NEW met1 ( 76590 153170 ) M1M2_PR
NEW met1 ( 76590 153170 ) RECT ( -355 -70 0 70 ) ;
- _042_ ( _390_ A ) ( _389_ X ) + USE SIGNAL
+ ROUTED met2 ( 67850 28390 ) ( * 33150 )
NEW met1 ( 67850 33150 ) ( 70610 * )
NEW li1 ( 67850 28390 ) L1M1_PR_MR
NEW met1 ( 67850 28390 ) M1M2_PR
NEW met1 ( 67850 33150 ) M1M2_PR
NEW li1 ( 70610 33150 ) L1M1_PR_MR
NEW met1 ( 67850 28390 ) RECT ( -355 -70 0 70 ) ;
- _043_ ( _392_ A ) ( _391_ X ) + USE SIGNAL
+ ROUTED met1 ( 69230 96390 ) ( 72450 * )
NEW met2 ( 69230 96390 ) ( * 101150 )
NEW met1 ( 67390 101150 ) ( 69230 * )
NEW li1 ( 72450 96390 ) L1M1_PR_MR
NEW met1 ( 69230 96390 ) M1M2_PR
NEW met1 ( 69230 101150 ) M1M2_PR
NEW li1 ( 67390 101150 ) L1M1_PR_MR ;
- _044_ ( _394_ A ) ( _393_ X ) + USE SIGNAL
+ ROUTED met1 ( 11270 99450 ) ( 13570 * )
NEW met2 ( 13570 99450 ) ( * 103870 )
NEW li1 ( 11270 99450 ) L1M1_PR_MR
NEW met1 ( 13570 99450 ) M1M2_PR
NEW li1 ( 13570 103870 ) L1M1_PR_MR
NEW met1 ( 13570 103870 ) M1M2_PR
NEW met1 ( 13570 103870 ) RECT ( -355 -70 0 70 ) ;
- _045_ ( ANTENNA__396__B DIODE ) ( ANTENNA__398__B DIODE ) ( ANTENNA__400__B DIODE ) ( ANTENNA__402__B DIODE ) ( ANTENNA__404__B DIODE ) ( _404_ B ) ( _402_ B )
( _400_ B ) ( _398_ B ) ( _396_ B ) ( _395_ X ) + USE SIGNAL
+ ROUTED met1 ( 84870 82790 ) ( 86250 * )
NEW met2 ( 84870 80750 ) ( * 82790 )
NEW met1 ( 83030 104550 ) ( 84410 * )
NEW met2 ( 84410 93500 ) ( * 104550 )
NEW met2 ( 84410 93500 ) ( 84870 * )
NEW met2 ( 84870 82790 ) ( * 93500 )
NEW met1 ( 83950 106590 ) ( 84410 * )
NEW met2 ( 84410 104550 ) ( * 106590 )
NEW met1 ( 84410 107610 ) ( 87170 * )
NEW met2 ( 84410 106590 ) ( * 107610 )
NEW met1 ( 84410 109310 ) ( 85330 * )
NEW met2 ( 84410 107610 ) ( * 109310 )
NEW met1 ( 85330 109310 ) ( 85790 * )
NEW met1 ( 77510 106590 ) ( 83950 * )
NEW met1 ( 75670 104550 ) ( 77050 * )
NEW met2 ( 77050 104550 ) ( * 106590 )
NEW met1 ( 77050 106590 ) ( 77510 * )
NEW met1 ( 85330 131070 ) ( 85790 * )
NEW met1 ( 85330 131070 ) ( * 131750 )
NEW met1 ( 83030 131750 ) ( 85330 * )
NEW met2 ( 85790 109310 ) ( * 131070 )
NEW met1 ( 83030 158270 ) ( 89010 * )
NEW met1 ( 90850 172890 ) ( 92230 * )
NEW met2 ( 92230 158270 ) ( * 172890 )
NEW met1 ( 89010 158270 ) ( 92230 * )
NEW met2 ( 83030 131750 ) ( * 158270 )
NEW li1 ( 86250 82790 ) L1M1_PR_MR
NEW met1 ( 84870 82790 ) M1M2_PR
NEW li1 ( 84870 80750 ) L1M1_PR_MR
NEW met1 ( 84870 80750 ) M1M2_PR
NEW li1 ( 83030 104550 ) L1M1_PR_MR
NEW met1 ( 84410 104550 ) M1M2_PR
NEW li1 ( 83950 106590 ) L1M1_PR_MR
NEW met1 ( 84410 106590 ) M1M2_PR
NEW li1 ( 87170 107610 ) L1M1_PR_MR
NEW met1 ( 84410 107610 ) M1M2_PR
NEW li1 ( 85330 109310 ) L1M1_PR_MR
NEW met1 ( 84410 109310 ) M1M2_PR
NEW met1 ( 85790 109310 ) M1M2_PR
NEW li1 ( 77510 106590 ) L1M1_PR_MR
NEW li1 ( 75670 104550 ) L1M1_PR_MR
NEW met1 ( 77050 104550 ) M1M2_PR
NEW met1 ( 77050 106590 ) M1M2_PR
NEW li1 ( 83030 131750 ) L1M1_PR_MR
NEW met1 ( 83030 131750 ) M1M2_PR
NEW met1 ( 85790 131070 ) M1M2_PR
NEW li1 ( 89010 158270 ) L1M1_PR_MR
NEW met1 ( 83030 158270 ) M1M2_PR
NEW li1 ( 90850 172890 ) L1M1_PR_MR
NEW met1 ( 92230 172890 ) M1M2_PR
NEW met1 ( 92230 158270 ) M1M2_PR
NEW met1 ( 84870 80750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83030 131750 ) RECT ( -355 -70 0 70 ) ;
- _046_ ( _397_ A ) ( _396_ X ) + USE SIGNAL
+ ROUTED met1 ( 83950 105570 ) ( 90850 * )
NEW met2 ( 90850 105570 ) ( * 107610 )
NEW li1 ( 83950 105570 ) L1M1_PR_MR
NEW met1 ( 90850 105570 ) M1M2_PR
NEW li1 ( 90850 107610 ) L1M1_PR_MR
NEW met1 ( 90850 107610 ) M1M2_PR
NEW met1 ( 90850 107610 ) RECT ( -355 -70 0 70 ) ;
- _047_ ( _399_ A ) ( _398_ X ) + USE SIGNAL
+ ROUTED met2 ( 76590 105570 ) ( * 109990 )
NEW li1 ( 76590 105570 ) L1M1_PR_MR
NEW met1 ( 76590 105570 ) M1M2_PR
NEW li1 ( 76590 109990 ) L1M1_PR_MR
NEW met1 ( 76590 109990 ) M1M2_PR
NEW met1 ( 76590 105570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 76590 109990 ) RECT ( -355 -70 0 70 ) ;
- _048_ ( _401_ A ) ( _400_ X ) + USE SIGNAL
+ ROUTED met1 ( 83030 83130 ) ( 85330 * )
NEW li1 ( 83030 83130 ) L1M1_PR_MR
NEW li1 ( 85330 83130 ) L1M1_PR_MR ;
- _049_ ( _403_ A ) ( _402_ X ) + USE SIGNAL
+ ROUTED met1 ( 100510 172890 ) ( 102810 * )
NEW met1 ( 100510 172890 ) ( * 173570 )
NEW met1 ( 96370 173570 ) ( 100510 * )
NEW met1 ( 96370 173230 ) ( * 173570 )
NEW met1 ( 91770 173230 ) ( 96370 * )
NEW li1 ( 102810 172890 ) L1M1_PR_MR
NEW li1 ( 91770 173230 ) L1M1_PR_MR ;
- _050_ ( _405_ A ) ( _404_ X ) + USE SIGNAL
+ ROUTED met2 ( 87170 104550 ) ( * 106590 )
NEW met1 ( 86250 106590 ) ( 87170 * )
NEW li1 ( 87170 104550 ) L1M1_PR_MR
NEW met1 ( 87170 104550 ) M1M2_PR
NEW met1 ( 87170 106590 ) M1M2_PR
NEW li1 ( 86250 106590 ) L1M1_PR_MR
NEW met1 ( 87170 104550 ) RECT ( -355 -70 0 70 ) ;
- _051_ ( _415_ B ) ( _413_ B ) ( _411_ B ) ( _409_ B ) ( _407_ B ) ( _406_ X ) + USE SIGNAL
+ ROUTED met2 ( 89010 123930 ) ( * 129030 )
NEW met1 ( 84870 123930 ) ( * 124270 )
NEW met1 ( 84870 124270 ) ( 89010 * )
NEW met1 ( 89010 123930 ) ( * 124270 )
NEW met1 ( 83490 126310 ) ( 84410 * )
NEW met2 ( 84410 124270 ) ( * 126310 )
NEW met1 ( 84410 124270 ) ( 84870 * )
NEW met1 ( 79810 122910 ) ( 84410 * )
NEW met2 ( 84410 122910 ) ( * 124270 )
NEW met2 ( 62790 124270 ) ( * 126310 )
NEW met1 ( 62790 124270 ) ( 78890 * )
NEW li1 ( 78890 122910 ) ( * 124270 )
NEW met1 ( 78890 122910 ) ( 79810 * )
NEW met1 ( 53590 126310 ) ( 62790 * )
NEW met1 ( 89010 129030 ) ( 110400 * )
NEW met1 ( 110400 129030 ) ( * 129370 )
NEW met1 ( 110400 129370 ) ( 121670 * )
NEW li1 ( 89010 123930 ) L1M1_PR_MR
NEW met1 ( 89010 123930 ) M1M2_PR
NEW met1 ( 89010 129030 ) M1M2_PR
NEW li1 ( 84870 123930 ) L1M1_PR_MR
NEW li1 ( 83490 126310 ) L1M1_PR_MR
NEW met1 ( 84410 126310 ) M1M2_PR
NEW met1 ( 84410 124270 ) M1M2_PR
NEW li1 ( 79810 122910 ) L1M1_PR_MR
NEW met1 ( 84410 122910 ) M1M2_PR
NEW met1 ( 62790 126310 ) M1M2_PR
NEW met1 ( 62790 124270 ) M1M2_PR
NEW li1 ( 78890 124270 ) L1M1_PR_MR
NEW li1 ( 78890 122910 ) L1M1_PR_MR
NEW li1 ( 53590 126310 ) L1M1_PR_MR
NEW li1 ( 121670 129370 ) L1M1_PR_MR
NEW met1 ( 89010 123930 ) RECT ( -355 -70 0 70 ) ;
- _052_ ( _408_ A ) ( _407_ X ) + USE SIGNAL
+ ROUTED met2 ( 122590 130050 ) ( * 131750 )
NEW li1 ( 122590 130050 ) L1M1_PR_MR
NEW met1 ( 122590 130050 ) M1M2_PR
NEW li1 ( 122590 131750 ) L1M1_PR_MR
NEW met1 ( 122590 131750 ) M1M2_PR
NEW met1 ( 122590 130050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 122590 131750 ) RECT ( -355 -70 0 70 ) ;
- _053_ ( _410_ A ) ( _409_ X ) + USE SIGNAL
+ ROUTED met1 ( 84410 127330 ) ( 90390 * )
NEW met2 ( 90390 127330 ) ( * 129370 )
NEW li1 ( 84410 127330 ) L1M1_PR_MR
NEW met1 ( 90390 127330 ) M1M2_PR
NEW li1 ( 90390 129370 ) L1M1_PR_MR
NEW met1 ( 90390 129370 ) M1M2_PR
NEW met1 ( 90390 129370 ) RECT ( -355 -70 0 70 ) ;
- _054_ ( _412_ A ) ( _411_ X ) + USE SIGNAL
+ ROUTED met1 ( 91770 123930 ) ( 92230 * )
NEW met2 ( 91770 123250 ) ( * 123930 )
NEW met1 ( 85790 123250 ) ( 91770 * )
NEW li1 ( 92230 123930 ) L1M1_PR_MR
NEW met1 ( 91770 123930 ) M1M2_PR
NEW met1 ( 91770 123250 ) M1M2_PR
NEW li1 ( 85790 123250 ) L1M1_PR_MR ;
- _055_ ( _414_ A ) ( _413_ X ) + USE SIGNAL
+ ROUTED met2 ( 90850 120870 ) ( * 122910 )
NEW met1 ( 89930 122910 ) ( 90850 * )
NEW li1 ( 90850 120870 ) L1M1_PR_MR
NEW met1 ( 90850 120870 ) M1M2_PR
NEW met1 ( 90850 122910 ) M1M2_PR
NEW li1 ( 89930 122910 ) L1M1_PR_MR
NEW met1 ( 90850 120870 ) RECT ( -355 -70 0 70 ) ;
- _056_ ( _416_ A ) ( _415_ X ) + USE SIGNAL
+ ROUTED met1 ( 54510 127330 ) ( 55890 * )
NEW met2 ( 55890 127330 ) ( * 131750 )
NEW met1 ( 55430 131750 ) ( 55890 * )
NEW li1 ( 54510 127330 ) L1M1_PR_MR
NEW met1 ( 55890 127330 ) M1M2_PR
NEW met1 ( 55890 131750 ) M1M2_PR
NEW li1 ( 55430 131750 ) L1M1_PR_MR ;
- _057_ ( ANTENNA__418__B DIODE ) ( ANTENNA__420__B DIODE ) ( ANTENNA__422__B DIODE ) ( ANTENNA__424__B DIODE ) ( ANTENNA__426__B DIODE ) ( _426_ B ) ( _424_ B )
( _422_ B ) ( _420_ B ) ( _418_ B ) ( _417_ X ) + USE SIGNAL
+ ROUTED met1 ( 101430 71230 ) ( * 71910 )
NEW met1 ( 101430 71230 ) ( 109250 * )
NEW met1 ( 97290 71910 ) ( 101430 * )
NEW met1 ( 100050 69870 ) ( 103730 * )
NEW met2 ( 103730 69870 ) ( * 71230 )
NEW met1 ( 95910 69870 ) ( 100050 * )
NEW met1 ( 103730 68850 ) ( 105110 * )
NEW met2 ( 103730 68850 ) ( * 69870 )
NEW met1 ( 189750 99110 ) ( 190210 * )
NEW met2 ( 190210 99110 ) ( * 99620 )
NEW met2 ( 190210 99620 ) ( 190670 * )
NEW met2 ( 190670 99620 ) ( * 105230 )
NEW met1 ( 190210 105230 ) ( 190670 * )
NEW met2 ( 105110 26180 ) ( * 68850 )
NEW met1 ( 84870 129030 ) ( 87630 * )
NEW met2 ( 87630 129030 ) ( * 152830 )
NEW met1 ( 75670 152830 ) ( 87630 * )
NEW met1 ( 75670 152830 ) ( * 153170 )
NEW met2 ( 87630 125970 ) ( * 129030 )
NEW met1 ( 158700 105230 ) ( 190210 * )
NEW met1 ( 158700 105230 ) ( * 105570 )
NEW met1 ( 53130 153170 ) ( * 153510 )
NEW met1 ( 53130 153170 ) ( 56810 * )
NEW met1 ( 56810 153170 ) ( 75670 * )
NEW met1 ( 139150 25670 ) ( * 26350 )
NEW met1 ( 125810 25670 ) ( 139150 * )
NEW met2 ( 125810 25670 ) ( * 26180 )
NEW met3 ( 105110 26180 ) ( 125810 * )
NEW met1 ( 87630 125970 ) ( 96600 * )
NEW met2 ( 108790 98430 ) ( 109250 * )
NEW met2 ( 108790 98430 ) ( * 125970 )
NEW met1 ( 108330 125970 ) ( 108790 * )
NEW met1 ( 108330 125970 ) ( * 126310 )
NEW met1 ( 96600 126310 ) ( 108330 * )
NEW met1 ( 96600 125970 ) ( * 126310 )
NEW met2 ( 119830 105570 ) ( * 105740 )
NEW met3 ( 108790 105740 ) ( 119830 * )
NEW met2 ( 109250 71230 ) ( * 98430 )
NEW met1 ( 119830 105570 ) ( 158700 * )
NEW met1 ( 139150 26350 ) ( 144900 * )
NEW met1 ( 148810 18530 ) ( 153410 * )
NEW met2 ( 148810 18530 ) ( * 26690 )
NEW met1 ( 144900 26690 ) ( 148810 * )
NEW met1 ( 144900 26350 ) ( * 26690 )
NEW met2 ( 157090 12070 ) ( * 13090 )
NEW met1 ( 148810 13090 ) ( 157090 * )
NEW met2 ( 148810 13090 ) ( * 18530 )
NEW li1 ( 101430 71910 ) L1M1_PR_MR
NEW met1 ( 109250 71230 ) M1M2_PR
NEW li1 ( 97290 71910 ) L1M1_PR_MR
NEW li1 ( 100050 69870 ) L1M1_PR_MR
NEW met1 ( 103730 69870 ) M1M2_PR
NEW met1 ( 103730 71230 ) M1M2_PR
NEW li1 ( 95910 69870 ) L1M1_PR_MR
NEW met1 ( 105110 68850 ) M1M2_PR
NEW met1 ( 103730 68850 ) M1M2_PR
NEW li1 ( 190210 105230 ) L1M1_PR_MR
NEW li1 ( 189750 99110 ) L1M1_PR_MR
NEW met1 ( 190210 99110 ) M1M2_PR
NEW met1 ( 190670 105230 ) M1M2_PR
NEW met2 ( 105110 26180 ) M2M3_PR_M
NEW li1 ( 84870 129030 ) L1M1_PR_MR
NEW met1 ( 87630 129030 ) M1M2_PR
NEW met1 ( 87630 152830 ) M1M2_PR
NEW met1 ( 87630 125970 ) M1M2_PR
NEW li1 ( 56810 153170 ) L1M1_PR_MR
NEW li1 ( 53130 153510 ) L1M1_PR_MR
NEW met1 ( 125810 25670 ) M1M2_PR
NEW met2 ( 125810 26180 ) M2M3_PR_M
NEW met1 ( 108790 125970 ) M1M2_PR
NEW met1 ( 119830 105570 ) M1M2_PR
NEW met2 ( 119830 105740 ) M2M3_PR_M
NEW met2 ( 108790 105740 ) M2M3_PR_M
NEW li1 ( 153410 18530 ) L1M1_PR_MR
NEW met1 ( 148810 18530 ) M1M2_PR
NEW met1 ( 148810 26690 ) M1M2_PR
NEW li1 ( 157090 12070 ) L1M1_PR_MR
NEW met1 ( 157090 12070 ) M1M2_PR
NEW met1 ( 157090 13090 ) M1M2_PR
NEW met1 ( 148810 13090 ) M1M2_PR
NEW met1 ( 103730 71230 ) RECT ( -595 -70 0 70 )
NEW met2 ( 108790 105740 ) RECT ( -70 -485 70 0 )
NEW met1 ( 157090 12070 ) RECT ( -355 -70 0 70 ) ;
- _058_ ( _419_ A ) ( _418_ X ) + USE SIGNAL
+ ROUTED met2 ( 188830 100130 ) ( * 101830 )
NEW met1 ( 179170 101830 ) ( 188830 * )
NEW met1 ( 179170 101830 ) ( * 102170 )
NEW li1 ( 188830 100130 ) L1M1_PR_MR
NEW met1 ( 188830 100130 ) M1M2_PR
NEW met1 ( 188830 101830 ) M1M2_PR
NEW li1 ( 179170 102170 ) L1M1_PR_MR
NEW met1 ( 188830 100130 ) RECT ( -355 -70 0 70 ) ;
- _059_ ( _421_ A ) ( _420_ X ) + USE SIGNAL
+ ROUTED met1 ( 99130 66470 ) ( 100510 * )
NEW met2 ( 100510 66470 ) ( * 71230 )
NEW li1 ( 99130 66470 ) L1M1_PR_MR
NEW met1 ( 100510 66470 ) M1M2_PR
NEW li1 ( 100510 71230 ) L1M1_PR_MR
NEW met1 ( 100510 71230 ) M1M2_PR
NEW met1 ( 100510 71230 ) RECT ( -355 -70 0 70 ) ;
- _060_ ( _423_ A ) ( _422_ X ) + USE SIGNAL
+ ROUTED met1 ( 98210 72250 ) ( 104650 * )
NEW li1 ( 104650 72250 ) L1M1_PR_MR
NEW li1 ( 98210 72250 ) L1M1_PR_MR ;
- _061_ ( _425_ A ) ( _424_ X ) + USE SIGNAL
+ ROUTED met2 ( 162610 11390 ) ( * 36890 )
NEW met1 ( 158010 11390 ) ( 162610 * )
NEW met1 ( 162610 11390 ) M1M2_PR
NEW li1 ( 162610 36890 ) L1M1_PR_MR
NEW met1 ( 162610 36890 ) M1M2_PR
NEW li1 ( 158010 11390 ) L1M1_PR_MR
NEW met1 ( 162610 36890 ) RECT ( -355 -70 0 70 ) ;
- _062_ ( _427_ A ) ( _426_ X ) + USE SIGNAL
+ ROUTED met2 ( 48530 151130 ) ( * 152830 )
NEW met1 ( 48530 152830 ) ( 52210 * )
NEW li1 ( 48530 151130 ) L1M1_PR_MR
NEW met1 ( 48530 151130 ) M1M2_PR
NEW met1 ( 48530 152830 ) M1M2_PR
NEW li1 ( 52210 152830 ) L1M1_PR_MR
NEW met1 ( 48530 151130 ) RECT ( -355 -70 0 70 ) ;
- _063_ ( _473_ A ) ( _462_ A ) ( _451_ A ) ( _440_ A ) ( _429_ A ) ( _428_ X ) + USE SIGNAL
+ ROUTED met1 ( 130870 126310 ) ( 135470 * )
NEW met2 ( 131790 126310 ) ( * 129370 )
NEW met1 ( 122130 126310 ) ( 124430 * )
NEW met1 ( 124430 125970 ) ( * 126310 )
NEW met1 ( 124430 125970 ) ( 130870 * )
NEW met1 ( 130870 125970 ) ( * 126310 )
NEW met1 ( 123050 123930 ) ( 123510 * )
NEW met2 ( 123050 123930 ) ( * 126310 )
NEW met1 ( 118910 126310 ) ( 122130 * )
NEW li1 ( 130870 126310 ) L1M1_PR_MR
NEW li1 ( 135470 126310 ) L1M1_PR_MR
NEW li1 ( 131790 129370 ) L1M1_PR_MR
NEW met1 ( 131790 129370 ) M1M2_PR
NEW met1 ( 131790 126310 ) M1M2_PR
NEW li1 ( 122130 126310 ) L1M1_PR_MR
NEW li1 ( 123510 123930 ) L1M1_PR_MR
NEW met1 ( 123050 123930 ) M1M2_PR
NEW met1 ( 123050 126310 ) M1M2_PR
NEW li1 ( 118910 126310 ) L1M1_PR_MR
NEW met1 ( 131790 129370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 131790 126310 ) RECT ( -595 -70 0 70 )
NEW met1 ( 123050 126310 ) RECT ( -595 -70 0 70 ) ;
- _064_ ( ANTENNA__430__B DIODE ) ( ANTENNA__432__B DIODE ) ( ANTENNA__434__B DIODE ) ( ANTENNA__436__B DIODE ) ( ANTENNA__438__B DIODE ) ( _438_ B ) ( _436_ B )
( _434_ B ) ( _432_ B ) ( _430_ B ) ( _429_ X ) + USE SIGNAL
+ ROUTED met1 ( 175490 91290 ) ( 179170 * )
NEW met1 ( 175490 91290 ) ( * 91630 )
NEW met1 ( 135470 74970 ) ( 145590 * )
NEW met2 ( 145590 74970 ) ( * 91630 )
NEW met1 ( 126730 74630 ) ( * 74970 )
NEW met1 ( 126730 74630 ) ( 135470 * )
NEW met1 ( 135470 74630 ) ( * 74970 )
NEW met1 ( 124430 104550 ) ( 126730 * )
NEW met2 ( 126730 74970 ) ( * 104550 )
NEW met1 ( 119830 107270 ) ( 124430 * )
NEW met1 ( 145590 91630 ) ( 175490 * )
NEW met1 ( 124890 168130 ) ( 126730 * )
NEW met2 ( 126730 168130 ) ( * 186150 )
NEW met1 ( 123970 186150 ) ( 126730 * )
NEW met1 ( 123970 186150 ) ( * 186490 )
NEW met1 ( 123050 186490 ) ( 123970 * )
NEW met1 ( 123050 186150 ) ( * 186490 )
NEW met1 ( 127650 126310 ) ( * 126990 )
NEW met1 ( 126730 126990 ) ( 127650 * )
NEW met1 ( 130870 127330 ) ( 131790 * )
NEW met2 ( 130870 127330 ) ( * 128350 )
NEW met1 ( 126730 128350 ) ( 130870 * )
NEW met1 ( 130870 124610 ) ( 131330 * )
NEW met2 ( 130870 124610 ) ( * 127330 )
NEW met1 ( 124430 126990 ) ( 126730 * )
NEW met2 ( 124430 104550 ) ( * 126990 )
NEW met2 ( 126730 126990 ) ( * 168130 )
NEW li1 ( 175490 91630 ) L1M1_PR_MR
NEW li1 ( 179170 91290 ) L1M1_PR_MR
NEW li1 ( 135470 74970 ) L1M1_PR_MR
NEW met1 ( 145590 74970 ) M1M2_PR
NEW met1 ( 145590 91630 ) M1M2_PR
NEW li1 ( 126730 74970 ) L1M1_PR_MR
NEW li1 ( 124430 104550 ) L1M1_PR_MR
NEW met1 ( 126730 104550 ) M1M2_PR
NEW met1 ( 126730 74970 ) M1M2_PR
NEW met1 ( 124430 104550 ) M1M2_PR
NEW li1 ( 119830 107270 ) L1M1_PR_MR
NEW met1 ( 124430 107270 ) M1M2_PR
NEW li1 ( 124890 168130 ) L1M1_PR_MR
NEW met1 ( 126730 168130 ) M1M2_PR
NEW met1 ( 126730 186150 ) M1M2_PR
NEW li1 ( 123050 186150 ) L1M1_PR_MR
NEW li1 ( 127650 126310 ) L1M1_PR_MR
NEW met1 ( 126730 126990 ) M1M2_PR
NEW li1 ( 131790 127330 ) L1M1_PR_MR
NEW met1 ( 130870 127330 ) M1M2_PR
NEW met1 ( 130870 128350 ) M1M2_PR
NEW met1 ( 126730 128350 ) M1M2_PR
NEW li1 ( 131330 124610 ) L1M1_PR_MR
NEW met1 ( 130870 124610 ) M1M2_PR
NEW met1 ( 124430 126990 ) M1M2_PR
NEW met1 ( 126730 74970 ) RECT ( 0 -70 595 70 )
NEW met1 ( 124430 104550 ) RECT ( 0 -70 595 70 )
NEW met2 ( 124430 107270 ) RECT ( -70 -485 70 0 )
NEW met2 ( 126730 128350 ) RECT ( -70 -485 70 0 ) ;
- _065_ ( _431_ A ) ( _430_ X ) + USE SIGNAL
+ ROUTED met2 ( 120290 172890 ) ( * 185470 )
NEW met1 ( 120290 185470 ) ( 122130 * )
NEW li1 ( 120290 172890 ) L1M1_PR_MR
NEW met1 ( 120290 172890 ) M1M2_PR
NEW met1 ( 120290 185470 ) M1M2_PR
NEW li1 ( 122130 185470 ) L1M1_PR_MR
NEW met1 ( 120290 172890 ) RECT ( -355 -70 0 70 ) ;
- _066_ ( _433_ A ) ( _432_ X ) + USE SIGNAL
+ ROUTED met1 ( 128570 127330 ) ( 129030 * )
NEW met2 ( 129030 127330 ) ( * 134470 )
NEW met1 ( 128570 134470 ) ( 129030 * )
NEW met1 ( 128570 134470 ) ( * 134810 )
NEW li1 ( 128570 127330 ) L1M1_PR_MR
NEW met1 ( 129030 127330 ) M1M2_PR
NEW met1 ( 129030 134470 ) M1M2_PR
NEW li1 ( 128570 134810 ) L1M1_PR_MR ;
- _067_ ( _435_ A ) ( _434_ X ) + USE SIGNAL
+ ROUTED met1 ( 133170 99110 ) ( 134550 * )
NEW met2 ( 133170 99110 ) ( * 103870 )
NEW met1 ( 125350 103870 ) ( 133170 * )
NEW li1 ( 134550 99110 ) L1M1_PR_MR
NEW met1 ( 133170 99110 ) M1M2_PR
NEW met1 ( 133170 103870 ) M1M2_PR
NEW li1 ( 125350 103870 ) L1M1_PR_MR ;
- _068_ ( _437_ A ) ( _436_ X ) + USE SIGNAL
+ ROUTED met1 ( 127650 75650 ) ( 134550 * )
NEW met2 ( 134550 75650 ) ( * 77350 )
NEW li1 ( 127650 75650 ) L1M1_PR_MR
NEW met1 ( 134550 75650 ) M1M2_PR
NEW li1 ( 134550 77350 ) L1M1_PR_MR
NEW met1 ( 134550 77350 ) M1M2_PR
NEW met1 ( 134550 77350 ) RECT ( -355 -70 0 70 ) ;
- _069_ ( _439_ A ) ( _438_ X ) + USE SIGNAL
+ ROUTED met1 ( 180090 91970 ) ( 182850 * )
NEW met2 ( 182850 91970 ) ( * 96730 )
NEW li1 ( 180090 91970 ) L1M1_PR_MR
NEW met1 ( 182850 91970 ) M1M2_PR
NEW li1 ( 182850 96730 ) L1M1_PR_MR
NEW met1 ( 182850 96730 ) M1M2_PR
NEW met1 ( 182850 96730 ) RECT ( -355 -70 0 70 ) ;
- _070_ ( ANTENNA__441__B DIODE ) ( ANTENNA__443__B DIODE ) ( ANTENNA__445__B DIODE ) ( ANTENNA__447__B DIODE ) ( ANTENNA__449__B DIODE ) ( _449_ B ) ( _447_ B )
( _445_ B ) ( _443_ B ) ( _441_ B ) ( _440_ X ) + USE SIGNAL
+ ROUTED met1 ( 71070 83810 ) ( 71530 * )
NEW met2 ( 71530 83810 ) ( * 84830 )
NEW met1 ( 71530 84830 ) ( 90390 * )
NEW met2 ( 90390 84830 ) ( * 88910 )
NEW met1 ( 66930 82790 ) ( * 83130 )
NEW met1 ( 66930 83130 ) ( 68310 * )
NEW met1 ( 68310 83130 ) ( * 83810 )
NEW met1 ( 68310 83810 ) ( 71070 * )
NEW met2 ( 110170 170340 ) ( * 180710 )
NEW met1 ( 108790 180710 ) ( 110170 * )
NEW met1 ( 76130 42670 ) ( 87170 * )
NEW met2 ( 87170 42670 ) ( * 44030 )
NEW met1 ( 87170 44030 ) ( 94990 * )
NEW met2 ( 94990 26350 ) ( * 44030 )
NEW met1 ( 94990 26350 ) ( 97750 * )
NEW met2 ( 97750 23630 ) ( * 26350 )
NEW met1 ( 97750 23630 ) ( 107870 * )
NEW met1 ( 107870 23290 ) ( * 23630 )
NEW met1 ( 72450 42330 ) ( 76130 * )
NEW met1 ( 76130 42330 ) ( * 42670 )
NEW met2 ( 90390 44030 ) ( * 84830 )
NEW met2 ( 115230 88910 ) ( * 90780 )
NEW met2 ( 115230 90780 ) ( 115690 * )
NEW met2 ( 115690 90780 ) ( * 109140 )
NEW met2 ( 114770 109140 ) ( 115690 * )
NEW met1 ( 90390 88910 ) ( 115230 * )
NEW met2 ( 110630 162690 ) ( * 170340 )
NEW met1 ( 110630 162350 ) ( 114770 * )
NEW met1 ( 110630 162350 ) ( * 162690 )
NEW met2 ( 110170 170340 ) ( 110630 * )
NEW met2 ( 111550 22270 ) ( * 22950 )
NEW met1 ( 111550 22270 ) ( 115230 * )
NEW met2 ( 115230 21250 ) ( * 22270 )
NEW met1 ( 115230 21250 ) ( 116610 * )
NEW met1 ( 111550 22950 ) ( * 23290 )
NEW met1 ( 107870 23290 ) ( 111550 * )
NEW met1 ( 114770 130050 ) ( 115230 * )
NEW met1 ( 114770 125970 ) ( 123510 * )
NEW met2 ( 114770 125970 ) ( * 130050 )
NEW met1 ( 122590 129370 ) ( 126270 * )
NEW met2 ( 122590 125970 ) ( * 129370 )
NEW met2 ( 114770 109140 ) ( * 125970 )
NEW met2 ( 114770 130050 ) ( * 162350 )
NEW li1 ( 71070 83810 ) L1M1_PR_MR
NEW met1 ( 71530 83810 ) M1M2_PR
NEW met1 ( 71530 84830 ) M1M2_PR
NEW met1 ( 90390 84830 ) M1M2_PR
NEW met1 ( 90390 88910 ) M1M2_PR
NEW li1 ( 66930 82790 ) L1M1_PR_MR
NEW met1 ( 110170 180710 ) M1M2_PR
NEW li1 ( 108790 180710 ) L1M1_PR_MR
NEW li1 ( 76130 42670 ) L1M1_PR_MR
NEW met1 ( 87170 42670 ) M1M2_PR
NEW met1 ( 87170 44030 ) M1M2_PR
NEW met1 ( 94990 44030 ) M1M2_PR
NEW met1 ( 94990 26350 ) M1M2_PR
NEW met1 ( 97750 26350 ) M1M2_PR
NEW met1 ( 97750 23630 ) M1M2_PR
NEW li1 ( 72450 42330 ) L1M1_PR_MR
NEW met1 ( 90390 44030 ) M1M2_PR
NEW met1 ( 115230 88910 ) M1M2_PR
NEW li1 ( 110630 162690 ) L1M1_PR_MR
NEW met1 ( 110630 162690 ) M1M2_PR
NEW met1 ( 114770 162350 ) M1M2_PR
NEW li1 ( 111550 22950 ) L1M1_PR_MR
NEW met1 ( 111550 22950 ) M1M2_PR
NEW met1 ( 111550 22270 ) M1M2_PR
NEW met1 ( 115230 22270 ) M1M2_PR
NEW met1 ( 115230 21250 ) M1M2_PR
NEW li1 ( 116610 21250 ) L1M1_PR_MR
NEW li1 ( 115230 130050 ) L1M1_PR_MR
NEW met1 ( 114770 130050 ) M1M2_PR
NEW li1 ( 123510 125970 ) L1M1_PR_MR
NEW met1 ( 114770 125970 ) M1M2_PR
NEW li1 ( 126270 129370 ) L1M1_PR_MR
NEW met1 ( 122590 129370 ) M1M2_PR
NEW met1 ( 122590 125970 ) M1M2_PR
NEW met1 ( 90390 44030 ) RECT ( -595 -70 0 70 )
NEW met1 ( 110630 162690 ) RECT ( 0 -70 355 70 )
NEW met1 ( 111550 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 122590 125970 ) RECT ( -595 -70 0 70 ) ;
- _071_ ( _442_ A ) ( _441_ X ) + USE SIGNAL
+ ROUTED met1 ( 108330 22950 ) ( 110630 * )
NEW li1 ( 108330 22950 ) L1M1_PR_MR
NEW li1 ( 110630 22950 ) L1M1_PR_MR ;
- _072_ ( _444_ A ) ( _443_ X ) + USE SIGNAL
+ ROUTED met1 ( 107870 181730 ) ( 109250 * )
NEW met2 ( 109250 181730 ) ( * 186150 )
NEW li1 ( 107870 181730 ) L1M1_PR_MR
NEW met1 ( 109250 181730 ) M1M2_PR
NEW li1 ( 109250 186150 ) L1M1_PR_MR
NEW met1 ( 109250 186150 ) M1M2_PR
NEW met1 ( 109250 186150 ) RECT ( -355 -70 0 70 ) ;
- _073_ ( _446_ A ) ( _445_ X ) + USE SIGNAL
+ ROUTED met2 ( 67850 83810 ) ( * 85510 )
NEW met1 ( 67390 85510 ) ( 67850 * )
NEW li1 ( 67850 83810 ) L1M1_PR_MR
NEW met1 ( 67850 83810 ) M1M2_PR
NEW met1 ( 67850 85510 ) M1M2_PR
NEW li1 ( 67390 85510 ) L1M1_PR_MR
NEW met1 ( 67850 83810 ) RECT ( -355 -70 0 70 ) ;
- _074_ ( _448_ A ) ( _447_ X ) + USE SIGNAL
+ ROUTED met2 ( 77970 36890 ) ( * 41990 )
NEW met1 ( 73370 41990 ) ( 77970 * )
NEW li1 ( 77970 36890 ) L1M1_PR_MR
NEW met1 ( 77970 36890 ) M1M2_PR
NEW met1 ( 77970 41990 ) M1M2_PR
NEW li1 ( 73370 41990 ) L1M1_PR_MR
NEW met1 ( 77970 36890 ) RECT ( -355 -70 0 70 ) ;
- _075_ ( _450_ A ) ( _449_ X ) + USE SIGNAL
+ ROUTED met2 ( 125350 130050 ) ( * 134810 )
NEW li1 ( 125350 130050 ) L1M1_PR_MR
NEW met1 ( 125350 130050 ) M1M2_PR
NEW li1 ( 125350 134810 ) L1M1_PR_MR
NEW met1 ( 125350 134810 ) M1M2_PR
NEW met1 ( 125350 130050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 125350 134810 ) RECT ( -355 -70 0 70 ) ;
- _076_ ( ANTENNA__452__B DIODE ) ( ANTENNA__454__B DIODE ) ( ANTENNA__456__B DIODE ) ( ANTENNA__458__B DIODE ) ( ANTENNA__460__B DIODE ) ( _460_ B ) ( _458_ B )
( _456_ B ) ( _454_ B ) ( _452_ B ) ( _451_ X ) + USE SIGNAL
+ ROUTED met1 ( 189750 134810 ) ( * 135150 )
NEW met1 ( 172730 135150 ) ( 189750 * )
NEW met1 ( 172730 134810 ) ( * 135150 )
NEW met2 ( 186990 130050 ) ( * 135150 )
NEW met1 ( 145130 179010 ) ( 146050 * )
NEW met2 ( 145130 179010 ) ( * 185810 )
NEW met1 ( 138230 185810 ) ( 145130 * )
NEW met1 ( 138230 185810 ) ( * 186150 )
NEW met1 ( 137310 186150 ) ( 138230 * )
NEW met1 ( 137310 186150 ) ( * 186490 )
NEW met1 ( 133630 186490 ) ( 137310 * )
NEW met1 ( 133630 186150 ) ( * 186490 )
NEW met1 ( 130870 186150 ) ( 133630 * )
NEW met2 ( 145130 179010 ) ( 145590 * )
NEW met2 ( 145590 158700 ) ( * 179010 )
NEW met1 ( 141450 134810 ) ( 146050 * )
NEW met2 ( 146050 134810 ) ( * 158700 )
NEW met2 ( 145590 158700 ) ( 146050 * )
NEW met1 ( 141910 131750 ) ( 146050 * )
NEW met2 ( 146050 131750 ) ( * 134810 )
NEW met2 ( 142830 130050 ) ( * 131750 )
NEW met1 ( 137310 134810 ) ( 141450 * )
NEW met1 ( 136850 129370 ) ( 142830 * )
NEW met1 ( 142830 129370 ) ( * 130050 )
NEW met2 ( 135470 134810 ) ( * 136510 )
NEW met1 ( 135470 134810 ) ( 137310 * )
NEW met1 ( 131330 128350 ) ( 137310 * )
NEW met2 ( 137310 128350 ) ( * 129370 )
NEW met1 ( 146050 134810 ) ( 172730 * )
NEW li1 ( 189750 134810 ) L1M1_PR_MR
NEW li1 ( 186990 130050 ) L1M1_PR_MR
NEW met1 ( 186990 130050 ) M1M2_PR
NEW met1 ( 186990 135150 ) M1M2_PR
NEW li1 ( 146050 179010 ) L1M1_PR_MR
NEW met1 ( 145130 179010 ) M1M2_PR
NEW met1 ( 145130 185810 ) M1M2_PR
NEW li1 ( 130870 186150 ) L1M1_PR_MR
NEW li1 ( 141450 134810 ) L1M1_PR_MR
NEW met1 ( 146050 134810 ) M1M2_PR
NEW li1 ( 141910 131750 ) L1M1_PR_MR
NEW met1 ( 146050 131750 ) M1M2_PR
NEW li1 ( 142830 130050 ) L1M1_PR_MR
NEW met1 ( 142830 130050 ) M1M2_PR
NEW met1 ( 142830 131750 ) M1M2_PR
NEW li1 ( 137310 134810 ) L1M1_PR_MR
NEW li1 ( 136850 129370 ) L1M1_PR_MR
NEW li1 ( 135470 136510 ) L1M1_PR_MR
NEW met1 ( 135470 136510 ) M1M2_PR
NEW met1 ( 135470 134810 ) M1M2_PR
NEW li1 ( 131330 128350 ) L1M1_PR_MR
NEW met1 ( 137310 128350 ) M1M2_PR
NEW met1 ( 137310 129370 ) M1M2_PR
NEW met1 ( 186990 130050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186990 135150 ) RECT ( -595 -70 0 70 )
NEW met1 ( 142830 130050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 142830 131750 ) RECT ( -595 -70 0 70 )
NEW met1 ( 135470 136510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 137310 129370 ) RECT ( -595 -70 0 70 ) ;
- _077_ ( _453_ A ) ( _452_ X ) + USE SIGNAL
+ ROUTED met2 ( 134550 131750 ) ( * 133790 )
NEW met1 ( 134550 133790 ) ( 136390 * )
NEW li1 ( 134550 131750 ) L1M1_PR_MR
NEW met1 ( 134550 131750 ) M1M2_PR
NEW met1 ( 134550 133790 ) M1M2_PR
NEW li1 ( 136390 133790 ) L1M1_PR_MR
NEW met1 ( 134550 131750 ) RECT ( -355 -70 0 70 ) ;
- _078_ ( _455_ A ) ( _454_ X ) + USE SIGNAL
+ ROUTED met1 ( 145130 169830 ) ( * 170170 )
NEW met1 ( 137770 170170 ) ( 145130 * )
NEW met2 ( 137770 170170 ) ( * 185810 )
NEW met1 ( 131790 185810 ) ( 137770 * )
NEW li1 ( 145130 169830 ) L1M1_PR_MR
NEW met1 ( 137770 170170 ) M1M2_PR
NEW met1 ( 137770 185810 ) M1M2_PR
NEW li1 ( 131790 185810 ) L1M1_PR_MR ;
- _079_ ( _457_ A ) ( _456_ X ) + USE SIGNAL
+ ROUTED met1 ( 139610 109990 ) ( 142370 * )
NEW met1 ( 137770 128350 ) ( 139610 * )
NEW met2 ( 139610 109990 ) ( * 128350 )
NEW met1 ( 139610 109990 ) M1M2_PR
NEW li1 ( 142370 109990 ) L1M1_PR_MR
NEW met1 ( 139610 128350 ) M1M2_PR
NEW li1 ( 137770 128350 ) L1M1_PR_MR ;
- _080_ ( _459_ A ) ( _458_ X ) + USE SIGNAL
+ ROUTED met1 ( 183310 135490 ) ( 188830 * )
NEW met2 ( 183310 135490 ) ( * 137190 )
NEW li1 ( 188830 135490 ) L1M1_PR_MR
NEW met1 ( 183310 135490 ) M1M2_PR
NEW li1 ( 183310 137190 ) L1M1_PR_MR
NEW met1 ( 183310 137190 ) M1M2_PR
NEW met1 ( 183310 137190 ) RECT ( -355 -70 0 70 ) ;
- _081_ ( _461_ A ) ( _460_ X ) + USE SIGNAL
+ ROUTED met1 ( 142370 135490 ) ( 145590 * )
NEW met2 ( 145590 135490 ) ( * 140250 )
NEW li1 ( 142370 135490 ) L1M1_PR_MR
NEW met1 ( 145590 135490 ) M1M2_PR
NEW li1 ( 145590 140250 ) L1M1_PR_MR
NEW met1 ( 145590 140250 ) M1M2_PR
NEW met1 ( 145590 140250 ) RECT ( -355 -70 0 70 ) ;
- _082_ ( ANTENNA__463__B DIODE ) ( ANTENNA__465__B DIODE ) ( ANTENNA__467__B DIODE ) ( ANTENNA__469__B DIODE ) ( ANTENNA__471__B DIODE ) ( _471_ B ) ( _469_ B )
( _467_ B ) ( _465_ B ) ( _463_ B ) ( _462_ X ) + USE SIGNAL
+ ROUTED met1 ( 106490 79730 ) ( 110170 * )
NEW met1 ( 104650 82790 ) ( 110170 * )
NEW met1 ( 106030 79730 ) ( 106490 * )
NEW met1 ( 77050 58990 ) ( 106030 * )
NEW met1 ( 73370 58650 ) ( * 58990 )
NEW met1 ( 73370 58990 ) ( 77050 * )
NEW met1 ( 71530 58990 ) ( 73370 * )
NEW met2 ( 106030 58990 ) ( * 79730 )
NEW met2 ( 110170 79730 ) ( * 127330 )
NEW met1 ( 117990 163710 ) ( 118910 * )
NEW met1 ( 116150 167110 ) ( * 167450 )
NEW met1 ( 116150 167110 ) ( 117990 * )
NEW met2 ( 117990 163710 ) ( * 167110 )
NEW met1 ( 117530 127330 ) ( 117990 * )
NEW met1 ( 110170 127330 ) ( 117530 * )
NEW met2 ( 117990 127330 ) ( * 163710 )
NEW met2 ( 71070 17850 ) ( * 28900 )
NEW met2 ( 71070 28900 ) ( 71530 * )
NEW met2 ( 71070 15130 ) ( * 17850 )
NEW met1 ( 61870 14110 ) ( 71070 * )
NEW met2 ( 71070 14110 ) ( * 15130 )
NEW met1 ( 83950 12070 ) ( 90850 * )
NEW met1 ( 83950 12070 ) ( * 12410 )
NEW met1 ( 74750 12410 ) ( 83950 * )
NEW met2 ( 74750 12410 ) ( * 14110 )
NEW met1 ( 71070 14110 ) ( 74750 * )
NEW met2 ( 71530 28900 ) ( * 58990 )
NEW li1 ( 106490 79730 ) L1M1_PR_MR
NEW met1 ( 110170 79730 ) M1M2_PR
NEW li1 ( 104650 82790 ) L1M1_PR_MR
NEW met1 ( 110170 82790 ) M1M2_PR
NEW met1 ( 106030 79730 ) M1M2_PR
NEW li1 ( 77050 58990 ) L1M1_PR_MR
NEW met1 ( 106030 58990 ) M1M2_PR
NEW li1 ( 73370 58650 ) L1M1_PR_MR
NEW met1 ( 71530 58990 ) M1M2_PR
NEW met1 ( 110170 127330 ) M1M2_PR
NEW li1 ( 118910 163710 ) L1M1_PR_MR
NEW met1 ( 117990 163710 ) M1M2_PR
NEW li1 ( 116150 167450 ) L1M1_PR_MR
NEW met1 ( 117990 167110 ) M1M2_PR
NEW li1 ( 117530 127330 ) L1M1_PR_MR
NEW met1 ( 117990 127330 ) M1M2_PR
NEW li1 ( 71070 17850 ) L1M1_PR_MR
NEW met1 ( 71070 17850 ) M1M2_PR
NEW li1 ( 71070 15130 ) L1M1_PR_MR
NEW met1 ( 71070 15130 ) M1M2_PR
NEW li1 ( 61870 14110 ) L1M1_PR_MR
NEW met1 ( 71070 14110 ) M1M2_PR
NEW li1 ( 90850 12070 ) L1M1_PR_MR
NEW met1 ( 74750 12410 ) M1M2_PR
NEW met1 ( 74750 14110 ) M1M2_PR
NEW met2 ( 110170 82790 ) RECT ( -70 -485 70 0 )
NEW met1 ( 71070 17850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 71070 15130 ) RECT ( -355 -70 0 70 ) ;
- _083_ ( _464_ A ) ( _463_ X ) + USE SIGNAL
+ ROUTED met2 ( 70150 15810 ) ( * 22950 )
NEW met1 ( 67850 22950 ) ( 70150 * )
NEW li1 ( 70150 15810 ) L1M1_PR_MR
NEW met1 ( 70150 15810 ) M1M2_PR
NEW met1 ( 70150 22950 ) M1M2_PR
NEW li1 ( 67850 22950 ) L1M1_PR_MR
NEW met1 ( 70150 15810 ) RECT ( -355 -70 0 70 ) ;
- _084_ ( _466_ A ) ( _465_ X ) + USE SIGNAL
+ ROUTED met2 ( 103730 77350 ) ( * 82110 )
NEW li1 ( 103730 77350 ) L1M1_PR_MR
NEW met1 ( 103730 77350 ) M1M2_PR
NEW li1 ( 103730 82110 ) L1M1_PR_MR
NEW met1 ( 103730 82110 ) M1M2_PR
NEW met1 ( 103730 77350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 103730 82110 ) RECT ( -355 -70 0 70 ) ;
- _085_ ( _468_ A ) ( _467_ X ) + USE SIGNAL
+ ROUTED met1 ( 117070 167450 ) ( 121210 * )
NEW li1 ( 121210 167450 ) L1M1_PR_MR
NEW li1 ( 117070 167450 ) L1M1_PR_MR ;
- _086_ ( _470_ A ) ( _469_ X ) + USE SIGNAL
+ ROUTED met1 ( 82570 13090 ) ( 89930 * )
NEW met2 ( 82570 13090 ) ( * 17510 )
NEW met1 ( 82570 17510 ) ( 83030 * )
NEW li1 ( 89930 13090 ) L1M1_PR_MR
NEW met1 ( 82570 13090 ) M1M2_PR
NEW met1 ( 82570 17510 ) M1M2_PR
NEW li1 ( 83030 17510 ) L1M1_PR_MR ;
- _087_ ( _472_ A ) ( _471_ X ) + USE SIGNAL
+ ROUTED met1 ( 74290 55590 ) ( 77050 * )
NEW met2 ( 74290 55590 ) ( * 57630 )
NEW li1 ( 77050 55590 ) L1M1_PR_MR
NEW met1 ( 74290 55590 ) M1M2_PR
NEW li1 ( 74290 57630 ) L1M1_PR_MR
NEW met1 ( 74290 57630 ) M1M2_PR
NEW met1 ( 74290 57630 ) RECT ( -355 -70 0 70 ) ;
- _088_ ( ANTENNA__474__B DIODE ) ( ANTENNA__476__B DIODE ) ( ANTENNA__478__B DIODE ) ( ANTENNA__480__B DIODE ) ( ANTENNA__482__B DIODE ) ( _482_ B ) ( _480_ B )
( _478_ B ) ( _476_ B ) ( _474_ B ) ( _473_ X ) + USE SIGNAL
+ ROUTED met2 ( 171810 179010 ) ( * 185810 )
NEW met1 ( 171810 185810 ) ( 178250 * )
NEW met1 ( 178250 185810 ) ( * 186150 )
NEW met2 ( 171810 177310 ) ( * 179010 )
NEW met1 ( 139150 90270 ) ( 143750 * )
NEW met2 ( 141910 90270 ) ( * 93670 )
NEW met1 ( 141910 101150 ) ( 142830 * )
NEW met2 ( 141910 93670 ) ( * 101150 )
NEW met1 ( 139610 102170 ) ( 141910 * )
NEW met2 ( 141910 101150 ) ( * 102170 )
NEW met1 ( 144210 177310 ) ( 171810 * )
NEW met1 ( 139150 48450 ) ( 142370 * )
NEW met2 ( 139150 48450 ) ( * 90270 )
NEW met1 ( 136850 127330 ) ( 144210 * )
NEW met2 ( 141910 102170 ) ( * 127330 )
NEW met2 ( 144210 127330 ) ( * 177310 )
NEW met1 ( 150650 15130 ) ( 151570 * )
NEW met2 ( 151570 14450 ) ( * 15130 )
NEW met1 ( 151570 14450 ) ( 170890 * )
NEW met1 ( 170890 14450 ) ( * 15130 )
NEW met1 ( 148350 34510 ) ( 151570 * )
NEW met2 ( 151570 34340 ) ( * 34510 )
NEW met3 ( 151570 34340 ) ( 152260 * )
NEW met4 ( 152260 16660 ) ( * 34340 )
NEW met3 ( 151570 16660 ) ( 152260 * )
NEW met2 ( 151570 15130 ) ( * 16660 )
NEW met2 ( 144210 22100 ) ( * 23630 )
NEW met3 ( 144210 22100 ) ( 152260 * )
NEW met1 ( 142370 36210 ) ( 145590 * )
NEW met2 ( 145590 34510 ) ( * 36210 )
NEW met1 ( 145590 34510 ) ( 148350 * )
NEW met1 ( 137310 23630 ) ( 144210 * )
NEW met2 ( 142370 36210 ) ( * 48450 )
NEW li1 ( 171810 179010 ) L1M1_PR_MR
NEW met1 ( 171810 179010 ) M1M2_PR
NEW met1 ( 171810 185810 ) M1M2_PR
NEW li1 ( 178250 186150 ) L1M1_PR_MR
NEW met1 ( 171810 177310 ) M1M2_PR
NEW li1 ( 143750 90270 ) L1M1_PR_MR
NEW met1 ( 139150 90270 ) M1M2_PR
NEW li1 ( 141910 93670 ) L1M1_PR_MR
NEW met1 ( 141910 93670 ) M1M2_PR
NEW met1 ( 141910 90270 ) M1M2_PR
NEW li1 ( 142830 101150 ) L1M1_PR_MR
NEW met1 ( 141910 101150 ) M1M2_PR
NEW li1 ( 139610 102170 ) L1M1_PR_MR
NEW met1 ( 141910 102170 ) M1M2_PR
NEW met1 ( 144210 177310 ) M1M2_PR
NEW met1 ( 142370 48450 ) M1M2_PR
NEW met1 ( 139150 48450 ) M1M2_PR
NEW li1 ( 136850 127330 ) L1M1_PR_MR
NEW met1 ( 144210 127330 ) M1M2_PR
NEW met1 ( 141910 127330 ) M1M2_PR
NEW li1 ( 137310 23630 ) L1M1_PR_MR
NEW li1 ( 150650 15130 ) L1M1_PR_MR
NEW met1 ( 151570 15130 ) M1M2_PR
NEW met1 ( 151570 14450 ) M1M2_PR
NEW li1 ( 170890 15130 ) L1M1_PR_MR
NEW li1 ( 148350 34510 ) L1M1_PR_MR
NEW met1 ( 151570 34510 ) M1M2_PR
NEW met2 ( 151570 34340 ) M2M3_PR_M
NEW met3 ( 152260 34340 ) M3M4_PR_M
NEW met3 ( 152260 16660 ) M3M4_PR_M
NEW met2 ( 151570 16660 ) M2M3_PR_M
NEW met1 ( 144210 23630 ) M1M2_PR
NEW met2 ( 144210 22100 ) M2M3_PR_M
NEW met3 ( 152260 22100 ) M3M4_PR_M
NEW met1 ( 142370 36210 ) M1M2_PR
NEW met1 ( 145590 36210 ) M1M2_PR
NEW met1 ( 145590 34510 ) M1M2_PR
NEW met1 ( 171810 179010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 141910 93670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 141910 90270 ) RECT ( -595 -70 0 70 )
NEW met1 ( 141910 127330 ) RECT ( -595 -70 0 70 )
NEW met4 ( 152260 22100 ) RECT ( -150 -800 150 0 ) ;
- _089_ ( _475_ A ) ( _474_ X ) + USE SIGNAL
+ ROUTED met1 ( 163070 15810 ) ( 169970 * )
NEW met2 ( 163070 15810 ) ( * 21250 )
NEW met2 ( 163070 21250 ) ( 163990 * )
NEW met2 ( 163990 21250 ) ( * 26860 )
NEW met2 ( 163990 26860 ) ( 164910 * )
NEW met2 ( 164910 26860 ) ( * 29070 )
NEW met1 ( 163990 29070 ) ( 164910 * )
NEW met2 ( 163990 29070 ) ( * 33150 )
NEW met1 ( 158470 33150 ) ( 163990 * )
NEW met1 ( 158470 33150 ) ( * 33830 )
NEW li1 ( 169970 15810 ) L1M1_PR_MR
NEW met1 ( 163070 15810 ) M1M2_PR
NEW met1 ( 164910 29070 ) M1M2_PR
NEW met1 ( 163990 29070 ) M1M2_PR
NEW met1 ( 163990 33150 ) M1M2_PR
NEW li1 ( 158470 33830 ) L1M1_PR_MR ;
- _090_ ( _477_ A ) ( _476_ X ) + USE SIGNAL
+ ROUTED met1 ( 151570 15810 ) ( 153410 * )
NEW met2 ( 153410 15810 ) ( * 31450 )
NEW li1 ( 151570 15810 ) L1M1_PR_MR
NEW met1 ( 153410 15810 ) M1M2_PR
NEW li1 ( 153410 31450 ) L1M1_PR_MR
NEW met1 ( 153410 31450 ) M1M2_PR
NEW met1 ( 153410 31450 ) RECT ( -355 -70 0 70 ) ;
- _091_ ( _479_ A ) ( _478_ X ) + USE SIGNAL
+ ROUTED met1 ( 170890 183770 ) ( 174570 * )
NEW met2 ( 174570 183770 ) ( * 185470 )
NEW met1 ( 174570 185470 ) ( 177330 * )
NEW li1 ( 170890 183770 ) L1M1_PR_MR
NEW met1 ( 174570 183770 ) M1M2_PR
NEW met1 ( 174570 185470 ) M1M2_PR
NEW li1 ( 177330 185470 ) L1M1_PR_MR ;
- _092_ ( _481_ A ) ( _480_ X ) + USE SIGNAL
+ ROUTED met1 ( 142830 94690 ) ( 150190 * )
NEW met2 ( 150190 94690 ) ( * 98770 )
NEW li1 ( 142830 94690 ) L1M1_PR_MR
NEW met1 ( 150190 94690 ) M1M2_PR
NEW li1 ( 150190 98770 ) L1M1_PR_MR
NEW met1 ( 150190 98770 ) M1M2_PR
NEW met1 ( 150190 98770 ) RECT ( -355 -70 0 70 ) ;
- _093_ ( _483_ A ) ( _482_ X ) + USE SIGNAL
+ ROUTED met2 ( 140530 102850 ) ( * 107270 )
NEW met1 ( 140530 107270 ) ( 144670 * )
NEW li1 ( 140530 102850 ) L1M1_PR_MR
NEW met1 ( 140530 102850 ) M1M2_PR
NEW met1 ( 140530 107270 ) M1M2_PR
NEW li1 ( 144670 107270 ) L1M1_PR_MR
NEW met1 ( 140530 102850 ) RECT ( -355 -70 0 70 ) ;
- _094_ ( _529_ A ) ( _518_ A ) ( _507_ A ) ( _496_ A ) ( _485_ A ) ( _484_ X ) + USE SIGNAL
+ ROUTED met1 ( 125350 102170 ) ( 125810 * )
NEW met2 ( 125350 102170 ) ( * 107950 )
NEW met1 ( 122130 102170 ) ( 125350 * )
NEW met1 ( 129950 113390 ) ( 130410 * )
NEW met2 ( 130410 113390 ) ( * 137190 )
NEW met1 ( 127650 137190 ) ( 130410 * )
NEW met1 ( 124430 113050 ) ( * 113390 )
NEW met1 ( 124430 113390 ) ( 129950 * )
NEW met2 ( 125350 107950 ) ( * 113390 )
NEW li1 ( 125350 107950 ) L1M1_PR_MR
NEW met1 ( 125350 107950 ) M1M2_PR
NEW li1 ( 125810 102170 ) L1M1_PR_MR
NEW met1 ( 125350 102170 ) M1M2_PR
NEW li1 ( 122130 102170 ) L1M1_PR_MR
NEW li1 ( 129950 113390 ) L1M1_PR_MR
NEW met1 ( 130410 113390 ) M1M2_PR
NEW met1 ( 130410 137190 ) M1M2_PR
NEW li1 ( 127650 137190 ) L1M1_PR_MR
NEW li1 ( 124430 113050 ) L1M1_PR_MR
NEW met1 ( 125350 113390 ) M1M2_PR
NEW met1 ( 125350 107950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 125350 113390 ) RECT ( -595 -70 0 70 ) ;
- _095_ ( ANTENNA__486__B DIODE ) ( ANTENNA__488__B DIODE ) ( ANTENNA__490__B DIODE ) ( ANTENNA__492__B DIODE ) ( ANTENNA__494__B DIODE ) ( _494_ B ) ( _492_ B )
( _490_ B ) ( _488_ B ) ( _486_ B ) ( _485_ X ) + USE SIGNAL
+ ROUTED met2 ( 108330 90100 ) ( 108790 * )
NEW met2 ( 108790 62100 ) ( * 90100 )
NEW met2 ( 109250 39100 ) ( * 62100 )
NEW met2 ( 108790 62100 ) ( 109250 * )
NEW met1 ( 116610 165410 ) ( 122130 * )
NEW met2 ( 116610 165410 ) ( * 180030 )
NEW met1 ( 113850 180030 ) ( 116610 * )
NEW met2 ( 113850 180030 ) ( * 183770 )
NEW met1 ( 113850 183770 ) ( 116610 * )
NEW met2 ( 122130 158700 ) ( * 165410 )
NEW met1 ( 121670 152830 ) ( 122130 * )
NEW met1 ( 117070 153510 ) ( 121670 * )
NEW met2 ( 121670 152830 ) ( * 153510 )
NEW met2 ( 121670 158700 ) ( 122130 * )
NEW met2 ( 121670 153510 ) ( * 158700 )
NEW met1 ( 121670 108290 ) ( 125810 * )
NEW met1 ( 125810 107610 ) ( 130410 * )
NEW met1 ( 125810 107610 ) ( * 108290 )
NEW met1 ( 130410 109990 ) ( 133170 * )
NEW met2 ( 130410 107610 ) ( * 109990 )
NEW met1 ( 112010 110670 ) ( 121670 * )
NEW met1 ( 109710 107610 ) ( 110170 * )
NEW met2 ( 109710 107610 ) ( * 110670 )
NEW met1 ( 109710 110670 ) ( 112010 * )
NEW met1 ( 108330 110670 ) ( 109710 * )
NEW met2 ( 108330 90100 ) ( * 110670 )
NEW met2 ( 121670 108290 ) ( * 152830 )
NEW met1 ( 78430 27710 ) ( 82570 * )
NEW met2 ( 82570 27710 ) ( * 28730 )
NEW met2 ( 82570 28730 ) ( 83030 * )
NEW met2 ( 83030 28730 ) ( * 39100 )
NEW met2 ( 71530 27540 ) ( * 28390 )
NEW met3 ( 71530 27540 ) ( 78890 * )
NEW met2 ( 78890 27540 ) ( * 27710 )
NEW met3 ( 83030 39100 ) ( 109250 * )
NEW met2 ( 109250 39100 ) M2M3_PR_M
NEW li1 ( 122130 165410 ) L1M1_PR_MR
NEW met1 ( 116610 165410 ) M1M2_PR
NEW met1 ( 116610 180030 ) M1M2_PR
NEW met1 ( 113850 180030 ) M1M2_PR
NEW met1 ( 113850 183770 ) M1M2_PR
NEW li1 ( 116610 183770 ) L1M1_PR_MR
NEW met1 ( 122130 165410 ) M1M2_PR
NEW li1 ( 122130 152830 ) L1M1_PR_MR
NEW met1 ( 121670 152830 ) M1M2_PR
NEW li1 ( 117070 153510 ) L1M1_PR_MR
NEW met1 ( 121670 153510 ) M1M2_PR
NEW li1 ( 125810 108290 ) L1M1_PR_MR
NEW met1 ( 121670 108290 ) M1M2_PR
NEW li1 ( 130410 107610 ) L1M1_PR_MR
NEW li1 ( 133170 109990 ) L1M1_PR_MR
NEW met1 ( 130410 109990 ) M1M2_PR
NEW met1 ( 130410 107610 ) M1M2_PR
NEW li1 ( 112010 110670 ) L1M1_PR_MR
NEW met1 ( 121670 110670 ) M1M2_PR
NEW li1 ( 110170 107610 ) L1M1_PR_MR
NEW met1 ( 109710 107610 ) M1M2_PR
NEW met1 ( 109710 110670 ) M1M2_PR
NEW met1 ( 108330 110670 ) M1M2_PR
NEW li1 ( 78430 27710 ) L1M1_PR_MR
NEW met1 ( 82570 27710 ) M1M2_PR
NEW met2 ( 83030 39100 ) M2M3_PR_M
NEW li1 ( 71530 28390 ) L1M1_PR_MR
NEW met1 ( 71530 28390 ) M1M2_PR
NEW met2 ( 71530 27540 ) M2M3_PR_M
NEW met2 ( 78890 27540 ) M2M3_PR_M
NEW met1 ( 78890 27710 ) M1M2_PR
NEW met1 ( 122130 165410 ) RECT ( -595 -70 0 70 )
NEW met1 ( 130410 107610 ) RECT ( -595 -70 0 70 )
NEW met2 ( 121670 110670 ) RECT ( -70 -485 70 0 )
NEW met1 ( 71530 28390 ) RECT ( 0 -70 355 70 )
NEW met1 ( 78890 27710 ) RECT ( -595 -70 0 70 ) ;
- _096_ ( _487_ A ) ( _486_ X ) + USE SIGNAL
+ ROUTED met1 ( 114310 148070 ) ( 116150 * )
NEW met2 ( 116150 148070 ) ( * 152830 )
NEW li1 ( 114310 148070 ) L1M1_PR_MR
NEW met1 ( 116150 148070 ) M1M2_PR
NEW li1 ( 116150 152830 ) L1M1_PR_MR
NEW met1 ( 116150 152830 ) M1M2_PR
NEW met1 ( 116150 152830 ) RECT ( -355 -70 0 70 ) ;
- _097_ ( _489_ A ) ( _488_ X ) + USE SIGNAL
+ ROUTED met2 ( 77050 26010 ) ( * 28050 )
NEW met1 ( 72450 28050 ) ( 77050 * )
NEW li1 ( 77050 26010 ) L1M1_PR_MR
NEW met1 ( 77050 26010 ) M1M2_PR
NEW met1 ( 77050 28050 ) M1M2_PR
NEW li1 ( 72450 28050 ) L1M1_PR_MR
NEW met1 ( 77050 26010 ) RECT ( -355 -70 0 70 ) ;
- _098_ ( _491_ A ) ( _490_ X ) + USE SIGNAL
+ ROUTED met2 ( 115690 169830 ) ( * 182750 )
NEW li1 ( 115690 169830 ) L1M1_PR_MR
NEW met1 ( 115690 169830 ) M1M2_PR
NEW li1 ( 115690 182750 ) L1M1_PR_MR
NEW met1 ( 115690 182750 ) M1M2_PR
NEW met1 ( 115690 169830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 115690 182750 ) RECT ( -355 -70 0 70 ) ;
- _099_ ( _493_ A ) ( _492_ X ) + USE SIGNAL
+ ROUTED met1 ( 113390 102170 ) ( 113850 * )
NEW met2 ( 113390 102170 ) ( * 102340 )
NEW met3 ( 112700 102340 ) ( 113390 * )
NEW met4 ( 112700 102340 ) ( * 108460 )
NEW met3 ( 111090 108460 ) ( 112700 * )
NEW met2 ( 111090 108290 ) ( * 108460 )
NEW li1 ( 113850 102170 ) L1M1_PR_MR
NEW met1 ( 113390 102170 ) M1M2_PR
NEW met2 ( 113390 102340 ) M2M3_PR_M
NEW met3 ( 112700 102340 ) M3M4_PR_M
NEW met3 ( 112700 108460 ) M3M4_PR_M
NEW met2 ( 111090 108460 ) M2M3_PR_M
NEW li1 ( 111090 108290 ) L1M1_PR_MR
NEW met1 ( 111090 108290 ) M1M2_PR
NEW met1 ( 111090 108290 ) RECT ( 0 -70 355 70 ) ;
- _100_ ( _495_ A ) ( _494_ X ) + USE SIGNAL
+ ROUTED met1 ( 131330 112710 ) ( 135010 * )
NEW met2 ( 131330 108290 ) ( * 112710 )
NEW li1 ( 131330 108290 ) L1M1_PR_MR
NEW met1 ( 131330 108290 ) M1M2_PR
NEW met1 ( 131330 112710 ) M1M2_PR
NEW li1 ( 135010 112710 ) L1M1_PR_MR
NEW met1 ( 131330 108290 ) RECT ( -355 -70 0 70 ) ;
- _101_ ( ANTENNA__497__B DIODE ) ( ANTENNA__499__B DIODE ) ( ANTENNA__501__B DIODE ) ( ANTENNA__503__B DIODE ) ( ANTENNA__505__B DIODE ) ( _505_ B ) ( _503_ B )
( _501_ B ) ( _499_ B ) ( _497_ B ) ( _496_ X ) + USE SIGNAL
+ ROUTED met1 ( 89930 95710 ) ( 95910 * )
NEW met1 ( 89930 95710 ) ( * 96050 )
NEW met1 ( 63250 96050 ) ( 89930 * )
NEW met1 ( 63250 96050 ) ( * 97070 )
NEW met1 ( 95450 96730 ) ( 104190 * )
NEW li1 ( 95450 95710 ) ( * 96730 )
NEW met2 ( 103730 102340 ) ( * 102850 )
NEW met2 ( 103270 102340 ) ( 103730 * )
NEW met2 ( 103270 96730 ) ( * 102340 )
NEW met2 ( 101430 81090 ) ( * 96730 )
NEW met1 ( 99130 74970 ) ( 101430 * )
NEW met2 ( 101430 74970 ) ( * 81090 )
NEW met2 ( 101430 60690 ) ( * 74970 )
NEW met1 ( 18170 101830 ) ( 24610 * )
NEW met1 ( 18170 101830 ) ( * 102170 )
NEW met1 ( 14490 102170 ) ( 18170 * )
NEW met2 ( 58650 96730 ) ( * 101830 )
NEW met1 ( 24610 101830 ) ( 58650 * )
NEW met1 ( 58650 97070 ) ( 61870 * )
NEW met1 ( 58650 96730 ) ( * 97070 )
NEW met1 ( 61870 97070 ) ( 63250 * )
NEW met1 ( 103730 102850 ) ( 126270 * )
NEW met2 ( 131790 58990 ) ( * 60690 )
NEW met1 ( 101430 60690 ) ( 131790 * )
NEW met1 ( 161230 58990 ) ( 183310 * )
NEW met1 ( 161230 58310 ) ( * 58990 )
NEW met1 ( 158010 58310 ) ( 161230 * )
NEW met1 ( 158010 58310 ) ( * 58990 )
NEW met2 ( 189750 58990 ) ( * 64090 )
NEW met1 ( 183310 58990 ) ( 189750 * )
NEW met1 ( 131790 58990 ) ( 158010 * )
NEW li1 ( 95910 95710 ) L1M1_PR_MR
NEW li1 ( 104190 96730 ) L1M1_PR_MR
NEW li1 ( 95450 96730 ) L1M1_PR_MR
NEW li1 ( 95450 95710 ) L1M1_PR_MR
NEW met1 ( 103730 102850 ) M1M2_PR
NEW met1 ( 103270 96730 ) M1M2_PR
NEW li1 ( 101430 81090 ) L1M1_PR_MR
NEW met1 ( 101430 81090 ) M1M2_PR
NEW met1 ( 101430 96730 ) M1M2_PR
NEW li1 ( 99130 74970 ) L1M1_PR_MR
NEW met1 ( 101430 74970 ) M1M2_PR
NEW met1 ( 101430 60690 ) M1M2_PR
NEW li1 ( 24610 101830 ) L1M1_PR_MR
NEW li1 ( 14490 102170 ) L1M1_PR_MR
NEW li1 ( 58650 96730 ) L1M1_PR_MR
NEW met1 ( 58650 96730 ) M1M2_PR
NEW met1 ( 58650 101830 ) M1M2_PR
NEW li1 ( 61870 97070 ) L1M1_PR_MR
NEW li1 ( 126270 102850 ) L1M1_PR_MR
NEW met1 ( 131790 60690 ) M1M2_PR
NEW met1 ( 131790 58990 ) M1M2_PR
NEW li1 ( 183310 58990 ) L1M1_PR_MR
NEW li1 ( 189750 64090 ) L1M1_PR_MR
NEW met1 ( 189750 64090 ) M1M2_PR
NEW met1 ( 189750 58990 ) M1M2_PR
NEW met1 ( 95450 95710 ) RECT ( -595 -70 0 70 )
NEW met1 ( 103270 96730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 101430 81090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 101430 96730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 58650 96730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 189750 64090 ) RECT ( -355 -70 0 70 ) ;
- _102_ ( _498_ A ) ( _497_ X ) + USE SIGNAL
+ ROUTED met2 ( 105110 69870 ) ( * 73950 )
NEW met1 ( 100050 73950 ) ( 105110 * )
NEW met1 ( 105110 69870 ) ( 111550 * )
NEW met1 ( 105110 69870 ) M1M2_PR
NEW met1 ( 105110 73950 ) M1M2_PR
NEW li1 ( 100050 73950 ) L1M1_PR_MR
NEW li1 ( 111550 69870 ) L1M1_PR_MR ;
- _103_ ( _500_ A ) ( _499_ X ) + USE SIGNAL
+ ROUTED met2 ( 7130 93670 ) ( * 101150 )
NEW met1 ( 7130 101150 ) ( 13570 * )
NEW li1 ( 7130 93670 ) L1M1_PR_MR
NEW met1 ( 7130 93670 ) M1M2_PR
NEW met1 ( 7130 101150 ) M1M2_PR
NEW li1 ( 13570 101150 ) L1M1_PR_MR
NEW met1 ( 7130 93670 ) RECT ( -355 -70 0 70 ) ;
- _104_ ( _502_ A ) ( _501_ X ) + USE SIGNAL
+ ROUTED met2 ( 62790 93670 ) ( * 96730 )
NEW met1 ( 59570 96730 ) ( 62790 * )
NEW met1 ( 62790 96730 ) M1M2_PR
NEW li1 ( 62790 93670 ) L1M1_PR_MR
NEW met1 ( 62790 93670 ) M1M2_PR
NEW li1 ( 59570 96730 ) L1M1_PR_MR
NEW met1 ( 62790 93670 ) RECT ( -355 -70 0 70 ) ;
- _105_ ( _504_ A ) ( _503_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 93670 ) ( 103270 * )
NEW met2 ( 103270 93670 ) ( * 95710 )
NEW li1 ( 100970 93670 ) L1M1_PR_MR
NEW met1 ( 103270 93670 ) M1M2_PR
NEW li1 ( 103270 95710 ) L1M1_PR_MR
NEW met1 ( 103270 95710 ) M1M2_PR
NEW met1 ( 103270 95710 ) RECT ( -355 -70 0 70 ) ;
- _106_ ( _506_ A ) ( _505_ X ) + USE SIGNAL
+ ROUTED met1 ( 182390 61370 ) ( 188830 * )
NEW met1 ( 182390 61030 ) ( * 61370 )
NEW met2 ( 188830 61370 ) ( * 63070 )
NEW li1 ( 188830 63070 ) L1M1_PR_MR
NEW met1 ( 188830 63070 ) M1M2_PR
NEW met1 ( 188830 61370 ) M1M2_PR
NEW li1 ( 182390 61030 ) L1M1_PR_MR
NEW met1 ( 188830 63070 ) RECT ( -355 -70 0 70 ) ;
- _107_ ( ANTENNA__508__B DIODE ) ( ANTENNA__510__B DIODE ) ( ANTENNA__512__B DIODE ) ( ANTENNA__514__B DIODE ) ( ANTENNA__516__B DIODE ) ( _516_ B ) ( _514_ B )
( _512_ B ) ( _510_ B ) ( _508_ B ) ( _507_ X ) + USE SIGNAL
+ ROUTED met1 ( 86250 69190 ) ( * 69870 )
NEW met1 ( 189750 93670 ) ( * 94010 )
NEW met1 ( 189750 94010 ) ( 196650 * )
NEW met1 ( 175950 94010 ) ( 189750 * )
NEW met1 ( 179630 117470 ) ( 180090 * )
NEW met2 ( 179630 113730 ) ( * 117470 )
NEW met1 ( 189750 118150 ) ( * 118490 )
NEW met1 ( 180090 118150 ) ( 189750 * )
NEW met1 ( 180090 117470 ) ( * 118150 )
NEW met1 ( 189750 118490 ) ( 196650 * )
NEW li1 ( 196650 94010 ) ( * 118490 )
NEW met1 ( 42550 69530 ) ( 46230 * )
NEW met1 ( 46230 69530 ) ( * 69870 )
NEW met1 ( 46230 69870 ) ( 86250 * )
NEW met2 ( 110630 69190 ) ( * 86190 )
NEW met1 ( 112470 90950 ) ( * 91290 )
NEW met1 ( 110630 90950 ) ( 112470 * )
NEW met2 ( 110630 86190 ) ( * 90950 )
NEW met1 ( 112470 91290 ) ( 116150 * )
NEW met1 ( 86250 69190 ) ( 110630 * )
NEW met1 ( 106490 86190 ) ( 110630 * )
NEW met1 ( 131790 113390 ) ( 135470 * )
NEW met1 ( 135470 113390 ) ( * 113730 )
NEW met1 ( 108330 104210 ) ( * 104550 )
NEW met1 ( 108330 104210 ) ( 124890 * )
NEW met2 ( 124890 104210 ) ( * 113050 )
NEW met1 ( 124890 113050 ) ( 131790 * )
NEW met1 ( 131790 113050 ) ( * 113390 )
NEW met2 ( 103730 104210 ) ( * 106590 )
NEW met1 ( 103730 104210 ) ( 108330 * )
NEW met2 ( 116150 91290 ) ( * 104210 )
NEW met1 ( 135470 113730 ) ( 179630 * )
NEW li1 ( 106490 86190 ) L1M1_PR_MR
NEW li1 ( 189750 93670 ) L1M1_PR_MR
NEW li1 ( 196650 94010 ) L1M1_PR_MR
NEW li1 ( 175950 94010 ) L1M1_PR_MR
NEW li1 ( 180090 117470 ) L1M1_PR_MR
NEW met1 ( 179630 117470 ) M1M2_PR
NEW met1 ( 179630 113730 ) M1M2_PR
NEW li1 ( 189750 118490 ) L1M1_PR_MR
NEW li1 ( 196650 118490 ) L1M1_PR_MR
NEW li1 ( 46230 69870 ) L1M1_PR_MR
NEW li1 ( 42550 69530 ) L1M1_PR_MR
NEW met1 ( 110630 86190 ) M1M2_PR
NEW met1 ( 110630 69190 ) M1M2_PR
NEW li1 ( 112470 91290 ) L1M1_PR_MR
NEW met1 ( 110630 90950 ) M1M2_PR
NEW met1 ( 116150 91290 ) M1M2_PR
NEW li1 ( 131790 113390 ) L1M1_PR_MR
NEW li1 ( 108330 104550 ) L1M1_PR_MR
NEW met1 ( 124890 104210 ) M1M2_PR
NEW met1 ( 124890 113050 ) M1M2_PR
NEW li1 ( 103730 106590 ) L1M1_PR_MR
NEW met1 ( 103730 106590 ) M1M2_PR
NEW met1 ( 103730 104210 ) M1M2_PR
NEW met1 ( 116150 104210 ) M1M2_PR
NEW met1 ( 103730 106590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 116150 104210 ) RECT ( -595 -70 0 70 ) ;
- _108_ ( _509_ A ) ( _508_ X ) + USE SIGNAL
+ ROUTED met2 ( 109710 102170 ) ( * 103870 )
NEW met1 ( 109250 103870 ) ( 109710 * )
NEW li1 ( 109710 102170 ) L1M1_PR_MR
NEW met1 ( 109710 102170 ) M1M2_PR
NEW met1 ( 109710 103870 ) M1M2_PR
NEW li1 ( 109250 103870 ) L1M1_PR_MR
NEW met1 ( 109710 102170 ) RECT ( -355 -70 0 70 ) ;
- _109_ ( _511_ A ) ( _510_ X ) + USE SIGNAL
+ ROUTED met1 ( 43470 66470 ) ( 45770 * )
NEW met2 ( 43470 66470 ) ( * 68510 )
NEW li1 ( 45770 66470 ) L1M1_PR_MR
NEW met1 ( 43470 66470 ) M1M2_PR
NEW li1 ( 43470 68510 ) L1M1_PR_MR
NEW met1 ( 43470 68510 ) M1M2_PR
NEW met1 ( 43470 68510 ) RECT ( -355 -70 0 70 ) ;
- _110_ ( _513_ A ) ( _512_ X ) + USE SIGNAL
+ ROUTED met1 ( 182390 117810 ) ( 188830 * )
NEW met2 ( 182390 117810 ) ( * 120870 )
NEW li1 ( 188830 117810 ) L1M1_PR_MR
NEW met1 ( 182390 117810 ) M1M2_PR
NEW li1 ( 182390 120870 ) L1M1_PR_MR
NEW met1 ( 182390 120870 ) M1M2_PR
NEW met1 ( 182390 120870 ) RECT ( -355 -70 0 70 ) ;
- _111_ ( _515_ A ) ( _514_ X ) + USE SIGNAL
+ ROUTED met1 ( 188370 93670 ) ( 188830 * )
NEW met2 ( 188370 93670 ) ( * 104210 )
NEW met1 ( 181470 104210 ) ( 188370 * )
NEW met1 ( 181470 104210 ) ( * 104550 )
NEW li1 ( 188830 93670 ) L1M1_PR_MR
NEW met1 ( 188370 93670 ) M1M2_PR
NEW met1 ( 188370 104210 ) M1M2_PR
NEW li1 ( 181470 104550 ) L1M1_PR_MR ;
- _112_ ( _517_ A ) ( _516_ X ) + USE SIGNAL
+ ROUTED met1 ( 113390 91970 ) ( 118450 * )
NEW met2 ( 118450 91970 ) ( * 93330 )
NEW li1 ( 113390 91970 ) L1M1_PR_MR
NEW met1 ( 118450 91970 ) M1M2_PR
NEW li1 ( 118450 93330 ) L1M1_PR_MR
NEW met1 ( 118450 93330 ) M1M2_PR
NEW met1 ( 118450 93330 ) RECT ( -355 -70 0 70 ) ;
- _113_ ( ANTENNA__519__B DIODE ) ( ANTENNA__521__B DIODE ) ( ANTENNA__523__B DIODE ) ( ANTENNA__525__B DIODE ) ( ANTENNA__527__B DIODE ) ( _527_ B ) ( _525_ B )
( _523_ B ) ( _521_ B ) ( _519_ B ) ( _518_ X ) + USE SIGNAL
+ ROUTED met1 ( 189750 169830 ) ( * 170510 )
NEW met2 ( 186530 168130 ) ( * 170510 )
NEW met1 ( 91310 142630 ) ( * 142970 )
NEW met1 ( 91310 142970 ) ( 96830 * )
NEW met2 ( 28290 184110 ) ( * 186150 )
NEW met1 ( 28290 184110 ) ( 32430 * )
NEW met2 ( 158470 170510 ) ( * 171870 )
NEW met1 ( 158470 170510 ) ( 189750 * )
NEW met1 ( 124890 136850 ) ( 126270 * )
NEW li1 ( 124890 136850 ) ( * 138210 )
NEW met1 ( 124890 138210 ) ( 134090 * )
NEW met2 ( 114310 138210 ) ( * 139230 )
NEW met1 ( 114310 138210 ) ( 124890 * )
NEW met1 ( 112470 142630 ) ( * 142970 )
NEW met1 ( 112470 142970 ) ( 114310 * )
NEW met2 ( 114310 139230 ) ( * 142970 )
NEW met1 ( 96830 142970 ) ( 112470 * )
NEW met1 ( 117070 183770 ) ( 121670 * )
NEW met1 ( 117070 183430 ) ( * 183770 )
NEW met1 ( 110630 183430 ) ( 117070 * )
NEW met1 ( 110630 182750 ) ( * 183430 )
NEW met1 ( 108330 182750 ) ( 110630 * )
NEW li1 ( 108330 182750 ) ( * 184450 )
NEW met1 ( 130410 170170 ) ( 130870 * )
NEW met2 ( 130410 170170 ) ( * 171700 )
NEW met3 ( 130180 171700 ) ( 130410 * )
NEW met4 ( 130180 171700 ) ( * 184620 )
NEW met3 ( 122130 184620 ) ( 130180 * )
NEW met2 ( 122130 183770 ) ( * 184620 )
NEW met1 ( 121670 183770 ) ( 122130 * )
NEW met2 ( 143750 171700 ) ( * 171870 )
NEW met3 ( 130410 171700 ) ( 143750 * )
NEW met1 ( 130410 150450 ) ( 134090 * )
NEW met2 ( 130410 150450 ) ( * 170170 )
NEW met2 ( 96830 142970 ) ( * 145010 )
NEW met2 ( 134090 138210 ) ( * 150450 )
NEW met1 ( 143750 171870 ) ( 158470 * )
NEW met1 ( 62330 184110 ) ( * 184450 )
NEW met1 ( 32430 184110 ) ( 62330 * )
NEW met1 ( 62330 184450 ) ( 108330 * )
NEW li1 ( 189750 169830 ) L1M1_PR_MR
NEW li1 ( 186530 168130 ) L1M1_PR_MR
NEW met1 ( 186530 168130 ) M1M2_PR
NEW met1 ( 186530 170510 ) M1M2_PR
NEW met1 ( 96830 142970 ) M1M2_PR
NEW li1 ( 91310 142630 ) L1M1_PR_MR
NEW li1 ( 32430 184110 ) L1M1_PR_MR
NEW li1 ( 28290 186150 ) L1M1_PR_MR
NEW met1 ( 28290 186150 ) M1M2_PR
NEW met1 ( 28290 184110 ) M1M2_PR
NEW met1 ( 158470 170510 ) M1M2_PR
NEW met1 ( 158470 171870 ) M1M2_PR
NEW li1 ( 126270 136850 ) L1M1_PR_MR
NEW li1 ( 124890 136850 ) L1M1_PR_MR
NEW li1 ( 124890 138210 ) L1M1_PR_MR
NEW met1 ( 134090 138210 ) M1M2_PR
NEW li1 ( 114310 139230 ) L1M1_PR_MR
NEW met1 ( 114310 139230 ) M1M2_PR
NEW met1 ( 114310 138210 ) M1M2_PR
NEW li1 ( 112470 142630 ) L1M1_PR_MR
NEW met1 ( 114310 142970 ) M1M2_PR
NEW li1 ( 121670 183770 ) L1M1_PR_MR
NEW li1 ( 108330 182750 ) L1M1_PR_MR
NEW li1 ( 108330 184450 ) L1M1_PR_MR
NEW li1 ( 130870 170170 ) L1M1_PR_MR
NEW met1 ( 130410 170170 ) M1M2_PR
NEW met2 ( 130410 171700 ) M2M3_PR_M
NEW met3 ( 130180 171700 ) M3M4_PR_M
NEW met3 ( 130180 184620 ) M3M4_PR_M
NEW met2 ( 122130 184620 ) M2M3_PR_M
NEW met1 ( 122130 183770 ) M1M2_PR
NEW met1 ( 143750 171870 ) M1M2_PR
NEW met2 ( 143750 171700 ) M2M3_PR_M
NEW met1 ( 134090 150450 ) M1M2_PR
NEW met1 ( 130410 150450 ) M1M2_PR
NEW li1 ( 96830 145010 ) L1M1_PR_MR
NEW met1 ( 96830 145010 ) M1M2_PR
NEW met1 ( 186530 168130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186530 170510 ) RECT ( -595 -70 0 70 )
NEW met1 ( 28290 186150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 114310 139230 ) RECT ( -355 -70 0 70 )
NEW met3 ( 130410 171700 ) RECT ( 0 -150 390 150 )
NEW met1 ( 96830 145010 ) RECT ( 0 -70 355 70 ) ;
- _114_ ( _520_ A ) ( _519_ X ) + USE SIGNAL
+ ROUTED met2 ( 111550 143650 ) ( * 148070 )
NEW met1 ( 109710 148070 ) ( 111550 * )
NEW li1 ( 109710 148070 ) L1M1_PR_MR
NEW li1 ( 111550 143650 ) L1M1_PR_MR
NEW met1 ( 111550 143650 ) M1M2_PR
NEW met1 ( 111550 148070 ) M1M2_PR
NEW met1 ( 111550 143650 ) RECT ( -355 -70 0 70 ) ;
- _115_ ( _522_ A ) ( _521_ X ) + USE SIGNAL
+ ROUTED met1 ( 123970 169830 ) ( 127650 * )
NEW met2 ( 123970 169830 ) ( * 182750 )
NEW met1 ( 122590 182750 ) ( 123970 * )
NEW li1 ( 127650 169830 ) L1M1_PR_MR
NEW met1 ( 123970 169830 ) M1M2_PR
NEW met1 ( 123970 182750 ) M1M2_PR
NEW li1 ( 122590 182750 ) L1M1_PR_MR ;
- _116_ ( _524_ A ) ( _523_ X ) + USE SIGNAL
+ ROUTED met2 ( 96370 137190 ) ( * 141950 )
NEW met1 ( 92230 141950 ) ( 96370 * )
NEW li1 ( 96370 137190 ) L1M1_PR_MR
NEW met1 ( 96370 137190 ) M1M2_PR
NEW met1 ( 96370 141950 ) M1M2_PR
NEW li1 ( 92230 141950 ) L1M1_PR_MR
NEW met1 ( 96370 137190 ) RECT ( -355 -70 0 70 ) ;
- _117_ ( _526_ A ) ( _525_ X ) + USE SIGNAL
+ ROUTED met1 ( 183770 162010 ) ( 188830 * )
NEW met2 ( 188830 162010 ) ( * 169150 )
NEW li1 ( 183770 162010 ) L1M1_PR_MR
NEW met1 ( 188830 162010 ) M1M2_PR
NEW li1 ( 188830 169150 ) L1M1_PR_MR
NEW met1 ( 188830 169150 ) M1M2_PR
NEW met1 ( 188830 169150 ) RECT ( -355 -70 0 70 ) ;
- _118_ ( _528_ A ) ( _527_ X ) + USE SIGNAL
+ ROUTED met1 ( 41630 169830 ) ( 43010 * )
NEW met2 ( 43010 169830 ) ( * 185470 )
NEW met1 ( 40250 185470 ) ( 43010 * )
NEW met1 ( 40250 185470 ) ( * 186150 )
NEW met1 ( 29210 186150 ) ( 40250 * )
NEW li1 ( 41630 169830 ) L1M1_PR_MR
NEW met1 ( 43010 169830 ) M1M2_PR
NEW met1 ( 43010 185470 ) M1M2_PR
NEW li1 ( 29210 186150 ) L1M1_PR_MR ;
- _119_ ( _530_ B ) ( _529_ X ) ( _196_ B ) ( _194_ B ) ( _192_ B ) ( _190_ B ) + USE SIGNAL
+ ROUTED met2 ( 128570 101490 ) ( * 104550 )
NEW met1 ( 123050 101490 ) ( 128570 * )
NEW met1 ( 128570 102170 ) ( 130870 * )
NEW met1 ( 132710 104210 ) ( * 104550 )
NEW met1 ( 128570 104210 ) ( 132710 * )
NEW met1 ( 128570 104210 ) ( * 104550 )
NEW met1 ( 131330 98770 ) ( * 99110 )
NEW met1 ( 128570 98770 ) ( 131330 * )
NEW met2 ( 128570 98770 ) ( * 101490 )
NEW met1 ( 128570 96730 ) ( 129030 * )
NEW met2 ( 128570 96730 ) ( * 98770 )
NEW li1 ( 128570 104550 ) L1M1_PR_MR
NEW met1 ( 128570 104550 ) M1M2_PR
NEW met1 ( 128570 101490 ) M1M2_PR
NEW li1 ( 123050 101490 ) L1M1_PR_MR
NEW li1 ( 130870 102170 ) L1M1_PR_MR
NEW met1 ( 128570 102170 ) M1M2_PR
NEW li1 ( 132710 104550 ) L1M1_PR_MR
NEW li1 ( 131330 99110 ) L1M1_PR_MR
NEW met1 ( 128570 98770 ) M1M2_PR
NEW li1 ( 129030 96730 ) L1M1_PR_MR
NEW met1 ( 128570 96730 ) M1M2_PR
NEW met1 ( 128570 104550 ) RECT ( -355 -70 0 70 )
NEW met2 ( 128570 102170 ) RECT ( -70 -485 70 0 ) ;
- _120_ ( _531_ A ) ( _530_ X ) + USE SIGNAL
+ ROUTED met1 ( 135010 96730 ) ( 137310 * )
NEW met2 ( 135010 96730 ) ( * 103870 )
NEW met1 ( 133630 103870 ) ( 135010 * )
NEW li1 ( 137310 96730 ) L1M1_PR_MR
NEW met1 ( 135010 96730 ) M1M2_PR
NEW met1 ( 135010 103870 ) M1M2_PR
NEW li1 ( 133630 103870 ) L1M1_PR_MR ;
- _121_ ( _191_ A ) ( _190_ X ) + USE SIGNAL
+ ROUTED met1 ( 125810 99110 ) ( 129950 * )
NEW met2 ( 129950 99110 ) ( * 101150 )
NEW li1 ( 125810 99110 ) L1M1_PR_MR
NEW met1 ( 129950 99110 ) M1M2_PR
NEW li1 ( 129950 101150 ) L1M1_PR_MR
NEW met1 ( 129950 101150 ) M1M2_PR
NEW met1 ( 129950 101150 ) RECT ( -355 -70 0 70 ) ;
- _122_ ( _193_ A ) ( _192_ X ) + USE SIGNAL
+ ROUTED met1 ( 129030 93670 ) ( 129490 * )
NEW met2 ( 129490 93670 ) ( * 95710 )
NEW met1 ( 128110 95710 ) ( 129490 * )
NEW li1 ( 129030 93670 ) L1M1_PR_MR
NEW met1 ( 129490 93670 ) M1M2_PR
NEW met1 ( 129490 95710 ) M1M2_PR
NEW li1 ( 128110 95710 ) L1M1_PR_MR ;
- _123_ ( _195_ A ) ( _194_ X ) + USE SIGNAL
+ ROUTED met1 ( 132250 100130 ) ( 136390 * )
NEW met2 ( 136390 100130 ) ( * 109990 )
NEW li1 ( 132250 100130 ) L1M1_PR_MR
NEW met1 ( 136390 100130 ) M1M2_PR
NEW li1 ( 136390 109990 ) L1M1_PR_MR
NEW met1 ( 136390 109990 ) M1M2_PR
NEW met1 ( 136390 109990 ) RECT ( -355 -70 0 70 ) ;
- _124_ ( _197_ A ) ( _196_ X ) + USE SIGNAL
+ ROUTED met1 ( 117990 104890 ) ( 127650 * )
NEW li1 ( 117990 104890 ) L1M1_PR_MR
NEW li1 ( 127650 104890 ) L1M1_PR_MR ;
- _125_ ( _243_ A ) ( _232_ A ) ( _221_ A ) ( _210_ A ) ( _199_ A ) ( _198_ X ) + USE SIGNAL
+ ROUTED met1 ( 92230 90950 ) ( 92690 * )
NEW met1 ( 92230 80410 ) ( 97750 * )
NEW met2 ( 92230 80410 ) ( * 90950 )
NEW met2 ( 92230 137190 ) ( * 140250 )
NEW met1 ( 92230 142630 ) ( 96370 * )
NEW met2 ( 92230 140250 ) ( * 142630 )
NEW met1 ( 87630 145690 ) ( * 146030 )
NEW met1 ( 87630 146030 ) ( 92230 * )
NEW met2 ( 92230 142630 ) ( * 146030 )
NEW met2 ( 92230 90950 ) ( * 137190 )
NEW li1 ( 92690 90950 ) L1M1_PR_MR
NEW met1 ( 92230 90950 ) M1M2_PR
NEW li1 ( 97750 80410 ) L1M1_PR_MR
NEW met1 ( 92230 80410 ) M1M2_PR
NEW li1 ( 92230 137190 ) L1M1_PR_MR
NEW met1 ( 92230 137190 ) M1M2_PR
NEW li1 ( 92230 140250 ) L1M1_PR_MR
NEW met1 ( 92230 140250 ) M1M2_PR
NEW li1 ( 96370 142630 ) L1M1_PR_MR
NEW met1 ( 92230 142630 ) M1M2_PR
NEW li1 ( 87630 145690 ) L1M1_PR_MR
NEW met1 ( 92230 146030 ) M1M2_PR
NEW met1 ( 92230 137190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 92230 140250 ) RECT ( -355 -70 0 70 ) ;
- _126_ ( ANTENNA__200__B DIODE ) ( ANTENNA__202__B DIODE ) ( ANTENNA__204__B DIODE ) ( ANTENNA__206__B DIODE ) ( ANTENNA__208__B DIODE ) ( _208_ B ) ( _206_ B )
( _204_ B ) ( _202_ B ) ( _200_ B ) ( _199_ X ) + USE SIGNAL
+ ROUTED met1 ( 25990 150110 ) ( 31050 * )
NEW met2 ( 31050 150110 ) ( * 152830 )
NEW met1 ( 31050 152830 ) ( 35650 * )
NEW met1 ( 35650 152830 ) ( * 153510 )
NEW met2 ( 24150 153340 ) ( * 153510 )
NEW met3 ( 24150 153340 ) ( 31050 * )
NEW met2 ( 31050 152830 ) ( * 153340 )
NEW met1 ( 29210 183770 ) ( 31970 * )
NEW met2 ( 31970 183260 ) ( * 183770 )
NEW met3 ( 31740 183260 ) ( 31970 * )
NEW met4 ( 31740 153340 ) ( * 183260 )
NEW met3 ( 31050 153340 ) ( 31740 * )
NEW met1 ( 25530 183770 ) ( 29210 * )
NEW met1 ( 83030 177990 ) ( 87630 * )
NEW met2 ( 83030 171870 ) ( * 177990 )
NEW met1 ( 83030 171870 ) ( 85790 * )
NEW met2 ( 85790 146370 ) ( * 171870 )
NEW met1 ( 85790 146370 ) ( 86710 * )
NEW met1 ( 79810 186150 ) ( 81650 * )
NEW met2 ( 81650 177990 ) ( * 186150 )
NEW met1 ( 81650 177990 ) ( 83030 * )
NEW met1 ( 74750 185810 ) ( * 186150 )
NEW met1 ( 74750 185810 ) ( 79810 * )
NEW met1 ( 79810 185810 ) ( * 186150 )
NEW met1 ( 67850 182750 ) ( 72450 * )
NEW met2 ( 72450 182750 ) ( * 185810 )
NEW met1 ( 72450 185810 ) ( 74750 * )
NEW met1 ( 50830 155890 ) ( 67850 * )
NEW met1 ( 67850 155550 ) ( * 155890 )
NEW met1 ( 67850 155550 ) ( 85790 * )
NEW met1 ( 48530 153510 ) ( 48990 * )
NEW met2 ( 48530 153510 ) ( * 155890 )
NEW met1 ( 48530 155890 ) ( 50830 * )
NEW met1 ( 35650 153510 ) ( 48530 * )
NEW li1 ( 25990 150110 ) L1M1_PR_MR
NEW met1 ( 31050 150110 ) M1M2_PR
NEW met1 ( 31050 152830 ) M1M2_PR
NEW li1 ( 24150 153510 ) L1M1_PR_MR
NEW met1 ( 24150 153510 ) M1M2_PR
NEW met2 ( 24150 153340 ) M2M3_PR_M
NEW met2 ( 31050 153340 ) M2M3_PR_M
NEW li1 ( 29210 183770 ) L1M1_PR_MR
NEW met1 ( 31970 183770 ) M1M2_PR
NEW met2 ( 31970 183260 ) M2M3_PR_M
NEW met3 ( 31740 183260 ) M3M4_PR_M
NEW met3 ( 31740 153340 ) M3M4_PR_M
NEW li1 ( 25530 183770 ) L1M1_PR_MR
NEW li1 ( 87630 177990 ) L1M1_PR_MR
NEW met1 ( 83030 177990 ) M1M2_PR
NEW met1 ( 83030 171870 ) M1M2_PR
NEW met1 ( 85790 171870 ) M1M2_PR
NEW met1 ( 85790 146370 ) M1M2_PR
NEW li1 ( 86710 146370 ) L1M1_PR_MR
NEW li1 ( 79810 186150 ) L1M1_PR_MR
NEW met1 ( 81650 186150 ) M1M2_PR
NEW met1 ( 81650 177990 ) M1M2_PR
NEW li1 ( 74750 186150 ) L1M1_PR_MR
NEW li1 ( 67850 182750 ) L1M1_PR_MR
NEW met1 ( 72450 182750 ) M1M2_PR
NEW met1 ( 72450 185810 ) M1M2_PR
NEW li1 ( 50830 155890 ) L1M1_PR_MR
NEW met1 ( 85790 155550 ) M1M2_PR
NEW li1 ( 48990 153510 ) L1M1_PR_MR
NEW met1 ( 48530 153510 ) M1M2_PR
NEW met1 ( 48530 155890 ) M1M2_PR
NEW met1 ( 24150 153510 ) RECT ( -355 -70 0 70 )
NEW met3 ( 31970 183260 ) RECT ( 0 -150 390 150 )
NEW met2 ( 85790 155550 ) RECT ( -70 -485 70 0 ) ;
- _127_ ( _201_ A ) ( _200_ X ) + USE SIGNAL
+ ROUTED met1 ( 79350 186490 ) ( 83490 * )
NEW met1 ( 79350 186150 ) ( * 186490 )
NEW met1 ( 75670 186150 ) ( 79350 * )
NEW li1 ( 83490 186490 ) L1M1_PR_MR
NEW li1 ( 75670 186150 ) L1M1_PR_MR ;
- _128_ ( _203_ A ) ( _202_ X ) + USE SIGNAL
+ ROUTED met1 ( 21850 148070 ) ( 23230 * )
NEW met2 ( 23230 148070 ) ( * 152830 )
NEW li1 ( 21850 148070 ) L1M1_PR_MR
NEW met1 ( 23230 148070 ) M1M2_PR
NEW li1 ( 23230 152830 ) L1M1_PR_MR
NEW met1 ( 23230 152830 ) M1M2_PR
NEW met1 ( 23230 152830 ) RECT ( -355 -70 0 70 ) ;
- _129_ ( _205_ A ) ( _204_ X ) + USE SIGNAL
+ ROUTED met2 ( 48070 154530 ) ( * 156570 )
NEW met1 ( 45770 156570 ) ( 48070 * )
NEW li1 ( 48070 154530 ) L1M1_PR_MR
NEW met1 ( 48070 154530 ) M1M2_PR
NEW met1 ( 48070 156570 ) M1M2_PR
NEW li1 ( 45770 156570 ) L1M1_PR_MR
NEW met1 ( 48070 154530 ) RECT ( -355 -70 0 70 ) ;
- _130_ ( _207_ A ) ( _206_ X ) + USE SIGNAL
+ ROUTED met1 ( 41170 178330 ) ( 43930 * )
NEW met2 ( 41170 178330 ) ( * 183090 )
NEW met1 ( 26450 183090 ) ( 41170 * )
NEW li1 ( 43930 178330 ) L1M1_PR_MR
NEW met1 ( 41170 178330 ) M1M2_PR
NEW met1 ( 41170 183090 ) M1M2_PR
NEW li1 ( 26450 183090 ) L1M1_PR_MR ;
- _131_ ( _209_ A ) ( _208_ X ) + USE SIGNAL
+ ROUTED met2 ( 80730 183770 ) ( * 185470 )
NEW li1 ( 80730 183770 ) L1M1_PR_MR
NEW met1 ( 80730 183770 ) M1M2_PR
NEW li1 ( 80730 185470 ) L1M1_PR_MR
NEW met1 ( 80730 185470 ) M1M2_PR
NEW met1 ( 80730 183770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 80730 185470 ) RECT ( -355 -70 0 70 ) ;
- _132_ ( ANTENNA__211__B DIODE ) ( ANTENNA__213__B DIODE ) ( ANTENNA__215__B DIODE ) ( ANTENNA__217__B DIODE ) ( ANTENNA__219__B DIODE ) ( _219_ B ) ( _217_ B )
( _215_ B ) ( _213_ B ) ( _211_ B ) ( _210_ X ) + USE SIGNAL
+ ROUTED met1 ( 179630 72930 ) ( 182850 * )
NEW met2 ( 182850 72930 ) ( * 80410 )
NEW met1 ( 182850 80410 ) ( * 80750 )
NEW met1 ( 180090 80750 ) ( 182850 * )
NEW met1 ( 180090 80750 ) ( * 81090 )
NEW met1 ( 185610 69530 ) ( 189750 * )
NEW met2 ( 185610 69530 ) ( * 72930 )
NEW met1 ( 182850 72930 ) ( 185610 * )
NEW met2 ( 74290 127330 ) ( * 139230 )
NEW met1 ( 67390 139230 ) ( 74290 * )
NEW met1 ( 74290 126310 ) ( 77970 * )
NEW met2 ( 74290 126310 ) ( * 127330 )
NEW met1 ( 74290 139910 ) ( 93150 * )
NEW met2 ( 74290 139230 ) ( * 139910 )
NEW met1 ( 161690 118490 ) ( 180090 * )
NEW met2 ( 160310 118490 ) ( * 120190 )
NEW met1 ( 160310 118490 ) ( 161690 * )
NEW met2 ( 160310 120190 ) ( * 121890 )
NEW met2 ( 180090 81090 ) ( * 118490 )
NEW met1 ( 132710 174590 ) ( 134090 * )
NEW met2 ( 134090 174420 ) ( * 174590 )
NEW met2 ( 134090 174420 ) ( 134550 * )
NEW met1 ( 134090 186150 ) ( 135930 * )
NEW met2 ( 134090 174590 ) ( * 186150 )
NEW met1 ( 93150 139910 ) ( 110400 * )
NEW met1 ( 134550 140250 ) ( 137770 * )
NEW met2 ( 137770 121890 ) ( * 140250 )
NEW met1 ( 110400 139910 ) ( * 140250 )
NEW met1 ( 110400 140250 ) ( 134550 * )
NEW met2 ( 134550 140250 ) ( * 174420 )
NEW met1 ( 137770 121890 ) ( 160310 * )
NEW met1 ( 59110 180030 ) ( 65090 * )
NEW met2 ( 65090 177820 ) ( * 180030 )
NEW met2 ( 65090 177820 ) ( 65550 * )
NEW met2 ( 65550 173060 ) ( * 177820 )
NEW met2 ( 65090 173060 ) ( 65550 * )
NEW met2 ( 65090 158950 ) ( * 173060 )
NEW met1 ( 65090 158950 ) ( 67390 * )
NEW met1 ( 60490 183770 ) ( 62790 * )
NEW met1 ( 62790 183430 ) ( * 183770 )
NEW met2 ( 62790 181730 ) ( * 183430 )
NEW met1 ( 62790 181730 ) ( 64630 * )
NEW met2 ( 64630 180030 ) ( * 181730 )
NEW met2 ( 64630 180030 ) ( 65090 * )
NEW met2 ( 67390 139230 ) ( * 158950 )
NEW li1 ( 179630 72930 ) L1M1_PR_MR
NEW met1 ( 182850 72930 ) M1M2_PR
NEW met1 ( 182850 80410 ) M1M2_PR
NEW met1 ( 180090 81090 ) M1M2_PR
NEW li1 ( 189750 69530 ) L1M1_PR_MR
NEW met1 ( 185610 69530 ) M1M2_PR
NEW met1 ( 185610 72930 ) M1M2_PR
NEW li1 ( 74290 127330 ) L1M1_PR_MR
NEW met1 ( 74290 127330 ) M1M2_PR
NEW met1 ( 74290 139230 ) M1M2_PR
NEW met1 ( 67390 139230 ) M1M2_PR
NEW li1 ( 77970 126310 ) L1M1_PR_MR
NEW met1 ( 74290 126310 ) M1M2_PR
NEW li1 ( 93150 139910 ) L1M1_PR_MR
NEW met1 ( 74290 139910 ) M1M2_PR
NEW li1 ( 161690 118490 ) L1M1_PR_MR
NEW met1 ( 180090 118490 ) M1M2_PR
NEW li1 ( 160310 120190 ) L1M1_PR_MR
NEW met1 ( 160310 120190 ) M1M2_PR
NEW met1 ( 160310 118490 ) M1M2_PR
NEW met1 ( 160310 121890 ) M1M2_PR
NEW li1 ( 132710 174590 ) L1M1_PR_MR
NEW met1 ( 134090 174590 ) M1M2_PR
NEW li1 ( 135930 186150 ) L1M1_PR_MR
NEW met1 ( 134090 186150 ) M1M2_PR
NEW met1 ( 134550 140250 ) M1M2_PR
NEW met1 ( 137770 140250 ) M1M2_PR
NEW met1 ( 137770 121890 ) M1M2_PR
NEW li1 ( 59110 180030 ) L1M1_PR_MR
NEW met1 ( 65090 180030 ) M1M2_PR
NEW met1 ( 65090 158950 ) M1M2_PR
NEW met1 ( 67390 158950 ) M1M2_PR
NEW li1 ( 60490 183770 ) L1M1_PR_MR
NEW met1 ( 62790 183430 ) M1M2_PR
NEW met1 ( 62790 181730 ) M1M2_PR
NEW met1 ( 64630 181730 ) M1M2_PR
NEW met1 ( 74290 127330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 160310 120190 ) RECT ( -355 -70 0 70 ) ;
- _133_ ( _212_ A ) ( _211_ X ) + USE SIGNAL
+ ROUTED met2 ( 162610 119170 ) ( * 120870 )
NEW met1 ( 162610 120870 ) ( 166290 * )
NEW li1 ( 162610 119170 ) L1M1_PR_MR
NEW met1 ( 162610 119170 ) M1M2_PR
NEW met1 ( 162610 120870 ) M1M2_PR
NEW li1 ( 166290 120870 ) L1M1_PR_MR
NEW met1 ( 162610 119170 ) RECT ( -355 -70 0 70 ) ;
- _134_ ( _214_ A ) ( _213_ X ) + USE SIGNAL
+ ROUTED met1 ( 130870 172890 ) ( 132250 * )
NEW met2 ( 132250 172890 ) ( * 185470 )
NEW met1 ( 132250 185470 ) ( 135010 * )
NEW li1 ( 130870 172890 ) L1M1_PR_MR
NEW met1 ( 132250 172890 ) M1M2_PR
NEW met1 ( 132250 185470 ) M1M2_PR
NEW li1 ( 135010 185470 ) L1M1_PR_MR ;
- _135_ ( _216_ A ) ( _215_ X ) + USE SIGNAL
+ ROUTED met1 ( 179170 69520 ) ( * 69530 )
NEW met1 ( 179170 69520 ) ( 179630 * )
NEW met1 ( 179630 69520 ) ( * 69870 )
NEW met1 ( 179630 69870 ) ( 188830 * )
NEW li1 ( 179170 69530 ) L1M1_PR_MR
NEW li1 ( 188830 69870 ) L1M1_PR_MR ;
- _136_ ( _218_ A ) ( _217_ X ) + USE SIGNAL
+ ROUTED met1 ( 71530 185810 ) ( * 186150 )
NEW met2 ( 61410 184450 ) ( * 185810 )
NEW met1 ( 61410 185810 ) ( 71530 * )
NEW li1 ( 71530 186150 ) L1M1_PR_MR
NEW li1 ( 61410 184450 ) L1M1_PR_MR
NEW met1 ( 61410 184450 ) M1M2_PR
NEW met1 ( 61410 185810 ) M1M2_PR
NEW met1 ( 61410 184450 ) RECT ( -355 -70 0 70 ) ;
- _137_ ( _220_ A ) ( _219_ X ) + USE SIGNAL
+ ROUTED met1 ( 77050 127330 ) ( 77970 * )
NEW met2 ( 77970 127330 ) ( * 134470 )
NEW met1 ( 77970 134470 ) ( 78430 * )
NEW li1 ( 77050 127330 ) L1M1_PR_MR
NEW met1 ( 77970 127330 ) M1M2_PR
NEW met1 ( 77970 134470 ) M1M2_PR
NEW li1 ( 78430 134470 ) L1M1_PR_MR ;
- _138_ ( ANTENNA__222__B DIODE ) ( ANTENNA__224__B DIODE ) ( ANTENNA__226__B DIODE ) ( ANTENNA__228__B DIODE ) ( ANTENNA__230__B DIODE ) ( _230_ B ) ( _228_ B )
( _226_ B ) ( _224_ B ) ( _222_ B ) ( _221_ X ) + USE SIGNAL
+ ROUTED met1 ( 84410 140250 ) ( 86250 * )
NEW met2 ( 86250 138210 ) ( * 140250 )
NEW met1 ( 112400 137190 ) ( 112470 * )
NEW met1 ( 112470 137190 ) ( * 137200 )
NEW met1 ( 111550 137200 ) ( 112470 * )
NEW met1 ( 111550 137190 ) ( * 137200 )
NEW met1 ( 108330 137190 ) ( 111550 * )
NEW li1 ( 108330 137190 ) ( * 138210 )
NEW met1 ( 86250 138210 ) ( 108790 * )
NEW met1 ( 86250 143650 ) ( 97290 * )
NEW met1 ( 22310 184450 ) ( 38410 * )
NEW met2 ( 38410 180030 ) ( * 184450 )
NEW met1 ( 38410 180030 ) ( 43930 * )
NEW li1 ( 43930 180030 ) ( * 181390 )
NEW met1 ( 19090 172890 ) ( 20930 * )
NEW met2 ( 20930 172890 ) ( * 175950 )
NEW met1 ( 20010 175950 ) ( 20930 * )
NEW met2 ( 20010 175950 ) ( * 184450 )
NEW met1 ( 20010 184450 ) ( 22310 * )
NEW met1 ( 87630 171870 ) ( 89010 * )
NEW met2 ( 89010 168980 ) ( * 171870 )
NEW met3 ( 86250 168980 ) ( 89010 * )
NEW met2 ( 84410 183770 ) ( * 183940 )
NEW met3 ( 84410 183940 ) ( 85100 * )
NEW met4 ( 85100 168980 ) ( * 183940 )
NEW met3 ( 85100 168980 ) ( 86250 * )
NEW met1 ( 76590 183770 ) ( * 184110 )
NEW met1 ( 76590 184110 ) ( 84410 * )
NEW met1 ( 84410 183770 ) ( * 184110 )
NEW met1 ( 71070 169830 ) ( 71990 * )
NEW met2 ( 71990 168980 ) ( * 169830 )
NEW met3 ( 71990 168980 ) ( 85100 * )
NEW met2 ( 56810 181390 ) ( * 183260 )
NEW met3 ( 56810 183260 ) ( 69690 * )
NEW met2 ( 69690 183260 ) ( * 184110 )
NEW met1 ( 69690 184110 ) ( 76590 * )
NEW met1 ( 43930 181390 ) ( 56810 * )
NEW met2 ( 86250 140250 ) ( * 168980 )
NEW li1 ( 84410 140250 ) L1M1_PR_MR
NEW met1 ( 86250 140250 ) M1M2_PR
NEW li1 ( 86250 138210 ) L1M1_PR_MR
NEW met1 ( 86250 138210 ) M1M2_PR
NEW met1 ( 86250 143650 ) M1M2_PR
NEW li1 ( 108790 138210 ) L1M1_PR_MR
NEW li1 ( 112400 137190 ) L1M1_PR_MR
NEW li1 ( 108330 137190 ) L1M1_PR_MR
NEW li1 ( 108330 138210 ) L1M1_PR_MR
NEW li1 ( 97290 143650 ) L1M1_PR_MR
NEW li1 ( 22310 184450 ) L1M1_PR_MR
NEW met1 ( 38410 184450 ) M1M2_PR
NEW met1 ( 38410 180030 ) M1M2_PR
NEW li1 ( 43930 180030 ) L1M1_PR_MR
NEW li1 ( 43930 181390 ) L1M1_PR_MR
NEW li1 ( 19090 172890 ) L1M1_PR_MR
NEW met1 ( 20930 172890 ) M1M2_PR
NEW met1 ( 20930 175950 ) M1M2_PR
NEW met1 ( 20010 175950 ) M1M2_PR
NEW met1 ( 20010 184450 ) M1M2_PR
NEW li1 ( 87630 171870 ) L1M1_PR_MR
NEW met1 ( 89010 171870 ) M1M2_PR
NEW met2 ( 89010 168980 ) M2M3_PR_M
NEW met2 ( 86250 168980 ) M2M3_PR_M
NEW li1 ( 84410 183770 ) L1M1_PR_MR
NEW met1 ( 84410 183770 ) M1M2_PR
NEW met2 ( 84410 183940 ) M2M3_PR_M
NEW met3 ( 85100 183940 ) M3M4_PR_M
NEW met3 ( 85100 168980 ) M3M4_PR_M
NEW li1 ( 76590 183770 ) L1M1_PR_MR
NEW li1 ( 71070 169830 ) L1M1_PR_MR
NEW met1 ( 71990 169830 ) M1M2_PR
NEW met2 ( 71990 168980 ) M2M3_PR_M
NEW met1 ( 56810 181390 ) M1M2_PR
NEW met2 ( 56810 183260 ) M2M3_PR_M
NEW met2 ( 69690 183260 ) M2M3_PR_M
NEW met1 ( 69690 184110 ) M1M2_PR
NEW met1 ( 86250 138210 ) RECT ( -355 -70 0 70 )
NEW met2 ( 86250 143650 ) RECT ( -70 -485 70 0 )
NEW met1 ( 108330 138210 ) RECT ( -595 -70 0 70 )
NEW met1 ( 84410 183770 ) RECT ( -355 -70 0 70 ) ;
- _139_ ( _223_ A ) ( _222_ X ) + USE SIGNAL
+ ROUTED met2 ( 113850 135150 ) ( * 137530 )
NEW met1 ( 113390 137530 ) ( 113850 * )
NEW li1 ( 113850 135150 ) L1M1_PR_MR
NEW met1 ( 113850 135150 ) M1M2_PR
NEW met1 ( 113850 137530 ) M1M2_PR
NEW li1 ( 113390 137530 ) L1M1_PR_MR
NEW met1 ( 113850 135150 ) RECT ( -355 -70 0 70 ) ;
- _140_ ( _225_ A ) ( _224_ X ) + USE SIGNAL
+ ROUTED met1 ( 85330 183770 ) ( 87630 * )
NEW li1 ( 87630 183770 ) L1M1_PR_MR
NEW li1 ( 85330 183770 ) L1M1_PR_MR ;
- _141_ ( _227_ A ) ( _226_ X ) + USE SIGNAL
+ ROUTED met1 ( 85330 140930 ) ( 90390 * )
NEW met2 ( 90390 140930 ) ( * 145350 )
NEW met1 ( 89930 145350 ) ( 90390 * )
NEW li1 ( 85330 140930 ) L1M1_PR_MR
NEW met1 ( 90390 140930 ) M1M2_PR
NEW met1 ( 90390 145350 ) M1M2_PR
NEW li1 ( 89930 145350 ) L1M1_PR_MR ;
- _142_ ( _229_ A ) ( _228_ X ) + USE SIGNAL
+ ROUTED met2 ( 77970 169830 ) ( * 182750 )
NEW met1 ( 77510 182750 ) ( 77970 * )
NEW li1 ( 77970 169830 ) L1M1_PR_MR
NEW met1 ( 77970 169830 ) M1M2_PR
NEW met1 ( 77970 182750 ) M1M2_PR
NEW li1 ( 77510 182750 ) L1M1_PR_MR
NEW met1 ( 77970 169830 ) RECT ( -355 -70 0 70 ) ;
- _143_ ( _231_ A ) ( _230_ X ) + USE SIGNAL
+ ROUTED met2 ( 38410 169830 ) ( * 172550 )
NEW met1 ( 20010 172550 ) ( 38410 * )
NEW li1 ( 38410 169830 ) L1M1_PR_MR
NEW met1 ( 38410 169830 ) M1M2_PR
NEW met1 ( 38410 172550 ) M1M2_PR
NEW li1 ( 20010 172550 ) L1M1_PR_MR
NEW met1 ( 38410 169830 ) RECT ( -355 -70 0 70 ) ;
- _144_ ( ANTENNA__233__B DIODE ) ( ANTENNA__235__B DIODE ) ( ANTENNA__237__B DIODE ) ( ANTENNA__239__B DIODE ) ( ANTENNA__241__B DIODE ) ( _241_ B ) ( _239_ B )
( _237_ B ) ( _235_ B ) ( _233_ B ) ( _232_ X ) + USE SIGNAL
+ ROUTED met2 ( 103270 74970 ) ( * 79730 )
NEW met1 ( 98670 79730 ) ( 103270 * )
NEW met1 ( 103270 74970 ) ( 109250 * )
NEW met1 ( 101890 52190 ) ( 103270 * )
NEW met2 ( 103270 50150 ) ( * 52190 )
NEW met2 ( 103270 52190 ) ( * 74970 )
NEW met1 ( 112470 73950 ) ( 113850 * )
NEW met2 ( 113850 71910 ) ( * 73950 )
NEW met2 ( 113850 73950 ) ( * 74970 )
NEW met1 ( 109250 74970 ) ( 113850 * )
NEW met1 ( 103270 13090 ) ( 109250 * )
NEW met1 ( 103270 12070 ) ( * 13090 )
NEW met3 ( 103270 39780 ) ( 103500 * )
NEW met4 ( 103500 12580 ) ( * 39780 )
NEW met3 ( 102810 12580 ) ( 103500 * )
NEW met2 ( 102810 12410 ) ( * 12580 )
NEW met1 ( 102810 12410 ) ( 103270 * )
NEW met1 ( 103270 47090 ) ( 137310 * )
NEW met1 ( 140990 47430 ) ( * 47770 )
NEW met1 ( 137310 47430 ) ( 140990 * )
NEW met1 ( 137310 47090 ) ( * 47430 )
NEW met2 ( 103270 39780 ) ( * 50150 )
NEW li1 ( 103270 74970 ) L1M1_PR_MR
NEW met1 ( 103270 74970 ) M1M2_PR
NEW met1 ( 103270 79730 ) M1M2_PR
NEW li1 ( 98670 79730 ) L1M1_PR_MR
NEW li1 ( 109250 74970 ) L1M1_PR_MR
NEW li1 ( 103270 50150 ) L1M1_PR_MR
NEW met1 ( 103270 50150 ) M1M2_PR
NEW li1 ( 101890 52190 ) L1M1_PR_MR
NEW met1 ( 103270 52190 ) M1M2_PR
NEW li1 ( 112470 73950 ) L1M1_PR_MR
NEW met1 ( 113850 73950 ) M1M2_PR
NEW li1 ( 113850 71910 ) L1M1_PR_MR
NEW met1 ( 113850 71910 ) M1M2_PR
NEW met1 ( 113850 74970 ) M1M2_PR
NEW li1 ( 109250 13090 ) L1M1_PR_MR
NEW li1 ( 103270 12070 ) L1M1_PR_MR
NEW met2 ( 103270 39780 ) M2M3_PR_M
NEW met3 ( 103500 39780 ) M3M4_PR_M
NEW met3 ( 103500 12580 ) M3M4_PR_M
NEW met2 ( 102810 12580 ) M2M3_PR_M
NEW met1 ( 102810 12410 ) M1M2_PR
NEW li1 ( 137310 47090 ) L1M1_PR_MR
NEW met1 ( 103270 47090 ) M1M2_PR
NEW li1 ( 140990 47770 ) L1M1_PR_MR
NEW met1 ( 103270 74970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 103270 50150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 113850 71910 ) RECT ( -355 -70 0 70 )
NEW met3 ( 103270 39780 ) RECT ( -390 -150 0 150 )
NEW met2 ( 103270 47090 ) RECT ( -70 -485 70 0 ) ;
- _145_ ( _234_ A ) ( _233_ X ) + USE SIGNAL
+ ROUTED met2 ( 104190 51170 ) ( * 52870 )
NEW met1 ( 104190 52870 ) ( 109250 * )
NEW li1 ( 104190 51170 ) L1M1_PR_MR
NEW met1 ( 104190 51170 ) M1M2_PR
NEW met1 ( 104190 52870 ) M1M2_PR
NEW li1 ( 109250 52870 ) L1M1_PR_MR
NEW met1 ( 104190 51170 ) RECT ( -355 -70 0 70 ) ;
- _146_ ( _236_ A ) ( _235_ X ) + USE SIGNAL
+ ROUTED met1 ( 141910 48110 ) ( 147890 * )
NEW met2 ( 147890 48110 ) ( * 50150 )
NEW li1 ( 141910 48110 ) L1M1_PR_MR
NEW met1 ( 147890 48110 ) M1M2_PR
NEW li1 ( 147890 50150 ) L1M1_PR_MR
NEW met1 ( 147890 50150 ) M1M2_PR
NEW met1 ( 147890 50150 ) RECT ( -355 -70 0 70 ) ;
- _147_ ( _238_ A ) ( _237_ X ) + USE SIGNAL
+ ROUTED met1 ( 114770 72930 ) ( 118450 * )
NEW met2 ( 118450 72930 ) ( * 77010 )
NEW li1 ( 114770 72930 ) L1M1_PR_MR
NEW met1 ( 118450 72930 ) M1M2_PR
NEW li1 ( 118450 77010 ) L1M1_PR_MR
NEW met1 ( 118450 77010 ) M1M2_PR
NEW met1 ( 118450 77010 ) RECT ( -355 -70 0 70 ) ;
- _148_ ( _240_ A ) ( _239_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 75650 ) ( 102350 * )
NEW met2 ( 100050 75650 ) ( * 77010 )
NEW li1 ( 102350 75650 ) L1M1_PR_MR
NEW met1 ( 100050 75650 ) M1M2_PR
NEW li1 ( 100050 77010 ) L1M1_PR_MR
NEW met1 ( 100050 77010 ) M1M2_PR
NEW met1 ( 100050 77010 ) RECT ( -355 -70 0 70 ) ;
- _149_ ( _242_ A ) ( _241_ X ) + USE SIGNAL
+ ROUTED met1 ( 97750 13090 ) ( 102350 * )
NEW met1 ( 96830 15130 ) ( 97750 * )
NEW met2 ( 96830 15130 ) ( * 17510 )
NEW met1 ( 93610 17510 ) ( 96830 * )
NEW met2 ( 97750 13090 ) ( * 15130 )
NEW li1 ( 102350 13090 ) L1M1_PR_MR
NEW met1 ( 97750 13090 ) M1M2_PR
NEW met1 ( 97750 15130 ) M1M2_PR
NEW met1 ( 96830 15130 ) M1M2_PR
NEW met1 ( 96830 17510 ) M1M2_PR
NEW li1 ( 93610 17510 ) L1M1_PR_MR ;
- _150_ ( ANTENNA__244__B DIODE ) ( ANTENNA__246__B DIODE ) ( ANTENNA__248__B DIODE ) ( ANTENNA__250__B DIODE ) ( ANTENNA__252__B DIODE ) ( _252_ B ) ( _250_ B )
( _248_ B ) ( _246_ B ) ( _244_ B ) ( _243_ X ) + USE SIGNAL
+ ROUTED met1 ( 85790 136510 ) ( 88550 * )
NEW met2 ( 85790 133790 ) ( * 136510 )
NEW met1 ( 68310 133790 ) ( 85790 * )
NEW met2 ( 68310 128690 ) ( * 133790 )
NEW met1 ( 88550 136510 ) ( 93150 * )
NEW met1 ( 85790 142630 ) ( 87170 * )
NEW met2 ( 85790 136510 ) ( * 142630 )
NEW met1 ( 87170 142630 ) ( 89470 * )
NEW met1 ( 20930 129370 ) ( 24610 * )
NEW met1 ( 24610 128690 ) ( * 129370 )
NEW met1 ( 24610 128690 ) ( 68310 * )
NEW met2 ( 103730 185470 ) ( * 186150 )
NEW met1 ( 103730 186150 ) ( 105570 * )
NEW met2 ( 104190 159630 ) ( * 163710 )
NEW met1 ( 104190 163710 ) ( 105110 * )
NEW met1 ( 88550 160990 ) ( 89010 * )
NEW met2 ( 88550 159460 ) ( * 160990 )
NEW met2 ( 88550 159460 ) ( 89470 * )
NEW met1 ( 89470 159290 ) ( 92230 * )
NEW met1 ( 92230 159290 ) ( * 159630 )
NEW met2 ( 88090 175610 ) ( * 180710 )
NEW met2 ( 87630 175610 ) ( 88090 * )
NEW met2 ( 87630 171700 ) ( * 175610 )
NEW met3 ( 87630 171700 ) ( 88550 * )
NEW met2 ( 88550 160990 ) ( * 171700 )
NEW met1 ( 91770 185470 ) ( * 185810 )
NEW met1 ( 89470 185810 ) ( 91770 * )
NEW met1 ( 89470 185470 ) ( * 185810 )
NEW met1 ( 88090 185470 ) ( 89470 * )
NEW met2 ( 88090 180710 ) ( * 185470 )
NEW met1 ( 90850 185810 ) ( * 186150 )
NEW met2 ( 89470 142630 ) ( * 159460 )
NEW met1 ( 92230 159630 ) ( 104190 * )
NEW met1 ( 91770 185470 ) ( 103730 * )
NEW li1 ( 88550 136510 ) L1M1_PR_MR
NEW met1 ( 85790 136510 ) M1M2_PR
NEW met1 ( 85790 133790 ) M1M2_PR
NEW met1 ( 68310 133790 ) M1M2_PR
NEW met1 ( 68310 128690 ) M1M2_PR
NEW li1 ( 93150 136510 ) L1M1_PR_MR
NEW li1 ( 87170 142630 ) L1M1_PR_MR
NEW met1 ( 85790 142630 ) M1M2_PR
NEW met1 ( 89470 142630 ) M1M2_PR
NEW li1 ( 24610 128690 ) L1M1_PR_MR
NEW li1 ( 20930 129370 ) L1M1_PR_MR
NEW met1 ( 103730 185470 ) M1M2_PR
NEW met1 ( 103730 186150 ) M1M2_PR
NEW li1 ( 105570 186150 ) L1M1_PR_MR
NEW met1 ( 104190 159630 ) M1M2_PR
NEW met1 ( 104190 163710 ) M1M2_PR
NEW li1 ( 105110 163710 ) L1M1_PR_MR
NEW li1 ( 89010 160990 ) L1M1_PR_MR
NEW met1 ( 88550 160990 ) M1M2_PR
NEW li1 ( 92230 159290 ) L1M1_PR_MR
NEW met1 ( 89470 159290 ) M1M2_PR
NEW li1 ( 88090 180710 ) L1M1_PR_MR
NEW met1 ( 88090 180710 ) M1M2_PR
NEW met2 ( 87630 171700 ) M2M3_PR_M
NEW met2 ( 88550 171700 ) M2M3_PR_M
NEW met1 ( 88090 185470 ) M1M2_PR
NEW li1 ( 90850 186150 ) L1M1_PR_MR
NEW met2 ( 89470 159290 ) RECT ( -70 -485 70 0 )
NEW met1 ( 88090 180710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 90850 186150 ) RECT ( 0 -70 255 70 ) ;
- _151_ ( _245_ A ) ( _244_ X ) + USE SIGNAL
+ ROUTED met1 ( 83950 169830 ) ( 86250 * )
NEW met1 ( 86250 169830 ) ( * 170510 )
NEW met1 ( 86250 170510 ) ( 90850 * )
NEW met2 ( 90850 170510 ) ( * 185470 )
NEW met1 ( 89930 185470 ) ( 90850 * )
NEW li1 ( 83950 169830 ) L1M1_PR_MR
NEW met1 ( 90850 170510 ) M1M2_PR
NEW met1 ( 90850 185470 ) M1M2_PR
NEW li1 ( 89930 185470 ) L1M1_PR_MR ;
- _152_ ( _247_ A ) ( _246_ X ) + USE SIGNAL
+ ROUTED met2 ( 84870 167450 ) ( * 180030 )
NEW met1 ( 84870 180030 ) ( 87170 * )
NEW li1 ( 84870 167450 ) L1M1_PR_MR
NEW met1 ( 84870 167450 ) M1M2_PR
NEW met1 ( 84870 180030 ) M1M2_PR
NEW li1 ( 87170 180030 ) L1M1_PR_MR
NEW met1 ( 84870 167450 ) RECT ( -355 -70 0 70 ) ;
- _153_ ( _249_ A ) ( _248_ X ) + USE SIGNAL
+ ROUTED met2 ( 112930 184450 ) ( * 185470 )
NEW met1 ( 112930 184450 ) ( 114770 * )
NEW met2 ( 114770 172890 ) ( * 184450 )
NEW met1 ( 106490 185470 ) ( 112930 * )
NEW li1 ( 106490 185470 ) L1M1_PR_MR
NEW met1 ( 112930 185470 ) M1M2_PR
NEW met1 ( 112930 184450 ) M1M2_PR
NEW met1 ( 114770 184450 ) M1M2_PR
NEW li1 ( 114770 172890 ) L1M1_PR_MR
NEW met1 ( 114770 172890 ) M1M2_PR
NEW met1 ( 114770 172890 ) RECT ( -355 -70 0 70 ) ;
- _154_ ( _251_ A ) ( _250_ X ) + USE SIGNAL
+ ROUTED met2 ( 89010 140590 ) ( * 141950 )
NEW met1 ( 88090 141950 ) ( 89010 * )
NEW li1 ( 89010 140590 ) L1M1_PR_MR
NEW met1 ( 89010 140590 ) M1M2_PR
NEW met1 ( 89010 141950 ) M1M2_PR
NEW li1 ( 88090 141950 ) L1M1_PR_MR
NEW met1 ( 89010 140590 ) RECT ( -355 -70 0 70 ) ;
- _155_ ( _253_ A ) ( _252_ X ) + USE SIGNAL
+ ROUTED met1 ( 22770 126310 ) ( 23230 * )
NEW met2 ( 23230 126310 ) ( * 128350 )
NEW met1 ( 21850 128350 ) ( 23230 * )
NEW li1 ( 22770 126310 ) L1M1_PR_MR
NEW met1 ( 23230 126310 ) M1M2_PR
NEW met1 ( 23230 128350 ) M1M2_PR
NEW li1 ( 21850 128350 ) L1M1_PR_MR ;
- _156_ ( ANTENNA__255__B DIODE ) ( ANTENNA__257__B DIODE ) ( ANTENNA__259__B DIODE ) ( ANTENNA__261__B DIODE ) ( ANTENNA__263__B DIODE ) ( _263_ B ) ( _261_ B )
( _259_ B ) ( _257_ B ) ( _255_ B ) ( _254_ X ) + USE SIGNAL
+ ROUTED met2 ( 104650 105060 ) ( 105110 * )
NEW met2 ( 104650 102170 ) ( * 105060 )
NEW met1 ( 97290 102170 ) ( 104650 * )
NEW met2 ( 97290 101150 ) ( * 102170 )
NEW met2 ( 103730 162690 ) ( * 183770 )
NEW met1 ( 103730 183770 ) ( 105110 * )
NEW met1 ( 161690 80410 ) ( 168590 * )
NEW met2 ( 168590 78030 ) ( * 80410 )
NEW met1 ( 168590 78030 ) ( 197110 * )
NEW met2 ( 103730 158700 ) ( * 162690 )
NEW met2 ( 105110 105060 ) ( * 110400 )
NEW met2 ( 103730 158700 ) ( 104190 * )
NEW met2 ( 104190 110400 ) ( * 158700 )
NEW met2 ( 104190 110400 ) ( 105110 * )
NEW met1 ( 189750 47770 ) ( * 48110 )
NEW met1 ( 189750 48110 ) ( 197110 * )
NEW met2 ( 186990 43010 ) ( * 47770 )
NEW met1 ( 186990 47770 ) ( 189750 * )
NEW li1 ( 197110 48110 ) ( * 78030 )
NEW met1 ( 14490 96730 ) ( * 97070 )
NEW met1 ( 14490 97070 ) ( 21850 * )
NEW met1 ( 21850 97070 ) ( * 97410 )
NEW li1 ( 157090 80410 ) ( * 81090 )
NEW met1 ( 157090 80410 ) ( 161690 * )
NEW met1 ( 97290 86190 ) ( 100050 * )
NEW met2 ( 100050 81940 ) ( * 86190 )
NEW met3 ( 100050 81940 ) ( 139610 * )
NEW met2 ( 139610 81090 ) ( * 81940 )
NEW met2 ( 97290 86190 ) ( * 101150 )
NEW met1 ( 139610 81090 ) ( 157550 * )
NEW met1 ( 84410 102160 ) ( * 102170 )
NEW met1 ( 84410 102160 ) ( 84510 * )
NEW met1 ( 84510 101830 ) ( * 102160 )
NEW met1 ( 83490 101830 ) ( 84510 * )
NEW met2 ( 83490 101660 ) ( * 101830 )
NEW met3 ( 83490 101660 ) ( 90850 * )
NEW met2 ( 90850 101150 ) ( * 101660 )
NEW met1 ( 21850 97410 ) ( 97290 * )
NEW met1 ( 90850 101150 ) ( 97290 * )
NEW met1 ( 97290 101150 ) M1M2_PR
NEW met1 ( 104650 102170 ) M1M2_PR
NEW met1 ( 97290 102170 ) M1M2_PR
NEW met1 ( 97290 97410 ) M1M2_PR
NEW li1 ( 103730 162690 ) L1M1_PR_MR
NEW met1 ( 103730 162690 ) M1M2_PR
NEW met1 ( 103730 183770 ) M1M2_PR
NEW li1 ( 105110 183770 ) L1M1_PR_MR
NEW li1 ( 161690 80410 ) L1M1_PR_MR
NEW met1 ( 168590 80410 ) M1M2_PR
NEW met1 ( 168590 78030 ) M1M2_PR
NEW li1 ( 197110 78030 ) L1M1_PR_MR
NEW li1 ( 189750 47770 ) L1M1_PR_MR
NEW li1 ( 197110 48110 ) L1M1_PR_MR
NEW li1 ( 186990 43010 ) L1M1_PR_MR
NEW met1 ( 186990 43010 ) M1M2_PR
NEW met1 ( 186990 47770 ) M1M2_PR
NEW li1 ( 21850 97410 ) L1M1_PR_MR
NEW li1 ( 14490 96730 ) L1M1_PR_MR
NEW li1 ( 157550 81090 ) L1M1_PR_MR
NEW li1 ( 157090 80410 ) L1M1_PR_MR
NEW li1 ( 157090 81090 ) L1M1_PR_MR
NEW li1 ( 97290 86190 ) L1M1_PR_MR
NEW met1 ( 100050 86190 ) M1M2_PR
NEW met2 ( 100050 81940 ) M2M3_PR_M
NEW met2 ( 139610 81940 ) M2M3_PR_M
NEW met1 ( 139610 81090 ) M1M2_PR
NEW met1 ( 97290 86190 ) M1M2_PR
NEW li1 ( 90850 101150 ) L1M1_PR_MR
NEW li1 ( 84410 102170 ) L1M1_PR_MR
NEW met1 ( 83490 101830 ) M1M2_PR
NEW met2 ( 83490 101660 ) M2M3_PR_M
NEW met2 ( 90850 101660 ) M2M3_PR_M
NEW met1 ( 90850 101150 ) M1M2_PR
NEW met2 ( 97290 97410 ) RECT ( -70 -485 70 0 )
NEW met1 ( 103730 162690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186990 43010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 157090 81090 ) RECT ( -595 -70 0 70 )
NEW met1 ( 97290 86190 ) RECT ( -595 -70 0 70 )
NEW met1 ( 90850 101150 ) RECT ( -595 -70 0 70 ) ;
- _157_ ( _256_ A ) ( _255_ X ) + USE SIGNAL
+ ROUTED met1 ( 15410 97410 ) ( 19550 * )
NEW met2 ( 19550 97410 ) ( * 102170 )
NEW met1 ( 19550 102170 ) ( 22310 * )
NEW li1 ( 15410 97410 ) L1M1_PR_MR
NEW met1 ( 19550 97410 ) M1M2_PR
NEW met1 ( 19550 102170 ) M1M2_PR
NEW li1 ( 22310 102170 ) L1M1_PR_MR ;
- _158_ ( _258_ A ) ( _257_ X ) + USE SIGNAL
+ ROUTED met2 ( 105110 175270 ) ( * 182750 )
NEW met1 ( 104190 182750 ) ( 105110 * )
NEW li1 ( 105110 175270 ) L1M1_PR_MR
NEW met1 ( 105110 175270 ) M1M2_PR
NEW met1 ( 105110 182750 ) M1M2_PR
NEW li1 ( 104190 182750 ) L1M1_PR_MR
NEW met1 ( 105110 175270 ) RECT ( -355 -70 0 70 ) ;
- _159_ ( _260_ A ) ( _259_ X ) + USE SIGNAL
+ ROUTED met2 ( 162610 81090 ) ( * 82790 )
NEW met1 ( 162610 82790 ) ( 165370 * )
NEW li1 ( 162610 81090 ) L1M1_PR_MR
NEW met1 ( 162610 81090 ) M1M2_PR
NEW met1 ( 162610 82790 ) M1M2_PR
NEW li1 ( 165370 82790 ) L1M1_PR_MR
NEW met1 ( 162610 81090 ) RECT ( -355 -70 0 70 ) ;
- _160_ ( _262_ A ) ( _261_ X ) + USE SIGNAL
+ ROUTED met2 ( 86250 97070 ) ( * 101150 )
NEW met1 ( 85330 101150 ) ( 86250 * )
NEW li1 ( 86250 97070 ) L1M1_PR_MR
NEW met1 ( 86250 97070 ) M1M2_PR
NEW met1 ( 86250 101150 ) M1M2_PR
NEW li1 ( 85330 101150 ) L1M1_PR_MR
NEW met1 ( 86250 97070 ) RECT ( -355 -70 0 70 ) ;
- _161_ ( _264_ A ) ( _263_ X ) + USE SIGNAL
+ ROUTED met1 ( 183310 48450 ) ( 188830 * )
NEW met2 ( 183310 48450 ) ( * 50150 )
NEW li1 ( 188830 48450 ) L1M1_PR_MR
NEW met1 ( 183310 48450 ) M1M2_PR
NEW li1 ( 183310 50150 ) L1M1_PR_MR
NEW met1 ( 183310 50150 ) M1M2_PR
NEW met1 ( 183310 50150 ) RECT ( -355 -70 0 70 ) ;
- _162_ ( ANTENNA__266__B DIODE ) ( ANTENNA__268__B DIODE ) ( ANTENNA__270__B DIODE ) ( ANTENNA__272__B DIODE ) ( ANTENNA__274__B DIODE ) ( _274_ B ) ( _272_ B )
( _270_ B ) ( _268_ B ) ( _266_ B ) ( _265_ X ) + USE SIGNAL
+ ROUTED met1 ( 85330 41990 ) ( * 42330 )
NEW met1 ( 85330 41990 ) ( 89010 * )
NEW met1 ( 89010 41990 ) ( * 42670 )
NEW met1 ( 84410 40290 ) ( 86250 * )
NEW met2 ( 86250 40290 ) ( * 42330 )
NEW met1 ( 85330 42330 ) ( 86250 * )
NEW met1 ( 80270 39270 ) ( 80730 * )
NEW met2 ( 80270 39270 ) ( * 40290 )
NEW met1 ( 80270 40290 ) ( 84410 * )
NEW met1 ( 101890 39270 ) ( 102350 * )
NEW met2 ( 101890 38420 ) ( * 39270 )
NEW met3 ( 101890 38420 ) ( 115460 * )
NEW met4 ( 115460 15980 ) ( * 38420 )
NEW met3 ( 115460 15980 ) ( 138690 * )
NEW met2 ( 138690 14110 ) ( * 15980 )
NEW met1 ( 100970 42330 ) ( 101890 * )
NEW met2 ( 101890 39270 ) ( * 42330 )
NEW met1 ( 98210 39270 ) ( 101890 * )
NEW met2 ( 101890 37570 ) ( * 38420 )
NEW met1 ( 99130 42330 ) ( * 42670 )
NEW met1 ( 99130 42330 ) ( 100970 * )
NEW met2 ( 97290 42670 ) ( * 47090 )
NEW met1 ( 95910 37570 ) ( 101890 * )
NEW met1 ( 89010 42670 ) ( 99130 * )
NEW met1 ( 95450 47090 ) ( 97290 * )
NEW met1 ( 159390 14110 ) ( 160770 * )
NEW met2 ( 159390 13940 ) ( * 14110 )
NEW met3 ( 155250 13940 ) ( 159390 * )
NEW met2 ( 155250 13940 ) ( * 14110 )
NEW met2 ( 168130 12070 ) ( * 14110 )
NEW met1 ( 160770 14110 ) ( 168130 * )
NEW met1 ( 138690 14110 ) ( 155250 * )
NEW li1 ( 95910 37570 ) L1M1_PR_MR
NEW li1 ( 95450 47090 ) L1M1_PR_MR
NEW li1 ( 89010 42670 ) L1M1_PR_MR
NEW li1 ( 85330 42330 ) L1M1_PR_MR
NEW li1 ( 84410 40290 ) L1M1_PR_MR
NEW met1 ( 86250 40290 ) M1M2_PR
NEW met1 ( 86250 42330 ) M1M2_PR
NEW li1 ( 80730 39270 ) L1M1_PR_MR
NEW met1 ( 80270 39270 ) M1M2_PR
NEW met1 ( 80270 40290 ) M1M2_PR
NEW li1 ( 102350 39270 ) L1M1_PR_MR
NEW met1 ( 101890 39270 ) M1M2_PR
NEW met2 ( 101890 38420 ) M2M3_PR_M
NEW met3 ( 115460 38420 ) M3M4_PR_M
NEW met3 ( 115460 15980 ) M3M4_PR_M
NEW met2 ( 138690 15980 ) M2M3_PR_M
NEW met1 ( 138690 14110 ) M1M2_PR
NEW li1 ( 100970 42330 ) L1M1_PR_MR
NEW met1 ( 101890 42330 ) M1M2_PR
NEW li1 ( 98210 39270 ) L1M1_PR_MR
NEW met1 ( 101890 37570 ) M1M2_PR
NEW met1 ( 97290 47090 ) M1M2_PR
NEW met1 ( 97290 42670 ) M1M2_PR
NEW li1 ( 160770 14110 ) L1M1_PR_MR
NEW met1 ( 159390 14110 ) M1M2_PR
NEW met2 ( 159390 13940 ) M2M3_PR_M
NEW met2 ( 155250 13940 ) M2M3_PR_M
NEW met1 ( 155250 14110 ) M1M2_PR
NEW li1 ( 168130 12070 ) L1M1_PR_MR
NEW met1 ( 168130 12070 ) M1M2_PR
NEW met1 ( 168130 14110 ) M1M2_PR
NEW met1 ( 97290 42670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 168130 12070 ) RECT ( -355 -70 0 70 ) ;
- _163_ ( _267_ A ) ( _266_ X ) + USE SIGNAL
+ ROUTED met2 ( 79810 40290 ) ( * 44370 )
NEW met1 ( 75210 44370 ) ( 79810 * )
NEW li1 ( 79810 40290 ) L1M1_PR_MR
NEW met1 ( 79810 40290 ) M1M2_PR
NEW met1 ( 79810 44370 ) M1M2_PR
NEW li1 ( 75210 44370 ) L1M1_PR_MR
NEW met1 ( 79810 40290 ) RECT ( -355 -70 0 70 ) ;
- _164_ ( _269_ A ) ( _268_ X ) + USE SIGNAL
+ ROUTED met1 ( 160770 17510 ) ( 167210 * )
NEW met2 ( 167210 13090 ) ( * 17510 )
NEW li1 ( 167210 13090 ) L1M1_PR_MR
NEW met1 ( 167210 13090 ) M1M2_PR
NEW met1 ( 167210 17510 ) M1M2_PR
NEW li1 ( 160770 17510 ) L1M1_PR_MR
NEW met1 ( 167210 13090 ) RECT ( -355 -70 0 70 ) ;
- _165_ ( _271_ A ) ( _270_ X ) + USE SIGNAL
+ ROUTED met2 ( 90390 39270 ) ( * 41650 )
NEW met1 ( 86250 41650 ) ( 90390 * )
NEW li1 ( 90390 39270 ) L1M1_PR_MR
NEW met1 ( 90390 39270 ) M1M2_PR
NEW met1 ( 90390 41650 ) M1M2_PR
NEW li1 ( 86250 41650 ) L1M1_PR_MR
NEW met1 ( 90390 39270 ) RECT ( -355 -70 0 70 ) ;
- _166_ ( _273_ A ) ( _272_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 40290 ) ( 101430 * )
NEW met2 ( 100050 40290 ) ( * 44710 )
NEW li1 ( 101430 40290 ) L1M1_PR_MR
NEW met1 ( 100050 40290 ) M1M2_PR
NEW li1 ( 100050 44710 ) L1M1_PR_MR
NEW met1 ( 100050 44710 ) M1M2_PR
NEW met1 ( 100050 44710 ) RECT ( -355 -70 0 70 ) ;
- _167_ ( _275_ A ) ( _274_ X ) + USE SIGNAL
+ ROUTED met1 ( 97290 40290 ) ( 97750 * )
NEW met2 ( 97750 40290 ) ( * 42330 )
NEW li1 ( 97290 40290 ) L1M1_PR_MR
NEW met1 ( 97750 40290 ) M1M2_PR
NEW li1 ( 97750 42330 ) L1M1_PR_MR
NEW met1 ( 97750 42330 ) M1M2_PR
NEW met1 ( 97750 42330 ) RECT ( -355 -70 0 70 ) ;
- _168_ ( _285_ B ) ( _283_ B ) ( _281_ B ) ( _279_ B ) ( _277_ B ) ( _276_ X ) + USE SIGNAL
+ ROUTED met2 ( 9430 47770 ) ( * 53210 )
NEW met1 ( 8050 47770 ) ( 9430 * )
NEW met1 ( 9430 52870 ) ( * 53210 )
NEW met1 ( 62100 50150 ) ( 62790 * )
NEW met2 ( 48530 50150 ) ( * 52870 )
NEW met1 ( 55890 49810 ) ( * 50150 )
NEW met1 ( 48530 49810 ) ( 55890 * )
NEW met1 ( 48530 49810 ) ( * 50150 )
NEW met2 ( 58650 47770 ) ( * 49810 )
NEW met1 ( 55890 49810 ) ( 58650 * )
NEW met1 ( 62100 49810 ) ( * 50150 )
NEW met1 ( 58650 49810 ) ( 62100 * )
NEW met1 ( 9430 52870 ) ( 48530 * )
NEW li1 ( 9430 53210 ) L1M1_PR_MR
NEW met1 ( 9430 53210 ) M1M2_PR
NEW met1 ( 9430 47770 ) M1M2_PR
NEW li1 ( 8050 47770 ) L1M1_PR_MR
NEW li1 ( 62790 50150 ) L1M1_PR_MR
NEW li1 ( 48530 50150 ) L1M1_PR_MR
NEW met1 ( 48530 50150 ) M1M2_PR
NEW met1 ( 48530 52870 ) M1M2_PR
NEW li1 ( 55890 50150 ) L1M1_PR_MR
NEW li1 ( 58650 47770 ) L1M1_PR_MR
NEW met1 ( 58650 47770 ) M1M2_PR
NEW met1 ( 58650 49810 ) M1M2_PR
NEW met1 ( 9430 53210 ) RECT ( 0 -70 355 70 )
NEW met1 ( 48530 50150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 58650 47770 ) RECT ( -355 -70 0 70 ) ;
- _169_ ( _278_ A ) ( _277_ X ) + USE SIGNAL
+ ROUTED met1 ( 56810 51170 ) ( 61410 * )
NEW met2 ( 61410 51170 ) ( * 55250 )
NEW li1 ( 56810 51170 ) L1M1_PR_MR
NEW met1 ( 61410 51170 ) M1M2_PR
NEW li1 ( 61410 55250 ) L1M1_PR_MR
NEW met1 ( 61410 55250 ) M1M2_PR
NEW met1 ( 61410 55250 ) RECT ( -355 -70 0 70 ) ;
- _170_ ( _280_ A ) ( _279_ X ) + USE SIGNAL
+ ROUTED met1 ( 51290 47770 ) ( 54050 * )
NEW met2 ( 51290 47770 ) ( * 49470 )
NEW met1 ( 49450 49470 ) ( 51290 * )
NEW li1 ( 54050 47770 ) L1M1_PR_MR
NEW met1 ( 51290 47770 ) M1M2_PR
NEW met1 ( 51290 49470 ) M1M2_PR
NEW li1 ( 49450 49470 ) L1M1_PR_MR ;
- _171_ ( _282_ A ) ( _281_ X ) + USE SIGNAL
+ ROUTED met1 ( 14950 47770 ) ( * 48110 )
NEW met1 ( 8970 48110 ) ( 14950 * )
NEW li1 ( 8970 48110 ) L1M1_PR_MR
NEW li1 ( 14950 47770 ) L1M1_PR_MR ;
- _172_ ( _284_ A ) ( _283_ X ) + USE SIGNAL
+ ROUTED met1 ( 59570 47770 ) ( 62330 * )
NEW li1 ( 62330 47770 ) L1M1_PR_MR
NEW li1 ( 59570 47770 ) L1M1_PR_MR ;
- _173_ ( _286_ A ) ( _285_ X ) + USE SIGNAL
+ ROUTED met1 ( 10350 53890 ) ( 11270 * )
NEW met2 ( 11270 53890 ) ( * 55590 )
NEW li1 ( 10350 53890 ) L1M1_PR_MR
NEW met1 ( 11270 53890 ) M1M2_PR
NEW li1 ( 11270 55590 ) L1M1_PR_MR
NEW met1 ( 11270 55590 ) M1M2_PR
NEW met1 ( 11270 55590 ) RECT ( -355 -70 0 70 ) ;
- _174_ ( ANTENNA__288__B DIODE ) ( ANTENNA__290__B DIODE ) ( ANTENNA__292__B DIODE ) ( ANTENNA__299__A DIODE ) ( ANTENNA__324__B DIODE ) ( _324_ B ) ( _299_ A )
( _292_ B ) ( _290_ B ) ( _288_ B ) ( _287_ X ) + USE SIGNAL
+ ROUTED met1 ( 97290 82450 ) ( 97750 * )
NEW met1 ( 96830 95710 ) ( 98670 * )
NEW met2 ( 96830 85340 ) ( * 95710 )
NEW met2 ( 96830 85340 ) ( 97290 * )
NEW met2 ( 97290 82450 ) ( * 85340 )
NEW met1 ( 97290 98770 ) ( * 99110 )
NEW met1 ( 96830 98770 ) ( 97290 * )
NEW met1 ( 96830 98430 ) ( * 98770 )
NEW met2 ( 96830 95710 ) ( * 98430 )
NEW met1 ( 89470 96390 ) ( 96830 * )
NEW met1 ( 82570 98770 ) ( 83030 * )
NEW met2 ( 83030 96390 ) ( * 98770 )
NEW met1 ( 83030 96390 ) ( 89470 * )
NEW met2 ( 97290 102850 ) ( 97750 * )
NEW met2 ( 97750 99110 ) ( * 102850 )
NEW met1 ( 97290 99110 ) ( 97750 * )
NEW met2 ( 106030 96220 ) ( * 96390 )
NEW met1 ( 96830 96390 ) ( 106030 * )
NEW met2 ( 179630 96050 ) ( * 99110 )
NEW met1 ( 173650 96050 ) ( 179630 * )
NEW met2 ( 97290 53210 ) ( * 82450 )
NEW met2 ( 97290 102850 ) ( * 110400 )
NEW met2 ( 96830 110400 ) ( 97290 * )
NEW met2 ( 111090 96220 ) ( * 96390 )
NEW met1 ( 111090 96390 ) ( 117990 * )
NEW met1 ( 117990 96050 ) ( * 96390 )
NEW met3 ( 106030 96220 ) ( 111090 * )
NEW met1 ( 117990 96050 ) ( 173650 * )
NEW met1 ( 22770 51170 ) ( 23690 * )
NEW met2 ( 23690 51170 ) ( * 53210 )
NEW met1 ( 14490 50150 ) ( * 50830 )
NEW met1 ( 14490 50830 ) ( 22770 * )
NEW met1 ( 22770 50830 ) ( * 51170 )
NEW met1 ( 23690 53210 ) ( 97290 * )
NEW met1 ( 97290 158270 ) ( 98670 * )
NEW met2 ( 97290 142460 ) ( * 158270 )
NEW met2 ( 96830 142460 ) ( 97290 * )
NEW met1 ( 96830 175270 ) ( 97290 * )
NEW met2 ( 96830 173570 ) ( * 175270 )
NEW met2 ( 96600 173570 ) ( 96830 * )
NEW met2 ( 96600 173060 ) ( * 173570 )
NEW met2 ( 96370 173060 ) ( 96600 * )
NEW met2 ( 96370 171700 ) ( * 173060 )
NEW met2 ( 96370 171700 ) ( 97290 * )
NEW met2 ( 97290 158270 ) ( * 171700 )
NEW met2 ( 96830 110400 ) ( * 142460 )
NEW li1 ( 97750 82450 ) L1M1_PR_MR
NEW met1 ( 97290 82450 ) M1M2_PR
NEW li1 ( 98670 95710 ) L1M1_PR_MR
NEW met1 ( 96830 95710 ) M1M2_PR
NEW li1 ( 97290 99110 ) L1M1_PR_MR
NEW met1 ( 96830 98430 ) M1M2_PR
NEW li1 ( 89470 96390 ) L1M1_PR_MR
NEW met1 ( 96830 96390 ) M1M2_PR
NEW li1 ( 82570 98770 ) L1M1_PR_MR
NEW met1 ( 83030 98770 ) M1M2_PR
NEW met1 ( 83030 96390 ) M1M2_PR
NEW met1 ( 97750 99110 ) M1M2_PR
NEW met2 ( 106030 96220 ) M2M3_PR_M
NEW met1 ( 106030 96390 ) M1M2_PR
NEW li1 ( 173650 96050 ) L1M1_PR_MR
NEW li1 ( 179630 99110 ) L1M1_PR_MR
NEW met1 ( 179630 99110 ) M1M2_PR
NEW met1 ( 179630 96050 ) M1M2_PR
NEW met1 ( 97290 53210 ) M1M2_PR
NEW met2 ( 111090 96220 ) M2M3_PR_M
NEW met1 ( 111090 96390 ) M1M2_PR
NEW li1 ( 22770 51170 ) L1M1_PR_MR
NEW met1 ( 23690 51170 ) M1M2_PR
NEW met1 ( 23690 53210 ) M1M2_PR
NEW li1 ( 14490 50150 ) L1M1_PR_MR
NEW li1 ( 98670 158270 ) L1M1_PR_MR
NEW met1 ( 97290 158270 ) M1M2_PR
NEW li1 ( 97290 175270 ) L1M1_PR_MR
NEW met1 ( 96830 175270 ) M1M2_PR
NEW met2 ( 96830 96390 ) RECT ( -70 -485 70 0 )
NEW met1 ( 179630 99110 ) RECT ( -355 -70 0 70 ) ;
- _175_ ( _289_ A ) ( _288_ X ) + USE SIGNAL
+ ROUTED met1 ( 93150 100130 ) ( 96370 * )
NEW met2 ( 93150 100130 ) ( * 104210 )
NEW li1 ( 96370 100130 ) L1M1_PR_MR
NEW met1 ( 93150 100130 ) M1M2_PR
NEW li1 ( 93150 104210 ) L1M1_PR_MR
NEW met1 ( 93150 104210 ) M1M2_PR
NEW met1 ( 93150 104210 ) RECT ( -355 -70 0 70 ) ;
- _176_ ( _291_ A ) ( _290_ X ) + USE SIGNAL
+ ROUTED met1 ( 93150 167450 ) ( 94990 * )
NEW met2 ( 94990 167450 ) ( * 174590 )
NEW met1 ( 94990 174590 ) ( 96370 * )
NEW li1 ( 93150 167450 ) L1M1_PR_MR
NEW met1 ( 94990 167450 ) M1M2_PR
NEW met1 ( 94990 174590 ) M1M2_PR
NEW li1 ( 96370 174590 ) L1M1_PR_MR ;
- _177_ ( _293_ A ) ( _292_ X ) + USE SIGNAL
+ ROUTED met1 ( 180550 100130 ) ( 183770 * )
NEW met2 ( 183770 100130 ) ( * 104550 )
NEW li1 ( 180550 100130 ) L1M1_PR_MR
NEW met1 ( 183770 100130 ) M1M2_PR
NEW li1 ( 183770 104550 ) L1M1_PR_MR
NEW met1 ( 183770 104550 ) M1M2_PR
NEW met1 ( 183770 104550 ) RECT ( -355 -70 0 70 ) ;
- _178_ ( ANTENNA__298__A2 DIODE ) ( ANTENNA__320__B2 DIODE ) ( ANTENNA__321__B2 DIODE ) ( ANTENNA__322__B2 DIODE ) ( ANTENNA__323__B2 DIODE ) ( _323_ B2 ) ( _322_ B2 )
( _321_ B2 ) ( _320_ B2 ) ( _298_ A2 ) ( _295_ X ) + USE SIGNAL
+ ROUTED met1 ( 8970 66470 ) ( * 67490 )
NEW met2 ( 89010 68510 ) ( * 97070 )
NEW met1 ( 89010 92990 ) ( 89930 * )
NEW met2 ( 89470 97580 ) ( * 99110 )
NEW met2 ( 89010 97580 ) ( 89470 * )
NEW met2 ( 89010 97070 ) ( * 97580 )
NEW met1 ( 79350 66470 ) ( 83030 * )
NEW met2 ( 83030 66470 ) ( * 68510 )
NEW met1 ( 83030 68510 ) ( 89010 * )
NEW met1 ( 80270 64770 ) ( 83030 * )
NEW met2 ( 83030 64770 ) ( * 66470 )
NEW met1 ( 75210 101150 ) ( 83030 * )
NEW met2 ( 83030 100130 ) ( * 101150 )
NEW met1 ( 83030 100130 ) ( 89470 * )
NEW met2 ( 89470 99110 ) ( * 100130 )
NEW met2 ( 71530 99110 ) ( * 101150 )
NEW met1 ( 71530 101150 ) ( 75210 * )
NEW met2 ( 75670 67490 ) ( * 68510 )
NEW met1 ( 75670 68510 ) ( 83030 * )
NEW met1 ( 173650 67490 ) ( 175030 * )
NEW met2 ( 173650 67490 ) ( * 68850 )
NEW met1 ( 187910 66470 ) ( * 66810 )
NEW met1 ( 178250 66810 ) ( 187910 * )
NEW met1 ( 178250 66810 ) ( * 67490 )
NEW met1 ( 175030 67490 ) ( 178250 * )
NEW met1 ( 8970 67490 ) ( 19550 * )
NEW met1 ( 19550 67490 ) ( 75670 * )
NEW met1 ( 89010 68510 ) ( 110400 * )
NEW met1 ( 110400 68510 ) ( * 68850 )
NEW met1 ( 89010 97070 ) ( 110630 * )
NEW met1 ( 110400 68850 ) ( 173650 * )
NEW li1 ( 8970 66470 ) L1M1_PR_MR
NEW met1 ( 89010 97070 ) M1M2_PR
NEW met1 ( 89010 68510 ) M1M2_PR
NEW li1 ( 89930 92990 ) L1M1_PR_MR
NEW met1 ( 89010 92990 ) M1M2_PR
NEW li1 ( 89470 99110 ) L1M1_PR_MR
NEW met1 ( 89470 99110 ) M1M2_PR
NEW li1 ( 79350 66470 ) L1M1_PR_MR
NEW met1 ( 83030 66470 ) M1M2_PR
NEW met1 ( 83030 68510 ) M1M2_PR
NEW li1 ( 80270 64770 ) L1M1_PR_MR
NEW met1 ( 83030 64770 ) M1M2_PR
NEW li1 ( 75210 101150 ) L1M1_PR_MR
NEW met1 ( 83030 101150 ) M1M2_PR
NEW met1 ( 83030 100130 ) M1M2_PR
NEW met1 ( 89470 100130 ) M1M2_PR
NEW li1 ( 71530 99110 ) L1M1_PR_MR
NEW met1 ( 71530 99110 ) M1M2_PR
NEW met1 ( 71530 101150 ) M1M2_PR
NEW met1 ( 75670 67490 ) M1M2_PR
NEW met1 ( 75670 68510 ) M1M2_PR
NEW li1 ( 175030 67490 ) L1M1_PR_MR
NEW met1 ( 173650 67490 ) M1M2_PR
NEW met1 ( 173650 68850 ) M1M2_PR
NEW li1 ( 187910 66470 ) L1M1_PR_MR
NEW li1 ( 19550 67490 ) L1M1_PR_MR
NEW li1 ( 110630 97070 ) L1M1_PR_MR
NEW met2 ( 89010 92990 ) RECT ( -70 -485 70 0 )
NEW met1 ( 89470 99110 ) RECT ( 0 -70 355 70 )
NEW met1 ( 71530 99110 ) RECT ( -355 -70 0 70 ) ;
- _179_ ( _318_ A ) ( _311_ A ) ( _304_ A ) ( _297_ A ) ( _296_ X ) + USE SIGNAL
+ ROUTED met1 ( 116610 88230 ) ( 123050 * )
NEW met1 ( 123050 88230 ) ( * 89250 )
NEW met1 ( 123050 89250 ) ( 131330 * )
NEW met2 ( 117990 88230 ) ( * 91290 )
NEW met1 ( 111550 86190 ) ( 113390 * )
NEW met2 ( 113390 86190 ) ( * 88230 )
NEW met1 ( 113390 88230 ) ( 116610 * )
NEW met1 ( 117990 80750 ) ( 118910 * )
NEW met2 ( 117990 80750 ) ( * 88230 )
NEW li1 ( 116610 88230 ) L1M1_PR_MR
NEW li1 ( 131330 89250 ) L1M1_PR_MR
NEW li1 ( 117990 91290 ) L1M1_PR_MR
NEW met1 ( 117990 91290 ) M1M2_PR
NEW met1 ( 117990 88230 ) M1M2_PR
NEW li1 ( 111550 86190 ) L1M1_PR_MR
NEW met1 ( 113390 86190 ) M1M2_PR
NEW met1 ( 113390 88230 ) M1M2_PR
NEW li1 ( 118910 80750 ) L1M1_PR_MR
NEW met1 ( 117990 80750 ) M1M2_PR
NEW met1 ( 117990 91290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 117990 88230 ) RECT ( -595 -70 0 70 ) ;
- _180_ ( ANTENNA__298__B2 DIODE ) ( ANTENNA__300__A2 DIODE ) ( ANTENNA__301__A2 DIODE ) ( ANTENNA__302__A2 DIODE ) ( ANTENNA__303__A2 DIODE ) ( _303_ A2 ) ( _302_ A2 )
( _301_ A2 ) ( _300_ A2 ) ( _298_ B2 ) ( _297_ X ) + USE SIGNAL
+ ROUTED met2 ( 7130 66470 ) ( * 68510 )
NEW met1 ( 18630 68850 ) ( 19090 * )
NEW met2 ( 18630 68850 ) ( * 98940 )
NEW met2 ( 17710 98940 ) ( 18630 * )
NEW met1 ( 14950 68510 ) ( * 68850 )
NEW met1 ( 14950 68850 ) ( 18630 * )
NEW met1 ( 7130 68510 ) ( 14950 * )
NEW met1 ( 114770 87890 ) ( 115230 * )
NEW met1 ( 112470 108290 ) ( 114770 * )
NEW met2 ( 114770 87890 ) ( * 108290 )
NEW met1 ( 28290 118490 ) ( 32890 * )
NEW met1 ( 28290 118150 ) ( * 118490 )
NEW met1 ( 17710 118150 ) ( 28290 * )
NEW met1 ( 32890 117810 ) ( 37030 * )
NEW met1 ( 32890 117810 ) ( * 118490 )
NEW met2 ( 17710 98940 ) ( * 118150 )
NEW met1 ( 113850 56270 ) ( 114770 * )
NEW met1 ( 113850 55590 ) ( * 56270 )
NEW met1 ( 110170 55590 ) ( 113850 * )
NEW met2 ( 114770 56270 ) ( * 87890 )
NEW met2 ( 112470 122910 ) ( * 123590 )
NEW met1 ( 111090 126310 ) ( 112470 * )
NEW met2 ( 112470 123590 ) ( * 126310 )
NEW met2 ( 112470 108290 ) ( * 122910 )
NEW met1 ( 96600 123590 ) ( 112470 * )
NEW met1 ( 68770 123590 ) ( 91310 * )
NEW met2 ( 91310 122910 ) ( * 123590 )
NEW met1 ( 91310 122910 ) ( 92230 * )
NEW met1 ( 92230 122910 ) ( * 123250 )
NEW met1 ( 92230 123250 ) ( 96600 * )
NEW met1 ( 96600 123250 ) ( * 123590 )
NEW met1 ( 66730 126310 ) ( 67390 * )
NEW met2 ( 67390 123590 ) ( * 126310 )
NEW met1 ( 67390 123590 ) ( 68770 * )
NEW met2 ( 67390 117810 ) ( * 123590 )
NEW met1 ( 37030 117810 ) ( 67390 * )
NEW li1 ( 7130 66470 ) L1M1_PR_MR
NEW met1 ( 7130 66470 ) M1M2_PR
NEW met1 ( 7130 68510 ) M1M2_PR
NEW li1 ( 110170 55590 ) L1M1_PR_MR
NEW li1 ( 19090 68850 ) L1M1_PR_MR
NEW met1 ( 18630 68850 ) M1M2_PR
NEW li1 ( 115230 87890 ) L1M1_PR_MR
NEW met1 ( 114770 87890 ) M1M2_PR
NEW met1 ( 112470 108290 ) M1M2_PR
NEW met1 ( 114770 108290 ) M1M2_PR
NEW li1 ( 32890 118490 ) L1M1_PR_MR
NEW met1 ( 17710 118150 ) M1M2_PR
NEW li1 ( 37030 117810 ) L1M1_PR_MR
NEW li1 ( 113850 56270 ) L1M1_PR_MR
NEW met1 ( 114770 56270 ) M1M2_PR
NEW li1 ( 112470 122910 ) L1M1_PR_MR
NEW met1 ( 112470 122910 ) M1M2_PR
NEW met1 ( 112470 123590 ) M1M2_PR
NEW li1 ( 111090 126310 ) L1M1_PR_MR
NEW met1 ( 112470 126310 ) M1M2_PR
NEW li1 ( 68770 123590 ) L1M1_PR_MR
NEW met1 ( 91310 123590 ) M1M2_PR
NEW met1 ( 91310 122910 ) M1M2_PR
NEW li1 ( 66730 126310 ) L1M1_PR_MR
NEW met1 ( 67390 126310 ) M1M2_PR
NEW met1 ( 67390 123590 ) M1M2_PR
NEW met1 ( 67390 117810 ) M1M2_PR
NEW met1 ( 7130 66470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 112470 122910 ) RECT ( -355 -70 0 70 ) ;
- _181_ ( ANTENNA__300__B2 DIODE ) ( ANTENNA__301__B2 DIODE ) ( ANTENNA__302__B2 DIODE ) ( ANTENNA__303__B2 DIODE ) ( ANTENNA__305__B2 DIODE ) ( _305_ B2 ) ( _303_ B2 )
( _302_ B2 ) ( _301_ B2 ) ( _300_ B2 ) ( _299_ X ) + USE SIGNAL
+ ROUTED met2 ( 103730 107100 ) ( 104190 * )
NEW met2 ( 104190 95710 ) ( * 107100 )
NEW met1 ( 104190 95710 ) ( 106030 * )
NEW met2 ( 106030 88740 ) ( * 95710 )
NEW met2 ( 106030 88740 ) ( 106490 * )
NEW met1 ( 83950 99790 ) ( 103730 * )
NEW met2 ( 103730 99620 ) ( * 99790 )
NEW met2 ( 103730 99620 ) ( 104190 * )
NEW met1 ( 99590 50150 ) ( * 50490 )
NEW met1 ( 93610 50490 ) ( 99590 * )
NEW met2 ( 108330 50490 ) ( * 55590 )
NEW met1 ( 99590 50490 ) ( 108330 * )
NEW met1 ( 108330 57630 ) ( 109250 * )
NEW met2 ( 108330 55590 ) ( * 57630 )
NEW met1 ( 106490 57630 ) ( 108330 * )
NEW met2 ( 106490 57630 ) ( * 88740 )
NEW met1 ( 103730 122910 ) ( 109250 * )
NEW met2 ( 109250 122910 ) ( * 126310 )
NEW met1 ( 69690 129370 ) ( 70150 * )
NEW met2 ( 70150 125970 ) ( * 129370 )
NEW met1 ( 70150 125970 ) ( 86250 * )
NEW met2 ( 86250 120530 ) ( * 125970 )
NEW met1 ( 86250 120530 ) ( 103730 * )
NEW met1 ( 67850 126990 ) ( * 127330 )
NEW met1 ( 67850 126990 ) ( 70150 * )
NEW met2 ( 64630 126310 ) ( * 127330 )
NEW met2 ( 103730 107100 ) ( * 122910 )
NEW met1 ( 40250 119170 ) ( 56810 * )
NEW met2 ( 56810 119170 ) ( * 127330 )
NEW met1 ( 34730 118490 ) ( 40250 * )
NEW met1 ( 40250 118490 ) ( * 119170 )
NEW met1 ( 56810 127330 ) ( 67850 * )
NEW met1 ( 104190 95710 ) M1M2_PR
NEW met1 ( 106030 95710 ) M1M2_PR
NEW li1 ( 83950 99790 ) L1M1_PR_MR
NEW met1 ( 103730 99790 ) M1M2_PR
NEW li1 ( 99590 50150 ) L1M1_PR_MR
NEW li1 ( 93610 50490 ) L1M1_PR_MR
NEW li1 ( 108330 55590 ) L1M1_PR_MR
NEW met1 ( 108330 55590 ) M1M2_PR
NEW met1 ( 108330 50490 ) M1M2_PR
NEW li1 ( 109250 57630 ) L1M1_PR_MR
NEW met1 ( 108330 57630 ) M1M2_PR
NEW met1 ( 106490 57630 ) M1M2_PR
NEW li1 ( 109250 122910 ) L1M1_PR_MR
NEW met1 ( 103730 122910 ) M1M2_PR
NEW li1 ( 109250 126310 ) L1M1_PR_MR
NEW met1 ( 109250 126310 ) M1M2_PR
NEW met1 ( 109250 122910 ) M1M2_PR
NEW li1 ( 69690 129370 ) L1M1_PR_MR
NEW met1 ( 70150 129370 ) M1M2_PR
NEW met1 ( 70150 125970 ) M1M2_PR
NEW met1 ( 86250 125970 ) M1M2_PR
NEW met1 ( 86250 120530 ) M1M2_PR
NEW met1 ( 103730 120530 ) M1M2_PR
NEW met1 ( 70150 126990 ) M1M2_PR
NEW li1 ( 64630 126310 ) L1M1_PR_MR
NEW met1 ( 64630 126310 ) M1M2_PR
NEW met1 ( 64630 127330 ) M1M2_PR
NEW li1 ( 40250 119170 ) L1M1_PR_MR
NEW met1 ( 56810 119170 ) M1M2_PR
NEW met1 ( 56810 127330 ) M1M2_PR
NEW li1 ( 34730 118490 ) L1M1_PR_MR
NEW met1 ( 108330 55590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 126310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 122910 ) RECT ( -595 -70 0 70 )
NEW met2 ( 103730 120530 ) RECT ( -70 -485 70 0 )
NEW met2 ( 70150 126990 ) RECT ( -70 -485 70 0 )
NEW met1 ( 64630 126310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 64630 127330 ) RECT ( -595 -70 0 70 ) ;
- _182_ ( ANTENNA__305__A2 DIODE ) ( ANTENNA__307__A2 DIODE ) ( ANTENNA__308__A2 DIODE ) ( ANTENNA__309__A2 DIODE ) ( ANTENNA__310__A2 DIODE ) ( _310_ A2 ) ( _309_ A2 )
( _308_ A2 ) ( _307_ A2 ) ( _305_ A2 ) ( _304_ X ) + USE SIGNAL
+ ROUTED met1 ( 159390 104550 ) ( 162810 * )
NEW met1 ( 97290 31110 ) ( * 31450 )
NEW met1 ( 97290 31110 ) ( 98670 * )
NEW met2 ( 98670 31110 ) ( 99590 * )
NEW met2 ( 99590 31110 ) ( * 31790 )
NEW met1 ( 99590 31790 ) ( 106490 * )
NEW met1 ( 144900 104550 ) ( 159390 * )
NEW met1 ( 137770 103870 ) ( 138690 * )
NEW met1 ( 143315 104550 ) ( * 104560 )
NEW met1 ( 143290 104560 ) ( 143315 * )
NEW met1 ( 143290 104560 ) ( * 105230 )
NEW met1 ( 137770 105230 ) ( 143290 * )
NEW met2 ( 137770 103870 ) ( * 105230 )
NEW met1 ( 144900 104210 ) ( * 104550 )
NEW met1 ( 143290 104210 ) ( 144900 * )
NEW met1 ( 143290 104210 ) ( * 104520 )
NEW met1 ( 143290 104520 ) ( 143315 * )
NEW met1 ( 143315 104520 ) ( * 104550 )
NEW met2 ( 118450 81090 ) ( * 87380 )
NEW met3 ( 118450 87380 ) ( 137770 * )
NEW met1 ( 106950 51170 ) ( 109710 * )
NEW met2 ( 109710 51170 ) ( * 81090 )
NEW met1 ( 109710 81090 ) ( 118450 * )
NEW met1 ( 106030 51170 ) ( 106950 * )
NEW met1 ( 97290 50150 ) ( 97490 * )
NEW met2 ( 97290 49470 ) ( * 50150 )
NEW met1 ( 97290 49470 ) ( 106030 * )
NEW met2 ( 106030 31790 ) ( * 51170 )
NEW met2 ( 137770 87380 ) ( * 103870 )
NEW met1 ( 163530 23970 ) ( 165370 * )
NEW met2 ( 163530 23970 ) ( * 27710 )
NEW met1 ( 159850 27710 ) ( 163530 * )
NEW met1 ( 159850 27710 ) ( * 28050 )
NEW met1 ( 145130 28050 ) ( 159850 * )
NEW met2 ( 145130 28050 ) ( * 28220 )
NEW met2 ( 145130 28220 ) ( 145590 * )
NEW met2 ( 145590 28220 ) ( * 31790 )
NEW met1 ( 163990 31450 ) ( * 31570 )
NEW met1 ( 163990 31570 ) ( 164450 * )
NEW met1 ( 164450 31570 ) ( * 31790 )
NEW met1 ( 164450 31790 ) ( 165370 * )
NEW met2 ( 165370 23970 ) ( * 31790 )
NEW met1 ( 106490 31790 ) ( 145590 * )
NEW li1 ( 159390 104550 ) L1M1_PR_MR
NEW li1 ( 162810 104550 ) L1M1_PR_MR
NEW li1 ( 106490 31790 ) L1M1_PR_MR
NEW li1 ( 97290 31450 ) L1M1_PR_MR
NEW met1 ( 98670 31110 ) M1M2_PR
NEW met1 ( 99590 31790 ) M1M2_PR
NEW met1 ( 106030 31790 ) M1M2_PR
NEW li1 ( 138690 103870 ) L1M1_PR_MR
NEW met1 ( 137770 103870 ) M1M2_PR
NEW li1 ( 143315 104550 ) L1M1_PR_MR
NEW met1 ( 137770 105230 ) M1M2_PR
NEW li1 ( 118450 81090 ) L1M1_PR_MR
NEW met1 ( 118450 81090 ) M1M2_PR
NEW met2 ( 118450 87380 ) M2M3_PR_M
NEW met2 ( 137770 87380 ) M2M3_PR_M
NEW li1 ( 106950 51170 ) L1M1_PR_MR
NEW met1 ( 109710 51170 ) M1M2_PR
NEW met1 ( 109710 81090 ) M1M2_PR
NEW met1 ( 106030 51170 ) M1M2_PR
NEW li1 ( 97490 50150 ) L1M1_PR_MR
NEW met1 ( 97290 50150 ) M1M2_PR
NEW met1 ( 97290 49470 ) M1M2_PR
NEW met1 ( 106030 49470 ) M1M2_PR
NEW li1 ( 165370 23970 ) L1M1_PR_MR
NEW met1 ( 163530 23970 ) M1M2_PR
NEW met1 ( 163530 27710 ) M1M2_PR
NEW met1 ( 145130 28050 ) M1M2_PR
NEW met1 ( 145590 31790 ) M1M2_PR
NEW li1 ( 163990 31450 ) L1M1_PR_MR
NEW met1 ( 165370 31790 ) M1M2_PR
NEW met1 ( 165370 23970 ) M1M2_PR
NEW met1 ( 106030 31790 ) RECT ( -595 -70 0 70 )
NEW met1 ( 118450 81090 ) RECT ( -355 -70 0 70 )
NEW met2 ( 106030 49470 ) RECT ( -70 -485 70 0 )
NEW met1 ( 165370 23970 ) RECT ( -595 -70 0 70 ) ;
- _183_ ( ANTENNA__307__B2 DIODE ) ( ANTENNA__308__B2 DIODE ) ( ANTENNA__309__B2 DIODE ) ( ANTENNA__310__B2 DIODE ) ( ANTENNA__312__B2 DIODE ) ( _312_ B2 ) ( _310_ B2 )
( _309_ B2 ) ( _308_ B2 ) ( _307_ B2 ) ( _306_ X ) + USE SIGNAL
+ ROUTED met1 ( 161230 102510 ) ( 164910 * )
NEW met2 ( 164910 102510 ) ( * 104550 )
NEW met2 ( 161230 102510 ) ( * 103870 )
NEW met2 ( 162150 25670 ) ( * 31450 )
NEW met1 ( 162150 25670 ) ( 168130 * )
NEW met2 ( 162150 31450 ) ( * 34850 )
NEW met1 ( 131790 105230 ) ( 135930 * )
NEW met1 ( 135930 104550 ) ( 141450 * )
NEW met2 ( 135930 104550 ) ( * 105230 )
NEW met1 ( 141450 103870 ) ( * 104550 )
NEW met1 ( 141450 103870 ) ( 161230 * )
NEW met1 ( 145130 34510 ) ( * 34850 )
NEW met1 ( 145130 34850 ) ( 162150 * )
NEW met1 ( 110630 35870 ) ( 111550 * )
NEW met2 ( 111550 34510 ) ( * 35870 )
NEW met1 ( 105570 35870 ) ( 110630 * )
NEW met1 ( 109710 28390 ) ( 110170 * )
NEW met2 ( 110170 28390 ) ( * 32130 )
NEW met2 ( 110170 32130 ) ( 110630 * )
NEW met2 ( 110630 32130 ) ( * 35870 )
NEW met1 ( 99130 31110 ) ( * 31450 )
NEW met1 ( 99130 31110 ) ( 110170 * )
NEW met1 ( 104650 35870 ) ( 105570 * )
NEW met1 ( 111550 34510 ) ( 145130 * )
NEW met2 ( 131790 96600 ) ( * 105230 )
NEW met1 ( 104190 92990 ) ( 109250 * )
NEW met2 ( 104190 53380 ) ( * 92990 )
NEW met2 ( 104190 53380 ) ( 104650 * )
NEW met2 ( 131330 96600 ) ( 131790 * )
NEW met2 ( 131330 93500 ) ( * 96600 )
NEW met3 ( 110630 93500 ) ( 131330 * )
NEW met2 ( 110630 92990 ) ( * 93500 )
NEW met1 ( 109250 92990 ) ( 110630 * )
NEW met2 ( 104650 35870 ) ( * 53380 )
NEW li1 ( 161230 102510 ) L1M1_PR_MR
NEW met1 ( 164910 102510 ) M1M2_PR
NEW li1 ( 164910 104550 ) L1M1_PR_MR
NEW met1 ( 164910 104550 ) M1M2_PR
NEW met1 ( 161230 103870 ) M1M2_PR
NEW met1 ( 161230 102510 ) M1M2_PR
NEW li1 ( 162150 31450 ) L1M1_PR_MR
NEW met1 ( 162150 31450 ) M1M2_PR
NEW met1 ( 162150 25670 ) M1M2_PR
NEW li1 ( 168130 25670 ) L1M1_PR_MR
NEW met1 ( 162150 34850 ) M1M2_PR
NEW li1 ( 135930 105230 ) L1M1_PR_MR
NEW met1 ( 131790 105230 ) M1M2_PR
NEW li1 ( 141450 104550 ) L1M1_PR_MR
NEW met1 ( 135930 104550 ) M1M2_PR
NEW met1 ( 135930 105230 ) M1M2_PR
NEW li1 ( 110630 35870 ) L1M1_PR_MR
NEW met1 ( 111550 35870 ) M1M2_PR
NEW met1 ( 111550 34510 ) M1M2_PR
NEW li1 ( 105570 35870 ) L1M1_PR_MR
NEW li1 ( 109710 28390 ) L1M1_PR_MR
NEW met1 ( 110170 28390 ) M1M2_PR
NEW met1 ( 110630 35870 ) M1M2_PR
NEW li1 ( 99130 31450 ) L1M1_PR_MR
NEW met1 ( 110170 31110 ) M1M2_PR
NEW met1 ( 104650 35870 ) M1M2_PR
NEW li1 ( 109250 92990 ) L1M1_PR_MR
NEW met1 ( 104190 92990 ) M1M2_PR
NEW met2 ( 131330 93500 ) M2M3_PR_M
NEW met2 ( 110630 93500 ) M2M3_PR_M
NEW met1 ( 110630 92990 ) M1M2_PR
NEW met1 ( 164910 104550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 161230 102510 ) RECT ( -595 -70 0 70 )
NEW met1 ( 162150 31450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135930 105230 ) RECT ( -595 -70 0 70 )
NEW met1 ( 110630 35870 ) RECT ( -595 -70 0 70 )
NEW met2 ( 110170 31110 ) RECT ( -70 -485 70 0 ) ;
- _184_ ( ANTENNA__312__A2 DIODE ) ( ANTENNA__314__A2 DIODE ) ( ANTENNA__315__A2 DIODE ) ( ANTENNA__316__A2 DIODE ) ( ANTENNA__317__A2 DIODE ) ( _317_ A2 ) ( _316_ A2 )
( _315_ A2 ) ( _314_ A2 ) ( _312_ A2 ) ( _311_ X ) + USE SIGNAL
+ ROUTED met1 ( 108330 84830 ) ( 110170 * )
NEW met1 ( 109710 98770 ) ( * 99110 )
NEW met1 ( 107410 98770 ) ( 109710 * )
NEW met2 ( 107410 84830 ) ( * 98770 )
NEW met1 ( 107410 84830 ) ( 108330 * )
NEW met1 ( 106490 101830 ) ( 107410 * )
NEW met2 ( 107410 98770 ) ( * 101830 )
NEW met1 ( 105085 99110 ) ( 105110 * )
NEW met2 ( 105110 99110 ) ( * 101830 )
NEW met1 ( 105110 101830 ) ( 106490 * )
NEW met2 ( 108330 58140 ) ( 108790 * )
NEW met2 ( 108330 58140 ) ( * 84830 )
NEW met2 ( 111090 97070 ) ( * 99110 )
NEW met1 ( 111090 97070 ) ( 119830 * )
NEW met1 ( 111090 99110 ) ( 111115 * )
NEW met1 ( 109710 99110 ) ( 111090 * )
NEW met2 ( 108790 48300 ) ( * 58140 )
NEW met1 ( 107610 28390 ) ( * 28730 )
NEW met1 ( 107610 28730 ) ( 108330 * )
NEW met2 ( 108330 28730 ) ( * 30260 )
NEW met3 ( 108330 30260 ) ( 117530 * )
NEW met2 ( 117530 30260 ) ( * 30770 )
NEW met2 ( 108330 48300 ) ( 108790 * )
NEW met2 ( 108330 30260 ) ( * 48300 )
NEW met1 ( 186990 30430 ) ( 189290 * )
NEW met2 ( 189290 28730 ) ( * 30430 )
NEW met1 ( 189290 28730 ) ( 189750 * )
NEW met1 ( 189750 28390 ) ( * 28730 )
NEW met1 ( 165600 28390 ) ( * 28730 )
NEW met1 ( 165600 28730 ) ( 166750 * )
NEW met1 ( 166750 28730 ) ( * 29070 )
NEW met1 ( 166750 29070 ) ( 189290 * )
NEW met1 ( 189290 28730 ) ( * 29070 )
NEW met1 ( 145130 28730 ) ( 165600 * )
NEW met2 ( 145130 28730 ) ( * 30770 )
NEW met1 ( 117530 30770 ) ( 145130 * )
NEW li1 ( 110170 84830 ) L1M1_PR_MR
NEW met1 ( 108330 84830 ) M1M2_PR
NEW met1 ( 107410 98770 ) M1M2_PR
NEW met1 ( 107410 84830 ) M1M2_PR
NEW li1 ( 106490 101830 ) L1M1_PR_MR
NEW met1 ( 107410 101830 ) M1M2_PR
NEW li1 ( 105085 99110 ) L1M1_PR_MR
NEW met1 ( 105110 99110 ) M1M2_PR
NEW met1 ( 105110 101830 ) M1M2_PR
NEW met1 ( 111090 99110 ) M1M2_PR
NEW met1 ( 111090 97070 ) M1M2_PR
NEW li1 ( 119830 97070 ) L1M1_PR_MR
NEW li1 ( 111115 99110 ) L1M1_PR_MR
NEW li1 ( 117530 30770 ) L1M1_PR_MR
NEW li1 ( 107610 28390 ) L1M1_PR_MR
NEW met1 ( 108330 28730 ) M1M2_PR
NEW met2 ( 108330 30260 ) M2M3_PR_M
NEW met2 ( 117530 30260 ) M2M3_PR_M
NEW met1 ( 117530 30770 ) M1M2_PR
NEW li1 ( 186990 30430 ) L1M1_PR_MR
NEW met1 ( 189290 30430 ) M1M2_PR
NEW met1 ( 189290 28730 ) M1M2_PR
NEW li1 ( 189750 28390 ) L1M1_PR_MR
NEW li1 ( 165600 28390 ) L1M1_PR_MR
NEW li1 ( 145130 28730 ) L1M1_PR_MR
NEW met1 ( 145130 30770 ) M1M2_PR
NEW met1 ( 145130 28730 ) M1M2_PR
NEW met1 ( 105085 99110 ) RECT ( -330 -70 0 70 )
NEW met1 ( 111115 99110 ) RECT ( 0 -70 570 70 )
NEW met1 ( 117530 30770 ) RECT ( -595 -70 0 70 )
NEW met1 ( 145130 28730 ) RECT ( 0 -70 595 70 ) ;
- _185_ ( ANTENNA__314__B2 DIODE ) ( ANTENNA__315__B2 DIODE ) ( ANTENNA__316__B2 DIODE ) ( ANTENNA__317__B2 DIODE ) ( ANTENNA__319__B2 DIODE ) ( _319_ B2 ) ( _317_ B2 )
( _316_ B2 ) ( _315_ B2 ) ( _314_ B2 ) ( _313_ X ) + USE SIGNAL
+ ROUTED met1 ( 106030 94350 ) ( 109710 * )
NEW met2 ( 109710 94350 ) ( * 96390 )
NEW met1 ( 177330 83810 ) ( 177790 * )
NEW met2 ( 177330 83810 ) ( * 92990 )
NEW met1 ( 165830 92990 ) ( 177330 * )
NEW met1 ( 165830 92990 ) ( * 93330 )
NEW met1 ( 185610 82790 ) ( 187910 * )
NEW met1 ( 185610 82790 ) ( * 83130 )
NEW met1 ( 177790 83130 ) ( 185610 * )
NEW met1 ( 177790 83130 ) ( * 83810 )
NEW met1 ( 185610 83470 ) ( 197570 * )
NEW met1 ( 185610 83130 ) ( * 83470 )
NEW li1 ( 197570 53210 ) ( * 83470 )
NEW met1 ( 114770 94350 ) ( 146970 * )
NEW li1 ( 146970 93330 ) ( * 94350 )
NEW met2 ( 110630 94350 ) ( * 96390 )
NEW met1 ( 110630 94350 ) ( 114770 * )
NEW met1 ( 109710 96390 ) ( 110630 * )
NEW met1 ( 146970 93330 ) ( 165830 * )
NEW met2 ( 109250 99110 ) ( * 106590 )
NEW met1 ( 109250 106590 ) ( 112470 * )
NEW met1 ( 112470 106590 ) ( * 106930 )
NEW met1 ( 112470 106930 ) ( 113850 * )
NEW met1 ( 106950 99110 ) ( 109250 * )
NEW met2 ( 109250 99110 ) ( 109710 * )
NEW met2 ( 109710 96390 ) ( * 99110 )
NEW met1 ( 186990 37570 ) ( 197570 * )
NEW met1 ( 187910 28390 ) ( * 28730 )
NEW met1 ( 187910 28730 ) ( 188830 * )
NEW met2 ( 188830 28730 ) ( * 37570 )
NEW met1 ( 163070 28390 ) ( 163530 * )
NEW met1 ( 163070 28050 ) ( * 28390 )
NEW met1 ( 163070 28050 ) ( 163990 * )
NEW met1 ( 163990 27710 ) ( * 28050 )
NEW met2 ( 163990 27540 ) ( * 27710 )
NEW met3 ( 163990 27540 ) ( 166060 * )
NEW met3 ( 166060 27540 ) ( * 28220 )
NEW met3 ( 166060 28220 ) ( 186530 * )
NEW met2 ( 186530 28220 ) ( * 28390 )
NEW met1 ( 186530 28390 ) ( 187910 * )
NEW met1 ( 153410 36210 ) ( 158930 * )
NEW met2 ( 158930 32300 ) ( * 36210 )
NEW met2 ( 158470 32300 ) ( 158930 * )
NEW met2 ( 158470 28390 ) ( * 32300 )
NEW met1 ( 158470 28390 ) ( 163070 * )
NEW met2 ( 197570 37570 ) ( * 53210 )
NEW met1 ( 109710 96390 ) M1M2_PR
NEW li1 ( 106030 94350 ) L1M1_PR_MR
NEW met1 ( 109710 94350 ) M1M2_PR
NEW li1 ( 177790 83810 ) L1M1_PR_MR
NEW met1 ( 177330 83810 ) M1M2_PR
NEW met1 ( 177330 92990 ) M1M2_PR
NEW li1 ( 187910 82790 ) L1M1_PR_MR
NEW li1 ( 197570 83470 ) L1M1_PR_MR
NEW li1 ( 197570 53210 ) L1M1_PR_MR
NEW met1 ( 197570 53210 ) M1M2_PR
NEW li1 ( 114770 94350 ) L1M1_PR_MR
NEW li1 ( 146970 94350 ) L1M1_PR_MR
NEW li1 ( 146970 93330 ) L1M1_PR_MR
NEW met1 ( 110630 96390 ) M1M2_PR
NEW met1 ( 110630 94350 ) M1M2_PR
NEW li1 ( 109250 99110 ) L1M1_PR_MR
NEW met1 ( 109250 99110 ) M1M2_PR
NEW met1 ( 109250 106590 ) M1M2_PR
NEW li1 ( 113850 106930 ) L1M1_PR_MR
NEW li1 ( 106950 99110 ) L1M1_PR_MR
NEW li1 ( 186990 37570 ) L1M1_PR_MR
NEW met1 ( 197570 37570 ) M1M2_PR
NEW li1 ( 187910 28390 ) L1M1_PR_MR
NEW met1 ( 188830 28730 ) M1M2_PR
NEW met1 ( 188830 37570 ) M1M2_PR
NEW li1 ( 163530 28390 ) L1M1_PR_MR
NEW met1 ( 163990 27710 ) M1M2_PR
NEW met2 ( 163990 27540 ) M2M3_PR_M
NEW met2 ( 186530 28220 ) M2M3_PR_M
NEW met1 ( 186530 28390 ) M1M2_PR
NEW li1 ( 153410 36210 ) L1M1_PR_MR
NEW met1 ( 158930 36210 ) M1M2_PR
NEW met1 ( 158470 28390 ) M1M2_PR
NEW met1 ( 197570 53210 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 99110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 188830 37570 ) RECT ( -595 -70 0 70 ) ;
- _186_ ( ANTENNA__319__A2 DIODE ) ( ANTENNA__320__A2 DIODE ) ( ANTENNA__321__A2 DIODE ) ( ANTENNA__322__A2 DIODE ) ( ANTENNA__323__A2 DIODE ) ( _323_ A2 ) ( _322_ A2 )
( _321_ A2 ) ( _320_ A2 ) ( _319_ A2 ) ( _318_ X ) + USE SIGNAL
+ ROUTED met1 ( 87630 99110 ) ( * 99450 )
NEW met1 ( 87630 99450 ) ( 90390 * )
NEW met1 ( 79810 99110 ) ( 87630 * )
NEW met1 ( 73370 99110 ) ( 79810 * )
NEW met2 ( 116610 90780 ) ( * 90950 )
NEW met3 ( 116610 90780 ) ( 138230 * )
NEW met2 ( 138230 90780 ) ( * 90950 )
NEW met2 ( 116610 90950 ) ( * 96050 )
NEW met2 ( 90390 96600 ) ( * 99450 )
NEW met1 ( 77970 67490 ) ( 81650 * )
NEW met2 ( 77970 66980 ) ( * 67490 )
NEW met2 ( 77510 66980 ) ( 77970 * )
NEW met2 ( 77510 66470 ) ( * 66980 )
NEW met1 ( 77485 66470 ) ( 77510 * )
NEW met2 ( 90390 96600 ) ( 90850 * )
NEW met2 ( 90850 67490 ) ( * 96600 )
NEW met1 ( 81650 67490 ) ( 90850 * )
NEW met1 ( 90850 96050 ) ( 91770 * )
NEW met1 ( 91770 96050 ) ( 116610 * )
NEW met1 ( 173650 86530 ) ( 186530 * )
NEW met2 ( 173650 86530 ) ( * 90950 )
NEW met1 ( 188370 82790 ) ( 189750 * )
NEW met2 ( 188370 82790 ) ( * 86530 )
NEW met1 ( 186530 86530 ) ( 188370 * )
NEW met1 ( 189750 65790 ) ( * 66470 )
NEW met1 ( 188370 65790 ) ( 189750 * )
NEW met2 ( 188370 65790 ) ( * 82790 )
NEW met1 ( 186990 59330 ) ( 188370 * )
NEW met2 ( 188370 59330 ) ( * 65790 )
NEW met1 ( 138230 90950 ) ( 173650 * )
NEW li1 ( 87630 99110 ) L1M1_PR_MR
NEW met1 ( 90390 99450 ) M1M2_PR
NEW li1 ( 79810 99110 ) L1M1_PR_MR
NEW li1 ( 73370 99110 ) L1M1_PR_MR
NEW li1 ( 116610 90950 ) L1M1_PR_MR
NEW met1 ( 116610 90950 ) M1M2_PR
NEW met2 ( 116610 90780 ) M2M3_PR_M
NEW met2 ( 138230 90780 ) M2M3_PR_M
NEW met1 ( 138230 90950 ) M1M2_PR
NEW met1 ( 116610 96050 ) M1M2_PR
NEW li1 ( 81650 67490 ) L1M1_PR_MR
NEW met1 ( 77970 67490 ) M1M2_PR
NEW met1 ( 77510 66470 ) M1M2_PR
NEW li1 ( 77485 66470 ) L1M1_PR_MR
NEW met1 ( 90850 67490 ) M1M2_PR
NEW li1 ( 91770 96050 ) L1M1_PR_MR
NEW met1 ( 90850 96050 ) M1M2_PR
NEW li1 ( 186530 86530 ) L1M1_PR_MR
NEW met1 ( 173650 86530 ) M1M2_PR
NEW met1 ( 173650 90950 ) M1M2_PR
NEW li1 ( 189750 82790 ) L1M1_PR_MR
NEW met1 ( 188370 82790 ) M1M2_PR
NEW met1 ( 188370 86530 ) M1M2_PR
NEW li1 ( 189750 66470 ) L1M1_PR_MR
NEW met1 ( 188370 65790 ) M1M2_PR
NEW li1 ( 186990 59330 ) L1M1_PR_MR
NEW met1 ( 188370 59330 ) M1M2_PR
NEW met1 ( 116610 90950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 77510 66470 ) RECT ( 0 -70 330 70 )
NEW met2 ( 90850 96050 ) RECT ( -70 -485 70 0 ) ;
- _187_ ( _325_ A ) ( _324_ X ) + USE SIGNAL
+ ROUTED met1 ( 15410 50150 ) ( 20010 * )
NEW li1 ( 20010 50150 ) L1M1_PR_MR
NEW li1 ( 15410 50150 ) L1M1_PR_MR ;
- _188_ ( _328_ A ) ( _327_ X ) + USE SIGNAL
+ ROUTED met1 ( 134550 83810 ) ( 136390 * )
NEW met2 ( 136390 83810 ) ( * 88230 )
NEW li1 ( 134550 83810 ) L1M1_PR_MR
NEW met1 ( 136390 83810 ) M1M2_PR
NEW li1 ( 136390 88230 ) L1M1_PR_MR
NEW met1 ( 136390 88230 ) M1M2_PR
NEW met1 ( 136390 88230 ) RECT ( -355 -70 0 70 ) ;
- _189_ ( _331_ A ) ( _330_ X ) + USE SIGNAL
+ ROUTED met1 ( 119370 83810 ) ( 123510 * )
NEW met2 ( 123510 83810 ) ( * 96730 )
NEW li1 ( 119370 83810 ) L1M1_PR_MR
NEW met1 ( 123510 83810 ) M1M2_PR
NEW li1 ( 123510 96730 ) L1M1_PR_MR
NEW met1 ( 123510 96730 ) M1M2_PR
NEW met1 ( 123510 96730 ) RECT ( -355 -70 0 70 ) ;
- arb_state\[0\] ( _788_ Q ) ( _342_ A1 ) ( _330_ D ) ( _327_ C ) + USE SIGNAL
+ ROUTED met1 ( 133170 82790 ) ( 136850 * )
NEW met2 ( 136850 82790 ) ( * 85850 )
NEW met1 ( 122130 82110 ) ( 130410 * )
NEW met1 ( 130410 82110 ) ( * 82450 )
NEW met1 ( 130410 82450 ) ( 133170 * )
NEW met1 ( 133170 82450 ) ( * 82790 )
NEW met1 ( 118450 82110 ) ( 122130 * )
NEW li1 ( 133170 82790 ) L1M1_PR_MR
NEW met1 ( 136850 82790 ) M1M2_PR
NEW li1 ( 136850 85850 ) L1M1_PR_MR
NEW met1 ( 136850 85850 ) M1M2_PR
NEW li1 ( 122130 82110 ) L1M1_PR_MR
NEW li1 ( 118450 82110 ) L1M1_PR_MR
NEW met1 ( 136850 85850 ) RECT ( -355 -70 0 70 ) ;
- arb_state\[1\] ( _789_ Q ) ( _340_ A ) ( _333_ A ) ( _296_ A ) + USE SIGNAL
+ ROUTED met1 ( 120290 87550 ) ( 122130 * )
NEW met2 ( 120290 83130 ) ( * 87550 )
NEW met1 ( 112930 83130 ) ( 120290 * )
NEW met1 ( 112930 82790 ) ( * 83130 )
NEW met1 ( 132250 87550 ) ( * 87890 )
NEW met1 ( 122130 87550 ) ( 132250 * )
NEW met1 ( 132250 93670 ) ( 132710 * )
NEW met2 ( 132250 92990 ) ( * 93670 )
NEW met2 ( 131330 92990 ) ( 132250 * )
NEW met2 ( 131330 87890 ) ( * 92990 )
NEW met1 ( 131330 87550 ) ( * 87890 )
NEW li1 ( 122130 87550 ) L1M1_PR_MR
NEW met1 ( 120290 87550 ) M1M2_PR
NEW met1 ( 120290 83130 ) M1M2_PR
NEW li1 ( 112930 82790 ) L1M1_PR_MR
NEW li1 ( 132250 87890 ) L1M1_PR_MR
NEW li1 ( 132710 93670 ) L1M1_PR_MR
NEW met1 ( 132250 93670 ) M1M2_PR
NEW met1 ( 131330 87890 ) M1M2_PR ;
- arb_state\[2\] ( _790_ Q ) ( _332_ A ) ( _296_ B ) + USE SIGNAL
+ ROUTED met1 ( 132710 88230 ) ( 133170 * )
NEW met1 ( 132710 88110 ) ( * 88230 )
NEW met2 ( 132710 88060 ) ( * 88110 )
NEW met3 ( 122590 88060 ) ( 132710 * )
NEW met2 ( 122590 86530 ) ( * 88060 )
NEW met1 ( 121210 86530 ) ( 122590 * )
NEW met2 ( 140530 85850 ) ( * 87890 )
NEW met1 ( 133170 87890 ) ( 140530 * )
NEW met1 ( 133170 87890 ) ( * 88230 )
NEW li1 ( 133170 88230 ) L1M1_PR_MR
NEW met1 ( 132710 88110 ) M1M2_PR
NEW met2 ( 132710 88060 ) M2M3_PR_M
NEW met2 ( 122590 88060 ) M2M3_PR_M
NEW met1 ( 122590 86530 ) M1M2_PR
NEW li1 ( 121210 86530 ) L1M1_PR_MR
NEW li1 ( 140530 85850 ) L1M1_PR_MR
NEW met1 ( 140530 85850 ) M1M2_PR
NEW met1 ( 140530 87890 ) M1M2_PR
NEW met1 ( 140530 85850 ) RECT ( -355 -70 0 70 ) ;
- arb_state\[3\] ( _791_ Q ) ( _347_ B ) ( _343_ A ) + USE SIGNAL
+ ROUTED met1 ( 121670 81090 ) ( 122130 * )
NEW met2 ( 122130 81090 ) ( * 91970 )
NEW met1 ( 122130 91970 ) ( 125350 * )
NEW met2 ( 125350 91970 ) ( * 93330 )
NEW met1 ( 125350 93330 ) ( 125810 * )
NEW met1 ( 112010 88230 ) ( * 88570 )
NEW met1 ( 112010 88570 ) ( 122130 * )
NEW li1 ( 121670 81090 ) L1M1_PR_MR
NEW met1 ( 122130 81090 ) M1M2_PR
NEW met1 ( 122130 91970 ) M1M2_PR
NEW met1 ( 125350 91970 ) M1M2_PR
NEW met1 ( 125350 93330 ) M1M2_PR
NEW li1 ( 125810 93330 ) L1M1_PR_MR
NEW li1 ( 112010 88230 ) L1M1_PR_MR
NEW met1 ( 122130 88570 ) M1M2_PR
NEW met2 ( 122130 88570 ) RECT ( -70 -485 70 0 ) ;
- arb_state\[4\] ( _792_ Q ) ( _347_ A ) ( _344_ A ) ( _340_ B ) + USE SIGNAL
+ ROUTED met1 ( 115690 90270 ) ( 120750 * )
NEW met2 ( 115690 77350 ) ( * 90270 )
NEW met1 ( 121670 93330 ) ( 124890 * )
NEW met2 ( 121670 90270 ) ( * 93330 )
NEW met1 ( 120750 90270 ) ( 121670 * )
NEW met2 ( 131790 93670 ) ( * 94690 )
NEW met1 ( 124890 94690 ) ( 131790 * )
NEW met2 ( 124890 93330 ) ( * 94690 )
NEW li1 ( 120750 90270 ) L1M1_PR_MR
NEW met1 ( 115690 90270 ) M1M2_PR
NEW li1 ( 115690 77350 ) L1M1_PR_MR
NEW met1 ( 115690 77350 ) M1M2_PR
NEW li1 ( 124890 93330 ) L1M1_PR_MR
NEW met1 ( 121670 93330 ) M1M2_PR
NEW met1 ( 121670 90270 ) M1M2_PR
NEW li1 ( 131790 93670 ) L1M1_PR_MR
NEW met1 ( 131790 93670 ) M1M2_PR
NEW met1 ( 131790 94690 ) M1M2_PR
NEW met1 ( 124890 94690 ) M1M2_PR
NEW met1 ( 124890 93330 ) M1M2_PR
NEW met1 ( 115690 77350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 131790 93670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 124890 93330 ) RECT ( -595 -70 0 70 ) ;
- clk ( PIN clk ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL
+ ROUTED met1 ( 186990 108290 ) ( 189750 * )
NEW met2 ( 189750 108290 ) ( * 109820 )
NEW met2 ( 189750 109820 ) ( 190210 * )
NEW met3 ( 190210 111860 ) ( 196420 * 0 )
NEW met2 ( 190210 111860 ) ( * 113050 )
NEW met2 ( 190210 109820 ) ( * 111860 )
NEW li1 ( 186990 108290 ) L1M1_PR_MR
NEW met1 ( 189750 108290 ) M1M2_PR
NEW met2 ( 190210 111860 ) M2M3_PR_M
NEW li1 ( 190210 113050 ) L1M1_PR_MR
NEW met1 ( 190210 113050 ) M1M2_PR
NEW met1 ( 190210 113050 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[0] ( PIN data_from_mem[0] ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL
+ ROUTED met1 ( 148350 12070 ) ( 148810 * )
NEW met1 ( 146510 46750 ) ( 148350 * )
NEW met2 ( 148350 3740 0 ) ( * 46750 )
NEW li1 ( 148810 12070 ) L1M1_PR_MR
NEW met1 ( 148350 12070 ) M1M2_PR
NEW met1 ( 148350 46750 ) M1M2_PR
NEW li1 ( 146510 46750 ) L1M1_PR_MR
NEW met2 ( 148350 12070 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[100] ( PIN data_from_mem[100] ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL
+ ROUTED met1 ( 144210 33830 ) ( * 34170 )
NEW met2 ( 147890 17170 ) ( * 34170 )
NEW met1 ( 146510 17170 ) ( 147890 * )
NEW met2 ( 146510 3740 0 ) ( * 17170 )
NEW met2 ( 147890 34170 ) ( * 45050 )
NEW met1 ( 144210 34170 ) ( 147890 * )
NEW met1 ( 139610 45050 ) ( 147890 * )
NEW li1 ( 144210 33830 ) L1M1_PR_MR
NEW li1 ( 139610 45050 ) L1M1_PR_MR
NEW met1 ( 147890 34170 ) M1M2_PR
NEW met1 ( 147890 17170 ) M1M2_PR
NEW met1 ( 146510 17170 ) M1M2_PR
NEW met1 ( 147890 45050 ) M1M2_PR ;
- data_from_mem[101] ( PIN data_from_mem[101] ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL
+ ROUTED met1 ( 147430 52190 ) ( 147890 * )
NEW met2 ( 147430 3740 0 ) ( * 36890 )
NEW met2 ( 147430 36890 ) ( * 52190 )
NEW li1 ( 147890 52190 ) L1M1_PR_MR
NEW met1 ( 147430 52190 ) M1M2_PR
NEW li1 ( 147430 36890 ) L1M1_PR_MR
NEW met1 ( 147430 36890 ) M1M2_PR
NEW met1 ( 147430 36890 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[102] ( PIN data_from_mem[102] ) ( ANTENNA_input5_A DIODE ) ( input5 A ) + USE SIGNAL
+ ROUTED met2 ( 124890 164390 ) ( * 186660 )
NEW met2 ( 124430 186660 ) ( 124890 * )
NEW met2 ( 124430 186660 ) ( * 196180 0 )
NEW met1 ( 124890 159970 ) ( 126270 * )
NEW met2 ( 124890 159970 ) ( * 164390 )
NEW li1 ( 124890 164390 ) L1M1_PR_MR
NEW met1 ( 124890 164390 ) M1M2_PR
NEW li1 ( 126270 159970 ) L1M1_PR_MR
NEW met1 ( 124890 159970 ) M1M2_PR
NEW met1 ( 124890 164390 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[103] ( PIN data_from_mem[103] ) ( ANTENNA_input6_A DIODE ) ( input6 A ) + USE SIGNAL
+ ROUTED met2 ( 105570 22950 ) ( * 33830 )
NEW met1 ( 100510 22950 ) ( 105570 * )
NEW met2 ( 100510 3740 0 ) ( * 22950 )
NEW met1 ( 105570 39270 ) ( 108330 * )
NEW met2 ( 105570 33830 ) ( * 39270 )
NEW li1 ( 105570 33830 ) L1M1_PR_MR
NEW met1 ( 105570 33830 ) M1M2_PR
NEW met1 ( 105570 22950 ) M1M2_PR
NEW met1 ( 100510 22950 ) M1M2_PR
NEW li1 ( 108330 39270 ) L1M1_PR_MR
NEW met1 ( 105570 39270 ) M1M2_PR
NEW met1 ( 105570 33830 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[104] ( PIN data_from_mem[104] ) ( ANTENNA_input7_A DIODE ) ( input7 A ) + USE SIGNAL
+ ROUTED met1 ( 20930 12410 ) ( 26450 * )
NEW met1 ( 20930 12070 ) ( * 12410 )
NEW met2 ( 20930 6970 ) ( * 12070 )
NEW met1 ( 20470 6970 ) ( 20930 * )
NEW met1 ( 20470 6630 ) ( * 6970 )
NEW met1 ( 18630 6630 ) ( 20470 * )
NEW met2 ( 18630 3740 0 ) ( * 6630 )
NEW met1 ( 25990 12070 ) ( * 12410 )
NEW met3 ( 26450 13940 ) ( 27140 * )
NEW met4 ( 27140 13940 ) ( * 22780 )
NEW met3 ( 25990 22780 ) ( 27140 * )
NEW met2 ( 25990 22780 ) ( * 30940 )
NEW met2 ( 25530 30940 ) ( 25990 * )
NEW met2 ( 25530 30940 ) ( * 35020 )
NEW met2 ( 25530 35020 ) ( 25990 * )
NEW met2 ( 25990 35020 ) ( * 40290 )
NEW met1 ( 25990 40290 ) ( 44850 * )
NEW met2 ( 26450 12410 ) ( * 13940 )
NEW met1 ( 26450 12410 ) M1M2_PR
NEW met1 ( 20930 12070 ) M1M2_PR
NEW met1 ( 20930 6970 ) M1M2_PR
NEW met1 ( 18630 6630 ) M1M2_PR
NEW li1 ( 25990 12070 ) L1M1_PR_MR
NEW met2 ( 26450 13940 ) M2M3_PR_M
NEW met3 ( 27140 13940 ) M3M4_PR_M
NEW met3 ( 27140 22780 ) M3M4_PR_M
NEW met2 ( 25990 22780 ) M2M3_PR_M
NEW met1 ( 25990 40290 ) M1M2_PR
NEW li1 ( 44850 40290 ) L1M1_PR_MR
NEW met1 ( 25990 12070 ) RECT ( 0 -70 255 70 ) ;
- data_from_mem[105] ( PIN data_from_mem[105] ) ( ANTENNA_input8_A DIODE ) ( input8 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 144500 ) ( * 145690 )
NEW met3 ( 3220 144500 0 ) ( 9430 * )
NEW met2 ( 14030 134810 ) ( * 145690 )
NEW met1 ( 14030 134810 ) ( 20010 * )
NEW met1 ( 9430 145690 ) ( 14030 * )
NEW li1 ( 9430 145690 ) L1M1_PR_MR
NEW met1 ( 9430 145690 ) M1M2_PR
NEW met2 ( 9430 144500 ) M2M3_PR_M
NEW met1 ( 14030 145690 ) M1M2_PR
NEW met1 ( 14030 134810 ) M1M2_PR
NEW li1 ( 20010 134810 ) L1M1_PR_MR
NEW met1 ( 9430 145690 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[106] ( PIN data_from_mem[106] ) ( ANTENNA_input9_A DIODE ) ( input9 A ) + USE SIGNAL
+ ROUTED met2 ( 147890 159970 ) ( * 186490 )
NEW met1 ( 145130 159970 ) ( 147890 * )
NEW met2 ( 144670 186830 ) ( * 196180 0 )
NEW met1 ( 144670 186830 ) ( 147890 * )
NEW met1 ( 147890 186490 ) ( * 186830 )
NEW li1 ( 147890 186490 ) L1M1_PR_MR
NEW met1 ( 147890 186490 ) M1M2_PR
NEW met1 ( 147890 159970 ) M1M2_PR
NEW li1 ( 145130 159970 ) L1M1_PR_MR
NEW met1 ( 144670 186830 ) M1M2_PR
NEW met1 ( 147890 186490 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[107] ( PIN data_from_mem[107] ) ( ANTENNA_input10_A DIODE ) ( input10 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 166430 ) ( * 167450 )
NEW met2 ( 14030 162180 ) ( * 166430 )
NEW met3 ( 14030 162180 ) ( 16790 * )
NEW met3 ( 3220 162180 0 ) ( 14030 * )
NEW met1 ( 7130 166430 ) ( 14030 * )
NEW met1 ( 15870 146370 ) ( 16790 * )
NEW met2 ( 16790 146370 ) ( * 162180 )
NEW li1 ( 7130 167450 ) L1M1_PR_MR
NEW met2 ( 14030 162180 ) M2M3_PR_M
NEW met1 ( 14030 166430 ) M1M2_PR
NEW met2 ( 16790 162180 ) M2M3_PR_M
NEW li1 ( 15870 146370 ) L1M1_PR_MR
NEW met1 ( 16790 146370 ) M1M2_PR ;
- data_from_mem[108] ( PIN data_from_mem[108] ) ( ANTENNA_input11_A DIODE ) ( input11 A ) + USE SIGNAL
+ ROUTED met1 ( 27370 15130 ) ( 30130 * )
NEW met2 ( 30130 15130 ) ( * 38590 )
NEW met1 ( 30130 38590 ) ( 32430 * )
NEW met1 ( 26910 15810 ) ( 30130 * )
NEW met2 ( 26910 3740 0 ) ( * 15810 )
NEW li1 ( 27370 15130 ) L1M1_PR_MR
NEW met1 ( 30130 15130 ) M1M2_PR
NEW met1 ( 30130 38590 ) M1M2_PR
NEW li1 ( 32430 38590 ) L1M1_PR_MR
NEW met1 ( 26910 15810 ) M1M2_PR
NEW met1 ( 30130 15810 ) M1M2_PR
NEW met2 ( 30130 15810 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[109] ( PIN data_from_mem[109] ) ( ANTENNA_input12_A DIODE ) ( input12 A ) + USE SIGNAL
+ ROUTED met2 ( 54050 12580 ) ( 54510 * )
NEW met2 ( 54050 8670 ) ( * 12580 )
NEW met1 ( 50830 8670 ) ( 54050 * )
NEW met2 ( 50830 3740 0 ) ( * 8670 )
NEW met1 ( 54970 12070 ) ( 55430 * )
NEW met2 ( 55430 12070 ) ( * 12580 )
NEW met2 ( 54510 12580 ) ( 55430 * )
NEW met2 ( 54510 12580 ) ( * 24990 )
NEW met1 ( 54050 8670 ) M1M2_PR
NEW met1 ( 50830 8670 ) M1M2_PR
NEW li1 ( 54970 12070 ) L1M1_PR_MR
NEW met1 ( 55430 12070 ) M1M2_PR
NEW li1 ( 54510 24990 ) L1M1_PR_MR
NEW met1 ( 54510 24990 ) M1M2_PR
NEW met1 ( 54510 24990 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[10] ( PIN data_from_mem[10] ) ( ANTENNA_input13_A DIODE ) ( input13 A ) + USE SIGNAL
+ ROUTED met1 ( 106490 169830 ) ( 107870 * )
NEW met2 ( 107870 169830 ) ( * 175610 )
NEW met1 ( 106950 175610 ) ( 107870 * )
NEW met1 ( 106950 175610 ) ( * 176290 )
NEW met1 ( 101890 176290 ) ( 106950 * )
NEW met2 ( 101890 176290 ) ( * 179010 )
NEW met2 ( 101890 179010 ) ( 102350 * )
NEW met2 ( 102350 179010 ) ( * 196180 0 )
NEW met1 ( 106030 157250 ) ( 107870 * )
NEW met2 ( 107870 157250 ) ( * 169830 )
NEW li1 ( 106490 169830 ) L1M1_PR_MR
NEW met1 ( 107870 169830 ) M1M2_PR
NEW met1 ( 107870 175610 ) M1M2_PR
NEW met1 ( 101890 176290 ) M1M2_PR
NEW li1 ( 106030 157250 ) L1M1_PR_MR
NEW met1 ( 107870 157250 ) M1M2_PR ;
- data_from_mem[110] ( PIN data_from_mem[110] ) ( ANTENNA_input14_A DIODE ) ( input14 A ) + USE SIGNAL
+ ROUTED met2 ( 31970 162010 ) ( * 163710 )
NEW met1 ( 29210 163710 ) ( 31970 * )
NEW met2 ( 29210 163710 ) ( * 168130 )
NEW met2 ( 29210 168130 ) ( 29670 * )
NEW met2 ( 29670 168130 ) ( * 196180 0 )
NEW met1 ( 34270 159630 ) ( 38870 * )
NEW met1 ( 34270 159630 ) ( * 159970 )
NEW met2 ( 34270 159970 ) ( * 162010 )
NEW met1 ( 31970 162010 ) ( 34270 * )
NEW li1 ( 31970 162010 ) L1M1_PR_MR
NEW met1 ( 31970 162010 ) M1M2_PR
NEW met1 ( 31970 163710 ) M1M2_PR
NEW met1 ( 29210 163710 ) M1M2_PR
NEW li1 ( 38870 159630 ) L1M1_PR_MR
NEW met1 ( 34270 159970 ) M1M2_PR
NEW met1 ( 34270 162010 ) M1M2_PR
NEW met1 ( 31970 162010 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[111] ( PIN data_from_mem[111] ) ( ANTENNA_input15_A DIODE ) ( input15 A ) + USE SIGNAL
+ ROUTED met2 ( 21390 3740 0 ) ( * 6970 )
NEW met1 ( 21390 6970 ) ( 27370 * )
NEW met1 ( 31970 33830 ) ( 32430 * )
NEW met2 ( 31970 19550 ) ( * 33830 )
NEW met1 ( 27370 19550 ) ( 31970 * )
NEW met2 ( 31970 33830 ) ( * 41990 )
NEW met2 ( 27370 6970 ) ( * 19550 )
NEW met1 ( 21390 6970 ) M1M2_PR
NEW met1 ( 27370 6970 ) M1M2_PR
NEW li1 ( 32430 33830 ) L1M1_PR_MR
NEW met1 ( 31970 33830 ) M1M2_PR
NEW met1 ( 31970 19550 ) M1M2_PR
NEW met1 ( 27370 19550 ) M1M2_PR
NEW li1 ( 31970 41990 ) L1M1_PR_MR
NEW met1 ( 31970 41990 ) M1M2_PR
NEW met1 ( 31970 41990 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[112] ( PIN data_from_mem[112] ) ( ANTENNA_input16_A DIODE ) ( input16 A ) + USE SIGNAL
+ ROUTED met1 ( 186530 26010 ) ( * 26350 )
NEW met1 ( 186530 26350 ) ( 187450 * )
NEW met2 ( 187450 26350 ) ( * 56610 )
NEW met1 ( 177790 56610 ) ( 187450 * )
NEW met2 ( 177790 56610 ) ( * 57630 )
NEW met1 ( 172730 57630 ) ( 177790 * )
NEW met3 ( 187450 24820 ) ( 196420 * 0 )
NEW met2 ( 187450 24820 ) ( * 26350 )
NEW li1 ( 186530 26010 ) L1M1_PR_MR
NEW met1 ( 187450 26350 ) M1M2_PR
NEW met1 ( 187450 56610 ) M1M2_PR
NEW met1 ( 177790 56610 ) M1M2_PR
NEW met1 ( 177790 57630 ) M1M2_PR
NEW li1 ( 172730 57630 ) L1M1_PR_MR
NEW met2 ( 187450 24820 ) M2M3_PR_M ;
- data_from_mem[113] ( PIN data_from_mem[113] ) ( ANTENNA_input17_A DIODE ) ( input17 A ) + USE SIGNAL
+ ROUTED met1 ( 42550 167450 ) ( 44850 * )
NEW met2 ( 42550 167450 ) ( * 196180 0 )
NEW met2 ( 44850 159970 ) ( * 167450 )
NEW li1 ( 44850 167450 ) L1M1_PR_MR
NEW met1 ( 42550 167450 ) M1M2_PR
NEW li1 ( 44850 159970 ) L1M1_PR_MR
NEW met1 ( 44850 159970 ) M1M2_PR
NEW met1 ( 44850 167450 ) M1M2_PR
NEW met1 ( 44850 159970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 44850 167450 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[114] ( PIN data_from_mem[114] ) ( ANTENNA_input18_A DIODE ) ( input18 A ) + USE SIGNAL
+ ROUTED met1 ( 116150 28050 ) ( * 28390 )
NEW met1 ( 114770 28050 ) ( 116150 * )
NEW met1 ( 114770 27710 ) ( * 28050 )
NEW met1 ( 112470 27710 ) ( 114770 * )
NEW met2 ( 112470 14790 ) ( * 27710 )
NEW met1 ( 110630 14790 ) ( 112470 * )
NEW met1 ( 116150 33150 ) ( 118450 * )
NEW met2 ( 116150 28390 ) ( * 33150 )
NEW met2 ( 110630 3740 0 ) ( * 14790 )
NEW li1 ( 116150 28390 ) L1M1_PR_MR
NEW met1 ( 112470 27710 ) M1M2_PR
NEW met1 ( 112470 14790 ) M1M2_PR
NEW met1 ( 110630 14790 ) M1M2_PR
NEW li1 ( 118450 33150 ) L1M1_PR_MR
NEW met1 ( 116150 33150 ) M1M2_PR
NEW met1 ( 116150 28390 ) M1M2_PR
NEW met1 ( 116150 28390 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[115] ( PIN data_from_mem[115] ) ( ANTENNA_input19_A DIODE ) ( input19 A ) + USE SIGNAL
+ ROUTED met1 ( 40710 8670 ) ( 44850 * )
NEW met2 ( 40710 3740 0 ) ( * 8670 )
NEW met2 ( 44850 8670 ) ( * 33150 )
NEW met1 ( 44850 8670 ) M1M2_PR
NEW met1 ( 40710 8670 ) M1M2_PR
NEW li1 ( 44850 12070 ) L1M1_PR_MR
NEW met1 ( 44850 12070 ) M1M2_PR
NEW li1 ( 44850 33150 ) L1M1_PR_MR
NEW met1 ( 44850 33150 ) M1M2_PR
NEW met1 ( 44850 12070 ) RECT ( -355 -70 0 70 )
NEW met2 ( 44850 12070 ) RECT ( -70 -485 70 0 )
NEW met1 ( 44850 33150 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[116] ( PIN data_from_mem[116] ) ( ANTENNA_input20_A DIODE ) ( input20 A ) + USE SIGNAL
+ ROUTED met1 ( 91310 164390 ) ( 91770 * )
NEW met2 ( 91310 164390 ) ( * 173740 )
NEW met3 ( 89010 173740 ) ( 91310 * )
NEW met2 ( 89010 173740 ) ( * 175780 )
NEW met2 ( 88550 175780 ) ( 89010 * )
NEW met2 ( 88550 175780 ) ( * 196180 0 )
NEW met2 ( 91310 154530 ) ( * 164390 )
NEW li1 ( 91770 164390 ) L1M1_PR_MR
NEW met1 ( 91310 164390 ) M1M2_PR
NEW met2 ( 91310 173740 ) M2M3_PR_M
NEW met2 ( 89010 173740 ) M2M3_PR_M
NEW li1 ( 91310 154530 ) L1M1_PR_MR
NEW met1 ( 91310 154530 ) M1M2_PR
NEW met1 ( 91310 154530 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[117] ( PIN data_from_mem[117] ) ( ANTENNA_input21_A DIODE ) ( input21 A ) + USE SIGNAL
+ ROUTED met2 ( 34270 3740 0 ) ( * 13800 )
NEW met1 ( 37950 31450 ) ( * 31790 )
NEW met1 ( 35650 31790 ) ( 37950 * )
NEW met2 ( 35650 30260 ) ( * 31790 )
NEW met2 ( 34730 30260 ) ( 35650 * )
NEW met2 ( 34730 13800 ) ( * 30260 )
NEW met2 ( 34270 13800 ) ( 34730 * )
NEW met1 ( 41170 35870 ) ( 43010 * )
NEW met2 ( 41170 31790 ) ( * 35870 )
NEW met1 ( 37950 31790 ) ( 41170 * )
NEW li1 ( 37950 31450 ) L1M1_PR_MR
NEW met1 ( 35650 31790 ) M1M2_PR
NEW li1 ( 43010 35870 ) L1M1_PR_MR
NEW met1 ( 41170 35870 ) M1M2_PR
NEW met1 ( 41170 31790 ) M1M2_PR ;
- data_from_mem[118] ( PIN data_from_mem[118] ) ( ANTENNA_input22_A DIODE ) ( input22 A ) + USE SIGNAL
+ ROUTED met1 ( 79350 8670 ) ( 80730 * )
NEW met2 ( 79350 3740 0 ) ( * 8670 )
NEW met1 ( 80730 12070 ) ( 83490 * )
NEW met2 ( 80730 8670 ) ( * 33150 )
NEW met1 ( 80730 8670 ) M1M2_PR
NEW met1 ( 79350 8670 ) M1M2_PR
NEW li1 ( 83490 12070 ) L1M1_PR_MR
NEW met1 ( 80730 12070 ) M1M2_PR
NEW li1 ( 80730 33150 ) L1M1_PR_MR
NEW met1 ( 80730 33150 ) M1M2_PR
NEW met2 ( 80730 12070 ) RECT ( -70 -485 70 0 )
NEW met1 ( 80730 33150 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[119] ( PIN data_from_mem[119] ) ( ANTENNA_input23_A DIODE ) ( input23 A ) + USE SIGNAL
+ ROUTED met1 ( 183310 11730 ) ( 184230 * )
NEW met2 ( 184230 3740 0 ) ( * 13800 )
NEW met2 ( 184230 13800 ) ( 184690 * )
NEW met2 ( 184690 13800 ) ( * 18700 )
NEW met3 ( 183540 18700 ) ( 184690 * )
NEW met4 ( 183540 18700 ) ( * 45900 )
NEW met3 ( 182850 45900 ) ( 183540 * )
NEW met1 ( 176410 54910 ) ( 182850 * )
NEW met2 ( 176410 54910 ) ( * 55930 )
NEW met1 ( 162610 55930 ) ( 176410 * )
NEW met2 ( 182850 45900 ) ( * 54910 )
NEW li1 ( 183310 11730 ) L1M1_PR_MR
NEW met1 ( 184230 11730 ) M1M2_PR
NEW met2 ( 184690 18700 ) M2M3_PR_M
NEW met3 ( 183540 18700 ) M3M4_PR_M
NEW met3 ( 183540 45900 ) M3M4_PR_M
NEW met2 ( 182850 45900 ) M2M3_PR_M
NEW met1 ( 182850 54910 ) M1M2_PR
NEW met1 ( 176410 54910 ) M1M2_PR
NEW met1 ( 176410 55930 ) M1M2_PR
NEW li1 ( 162610 55930 ) L1M1_PR_MR
NEW met2 ( 184230 11730 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[11] ( PIN data_from_mem[11] ) ( ANTENNA_input24_A DIODE ) ( input24 A ) + USE SIGNAL
+ ROUTED met1 ( 25990 178670 ) ( 26910 * )
NEW met2 ( 25990 178670 ) ( * 196180 0 )
NEW met2 ( 26910 146370 ) ( * 178670 )
NEW li1 ( 26910 178670 ) L1M1_PR_MR
NEW met1 ( 25990 178670 ) M1M2_PR
NEW met1 ( 26910 178670 ) M1M2_PR
NEW li1 ( 26910 146370 ) L1M1_PR_MR
NEW met1 ( 26910 146370 ) M1M2_PR
NEW met1 ( 26910 178670 ) RECT ( -595 -70 0 70 )
NEW met1 ( 26910 146370 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[120] ( PIN data_from_mem[120] ) ( ANTENNA_input25_A DIODE ) ( input25 A ) + USE SIGNAL
+ ROUTED met1 ( 172270 156570 ) ( 175030 * )
NEW met2 ( 175030 156570 ) ( * 159290 )
NEW met1 ( 175030 159290 ) ( 176870 * )
NEW met2 ( 176870 159290 ) ( * 162350 )
NEW met1 ( 176870 162350 ) ( 189750 * )
NEW met2 ( 189750 162350 ) ( * 196180 0 )
NEW met1 ( 160310 154530 ) ( 161690 * )
NEW met2 ( 161690 154530 ) ( * 156910 )
NEW met1 ( 161690 156910 ) ( 172270 * )
NEW met1 ( 172270 156570 ) ( * 156910 )
NEW li1 ( 172270 156570 ) L1M1_PR_MR
NEW met1 ( 175030 156570 ) M1M2_PR
NEW met1 ( 175030 159290 ) M1M2_PR
NEW met1 ( 176870 159290 ) M1M2_PR
NEW met1 ( 176870 162350 ) M1M2_PR
NEW met1 ( 189750 162350 ) M1M2_PR
NEW li1 ( 160310 154530 ) L1M1_PR_MR
NEW met1 ( 161690 154530 ) M1M2_PR
NEW met1 ( 161690 156910 ) M1M2_PR ;
- data_from_mem[121] ( PIN data_from_mem[121] ) ( ANTENNA_input26_A DIODE ) ( input26 A ) + USE SIGNAL
+ ROUTED met1 ( 100050 167450 ) ( * 167790 )
NEW met1 ( 100050 167790 ) ( 103270 * )
NEW met2 ( 103270 167790 ) ( * 186490 )
NEW met1 ( 98670 186490 ) ( 103270 * )
NEW met2 ( 98670 186490 ) ( * 196180 0 )
NEW met1 ( 96370 166430 ) ( 98670 * )
NEW li1 ( 98670 166430 ) ( * 167450 )
NEW met1 ( 98670 167450 ) ( 100050 * )
NEW met2 ( 96370 158100 ) ( 96830 * )
NEW met2 ( 96830 154530 ) ( * 158100 )
NEW met1 ( 96830 154530 ) ( 98210 * )
NEW met2 ( 96370 158100 ) ( * 166430 )
NEW li1 ( 100050 167450 ) L1M1_PR_MR
NEW met1 ( 103270 167790 ) M1M2_PR
NEW met1 ( 103270 186490 ) M1M2_PR
NEW met1 ( 98670 186490 ) M1M2_PR
NEW met1 ( 96370 166430 ) M1M2_PR
NEW li1 ( 98670 166430 ) L1M1_PR_MR
NEW li1 ( 98670 167450 ) L1M1_PR_MR
NEW met1 ( 96830 154530 ) M1M2_PR
NEW li1 ( 98210 154530 ) L1M1_PR_MR ;
- data_from_mem[122] ( PIN data_from_mem[122] ) ( ANTENNA_input27_A DIODE ) ( input27 A ) + USE SIGNAL
+ ROUTED met1 ( 9430 22950 ) ( * 23290 )
NEW met1 ( 9430 23290 ) ( 31970 * )
NEW met1 ( 31970 23290 ) ( * 23630 )
NEW met1 ( 31970 23630 ) ( 32890 * )
NEW met1 ( 32890 23290 ) ( * 23630 )
NEW met1 ( 32890 23290 ) ( 39790 * )
NEW met2 ( 39790 23290 ) ( * 33830 )
NEW met1 ( 39790 33830 ) ( 47610 * )
NEW met3 ( 3220 20740 0 ) ( 5290 * )
NEW met2 ( 5290 20740 ) ( * 22950 )
NEW met1 ( 5290 22950 ) ( 9430 * )
NEW li1 ( 9430 22950 ) L1M1_PR_MR
NEW met1 ( 39790 23290 ) M1M2_PR
NEW met1 ( 39790 33830 ) M1M2_PR
NEW li1 ( 47610 33830 ) L1M1_PR_MR
NEW met2 ( 5290 20740 ) M2M3_PR_M
NEW met1 ( 5290 22950 ) M1M2_PR ;
- data_from_mem[123] ( PIN data_from_mem[123] ) ( ANTENNA_input28_A DIODE ) ( input28 A ) + USE SIGNAL
+ ROUTED met2 ( 190210 71910 ) ( * 72420 )
NEW met3 ( 190210 72420 ) ( 196420 * 0 )
NEW met1 ( 176870 72250 ) ( 190210 * )
NEW met1 ( 190210 71910 ) ( * 72250 )
NEW li1 ( 190210 71910 ) L1M1_PR_MR
NEW met1 ( 190210 71910 ) M1M2_PR
NEW met2 ( 190210 72420 ) M2M3_PR_M
NEW li1 ( 176870 72250 ) L1M1_PR_MR
NEW met1 ( 190210 71910 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[124] ( PIN data_from_mem[124] ) ( ANTENNA_input29_A DIODE ) ( input29 A ) + USE SIGNAL
+ ROUTED met1 ( 131790 9010 ) ( 134090 * )
NEW met2 ( 131790 3740 0 ) ( * 9010 )
NEW met1 ( 134090 12070 ) ( 135010 * )
NEW met1 ( 134090 41650 ) ( 135010 * )
NEW met2 ( 134090 9010 ) ( * 41650 )
NEW met1 ( 134090 9010 ) M1M2_PR
NEW met1 ( 131790 9010 ) M1M2_PR
NEW li1 ( 135010 12070 ) L1M1_PR_MR
NEW met1 ( 134090 12070 ) M1M2_PR
NEW met1 ( 134090 41650 ) M1M2_PR
NEW li1 ( 135010 41650 ) L1M1_PR_MR
NEW met2 ( 134090 12070 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[125] ( PIN data_from_mem[125] ) ( ANTENNA_input30_A DIODE ) ( input30 A ) + USE SIGNAL
+ ROUTED met2 ( 154330 179860 ) ( * 186490 )
NEW met2 ( 153870 179860 ) ( 154330 * )
NEW met2 ( 153870 171700 ) ( * 179860 )
NEW met3 ( 153180 171700 ) ( 153870 * )
NEW met4 ( 153180 165580 ) ( * 171700 )
NEW met3 ( 152950 165580 ) ( 153180 * )
NEW met2 ( 152950 161500 ) ( * 165580 )
NEW met2 ( 152950 161500 ) ( 153410 * )
NEW met2 ( 155710 186490 ) ( * 196180 0 )
NEW met1 ( 154330 186490 ) ( 160770 * )
NEW met1 ( 153410 157250 ) ( 154790 * )
NEW met2 ( 153410 157250 ) ( * 161500 )
NEW li1 ( 160770 186490 ) L1M1_PR_MR
NEW met1 ( 154330 186490 ) M1M2_PR
NEW met2 ( 153870 171700 ) M2M3_PR_M
NEW met3 ( 153180 171700 ) M3M4_PR_M
NEW met3 ( 153180 165580 ) M3M4_PR_M
NEW met2 ( 152950 165580 ) M2M3_PR_M
NEW met1 ( 155710 186490 ) M1M2_PR
NEW met1 ( 153410 157250 ) M1M2_PR
NEW li1 ( 154790 157250 ) L1M1_PR_MR
NEW met3 ( 153180 165580 ) RECT ( 0 -150 390 150 )
NEW met1 ( 155710 186490 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[126] ( PIN data_from_mem[126] ) ( ANTENNA_input31_A DIODE ) ( input31 A ) + USE SIGNAL
+ ROUTED met1 ( 180090 180370 ) ( 183310 * )
NEW met2 ( 183310 179180 ) ( * 180370 )
NEW met3 ( 181700 179180 ) ( 183310 * )
NEW met4 ( 181700 150620 ) ( * 179180 )
NEW met3 ( 169050 150620 ) ( 181700 * )
NEW met2 ( 169050 149090 ) ( * 150620 )
NEW met1 ( 168130 149090 ) ( 169050 * )
NEW met2 ( 191590 180030 ) ( * 196180 0 )
NEW met1 ( 183310 180030 ) ( 191590 * )
NEW met1 ( 183310 180030 ) ( * 180370 )
NEW li1 ( 180090 180370 ) L1M1_PR_MR
NEW met1 ( 183310 180370 ) M1M2_PR
NEW met2 ( 183310 179180 ) M2M3_PR_M
NEW met3 ( 181700 179180 ) M3M4_PR_M
NEW met3 ( 181700 150620 ) M3M4_PR_M
NEW met2 ( 169050 150620 ) M2M3_PR_M
NEW met1 ( 169050 149090 ) M1M2_PR
NEW li1 ( 168130 149090 ) L1M1_PR_MR
NEW met1 ( 191590 180030 ) M1M2_PR ;
- data_from_mem[127] ( PIN data_from_mem[127] ) ( ANTENNA_input32_A DIODE ) ( input32 A ) + USE SIGNAL
+ ROUTED met1 ( 101430 167450 ) ( 102350 * )
NEW met2 ( 101430 167450 ) ( * 196180 0 )
NEW met2 ( 101430 154530 ) ( * 167450 )
NEW li1 ( 102350 167450 ) L1M1_PR_MR
NEW met1 ( 101430 167450 ) M1M2_PR
NEW li1 ( 101430 154530 ) L1M1_PR_MR
NEW met1 ( 101430 154530 ) M1M2_PR
NEW met1 ( 101430 154530 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[12] ( PIN data_from_mem[12] ) ( ANTENNA_input33_A DIODE ) ( input33 A ) + USE SIGNAL
+ ROUTED met1 ( 188370 158610 ) ( 190210 * )
NEW met2 ( 188370 154020 ) ( * 158610 )
NEW met3 ( 176410 154020 ) ( 188370 * )
NEW met2 ( 176410 151810 ) ( * 154020 )
NEW met1 ( 172270 151810 ) ( 176410 * )
NEW met1 ( 172270 151470 ) ( * 151810 )
NEW met1 ( 163990 151470 ) ( 172270 * )
NEW met3 ( 188370 156740 ) ( 196420 * 0 )
NEW li1 ( 190210 158610 ) L1M1_PR_MR
NEW met1 ( 188370 158610 ) M1M2_PR
NEW met2 ( 188370 154020 ) M2M3_PR_M
NEW met2 ( 176410 154020 ) M2M3_PR_M
NEW met1 ( 176410 151810 ) M1M2_PR
NEW li1 ( 163990 151470 ) L1M1_PR_MR
NEW met2 ( 188370 156740 ) M2M3_PR_M
NEW met2 ( 188370 156740 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[13] ( PIN data_from_mem[13] ) ( ANTENNA_input34_A DIODE ) ( input34 A ) + USE SIGNAL
+ ROUTED met1 ( 25070 143650 ) ( 30130 * )
NEW met1 ( 21850 158950 ) ( 23690 * )
NEW met2 ( 21850 157420 ) ( * 158950 )
NEW met3 ( 13340 157420 ) ( 21850 * )
NEW met4 ( 13340 157420 ) ( * 175100 )
NEW met3 ( 9430 175100 ) ( 13340 * )
NEW met2 ( 9430 175100 ) ( * 196180 0 )
NEW met1 ( 21850 155550 ) ( 25070 * )
NEW met1 ( 21850 155550 ) ( * 155890 )
NEW met2 ( 21850 155890 ) ( * 157420 )
NEW met2 ( 25070 143650 ) ( * 155550 )
NEW met1 ( 25070 143650 ) M1M2_PR
NEW li1 ( 30130 143650 ) L1M1_PR_MR
NEW li1 ( 23690 158950 ) L1M1_PR_MR
NEW met1 ( 21850 158950 ) M1M2_PR
NEW met2 ( 21850 157420 ) M2M3_PR_M
NEW met3 ( 13340 157420 ) M3M4_PR_M
NEW met3 ( 13340 175100 ) M3M4_PR_M
NEW met2 ( 9430 175100 ) M2M3_PR_M
NEW met1 ( 25070 155550 ) M1M2_PR
NEW met1 ( 21850 155890 ) M1M2_PR ;
- data_from_mem[14] ( PIN data_from_mem[14] ) ( ANTENNA_input35_A DIODE ) ( input35 A ) + USE SIGNAL
+ ROUTED met2 ( 18630 26350 ) ( * 28050 )
NEW met1 ( 18630 26350 ) ( 36570 * )
NEW met1 ( 36570 26350 ) ( * 26690 )
NEW met1 ( 36570 26690 ) ( 43930 * )
NEW met2 ( 43930 26690 ) ( * 35870 )
NEW met1 ( 43930 35870 ) ( 45770 * )
NEW met2 ( 18630 26180 ) ( * 26350 )
NEW met3 ( 3220 26180 0 ) ( 18630 * )
NEW met1 ( 9430 28050 ) ( 18630 * )
NEW li1 ( 9430 28050 ) L1M1_PR_MR
NEW met1 ( 18630 28050 ) M1M2_PR
NEW met1 ( 18630 26350 ) M1M2_PR
NEW met1 ( 43930 26690 ) M1M2_PR
NEW met1 ( 43930 35870 ) M1M2_PR
NEW li1 ( 45770 35870 ) L1M1_PR_MR
NEW met2 ( 18630 26180 ) M2M3_PR_M ;
- data_from_mem[15] ( PIN data_from_mem[15] ) ( ANTENNA_input36_A DIODE ) ( input36 A ) + USE SIGNAL
+ ROUTED met2 ( 143290 24140 ) ( * 44030 )
NEW met1 ( 142370 44030 ) ( 143290 * )
NEW met1 ( 180090 19550 ) ( * 20570 )
NEW met1 ( 178710 19550 ) ( 180090 * )
NEW met1 ( 178710 19550 ) ( * 19890 )
NEW met1 ( 167670 19890 ) ( 178710 * )
NEW met1 ( 167670 19550 ) ( * 19890 )
NEW met1 ( 162150 19550 ) ( 167670 * )
NEW met2 ( 162150 19550 ) ( * 24140 )
NEW met3 ( 180550 16660 ) ( 196420 * 0 )
NEW met2 ( 180550 16660 ) ( * 19550 )
NEW met1 ( 180090 19550 ) ( 180550 * )
NEW met3 ( 143290 24140 ) ( 162150 * )
NEW met2 ( 143290 24140 ) M2M3_PR_M
NEW met1 ( 143290 44030 ) M1M2_PR
NEW li1 ( 142370 44030 ) L1M1_PR_MR
NEW li1 ( 180090 20570 ) L1M1_PR_MR
NEW met1 ( 162150 19550 ) M1M2_PR
NEW met2 ( 162150 24140 ) M2M3_PR_M
NEW met2 ( 180550 16660 ) M2M3_PR_M
NEW met1 ( 180550 19550 ) M1M2_PR ;
- data_from_mem[16] ( PIN data_from_mem[16] ) ( ANTENNA_input37_A DIODE ) ( input37 A ) + USE SIGNAL
+ ROUTED met1 ( 49910 14790 ) ( 51290 * )
NEW met2 ( 51290 14790 ) ( * 33150 )
NEW met1 ( 50830 33150 ) ( 51290 * )
NEW met2 ( 45310 3740 0 ) ( * 14110 )
NEW met1 ( 45310 14110 ) ( 49910 * )
NEW met1 ( 49910 14110 ) ( * 14790 )
NEW li1 ( 49910 14790 ) L1M1_PR_MR
NEW met1 ( 51290 14790 ) M1M2_PR
NEW met1 ( 51290 33150 ) M1M2_PR
NEW li1 ( 50830 33150 ) L1M1_PR_MR
NEW met1 ( 45310 14110 ) M1M2_PR ;
- data_from_mem[17] ( PIN data_from_mem[17] ) ( ANTENNA_input38_A DIODE ) ( input38 A ) + USE SIGNAL
+ ROUTED met1 ( 23230 11390 ) ( * 12070 )
NEW met1 ( 16330 11390 ) ( 23230 * )
NEW met2 ( 16330 5100 ) ( * 11390 )
NEW met2 ( 16330 5100 ) ( 16790 * )
NEW met2 ( 16790 3740 0 ) ( * 5100 )
NEW met1 ( 23230 12070 ) ( 24610 * )
NEW met1 ( 24610 15810 ) ( 25530 * )
NEW met2 ( 25530 15810 ) ( * 16830 )
NEW met1 ( 25530 16830 ) ( 26910 * )
NEW met2 ( 26910 16830 ) ( * 41990 )
NEW met1 ( 26910 41990 ) ( 27830 * )
NEW met1 ( 27830 41990 ) ( * 42330 )
NEW met1 ( 27830 42330 ) ( 40250 * )
NEW met2 ( 24610 12070 ) ( * 15810 )
NEW li1 ( 23230 12070 ) L1M1_PR_MR
NEW met1 ( 16330 11390 ) M1M2_PR
NEW met1 ( 24610 12070 ) M1M2_PR
NEW met1 ( 24610 15810 ) M1M2_PR
NEW met1 ( 25530 15810 ) M1M2_PR
NEW met1 ( 25530 16830 ) M1M2_PR
NEW met1 ( 26910 16830 ) M1M2_PR
NEW met1 ( 26910 41990 ) M1M2_PR
NEW li1 ( 40250 42330 ) L1M1_PR_MR ;
- data_from_mem[18] ( PIN data_from_mem[18] ) ( ANTENNA_input39_A DIODE ) ( input39 A ) + USE SIGNAL
+ ROUTED met2 ( 178250 92820 ) ( * 93670 )
NEW met3 ( 178250 92820 ) ( 196420 * 0 )
NEW met1 ( 170890 93670 ) ( 178250 * )
NEW li1 ( 178250 93670 ) L1M1_PR_MR
NEW met1 ( 178250 93670 ) M1M2_PR
NEW met2 ( 178250 92820 ) M2M3_PR_M
NEW li1 ( 170890 93670 ) L1M1_PR_MR
NEW met1 ( 178250 93670 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[19] ( PIN data_from_mem[19] ) ( ANTENNA_input40_A DIODE ) ( input40 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 104550 ) ( * 106420 )
NEW met3 ( 3220 106420 0 ) ( 9430 * )
NEW met1 ( 9430 104210 ) ( * 104550 )
NEW met1 ( 9430 104210 ) ( 27830 * )
NEW li1 ( 9430 104550 ) L1M1_PR_MR
NEW met1 ( 9430 104550 ) M1M2_PR
NEW met2 ( 9430 106420 ) M2M3_PR_M
NEW li1 ( 27830 104210 ) L1M1_PR_MR
NEW met1 ( 9430 104550 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[1] ( PIN data_from_mem[1] ) ( ANTENNA_input41_A DIODE ) ( input41 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 161670 ) ( 20930 * )
NEW met2 ( 20930 160990 ) ( * 161670 )
NEW met2 ( 20930 160990 ) ( 23230 * )
NEW met2 ( 23230 155380 ) ( * 160990 )
NEW met3 ( 23230 155380 ) ( 40710 * )
NEW met2 ( 40710 154530 ) ( * 155380 )
NEW met1 ( 40710 154530 ) ( 44850 * )
NEW met3 ( 3220 159460 0 ) ( 11270 * )
NEW met2 ( 11270 159460 ) ( * 161670 )
NEW li1 ( 11270 161670 ) L1M1_PR_MR
NEW met1 ( 20930 161670 ) M1M2_PR
NEW met2 ( 23230 155380 ) M2M3_PR_M
NEW met2 ( 40710 155380 ) M2M3_PR_M
NEW met1 ( 40710 154530 ) M1M2_PR
NEW li1 ( 44850 154530 ) L1M1_PR_MR
NEW met2 ( 11270 159460 ) M2M3_PR_M
NEW met1 ( 11270 161670 ) M1M2_PR
NEW met1 ( 11270 161670 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[20] ( PIN data_from_mem[20] ) ( ANTENNA_input42_A DIODE ) ( input42 A ) + USE SIGNAL
+ ROUTED met2 ( 14490 148410 ) ( * 151130 )
NEW met1 ( 14490 148410 ) ( 24610 * )
NEW met2 ( 24610 137870 ) ( * 148410 )
NEW met1 ( 22310 137870 ) ( 24610 * )
NEW met3 ( 3220 148580 0 ) ( 14490 * )
NEW li1 ( 14490 151130 ) L1M1_PR_MR
NEW met1 ( 14490 151130 ) M1M2_PR
NEW met1 ( 14490 148410 ) M1M2_PR
NEW met1 ( 24610 148410 ) M1M2_PR
NEW met1 ( 24610 137870 ) M1M2_PR
NEW li1 ( 22310 137870 ) L1M1_PR_MR
NEW met2 ( 14490 148580 ) M2M3_PR_M
NEW met1 ( 14490 151130 ) RECT ( -355 -70 0 70 )
NEW met2 ( 14490 148580 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[21] ( PIN data_from_mem[21] ) ( ANTENNA_input43_A DIODE ) ( input43 A ) + USE SIGNAL
+ ROUTED met2 ( 173650 50490 ) ( 174110 * )
NEW met2 ( 174110 50490 ) ( * 57970 )
NEW met1 ( 174110 57970 ) ( 175950 * )
NEW met2 ( 175950 57970 ) ( * 61370 )
NEW met1 ( 165370 61370 ) ( 175950 * )
NEW met1 ( 174570 12410 ) ( 180090 * )
NEW met2 ( 180090 12410 ) ( * 21420 )
NEW met3 ( 173420 21420 ) ( 180090 * )
NEW met4 ( 173420 21420 ) ( * 44540 )
NEW met3 ( 173420 44540 ) ( 173650 * )
NEW met2 ( 174110 3740 0 ) ( * 12410 )
NEW met1 ( 174110 12410 ) ( 174570 * )
NEW met2 ( 173650 44540 ) ( * 50490 )
NEW met1 ( 174110 57970 ) M1M2_PR
NEW met1 ( 175950 57970 ) M1M2_PR
NEW met1 ( 175950 61370 ) M1M2_PR
NEW li1 ( 165370 61370 ) L1M1_PR_MR
NEW li1 ( 174570 12410 ) L1M1_PR_MR
NEW met1 ( 180090 12410 ) M1M2_PR
NEW met2 ( 180090 21420 ) M2M3_PR_M
NEW met3 ( 173420 21420 ) M3M4_PR_M
NEW met3 ( 173420 44540 ) M3M4_PR_M
NEW met2 ( 173650 44540 ) M2M3_PR_M
NEW met1 ( 174110 12410 ) M1M2_PR
NEW met3 ( 173420 44540 ) RECT ( -390 -150 0 150 ) ;
- data_from_mem[22] ( PIN data_from_mem[22] ) ( ANTENNA_input44_A DIODE ) ( input44 A ) + USE SIGNAL
+ ROUTED met2 ( 190210 160820 ) ( * 162010 )
NEW met3 ( 190210 160820 ) ( 196420 * 0 )
NEW met3 ( 182850 160820 ) ( 190210 * )
NEW met1 ( 174110 143310 ) ( 177330 * )
NEW met2 ( 177330 143310 ) ( * 151980 )
NEW met2 ( 177330 151980 ) ( 177790 * )
NEW met2 ( 177790 151980 ) ( * 157420 )
NEW met3 ( 177790 157420 ) ( 182850 * )
NEW met3 ( 182850 157420 ) ( * 158100 )
NEW met2 ( 182850 158100 ) ( * 160820 )
NEW li1 ( 190210 162010 ) L1M1_PR_MR
NEW met1 ( 190210 162010 ) M1M2_PR
NEW met2 ( 190210 160820 ) M2M3_PR_M
NEW met2 ( 182850 160820 ) M2M3_PR_M
NEW li1 ( 174110 143310 ) L1M1_PR_MR
NEW met1 ( 177330 143310 ) M1M2_PR
NEW met2 ( 177790 157420 ) M2M3_PR_M
NEW met2 ( 182850 158100 ) M2M3_PR_M
NEW met1 ( 190210 162010 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[23] ( PIN data_from_mem[23] ) ( ANTENNA_input45_A DIODE ) ( input45 A ) + USE SIGNAL
+ ROUTED met1 ( 84870 31450 ) ( 85790 * )
NEW met1 ( 85790 44030 ) ( 86250 * )
NEW met2 ( 85790 31450 ) ( * 44030 )
NEW met2 ( 85790 3740 0 ) ( * 31450 )
NEW li1 ( 84870 31450 ) L1M1_PR_MR
NEW met1 ( 85790 31450 ) M1M2_PR
NEW li1 ( 86250 44030 ) L1M1_PR_MR
NEW met1 ( 85790 44030 ) M1M2_PR ;
- data_from_mem[24] ( PIN data_from_mem[24] ) ( ANTENNA_input46_A DIODE ) ( input46 A ) + USE SIGNAL
+ ROUTED met2 ( 94530 162010 ) ( * 175270 )
NEW met2 ( 94070 175270 ) ( 94530 * )
NEW met2 ( 94070 175270 ) ( * 196180 0 )
NEW met1 ( 94070 151470 ) ( 94530 * )
NEW met2 ( 94530 151470 ) ( * 162010 )
NEW li1 ( 94530 162010 ) L1M1_PR_MR
NEW met1 ( 94530 162010 ) M1M2_PR
NEW li1 ( 94070 151470 ) L1M1_PR_MR
NEW met1 ( 94530 151470 ) M1M2_PR
NEW met1 ( 94530 162010 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[25] ( PIN data_from_mem[25] ) ( ANTENNA_input47_A DIODE ) ( input47 A ) + USE SIGNAL
+ ROUTED met2 ( 141910 3740 0 ) ( * 13800 )
NEW met1 ( 140990 33830 ) ( 141450 * )
NEW met2 ( 141450 13800 ) ( * 33830 )
NEW met2 ( 141450 13800 ) ( 141910 * )
NEW met1 ( 130410 38930 ) ( 141450 * )
NEW met2 ( 141450 33830 ) ( * 38930 )
NEW li1 ( 140990 33830 ) L1M1_PR_MR
NEW met1 ( 141450 33830 ) M1M2_PR
NEW li1 ( 130410 38930 ) L1M1_PR_MR
NEW met1 ( 141450 38930 ) M1M2_PR ;
- data_from_mem[26] ( PIN data_from_mem[26] ) ( ANTENNA_input48_A DIODE ) ( input48 A ) + USE SIGNAL
+ ROUTED met1 ( 189750 102170 ) ( 190210 * )
NEW met2 ( 189750 99790 ) ( * 102170 )
NEW met1 ( 170890 99790 ) ( 189750 * )
NEW met3 ( 189750 99620 ) ( 196420 * 0 )
NEW met2 ( 189750 99620 ) ( * 99790 )
NEW li1 ( 190210 102170 ) L1M1_PR_MR
NEW met1 ( 189750 102170 ) M1M2_PR
NEW met1 ( 189750 99790 ) M1M2_PR
NEW li1 ( 170890 99790 ) L1M1_PR_MR
NEW met2 ( 189750 99620 ) M2M3_PR_M ;
- data_from_mem[27] ( PIN data_from_mem[27] ) ( ANTENNA_input49_A DIODE ) ( input49 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 75140 ) ( * 77010 )
NEW met3 ( 3220 75140 0 ) ( 9430 * )
NEW met1 ( 9430 77010 ) ( 19090 * )
NEW li1 ( 9430 77010 ) L1M1_PR_MR
NEW met1 ( 9430 77010 ) M1M2_PR
NEW met2 ( 9430 75140 ) M2M3_PR_M
NEW li1 ( 19090 77010 ) L1M1_PR_MR
NEW met1 ( 9430 77010 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[28] ( PIN data_from_mem[28] ) ( ANTENNA_input50_A DIODE ) ( input50 A ) + USE SIGNAL
+ ROUTED met2 ( 29210 162010 ) ( * 162860 )
NEW met3 ( 12420 162860 ) ( 29210 * )
NEW met4 ( 12420 162860 ) ( * 178500 )
NEW met3 ( 3220 178500 0 ) ( 12420 * )
NEW met1 ( 35650 148410 ) ( 38410 * )
NEW met2 ( 35650 148410 ) ( * 158270 )
NEW met1 ( 29670 158270 ) ( 35650 * )
NEW met1 ( 29670 158270 ) ( * 158610 )
NEW met1 ( 29210 158610 ) ( 29670 * )
NEW met1 ( 29210 158610 ) ( * 159290 )
NEW met2 ( 29210 159290 ) ( * 162010 )
NEW li1 ( 29210 162010 ) L1M1_PR_MR
NEW met1 ( 29210 162010 ) M1M2_PR
NEW met2 ( 29210 162860 ) M2M3_PR_M
NEW met3 ( 12420 162860 ) M3M4_PR_M
NEW met3 ( 12420 178500 ) M3M4_PR_M
NEW li1 ( 38410 148410 ) L1M1_PR_MR
NEW met1 ( 35650 148410 ) M1M2_PR
NEW met1 ( 35650 158270 ) M1M2_PR
NEW met1 ( 29210 159290 ) M1M2_PR
NEW met1 ( 29210 162010 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[29] ( PIN data_from_mem[29] ) ( ANTENNA_input51_A DIODE ) ( input51 A ) + USE SIGNAL
+ ROUTED met2 ( 36570 158950 ) ( * 160820 )
NEW met3 ( 36340 160820 ) ( 36570 * )
NEW met4 ( 36340 160820 ) ( * 180540 )
NEW met3 ( 28750 180540 ) ( 36340 * )
NEW met2 ( 28750 180540 ) ( * 196180 0 )
NEW met1 ( 42550 151470 ) ( 43010 * )
NEW met2 ( 42550 151470 ) ( * 158950 )
NEW met1 ( 36570 158950 ) ( 42550 * )
NEW li1 ( 36570 158950 ) L1M1_PR_MR
NEW met1 ( 36570 158950 ) M1M2_PR
NEW met2 ( 36570 160820 ) M2M3_PR_M
NEW met3 ( 36340 160820 ) M3M4_PR_M
NEW met3 ( 36340 180540 ) M3M4_PR_M
NEW met2 ( 28750 180540 ) M2M3_PR_M
NEW li1 ( 43010 151470 ) L1M1_PR_MR
NEW met1 ( 42550 151470 ) M1M2_PR
NEW met1 ( 42550 158950 ) M1M2_PR
NEW met1 ( 36570 158950 ) RECT ( -355 -70 0 70 )
NEW met3 ( 36570 160820 ) RECT ( 0 -150 390 150 ) ;
- data_from_mem[2] ( PIN data_from_mem[2] ) ( ANTENNA_input52_A DIODE ) ( input52 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 183770 ) ( * 184110 )
NEW met1 ( 11270 184110 ) ( 13800 * )
NEW met4 ( 42780 162180 ) ( * 181220 )
NEW met3 ( 42780 162180 ) ( 55890 * )
NEW met2 ( 55890 159970 ) ( * 162180 )
NEW met1 ( 13800 184110 ) ( * 184450 )
NEW met1 ( 13800 184450 ) ( 14950 * )
NEW met2 ( 14950 181220 ) ( * 184450 )
NEW met3 ( 3220 181220 0 ) ( 42780 * )
NEW li1 ( 11270 183770 ) L1M1_PR_MR
NEW met3 ( 42780 181220 ) M3M4_PR_M
NEW met3 ( 42780 162180 ) M3M4_PR_M
NEW met2 ( 55890 162180 ) M2M3_PR_M
NEW li1 ( 55890 159970 ) L1M1_PR_MR
NEW met1 ( 55890 159970 ) M1M2_PR
NEW met1 ( 14950 184450 ) M1M2_PR
NEW met2 ( 14950 181220 ) M2M3_PR_M
NEW met1 ( 55890 159970 ) RECT ( -355 -70 0 70 )
NEW met3 ( 14950 181220 ) RECT ( -800 -150 0 150 ) ;
- data_from_mem[30] ( PIN data_from_mem[30] ) ( ANTENNA_input53_A DIODE ) ( input53 A ) + USE SIGNAL
+ ROUTED met1 ( 21390 180710 ) ( * 181730 )
NEW met1 ( 12650 181730 ) ( 21390 * )
NEW met2 ( 12650 181730 ) ( * 192100 )
NEW met3 ( 3220 192100 0 ) ( 12650 * )
NEW met1 ( 44850 182750 ) ( * 183090 )
NEW met1 ( 28290 182750 ) ( 44850 * )
NEW met2 ( 28290 181730 ) ( * 182750 )
NEW met1 ( 21390 181730 ) ( 28290 * )
NEW met2 ( 49450 182580 ) ( * 183090 )
NEW met3 ( 49450 182580 ) ( 50140 * )
NEW met4 ( 50140 166260 ) ( * 182580 )
NEW met3 ( 50140 166260 ) ( 60490 * )
NEW met2 ( 60490 165410 ) ( * 166260 )
NEW met1 ( 60490 165410 ) ( 62330 * )
NEW met1 ( 44850 183090 ) ( 49450 * )
NEW li1 ( 21390 180710 ) L1M1_PR_MR
NEW met1 ( 12650 181730 ) M1M2_PR
NEW met2 ( 12650 192100 ) M2M3_PR_M
NEW met1 ( 28290 182750 ) M1M2_PR
NEW met1 ( 28290 181730 ) M1M2_PR
NEW met1 ( 49450 183090 ) M1M2_PR
NEW met2 ( 49450 182580 ) M2M3_PR_M
NEW met3 ( 50140 182580 ) M3M4_PR_M
NEW met3 ( 50140 166260 ) M3M4_PR_M
NEW met2 ( 60490 166260 ) M2M3_PR_M
NEW met1 ( 60490 165410 ) M1M2_PR
NEW li1 ( 62330 165410 ) L1M1_PR_MR ;
- data_from_mem[31] ( PIN data_from_mem[31] ) ( ANTENNA_input54_A DIODE ) ( input54 A ) + USE SIGNAL
+ ROUTED met1 ( 177330 140930 ) ( 178710 * )
NEW met1 ( 178710 152830 ) ( 192050 * )
NEW met2 ( 192050 152830 ) ( * 183940 )
NEW met3 ( 192050 183940 ) ( 196420 * 0 )
NEW met1 ( 179170 150450 ) ( * 151130 )
NEW met1 ( 178710 150450 ) ( 179170 * )
NEW met2 ( 178710 140930 ) ( * 152830 )
NEW li1 ( 177330 140930 ) L1M1_PR_MR
NEW met1 ( 178710 140930 ) M1M2_PR
NEW met1 ( 178710 152830 ) M1M2_PR
NEW met1 ( 192050 152830 ) M1M2_PR
NEW met2 ( 192050 183940 ) M2M3_PR_M
NEW li1 ( 179170 151130 ) L1M1_PR_MR
NEW met1 ( 178710 150450 ) M1M2_PR
NEW met2 ( 178710 150450 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[32] ( PIN data_from_mem[32] ) ( ANTENNA_input55_A DIODE ) ( input55 A ) + USE SIGNAL
+ ROUTED met1 ( 61410 186150 ) ( 64170 * )
NEW met1 ( 61410 186150 ) ( * 187170 )
NEW met1 ( 57270 187170 ) ( 61410 * )
NEW met2 ( 57270 187170 ) ( * 196180 0 )
NEW met2 ( 63250 162690 ) ( * 162860 )
NEW met3 ( 63020 162860 ) ( 63250 * )
NEW met4 ( 63020 162860 ) ( * 184620 )
NEW met3 ( 63020 184620 ) ( 63250 * )
NEW met2 ( 63250 184620 ) ( * 186150 )
NEW li1 ( 64170 186150 ) L1M1_PR_MR
NEW met1 ( 57270 187170 ) M1M2_PR
NEW li1 ( 63250 162690 ) L1M1_PR_MR
NEW met1 ( 63250 162690 ) M1M2_PR
NEW met2 ( 63250 162860 ) M2M3_PR_M
NEW met3 ( 63020 162860 ) M3M4_PR_M
NEW met3 ( 63020 184620 ) M3M4_PR_M
NEW met2 ( 63250 184620 ) M2M3_PR_M
NEW met1 ( 63250 186150 ) M1M2_PR
NEW met1 ( 63250 162690 ) RECT ( -355 -70 0 70 )
NEW met3 ( 63250 162860 ) RECT ( 0 -150 390 150 )
NEW met3 ( 63020 184620 ) RECT ( -390 -150 0 150 )
NEW met1 ( 63250 186150 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[33] ( PIN data_from_mem[33] ) ( ANTENNA_input56_A DIODE ) ( input56 A ) + USE SIGNAL
+ ROUTED met1 ( 73830 167450 ) ( 74290 * )
NEW met2 ( 73830 167450 ) ( * 196180 0 )
NEW met1 ( 72450 165410 ) ( 73830 * )
NEW met2 ( 73830 165410 ) ( * 167450 )
NEW li1 ( 74290 167450 ) L1M1_PR_MR
NEW met1 ( 73830 167450 ) M1M2_PR
NEW li1 ( 72450 165410 ) L1M1_PR_MR
NEW met1 ( 73830 165410 ) M1M2_PR ;
- data_from_mem[34] ( PIN data_from_mem[34] ) ( ANTENNA_input57_A DIODE ) ( input57 A ) + USE SIGNAL
+ ROUTED met1 ( 9430 174930 ) ( 12190 * )
NEW met2 ( 12190 173740 ) ( * 174930 )
NEW met3 ( 12190 173740 ) ( 25300 * )
NEW met4 ( 25300 165580 ) ( * 173740 )
NEW met3 ( 25300 165580 ) ( 45310 * )
NEW met2 ( 45310 157250 ) ( * 165580 )
NEW met3 ( 3220 174420 0 ) ( 12190 * )
NEW met3 ( 12190 173740 ) ( * 174420 )
NEW met1 ( 45310 157250 ) ( 53130 * )
NEW li1 ( 53130 157250 ) L1M1_PR_MR
NEW li1 ( 9430 174930 ) L1M1_PR_MR
NEW met1 ( 12190 174930 ) M1M2_PR
NEW met2 ( 12190 173740 ) M2M3_PR_M
NEW met3 ( 25300 173740 ) M3M4_PR_M
NEW met3 ( 25300 165580 ) M3M4_PR_M
NEW met2 ( 45310 165580 ) M2M3_PR_M
NEW met1 ( 45310 157250 ) M1M2_PR ;
- data_from_mem[35] ( PIN data_from_mem[35] ) ( ANTENNA_input58_A DIODE ) ( input58 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 171700 0 ) ( 13800 * )
NEW met2 ( 16790 172380 ) ( * 172550 )
NEW met3 ( 16790 172380 ) ( 23460 * )
NEW met3 ( 23460 171700 ) ( * 172380 )
NEW met3 ( 23460 171700 ) ( 35190 * )
NEW met2 ( 35190 169660 ) ( * 171700 )
NEW met2 ( 35190 169660 ) ( 35650 * )
NEW met2 ( 35650 160990 ) ( * 169660 )
NEW met1 ( 35650 160990 ) ( 41170 * )
NEW met1 ( 41170 160990 ) ( * 161330 )
NEW met1 ( 41170 161330 ) ( 60490 * )
NEW met3 ( 13800 171700 ) ( * 172380 )
NEW met3 ( 13800 172380 ) ( 16790 * )
NEW met1 ( 11270 172550 ) ( 16790 * )
NEW li1 ( 11270 172550 ) L1M1_PR_MR
NEW met1 ( 16790 172550 ) M1M2_PR
NEW met2 ( 16790 172380 ) M2M3_PR_M
NEW met2 ( 35190 171700 ) M2M3_PR_M
NEW met1 ( 35650 160990 ) M1M2_PR
NEW li1 ( 60490 161330 ) L1M1_PR_MR ;
- data_from_mem[36] ( PIN data_from_mem[36] ) ( ANTENNA_input59_A DIODE ) ( input59 A ) + USE SIGNAL
+ ROUTED met1 ( 159390 9010 ) ( 160310 * )
NEW met2 ( 159390 3740 0 ) ( * 9010 )
NEW met1 ( 160310 12070 ) ( 160770 * )
NEW met2 ( 160770 30260 ) ( * 55250 )
NEW met3 ( 160540 30260 ) ( 160770 * )
NEW met4 ( 160540 15980 ) ( * 30260 )
NEW met3 ( 160310 15980 ) ( 160540 * )
NEW met2 ( 160310 9010 ) ( * 15980 )
NEW met1 ( 157090 55250 ) ( 160770 * )
NEW met1 ( 160310 9010 ) M1M2_PR
NEW met1 ( 159390 9010 ) M1M2_PR
NEW li1 ( 160770 12070 ) L1M1_PR_MR
NEW met1 ( 160310 12070 ) M1M2_PR
NEW met1 ( 160770 55250 ) M1M2_PR
NEW met2 ( 160770 30260 ) M2M3_PR_M
NEW met3 ( 160540 30260 ) M3M4_PR_M
NEW met3 ( 160540 15980 ) M3M4_PR_M
NEW met2 ( 160310 15980 ) M2M3_PR_M
NEW li1 ( 157090 55250 ) L1M1_PR_MR
NEW met2 ( 160310 12070 ) RECT ( -70 -485 70 0 )
NEW met3 ( 160770 30260 ) RECT ( 0 -150 390 150 )
NEW met3 ( 160540 15980 ) RECT ( 0 -150 390 150 ) ;
- data_from_mem[37] ( PIN data_from_mem[37] ) ( ANTENNA_input60_A DIODE ) ( input60 A ) + USE SIGNAL
+ ROUTED met1 ( 189290 172890 ) ( 190670 * )
NEW met1 ( 189290 172210 ) ( * 172890 )
NEW met2 ( 189290 170170 ) ( * 172210 )
NEW met1 ( 176410 170170 ) ( 189290 * )
NEW met2 ( 176410 167110 ) ( * 170170 )
NEW met1 ( 171810 167110 ) ( 176410 * )
NEW met2 ( 171810 166940 ) ( * 167110 )
NEW met2 ( 171350 166940 ) ( 171810 * )
NEW met2 ( 171350 163540 ) ( * 166940 )
NEW met2 ( 170890 163540 ) ( 171350 * )
NEW met2 ( 170890 160820 ) ( * 163540 )
NEW met3 ( 167670 160820 ) ( 170890 * )
NEW met3 ( 189290 170340 ) ( 196420 * 0 )
NEW met1 ( 167670 146370 ) ( 168130 * )
NEW met2 ( 167670 146370 ) ( * 160820 )
NEW li1 ( 190670 172890 ) L1M1_PR_MR
NEW met1 ( 189290 172210 ) M1M2_PR
NEW met1 ( 189290 170170 ) M1M2_PR
NEW met1 ( 176410 170170 ) M1M2_PR
NEW met1 ( 176410 167110 ) M1M2_PR
NEW met1 ( 171810 167110 ) M1M2_PR
NEW met2 ( 170890 160820 ) M2M3_PR_M
NEW met2 ( 167670 160820 ) M2M3_PR_M
NEW met2 ( 189290 170340 ) M2M3_PR_M
NEW met1 ( 167670 146370 ) M1M2_PR
NEW li1 ( 168130 146370 ) L1M1_PR_MR
NEW met2 ( 189290 170340 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[38] ( PIN data_from_mem[38] ) ( ANTENNA_input61_A DIODE ) ( input61 A ) + USE SIGNAL
+ ROUTED met1 ( 180090 185810 ) ( 183310 * )
NEW met2 ( 180090 185810 ) ( * 185980 )
NEW met3 ( 179860 185980 ) ( 180090 * )
NEW met4 ( 179860 162860 ) ( * 185980 )
NEW met2 ( 184230 187170 ) ( * 196180 0 )
NEW met1 ( 182850 187170 ) ( 184230 * )
NEW met1 ( 182850 185810 ) ( * 187170 )
NEW met3 ( 157090 162860 ) ( 179860 * )
NEW met1 ( 157090 158270 ) ( 158470 * )
NEW met2 ( 158470 152830 ) ( * 158270 )
NEW met1 ( 157550 152830 ) ( 158470 * )
NEW met2 ( 157090 158270 ) ( * 162860 )
NEW li1 ( 183310 185810 ) L1M1_PR_MR
NEW met1 ( 180090 185810 ) M1M2_PR
NEW met2 ( 180090 185980 ) M2M3_PR_M
NEW met3 ( 179860 185980 ) M3M4_PR_M
NEW met3 ( 179860 162860 ) M3M4_PR_M
NEW met1 ( 184230 187170 ) M1M2_PR
NEW met2 ( 157090 162860 ) M2M3_PR_M
NEW met1 ( 157090 158270 ) M1M2_PR
NEW met1 ( 158470 158270 ) M1M2_PR
NEW met1 ( 158470 152830 ) M1M2_PR
NEW li1 ( 157550 152830 ) L1M1_PR_MR
NEW met3 ( 180090 185980 ) RECT ( 0 -150 390 150 ) ;
- data_from_mem[39] ( PIN data_from_mem[39] ) ( ANTENNA_input62_A DIODE ) ( input62 A ) + USE SIGNAL
+ ROUTED met1 ( 83950 164390 ) ( 85330 * )
NEW met2 ( 83950 164390 ) ( * 196180 0 )
NEW met2 ( 83950 159970 ) ( * 164390 )
NEW li1 ( 85330 164390 ) L1M1_PR_MR
NEW met1 ( 83950 164390 ) M1M2_PR
NEW li1 ( 83950 159970 ) L1M1_PR_MR
NEW met1 ( 83950 159970 ) M1M2_PR
NEW met1 ( 83950 159970 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[3] ( PIN data_from_mem[3] ) ( ANTENNA_input63_A DIODE ) ( input63 A ) + USE SIGNAL
+ ROUTED met1 ( 179170 134810 ) ( 179630 * )
NEW met2 ( 179170 132260 ) ( * 134810 )
NEW met3 ( 179170 132260 ) ( 196420 * 0 )
NEW met1 ( 176870 136510 ) ( 179170 * )
NEW met2 ( 179170 134810 ) ( * 136510 )
NEW li1 ( 179630 134810 ) L1M1_PR_MR
NEW met1 ( 179170 134810 ) M1M2_PR
NEW met2 ( 179170 132260 ) M2M3_PR_M
NEW li1 ( 176870 136510 ) L1M1_PR_MR
NEW met1 ( 179170 136510 ) M1M2_PR ;
- data_from_mem[40] ( PIN data_from_mem[40] ) ( ANTENNA_input64_A DIODE ) ( input64 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 113220 ) ( * 115430 )
NEW met3 ( 186530 113220 ) ( 196420 * 0 )
NEW met1 ( 179170 115090 ) ( 186530 * )
NEW met1 ( 186530 115090 ) ( * 115430 )
NEW li1 ( 186530 115430 ) L1M1_PR_MR
NEW met1 ( 186530 115430 ) M1M2_PR
NEW met2 ( 186530 113220 ) M2M3_PR_M
NEW li1 ( 179170 115090 ) L1M1_PR_MR
NEW met1 ( 186530 115430 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[41] ( PIN data_from_mem[41] ) ( ANTENNA_input65_A DIODE ) ( input65 A ) + USE SIGNAL
+ ROUTED met1 ( 29210 39270 ) ( 30130 * )
NEW met2 ( 29210 37570 ) ( * 39270 )
NEW met1 ( 27830 37570 ) ( 29210 * )
NEW met2 ( 27830 23460 ) ( * 37570 )
NEW met1 ( 30130 46750 ) ( 31970 * )
NEW met2 ( 30130 39270 ) ( * 46750 )
NEW met3 ( 3220 23460 0 ) ( 27830 * )
NEW li1 ( 30130 39270 ) L1M1_PR_MR
NEW met1 ( 29210 39270 ) M1M2_PR
NEW met1 ( 29210 37570 ) M1M2_PR
NEW met1 ( 27830 37570 ) M1M2_PR
NEW met2 ( 27830 23460 ) M2M3_PR_M
NEW li1 ( 31970 46750 ) L1M1_PR_MR
NEW met1 ( 30130 46750 ) M1M2_PR
NEW met1 ( 30130 39270 ) M1M2_PR
NEW met1 ( 30130 39270 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[42] ( PIN data_from_mem[42] ) ( ANTENNA_input66_A DIODE ) ( input66 A ) + USE SIGNAL
+ ROUTED met1 ( 160310 183430 ) ( 160770 * )
NEW met2 ( 160310 183430 ) ( * 196180 0 )
NEW met1 ( 160310 151470 ) ( 160770 * )
NEW met2 ( 160310 151470 ) ( * 183430 )
NEW li1 ( 160770 183430 ) L1M1_PR_MR
NEW met1 ( 160310 183430 ) M1M2_PR
NEW met1 ( 160310 151470 ) M1M2_PR
NEW li1 ( 160770 151470 ) L1M1_PR_MR ;
- data_from_mem[43] ( PIN data_from_mem[43] ) ( ANTENNA_input67_A DIODE ) ( input67 A ) + USE SIGNAL
+ ROUTED met1 ( 24150 91290 ) ( * 91630 )
NEW met1 ( 20470 91630 ) ( 24150 * )
NEW met2 ( 20470 90100 ) ( * 91630 )
NEW met1 ( 24150 91290 ) ( 26450 * )
NEW met3 ( 3220 90100 0 ) ( 20470 * )
NEW li1 ( 24150 91290 ) L1M1_PR_MR
NEW met1 ( 20470 91630 ) M1M2_PR
NEW met2 ( 20470 90100 ) M2M3_PR_M
NEW li1 ( 26450 91290 ) L1M1_PR_MR ;
- data_from_mem[44] ( PIN data_from_mem[44] ) ( ANTENNA_input68_A DIODE ) ( input68 A ) + USE SIGNAL
+ ROUTED met2 ( 160310 3740 0 ) ( * 7140 )
NEW met2 ( 160310 7140 ) ( 160770 * )
NEW met2 ( 160770 7140 ) ( * 18530 )
NEW met1 ( 153410 42330 ) ( 157090 * )
NEW met2 ( 153410 35020 ) ( * 42330 )
NEW met3 ( 153180 35020 ) ( 153410 * )
NEW met4 ( 153180 25500 ) ( * 35020 )
NEW met3 ( 153180 25500 ) ( 154330 * )
NEW met2 ( 154330 18530 ) ( * 25500 )
NEW met1 ( 152490 52190 ) ( 153410 * )
NEW met2 ( 153410 42330 ) ( * 52190 )
NEW met1 ( 154330 18530 ) ( 160770 * )
NEW met1 ( 160770 18530 ) M1M2_PR
NEW li1 ( 157090 42330 ) L1M1_PR_MR
NEW met1 ( 153410 42330 ) M1M2_PR
NEW met2 ( 153410 35020 ) M2M3_PR_M
NEW met3 ( 153180 35020 ) M3M4_PR_M
NEW met3 ( 153180 25500 ) M3M4_PR_M
NEW met2 ( 154330 25500 ) M2M3_PR_M
NEW met1 ( 154330 18530 ) M1M2_PR
NEW li1 ( 152490 52190 ) L1M1_PR_MR
NEW met1 ( 153410 52190 ) M1M2_PR
NEW met3 ( 153180 35020 ) RECT ( -390 -150 0 150 ) ;
- data_from_mem[45] ( PIN data_from_mem[45] ) ( ANTENNA_input69_A DIODE ) ( input69 A ) + USE SIGNAL
+ ROUTED met1 ( 115230 8330 ) ( 116610 * )
NEW met2 ( 115230 3740 0 ) ( * 8330 )
NEW met1 ( 116610 14450 ) ( 123050 * )
NEW met2 ( 123050 14450 ) ( * 35870 )
NEW met2 ( 116610 8330 ) ( * 14450 )
NEW met1 ( 116610 8330 ) M1M2_PR
NEW met1 ( 115230 8330 ) M1M2_PR
NEW li1 ( 116610 11730 ) L1M1_PR_MR
NEW met1 ( 116610 11730 ) M1M2_PR
NEW met1 ( 116610 14450 ) M1M2_PR
NEW met1 ( 123050 14450 ) M1M2_PR
NEW li1 ( 123050 35870 ) L1M1_PR_MR
NEW met1 ( 123050 35870 ) M1M2_PR
NEW met1 ( 116610 11730 ) RECT ( -355 -70 0 70 )
NEW met2 ( 116610 11730 ) RECT ( -70 -485 70 0 )
NEW met1 ( 123050 35870 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[46] ( PIN data_from_mem[46] ) ( ANTENNA_input70_A DIODE ) ( input70 A ) + USE SIGNAL
+ ROUTED met2 ( 175490 183770 ) ( * 183940 )
NEW met3 ( 169740 183940 ) ( 175490 * )
NEW met4 ( 169740 162180 ) ( * 183940 )
NEW met3 ( 156170 162180 ) ( 169740 * )
NEW met2 ( 156170 159970 ) ( * 162180 )
NEW met1 ( 149270 159970 ) ( 156170 * )
NEW met2 ( 190670 184110 ) ( * 196180 0 )
NEW met1 ( 175490 184110 ) ( 190670 * )
NEW met1 ( 175490 183770 ) ( * 184110 )
NEW li1 ( 175490 183770 ) L1M1_PR_MR
NEW met1 ( 175490 183770 ) M1M2_PR
NEW met2 ( 175490 183940 ) M2M3_PR_M
NEW met3 ( 169740 183940 ) M3M4_PR_M
NEW met3 ( 169740 162180 ) M3M4_PR_M
NEW met2 ( 156170 162180 ) M2M3_PR_M
NEW met1 ( 156170 159970 ) M1M2_PR
NEW li1 ( 149270 159970 ) L1M1_PR_MR
NEW met1 ( 190670 184110 ) M1M2_PR
NEW met1 ( 175490 183770 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[47] ( PIN data_from_mem[47] ) ( ANTENNA_input71_A DIODE ) ( input71 A ) + USE SIGNAL
+ ROUTED met1 ( 168130 50490 ) ( 186530 * )
NEW met2 ( 168130 50490 ) ( * 52530 )
NEW met1 ( 168130 52530 ) ( 169510 * )
NEW met2 ( 169510 52530 ) ( * 58310 )
NEW met1 ( 161690 58310 ) ( 169510 * )
NEW met3 ( 186530 49300 ) ( 196420 * 0 )
NEW met2 ( 186530 49300 ) ( * 50490 )
NEW li1 ( 186530 50490 ) L1M1_PR_MR
NEW met1 ( 168130 50490 ) M1M2_PR
NEW met1 ( 168130 52530 ) M1M2_PR
NEW met1 ( 169510 52530 ) M1M2_PR
NEW met1 ( 169510 58310 ) M1M2_PR
NEW li1 ( 161690 58310 ) L1M1_PR_MR
NEW met2 ( 186530 49300 ) M2M3_PR_M
NEW met1 ( 186530 50490 ) M1M2_PR
NEW met1 ( 186530 50490 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[48] ( PIN data_from_mem[48] ) ( ANTENNA_input72_A DIODE ) ( input72 A ) + USE SIGNAL
+ ROUTED met2 ( 115690 164390 ) ( * 168980 )
NEW met2 ( 115230 168980 ) ( 115690 * )
NEW met2 ( 115230 168980 ) ( * 196180 0 )
NEW met1 ( 114310 164390 ) ( 115690 * )
NEW met1 ( 114310 156910 ) ( 118450 * )
NEW met2 ( 114310 156910 ) ( * 164390 )
NEW li1 ( 115690 164390 ) L1M1_PR_MR
NEW met1 ( 115690 164390 ) M1M2_PR
NEW met1 ( 114310 164390 ) M1M2_PR
NEW met1 ( 114310 156910 ) M1M2_PR
NEW li1 ( 118450 156910 ) L1M1_PR_MR
NEW met1 ( 115690 164390 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[49] ( PIN data_from_mem[49] ) ( ANTENNA_input73_A DIODE ) ( input73 A ) + USE SIGNAL
+ ROUTED met1 ( 182850 175270 ) ( 184230 * )
NEW met2 ( 182850 175100 ) ( * 175270 )
NEW met3 ( 178020 175100 ) ( 182850 * )
NEW met2 ( 186070 184450 ) ( * 196180 0 )
NEW met1 ( 182850 184450 ) ( 186070 * )
NEW met2 ( 182850 175270 ) ( * 184450 )
NEW met1 ( 165370 148750 ) ( 177790 * )
NEW met2 ( 177790 148750 ) ( * 151300 )
NEW met3 ( 177790 151300 ) ( 178020 * )
NEW met4 ( 178020 151300 ) ( * 175100 )
NEW li1 ( 184230 175270 ) L1M1_PR_MR
NEW met1 ( 182850 175270 ) M1M2_PR
NEW met2 ( 182850 175100 ) M2M3_PR_M
NEW met3 ( 178020 175100 ) M3M4_PR_M
NEW met1 ( 186070 184450 ) M1M2_PR
NEW met1 ( 182850 184450 ) M1M2_PR
NEW li1 ( 165370 148750 ) L1M1_PR_MR
NEW met1 ( 177790 148750 ) M1M2_PR
NEW met2 ( 177790 151300 ) M2M3_PR_M
NEW met3 ( 178020 151300 ) M3M4_PR_M
NEW met3 ( 177790 151300 ) RECT ( -390 -150 0 150 ) ;
- data_from_mem[4] ( PIN data_from_mem[4] ) ( ANTENNA_input74_A DIODE ) ( input74 A ) + USE SIGNAL
+ ROUTED met1 ( 152490 183430 ) ( 153870 * )
NEW met2 ( 153870 183430 ) ( * 196180 0 )
NEW met2 ( 152490 157250 ) ( * 183430 )
NEW li1 ( 153870 183430 ) L1M1_PR_MR
NEW met1 ( 152490 183430 ) M1M2_PR
NEW met1 ( 153870 183430 ) M1M2_PR
NEW li1 ( 152490 157250 ) L1M1_PR_MR
NEW met1 ( 152490 157250 ) M1M2_PR
NEW met1 ( 153870 183430 ) RECT ( -595 -70 0 70 )
NEW met1 ( 152490 157250 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[50] ( PIN data_from_mem[50] ) ( ANTENNA_input75_A DIODE ) ( input75 A ) + USE SIGNAL
+ ROUTED met1 ( 16330 12070 ) ( * 12750 )
NEW met1 ( 16330 12750 ) ( 38410 * )
NEW met2 ( 15870 3740 0 ) ( * 12070 )
NEW met1 ( 15870 12070 ) ( 16330 * )
NEW met1 ( 38410 36210 ) ( 48530 * )
NEW met2 ( 38410 12750 ) ( * 36210 )
NEW li1 ( 16330 12070 ) L1M1_PR_MR
NEW met1 ( 38410 12750 ) M1M2_PR
NEW met1 ( 15870 12070 ) M1M2_PR
NEW met1 ( 38410 36210 ) M1M2_PR
NEW li1 ( 48530 36210 ) L1M1_PR_MR ;
- data_from_mem[51] ( PIN data_from_mem[51] ) ( ANTENNA_input76_A DIODE ) ( input76 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 100980 ) ( * 102170 )
NEW met3 ( 3220 100980 0 ) ( 9430 * )
NEW met1 ( 9430 102170 ) ( 13800 * )
NEW met1 ( 13800 102170 ) ( * 102510 )
NEW met1 ( 13800 102510 ) ( 27370 * )
NEW li1 ( 9430 102170 ) L1M1_PR_MR
NEW met1 ( 9430 102170 ) M1M2_PR
NEW met2 ( 9430 100980 ) M2M3_PR_M
NEW li1 ( 27370 102510 ) L1M1_PR_MR
NEW met1 ( 9430 102170 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[52] ( PIN data_from_mem[52] ) ( ANTENNA_input77_A DIODE ) ( input77 A ) + USE SIGNAL
+ ROUTED met2 ( 19090 80580 ) ( * 82790 )
NEW met1 ( 19090 82790 ) ( 22310 * )
NEW met3 ( 3220 80580 0 ) ( 19090 * )
NEW li1 ( 19090 82790 ) L1M1_PR_MR
NEW met1 ( 19090 82790 ) M1M2_PR
NEW met2 ( 19090 80580 ) M2M3_PR_M
NEW li1 ( 22310 82790 ) L1M1_PR_MR
NEW met1 ( 19090 82790 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[53] ( PIN data_from_mem[53] ) ( ANTENNA_input78_A DIODE ) ( input78 A ) + USE SIGNAL
+ ROUTED met1 ( 8970 15130 ) ( 11730 * )
NEW met2 ( 8970 15130 ) ( * 40290 )
NEW met2 ( 11270 3740 0 ) ( * 15130 )
NEW met2 ( 20470 40290 ) ( * 41820 )
NEW met2 ( 20470 41820 ) ( 20930 * )
NEW met2 ( 20930 41820 ) ( * 45050 )
NEW met1 ( 20930 45050 ) ( 36570 * )
NEW met1 ( 8970 40290 ) ( 20470 * )
NEW li1 ( 11730 15130 ) L1M1_PR_MR
NEW met1 ( 8970 15130 ) M1M2_PR
NEW met1 ( 8970 40290 ) M1M2_PR
NEW met1 ( 11270 15130 ) M1M2_PR
NEW met1 ( 20470 40290 ) M1M2_PR
NEW met1 ( 20930 45050 ) M1M2_PR
NEW li1 ( 36570 45050 ) L1M1_PR_MR
NEW met1 ( 11270 15130 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[54] ( PIN data_from_mem[54] ) ( ANTENNA_input79_A DIODE ) ( input79 A ) + USE SIGNAL
+ ROUTED met1 ( 166750 158950 ) ( 168590 * )
NEW met2 ( 168590 158950 ) ( * 196180 0 )
NEW met1 ( 154790 153510 ) ( 166750 * )
NEW met2 ( 166750 153510 ) ( * 158950 )
NEW li1 ( 166750 158950 ) L1M1_PR_MR
NEW met1 ( 168590 158950 ) M1M2_PR
NEW li1 ( 154790 153510 ) L1M1_PR_MR
NEW met1 ( 166750 153510 ) M1M2_PR
NEW met1 ( 166750 158950 ) M1M2_PR
NEW met1 ( 166750 158950 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[55] ( PIN data_from_mem[55] ) ( ANTENNA_input80_A DIODE ) ( input80 A ) + USE SIGNAL
+ ROUTED met2 ( 98210 35700 ) ( * 36890 )
NEW met3 ( 98210 35700 ) ( 101660 * )
NEW met4 ( 101660 9860 ) ( * 35700 )
NEW met3 ( 97750 9860 ) ( 101660 * )
NEW met2 ( 97750 3740 0 ) ( * 9860 )
NEW met2 ( 97290 36890 ) ( * 41650 )
NEW met2 ( 97290 36890 ) ( 98210 * )
NEW met1 ( 94070 41650 ) ( 97290 * )
NEW li1 ( 94070 41650 ) L1M1_PR_MR
NEW li1 ( 98210 36890 ) L1M1_PR_MR
NEW met1 ( 98210 36890 ) M1M2_PR
NEW met2 ( 98210 35700 ) M2M3_PR_M
NEW met3 ( 101660 35700 ) M3M4_PR_M
NEW met3 ( 101660 9860 ) M3M4_PR_M
NEW met2 ( 97750 9860 ) M2M3_PR_M
NEW met1 ( 97290 41650 ) M1M2_PR
NEW met1 ( 98210 36890 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[56] ( PIN data_from_mem[56] ) ( ANTENNA_input81_A DIODE ) ( input81 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 1700 0 ) ( 5290 * )
NEW met1 ( 5290 17510 ) ( 7130 * )
NEW met2 ( 5290 1700 ) ( * 17510 )
NEW met1 ( 5290 15470 ) ( 13800 * )
NEW met1 ( 13800 15470 ) ( * 15810 )
NEW met1 ( 13800 15810 ) ( 16330 * )
NEW met2 ( 16330 15810 ) ( * 22100 )
NEW met3 ( 16330 22100 ) ( 28060 * )
NEW met3 ( 28060 22100 ) ( * 22780 )
NEW met3 ( 28060 22780 ) ( 49910 * )
NEW met2 ( 49910 22780 ) ( * 33830 )
NEW met1 ( 49910 33830 ) ( 53130 * )
NEW met2 ( 5290 1700 ) M2M3_PR_M
NEW li1 ( 7130 17510 ) L1M1_PR_MR
NEW met1 ( 5290 17510 ) M1M2_PR
NEW met1 ( 5290 15470 ) M1M2_PR
NEW met1 ( 16330 15810 ) M1M2_PR
NEW met2 ( 16330 22100 ) M2M3_PR_M
NEW met2 ( 49910 22780 ) M2M3_PR_M
NEW met1 ( 49910 33830 ) M1M2_PR
NEW li1 ( 53130 33830 ) L1M1_PR_MR
NEW met2 ( 5290 15470 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[57] ( PIN data_from_mem[57] ) ( ANTENNA_input82_A DIODE ) ( input82 A ) + USE SIGNAL
+ ROUTED met1 ( 17710 74970 ) ( 23690 * )
NEW met2 ( 17710 73780 ) ( * 74970 )
NEW met3 ( 3220 73780 0 ) ( 17710 * )
NEW li1 ( 17710 74970 ) L1M1_PR_MR
NEW li1 ( 23690 74970 ) L1M1_PR_MR
NEW met2 ( 17710 73780 ) M2M3_PR_M
NEW met1 ( 17710 74970 ) M1M2_PR
NEW met1 ( 17710 74970 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[58] ( PIN data_from_mem[58] ) ( ANTENNA_input83_A DIODE ) ( input83 A ) + USE SIGNAL
+ ROUTED met1 ( 90390 183430 ) ( 90850 * )
NEW met2 ( 90390 183430 ) ( * 196180 0 )
NEW met1 ( 87170 156910 ) ( 90390 * )
NEW met2 ( 90390 156910 ) ( * 183430 )
NEW li1 ( 90850 183430 ) L1M1_PR_MR
NEW met1 ( 90390 183430 ) M1M2_PR
NEW li1 ( 87170 156910 ) L1M1_PR_MR
NEW met1 ( 90390 156910 ) M1M2_PR ;
- data_from_mem[59] ( PIN data_from_mem[59] ) ( ANTENNA_input84_A DIODE ) ( input84 A ) + USE SIGNAL
+ ROUTED met1 ( 55890 15130 ) ( 57730 * )
NEW met2 ( 55890 15130 ) ( * 30430 )
NEW met1 ( 55890 30430 ) ( 59570 * )
NEW met1 ( 59570 30430 ) ( * 30770 )
NEW met1 ( 59570 30770 ) ( 60490 * )
NEW met2 ( 54510 3740 0 ) ( * 8670 )
NEW met2 ( 54510 8670 ) ( 54970 * )
NEW met2 ( 54970 8670 ) ( * 9180 )
NEW met2 ( 54970 9180 ) ( 55890 * )
NEW met2 ( 55890 9180 ) ( * 15130 )
NEW li1 ( 57730 15130 ) L1M1_PR_MR
NEW met1 ( 55890 15130 ) M1M2_PR
NEW met1 ( 55890 30430 ) M1M2_PR
NEW li1 ( 60490 30770 ) L1M1_PR_MR ;
- data_from_mem[5] ( PIN data_from_mem[5] ) ( ANTENNA_input85_A DIODE ) ( input85 A ) + USE SIGNAL
+ ROUTED met2 ( 33350 3740 0 ) ( * 13800 )
NEW met1 ( 33810 17510 ) ( 34270 * )
NEW met2 ( 33810 17510 ) ( * 46750 )
NEW met1 ( 33810 46750 ) ( 34730 * )
NEW met2 ( 33350 13800 ) ( 33810 * )
NEW met2 ( 33810 13800 ) ( * 17510 )
NEW li1 ( 34270 17510 ) L1M1_PR_MR
NEW met1 ( 33810 17510 ) M1M2_PR
NEW met1 ( 33810 46750 ) M1M2_PR
NEW li1 ( 34730 46750 ) L1M1_PR_MR ;
- data_from_mem[60] ( PIN data_from_mem[60] ) ( ANTENNA_input86_A DIODE ) ( input86 A ) + USE SIGNAL
+ ROUTED met1 ( 66470 31450 ) ( 66930 * )
NEW met2 ( 66470 31450 ) ( * 33150 )
NEW met2 ( 66470 3740 0 ) ( * 31450 )
NEW li1 ( 66930 31450 ) L1M1_PR_MR
NEW met1 ( 66470 31450 ) M1M2_PR
NEW li1 ( 66470 33150 ) L1M1_PR_MR
NEW met1 ( 66470 33150 ) M1M2_PR
NEW met1 ( 66470 33150 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[61] ( PIN data_from_mem[61] ) ( ANTENNA_input87_A DIODE ) ( input87 A ) + USE SIGNAL
+ ROUTED met1 ( 176410 96730 ) ( 177330 * )
NEW met2 ( 177330 94180 ) ( * 96730 )
NEW met3 ( 177330 94180 ) ( 196420 * 0 )
NEW met1 ( 171350 96390 ) ( 176410 * )
NEW met1 ( 176410 96390 ) ( * 96730 )
NEW li1 ( 176410 96730 ) L1M1_PR_MR
NEW met1 ( 177330 96730 ) M1M2_PR
NEW met2 ( 177330 94180 ) M2M3_PR_M
NEW li1 ( 171350 96390 ) L1M1_PR_MR ;
- data_from_mem[62] ( PIN data_from_mem[62] ) ( ANTENNA_input88_A DIODE ) ( input88 A ) + USE SIGNAL
+ ROUTED met2 ( 135470 3740 0 ) ( * 13800 )
NEW met1 ( 131330 28390 ) ( * 28730 )
NEW met1 ( 131330 28730 ) ( 135930 * )
NEW met2 ( 135930 13800 ) ( * 28730 )
NEW met2 ( 135470 13800 ) ( 135930 * )
NEW met2 ( 131790 28730 ) ( * 41650 )
NEW li1 ( 131330 28390 ) L1M1_PR_MR
NEW met1 ( 135930 28730 ) M1M2_PR
NEW li1 ( 131790 41650 ) L1M1_PR_MR
NEW met1 ( 131790 41650 ) M1M2_PR
NEW met1 ( 131790 28730 ) M1M2_PR
NEW met1 ( 131790 41650 ) RECT ( -355 -70 0 70 )
NEW met1 ( 131790 28730 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[63] ( PIN data_from_mem[63] ) ( ANTENNA_input89_A DIODE ) ( input89 A ) + USE SIGNAL
+ ROUTED met1 ( 163530 63070 ) ( 164910 * )
NEW met3 ( 163300 44540 ) ( 163530 * )
NEW met4 ( 163300 7140 ) ( * 44540 )
NEW met3 ( 163300 7140 ) ( 196420 * 0 )
NEW met2 ( 163530 44540 ) ( * 63070 )
NEW met1 ( 163530 63070 ) M1M2_PR
NEW li1 ( 164910 63070 ) L1M1_PR_MR
NEW met2 ( 163530 44540 ) M2M3_PR_M
NEW met3 ( 163300 44540 ) M3M4_PR_M
NEW met3 ( 163300 7140 ) M3M4_PR_M
NEW li1 ( 163530 47770 ) L1M1_PR_MR
NEW met1 ( 163530 47770 ) M1M2_PR
NEW met3 ( 163530 44540 ) RECT ( 0 -150 390 150 )
NEW met1 ( 163530 47770 ) RECT ( -355 -70 0 70 )
NEW met2 ( 163530 47770 ) RECT ( -70 -485 70 0 ) ;
- data_from_mem[64] ( PIN data_from_mem[64] ) ( ANTENNA_input90_A DIODE ) ( input90 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 66980 ) ( * 69530 )
NEW met3 ( 3220 66980 0 ) ( 9430 * )
NEW met1 ( 9430 69190 ) ( * 69530 )
NEW met1 ( 9430 69190 ) ( 24610 * )
NEW li1 ( 9430 69530 ) L1M1_PR_MR
NEW met1 ( 9430 69530 ) M1M2_PR
NEW met2 ( 9430 66980 ) M2M3_PR_M
NEW li1 ( 24610 69190 ) L1M1_PR_MR
NEW met1 ( 9430 69530 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[65] ( PIN data_from_mem[65] ) ( ANTENNA_input91_A DIODE ) ( input91 A ) + USE SIGNAL
+ ROUTED met2 ( 21390 15470 ) ( * 15980 )
NEW met3 ( 21390 15980 ) ( 26450 * )
NEW met2 ( 26450 15980 ) ( * 30260 )
NEW met3 ( 26450 30260 ) ( 47610 * )
NEW met2 ( 47610 30260 ) ( * 35870 )
NEW met2 ( 20470 3740 0 ) ( * 11220 )
NEW met3 ( 20470 11220 ) ( 23460 * )
NEW met4 ( 23460 11220 ) ( * 15980 )
NEW met1 ( 47610 35870 ) ( 51290 * )
NEW li1 ( 51290 35870 ) L1M1_PR_MR
NEW li1 ( 21390 15470 ) L1M1_PR_MR
NEW met1 ( 21390 15470 ) M1M2_PR
NEW met2 ( 21390 15980 ) M2M3_PR_M
NEW met2 ( 26450 15980 ) M2M3_PR_M
NEW met2 ( 26450 30260 ) M2M3_PR_M
NEW met2 ( 47610 30260 ) M2M3_PR_M
NEW met1 ( 47610 35870 ) M1M2_PR
NEW met2 ( 20470 11220 ) M2M3_PR_M
NEW met3 ( 23460 11220 ) M3M4_PR_M
NEW met3 ( 23460 15980 ) M3M4_PR_M
NEW met1 ( 21390 15470 ) RECT ( -355 -70 0 70 )
NEW met3 ( 23460 15980 ) RECT ( -800 -150 0 150 ) ;
- data_from_mem[66] ( PIN data_from_mem[66] ) ( ANTENNA_input92_A DIODE ) ( input92 A ) + USE SIGNAL
+ ROUTED met1 ( 170890 143650 ) ( 176870 * )
NEW met1 ( 176410 153510 ) ( 176870 * )
NEW met2 ( 176870 153510 ) ( * 154530 )
NEW met1 ( 176870 154530 ) ( 178710 * )
NEW met2 ( 178710 154530 ) ( * 165410 )
NEW met1 ( 177330 165410 ) ( 178710 * )
NEW met2 ( 177330 165410 ) ( * 189210 )
NEW met1 ( 175030 189210 ) ( 177330 * )
NEW met2 ( 175030 189210 ) ( * 196180 0 )
NEW met2 ( 176870 143650 ) ( * 153510 )
NEW met1 ( 176870 143650 ) M1M2_PR
NEW li1 ( 170890 143650 ) L1M1_PR_MR
NEW li1 ( 176410 153510 ) L1M1_PR_MR
NEW met1 ( 176870 153510 ) M1M2_PR
NEW met1 ( 176870 154530 ) M1M2_PR
NEW met1 ( 178710 154530 ) M1M2_PR
NEW met1 ( 178710 165410 ) M1M2_PR
NEW met1 ( 177330 165410 ) M1M2_PR
NEW met1 ( 177330 189210 ) M1M2_PR
NEW met1 ( 175030 189210 ) M1M2_PR ;
- data_from_mem[67] ( PIN data_from_mem[67] ) ( ANTENNA_input93_A DIODE ) ( input93 A ) + USE SIGNAL
+ ROUTED met1 ( 65090 11730 ) ( 65550 * )
NEW met2 ( 65090 11730 ) ( * 15130 )
NEW met2 ( 64170 15130 ) ( 65090 * )
NEW met2 ( 64170 15130 ) ( * 33150 )
NEW met1 ( 63710 33150 ) ( 64170 * )
NEW met2 ( 64630 3740 0 ) ( * 11730 )
NEW met2 ( 64630 11730 ) ( 65090 * )
NEW li1 ( 65550 11730 ) L1M1_PR_MR
NEW met1 ( 65090 11730 ) M1M2_PR
NEW met1 ( 64170 33150 ) M1M2_PR
NEW li1 ( 63710 33150 ) L1M1_PR_MR ;
- data_from_mem[68] ( PIN data_from_mem[68] ) ( ANTENNA_input94_A DIODE ) ( input94 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 82790 ) ( * 84660 )
NEW met3 ( 3220 84660 0 ) ( 9430 * )
NEW met1 ( 13570 82790 ) ( * 83130 )
NEW met1 ( 9430 82790 ) ( 13570 * )
NEW met1 ( 13570 83130 ) ( 25070 * )
NEW li1 ( 9430 82790 ) L1M1_PR_MR
NEW met1 ( 9430 82790 ) M1M2_PR
NEW met2 ( 9430 84660 ) M2M3_PR_M
NEW li1 ( 25070 83130 ) L1M1_PR_MR
NEW met1 ( 9430 82790 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[69] ( PIN data_from_mem[69] ) ( ANTENNA_input95_A DIODE ) ( input95 A ) + USE SIGNAL
+ ROUTED met1 ( 190670 33830 ) ( 191130 * )
NEW met2 ( 191130 33830 ) ( * 41820 )
NEW met3 ( 165830 41820 ) ( 191130 * )
NEW met2 ( 165830 41820 ) ( * 44370 )
NEW met1 ( 159850 44370 ) ( 165830 * )
NEW met1 ( 159850 44370 ) ( * 44710 )
NEW met3 ( 191130 30260 ) ( 196420 * 0 )
NEW met2 ( 191130 30260 ) ( * 33830 )
NEW met1 ( 136850 44710 ) ( 159850 * )
NEW li1 ( 136850 44710 ) L1M1_PR_MR
NEW li1 ( 190670 33830 ) L1M1_PR_MR
NEW met1 ( 191130 33830 ) M1M2_PR
NEW met2 ( 191130 41820 ) M2M3_PR_M
NEW met2 ( 165830 41820 ) M2M3_PR_M
NEW met1 ( 165830 44370 ) M1M2_PR
NEW met2 ( 191130 30260 ) M2M3_PR_M ;
- data_from_mem[6] ( PIN data_from_mem[6] ) ( ANTENNA_input96_A DIODE ) ( input96 A ) + USE SIGNAL
+ ROUTED met1 ( 141910 162350 ) ( 144670 * )
NEW met2 ( 144670 162350 ) ( * 172550 )
NEW met1 ( 176410 178670 ) ( 178710 * )
NEW met2 ( 176410 178500 ) ( * 178670 )
NEW met3 ( 154790 178500 ) ( 176410 * )
NEW met2 ( 154790 173570 ) ( * 178500 )
NEW met1 ( 152950 173570 ) ( 154790 * )
NEW met1 ( 152950 173230 ) ( * 173570 )
NEW met1 ( 151570 173230 ) ( 152950 * )
NEW met1 ( 151570 172550 ) ( * 173230 )
NEW met2 ( 177790 185980 ) ( * 196180 0 )
NEW met2 ( 177790 185980 ) ( 178250 * )
NEW met2 ( 178250 183940 ) ( * 185980 )
NEW met2 ( 177790 183940 ) ( 178250 * )
NEW met2 ( 177790 179010 ) ( * 183940 )
NEW met1 ( 177790 178670 ) ( * 179010 )
NEW met1 ( 144670 172550 ) ( 151570 * )
NEW li1 ( 141910 162350 ) L1M1_PR_MR
NEW met1 ( 144670 162350 ) M1M2_PR
NEW met1 ( 144670 172550 ) M1M2_PR
NEW li1 ( 178710 178670 ) L1M1_PR_MR
NEW met1 ( 176410 178670 ) M1M2_PR
NEW met2 ( 176410 178500 ) M2M3_PR_M
NEW met2 ( 154790 178500 ) M2M3_PR_M
NEW met1 ( 154790 173570 ) M1M2_PR
NEW met1 ( 177790 179010 ) M1M2_PR ;
- data_from_mem[70] ( PIN data_from_mem[70] ) ( ANTENNA_input97_A DIODE ) ( input97 A ) + USE SIGNAL
+ ROUTED met1 ( 70150 180710 ) ( 70610 * )
NEW met2 ( 70150 180710 ) ( * 196180 0 )
NEW met1 ( 68770 162690 ) ( 70150 * )
NEW met2 ( 70150 162690 ) ( * 180710 )
NEW li1 ( 70610 180710 ) L1M1_PR_MR
NEW met1 ( 70150 180710 ) M1M2_PR
NEW li1 ( 68770 162690 ) L1M1_PR_MR
NEW met1 ( 70150 162690 ) M1M2_PR ;
- data_from_mem[71] ( PIN data_from_mem[71] ) ( ANTENNA_input98_A DIODE ) ( input98 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 111860 ) ( * 113050 )
NEW met3 ( 3220 111860 0 ) ( 9430 * )
NEW met1 ( 9430 112710 ) ( * 113050 )
NEW met1 ( 9430 112710 ) ( 23690 * )
NEW li1 ( 9430 113050 ) L1M1_PR_MR
NEW met1 ( 9430 113050 ) M1M2_PR
NEW met2 ( 9430 111860 ) M2M3_PR_M
NEW li1 ( 23690 112710 ) L1M1_PR_MR
NEW met1 ( 9430 113050 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[72] ( PIN data_from_mem[72] ) ( ANTENNA_input99_A DIODE ) ( input99 A ) + USE SIGNAL
+ ROUTED met2 ( 127650 17170 ) ( * 22950 )
NEW met2 ( 127190 22950 ) ( 127650 * )
NEW met2 ( 127190 22950 ) ( * 34850 )
NEW met1 ( 127190 34850 ) ( 128110 * )
NEW met2 ( 128110 34850 ) ( * 36890 )
NEW met2 ( 127190 36890 ) ( 128110 * )
NEW met2 ( 127190 36890 ) ( * 38590 )
NEW met1 ( 126730 38590 ) ( 127190 * )
NEW met1 ( 122590 15470 ) ( 127650 * )
NEW met2 ( 127650 15470 ) ( * 17170 )
NEW met2 ( 122590 3740 0 ) ( * 15470 )
NEW li1 ( 127650 17170 ) L1M1_PR_MR
NEW met1 ( 127650 17170 ) M1M2_PR
NEW met1 ( 127190 34850 ) M1M2_PR
NEW met1 ( 128110 34850 ) M1M2_PR
NEW met1 ( 127190 38590 ) M1M2_PR
NEW li1 ( 126730 38590 ) L1M1_PR_MR
NEW met1 ( 122590 15470 ) M1M2_PR
NEW met1 ( 127650 15470 ) M1M2_PR
NEW met1 ( 127650 17170 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[73] ( PIN data_from_mem[73] ) ( ANTENNA_input100_A DIODE ) ( input100 A ) + USE SIGNAL
+ ROUTED met1 ( 159390 164050 ) ( * 164390 )
NEW met1 ( 159390 164050 ) ( 162150 * )
NEW met2 ( 162150 164050 ) ( * 164220 )
NEW met3 ( 162150 164220 ) ( 163300 * )
NEW met4 ( 163300 164220 ) ( * 193460 )
NEW met3 ( 163300 193460 ) ( 196420 * 0 )
NEW met2 ( 159390 159970 ) ( * 160140 )
NEW met3 ( 159390 160140 ) ( 163300 * )
NEW met4 ( 163300 160140 ) ( * 164220 )
NEW met1 ( 157550 159970 ) ( 159390 * )
NEW li1 ( 159390 164390 ) L1M1_PR_MR
NEW met1 ( 162150 164050 ) M1M2_PR
NEW met2 ( 162150 164220 ) M2M3_PR_M
NEW met3 ( 163300 164220 ) M3M4_PR_M
NEW met3 ( 163300 193460 ) M3M4_PR_M
NEW met1 ( 159390 159970 ) M1M2_PR
NEW met2 ( 159390 160140 ) M2M3_PR_M
NEW met3 ( 163300 160140 ) M3M4_PR_M
NEW li1 ( 157550 159970 ) L1M1_PR_MR ;
- data_from_mem[74] ( PIN data_from_mem[74] ) ( ANTENNA_input101_A DIODE ) ( input101 A ) + USE SIGNAL
+ ROUTED met1 ( 141910 183770 ) ( 143290 * )
NEW met2 ( 141910 183770 ) ( * 196180 0 )
NEW met1 ( 146510 173570 ) ( 147890 * )
NEW met2 ( 146510 173570 ) ( * 174420 )
NEW met3 ( 144670 174420 ) ( 146510 * )
NEW met2 ( 144670 174420 ) ( * 183770 )
NEW met1 ( 143290 183770 ) ( 144670 * )
NEW li1 ( 143290 183770 ) L1M1_PR_MR
NEW met1 ( 141910 183770 ) M1M2_PR
NEW li1 ( 147890 173570 ) L1M1_PR_MR
NEW met1 ( 146510 173570 ) M1M2_PR
NEW met2 ( 146510 174420 ) M2M3_PR_M
NEW met2 ( 144670 174420 ) M2M3_PR_M
NEW met1 ( 144670 183770 ) M1M2_PR ;
- data_from_mem[75] ( PIN data_from_mem[75] ) ( ANTENNA_input102_A DIODE ) ( input102 A ) + USE SIGNAL
+ ROUTED met1 ( 21390 185810 ) ( 26450 * )
NEW met2 ( 26450 180030 ) ( * 185810 )
NEW met1 ( 26450 180030 ) ( 28750 * )
NEW met2 ( 28750 158610 ) ( * 180030 )
NEW met2 ( 28750 158610 ) ( 29210 * )
NEW met2 ( 29210 157250 ) ( * 158610 )
NEW met1 ( 29210 157250 ) ( 31970 * )
NEW met2 ( 20470 190060 ) ( * 196180 0 )
NEW met2 ( 19550 190060 ) ( 20470 * )
NEW met2 ( 19550 185810 ) ( * 190060 )
NEW met1 ( 19550 185810 ) ( 21390 * )
NEW li1 ( 21390 185810 ) L1M1_PR_MR
NEW met1 ( 26450 185810 ) M1M2_PR
NEW met1 ( 26450 180030 ) M1M2_PR
NEW met1 ( 28750 180030 ) M1M2_PR
NEW met1 ( 29210 157250 ) M1M2_PR
NEW li1 ( 31970 157250 ) L1M1_PR_MR
NEW met1 ( 19550 185810 ) M1M2_PR ;
- data_from_mem[76] ( PIN data_from_mem[76] ) ( ANTENNA_input103_A DIODE ) ( input103 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 34510 ) ( * 36890 )
NEW met2 ( 19550 34510 ) ( * 36210 )
NEW met1 ( 19550 36210 ) ( 31970 * )
NEW met2 ( 16330 24820 ) ( * 34510 )
NEW met3 ( 3220 24820 0 ) ( 16330 * )
NEW met1 ( 7130 34510 ) ( 19550 * )
NEW met1 ( 7130 34510 ) M1M2_PR
NEW li1 ( 7130 36890 ) L1M1_PR_MR
NEW met1 ( 7130 36890 ) M1M2_PR
NEW met1 ( 19550 34510 ) M1M2_PR
NEW met1 ( 19550 36210 ) M1M2_PR
NEW li1 ( 31970 36210 ) L1M1_PR_MR
NEW met2 ( 16330 24820 ) M2M3_PR_M
NEW met1 ( 16330 34510 ) M1M2_PR
NEW met1 ( 7130 36890 ) RECT ( -355 -70 0 70 )
NEW met1 ( 16330 34510 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[77] ( PIN data_from_mem[77] ) ( ANTENNA_input104_A DIODE ) ( input104 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 86020 ) ( * 88230 )
NEW met3 ( 186530 86020 ) ( 196420 * 0 )
NEW met1 ( 180550 87890 ) ( 186530 * )
NEW met1 ( 186530 87890 ) ( * 88230 )
NEW li1 ( 186530 88230 ) L1M1_PR_MR
NEW met1 ( 186530 88230 ) M1M2_PR
NEW met2 ( 186530 86020 ) M2M3_PR_M
NEW li1 ( 180550 87890 ) L1M1_PR_MR
NEW met1 ( 186530 88230 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[78] ( PIN data_from_mem[78] ) ( ANTENNA_input105_A DIODE ) ( input105 A ) + USE SIGNAL
+ ROUTED met1 ( 10350 179010 ) ( 12190 * )
NEW met2 ( 12190 179010 ) ( * 196180 0 )
NEW met2 ( 10350 156910 ) ( * 179010 )
NEW met1 ( 22310 156570 ) ( 24610 * )
NEW met2 ( 24610 149090 ) ( * 156570 )
NEW met1 ( 22310 156570 ) ( * 156910 )
NEW met1 ( 10350 156910 ) ( 22310 * )
NEW met1 ( 10350 179010 ) M1M2_PR
NEW met1 ( 12190 179010 ) M1M2_PR
NEW met1 ( 10350 156910 ) M1M2_PR
NEW li1 ( 22310 156570 ) L1M1_PR_MR
NEW met1 ( 24610 156570 ) M1M2_PR
NEW li1 ( 24610 149090 ) L1M1_PR_MR
NEW met1 ( 24610 149090 ) M1M2_PR
NEW met1 ( 24610 149090 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[79] ( PIN data_from_mem[79] ) ( ANTENNA_input106_A DIODE ) ( input106 A ) + USE SIGNAL
+ ROUTED met1 ( 129950 183770 ) ( 130410 * )
NEW met2 ( 129950 183770 ) ( * 196180 0 )
NEW met2 ( 129490 162690 ) ( * 183770 )
NEW met2 ( 129490 183770 ) ( 129950 * )
NEW li1 ( 130410 183770 ) L1M1_PR_MR
NEW met1 ( 129950 183770 ) M1M2_PR
NEW li1 ( 129490 162690 ) L1M1_PR_MR
NEW met1 ( 129490 162690 ) M1M2_PR
NEW met1 ( 129490 162690 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[7] ( PIN data_from_mem[7] ) ( ANTENNA_input107_A DIODE ) ( input107 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 31110 ) ( 31050 * )
NEW li1 ( 31050 31110 ) ( * 31790 )
NEW met1 ( 31050 31790 ) ( 35190 * )
NEW met2 ( 35190 31790 ) ( * 33150 )
NEW met1 ( 35190 33150 ) ( 37950 * )
NEW met3 ( 3220 28900 0 ) ( 7130 * )
NEW met2 ( 7130 28900 ) ( * 31110 )
NEW met1 ( 7130 31110 ) ( 11270 * )
NEW li1 ( 11270 31110 ) L1M1_PR_MR
NEW li1 ( 31050 31110 ) L1M1_PR_MR
NEW li1 ( 31050 31790 ) L1M1_PR_MR
NEW met1 ( 35190 31790 ) M1M2_PR
NEW met1 ( 35190 33150 ) M1M2_PR
NEW li1 ( 37950 33150 ) L1M1_PR_MR
NEW met2 ( 7130 28900 ) M2M3_PR_M
NEW met1 ( 7130 31110 ) M1M2_PR ;
- data_from_mem[80] ( PIN data_from_mem[80] ) ( ANTENNA_input108_A DIODE ) ( input108 A ) + USE SIGNAL
+ ROUTED met1 ( 9430 20570 ) ( * 21250 )
NEW met1 ( 6210 21250 ) ( 9430 * )
NEW met2 ( 6210 14620 ) ( * 21250 )
NEW met3 ( 5980 14620 ) ( 6210 * )
NEW met3 ( 5980 13940 ) ( * 14620 )
NEW met3 ( 3220 13940 0 ) ( 5980 * )
NEW met2 ( 13570 20910 ) ( * 36550 )
NEW met1 ( 13570 20570 ) ( * 20910 )
NEW met1 ( 12650 20570 ) ( 13570 * )
NEW met1 ( 12650 20570 ) ( * 21250 )
NEW met1 ( 9430 21250 ) ( 12650 * )
NEW met1 ( 13570 36550 ) ( 34730 * )
NEW li1 ( 9430 20570 ) L1M1_PR_MR
NEW met1 ( 6210 21250 ) M1M2_PR
NEW met2 ( 6210 14620 ) M2M3_PR_M
NEW met1 ( 13570 36550 ) M1M2_PR
NEW met1 ( 13570 20910 ) M1M2_PR
NEW li1 ( 34730 36550 ) L1M1_PR_MR ;
- data_from_mem[81] ( PIN data_from_mem[81] ) ( ANTENNA_input109_A DIODE ) ( input109 A ) + USE SIGNAL
+ ROUTED met1 ( 36110 162010 ) ( 36570 * )
NEW met2 ( 36570 162010 ) ( * 173570 )
NEW met1 ( 34270 173570 ) ( 36570 * )
NEW met2 ( 34270 173570 ) ( * 181220 )
NEW met2 ( 33350 181220 ) ( 34270 * )
NEW met2 ( 33350 181220 ) ( * 196180 0 )
NEW met1 ( 36570 162010 ) ( 41630 * )
NEW li1 ( 36110 162010 ) L1M1_PR_MR
NEW met1 ( 36570 162010 ) M1M2_PR
NEW met1 ( 36570 173570 ) M1M2_PR
NEW met1 ( 34270 173570 ) M1M2_PR
NEW li1 ( 41630 162010 ) L1M1_PR_MR ;
- data_from_mem[82] ( PIN data_from_mem[82] ) ( ANTENNA_input110_A DIODE ) ( input110 A ) + USE SIGNAL
+ ROUTED met2 ( 18630 62900 ) ( * 64090 )
NEW met1 ( 18630 64090 ) ( 23690 * )
NEW met3 ( 3220 62900 0 ) ( 18630 * )
NEW li1 ( 18630 64090 ) L1M1_PR_MR
NEW met1 ( 18630 64090 ) M1M2_PR
NEW met2 ( 18630 62900 ) M2M3_PR_M
NEW li1 ( 23690 64090 ) L1M1_PR_MR
NEW met1 ( 18630 64090 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[83] ( PIN data_from_mem[83] ) ( ANTENNA_input111_A DIODE ) ( input111 A ) + USE SIGNAL
+ ROUTED met1 ( 42090 31450 ) ( 42550 * )
NEW met2 ( 42550 16830 ) ( * 31450 )
NEW met2 ( 41630 16830 ) ( 42550 * )
NEW met1 ( 41630 33150 ) ( 42550 * )
NEW met2 ( 42550 31450 ) ( * 33150 )
NEW met2 ( 41630 3740 0 ) ( * 16830 )
NEW li1 ( 42090 31450 ) L1M1_PR_MR
NEW met1 ( 42550 31450 ) M1M2_PR
NEW li1 ( 41630 33150 ) L1M1_PR_MR
NEW met1 ( 42550 33150 ) M1M2_PR ;
- data_from_mem[84] ( PIN data_from_mem[84] ) ( ANTENNA_input112_A DIODE ) ( input112 A ) + USE SIGNAL
+ ROUTED met1 ( 159850 6630 ) ( 176410 * )
NEW met2 ( 176410 4420 ) ( * 6630 )
NEW met3 ( 176410 4420 ) ( 177100 * )
NEW met3 ( 177100 3060 ) ( * 4420 )
NEW met3 ( 177100 3060 ) ( 196420 * 0 )
NEW met1 ( 159850 42330 ) ( 163990 * )
NEW met1 ( 159850 46750 ) ( 160770 * )
NEW met2 ( 159850 42330 ) ( * 46750 )
NEW met2 ( 159850 6630 ) ( * 42330 )
NEW met1 ( 159850 6630 ) M1M2_PR
NEW met1 ( 176410 6630 ) M1M2_PR
NEW met2 ( 176410 4420 ) M2M3_PR_M
NEW li1 ( 163990 42330 ) L1M1_PR_MR
NEW met1 ( 159850 42330 ) M1M2_PR
NEW li1 ( 160770 46750 ) L1M1_PR_MR
NEW met1 ( 159850 46750 ) M1M2_PR ;
- data_from_mem[85] ( PIN data_from_mem[85] ) ( ANTENNA_input113_A DIODE ) ( input113 A ) + USE SIGNAL
+ ROUTED met1 ( 160310 44710 ) ( 163530 * )
NEW met2 ( 160310 16830 ) ( * 44710 )
NEW met1 ( 160310 16830 ) ( 162150 * )
NEW met1 ( 160310 49470 ) ( 161690 * )
NEW met2 ( 160310 44710 ) ( * 49470 )
NEW met2 ( 162150 3740 0 ) ( * 16830 )
NEW li1 ( 163530 44710 ) L1M1_PR_MR
NEW met1 ( 160310 44710 ) M1M2_PR
NEW met1 ( 160310 16830 ) M1M2_PR
NEW met1 ( 162150 16830 ) M1M2_PR
NEW li1 ( 161690 49470 ) L1M1_PR_MR
NEW met1 ( 160310 49470 ) M1M2_PR ;
- data_from_mem[86] ( PIN data_from_mem[86] ) ( ANTENNA_input114_A DIODE ) ( input114 A ) + USE SIGNAL
+ ROUTED met2 ( 190210 134980 ) ( * 136850 )
NEW met3 ( 190210 134980 ) ( 196420 * 0 )
NEW met2 ( 186990 136850 ) ( * 144670 )
NEW met1 ( 186990 136850 ) ( 190210 * )
NEW li1 ( 190210 136850 ) L1M1_PR_MR
NEW met1 ( 190210 136850 ) M1M2_PR
NEW met2 ( 190210 134980 ) M2M3_PR_M
NEW li1 ( 186990 144670 ) L1M1_PR_MR
NEW met1 ( 186990 144670 ) M1M2_PR
NEW met1 ( 186990 136850 ) M1M2_PR
NEW met1 ( 190210 136850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186990 144670 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[87] ( PIN data_from_mem[87] ) ( ANTENNA_input115_A DIODE ) ( input115 A ) + USE SIGNAL
+ ROUTED met1 ( 163070 180710 ) ( 163530 * )
NEW met2 ( 163070 175950 ) ( * 180710 )
NEW met2 ( 162610 175950 ) ( 163070 * )
NEW met2 ( 162610 175270 ) ( * 175950 )
NEW met2 ( 162610 175270 ) ( 163070 * )
NEW met2 ( 163070 164730 ) ( * 175270 )
NEW met1 ( 149270 164730 ) ( 163070 * )
NEW met2 ( 163070 180710 ) ( * 196180 0 )
NEW li1 ( 163530 180710 ) L1M1_PR_MR
NEW met1 ( 163070 180710 ) M1M2_PR
NEW met1 ( 163070 164730 ) M1M2_PR
NEW li1 ( 149270 164730 ) L1M1_PR_MR ;
- data_from_mem[88] ( PIN data_from_mem[88] ) ( ANTENNA_input116_A DIODE ) ( input116 A ) + USE SIGNAL
+ ROUTED met4 ( 17940 160140 ) ( * 190740 )
NEW met3 ( 17940 160140 ) ( 19090 * )
NEW met3 ( 3220 190740 0 ) ( 17940 * )
NEW met2 ( 20010 146030 ) ( * 153510 )
NEW met1 ( 18630 146030 ) ( 20010 * )
NEW met1 ( 19090 153510 ) ( 20010 * )
NEW met2 ( 19090 153510 ) ( * 160140 )
NEW met3 ( 17940 190740 ) M3M4_PR_M
NEW met3 ( 17940 160140 ) M3M4_PR_M
NEW met2 ( 19090 160140 ) M2M3_PR_M
NEW li1 ( 20010 153510 ) L1M1_PR_MR
NEW met1 ( 20010 153510 ) M1M2_PR
NEW met1 ( 20010 146030 ) M1M2_PR
NEW li1 ( 18630 146030 ) L1M1_PR_MR
NEW met1 ( 19090 153510 ) M1M2_PR
NEW met1 ( 20010 153510 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[89] ( PIN data_from_mem[89] ) ( ANTENNA_input117_A DIODE ) ( input117 A ) + USE SIGNAL
+ ROUTED met1 ( 116150 185470 ) ( * 185810 )
NEW met1 ( 113390 185470 ) ( 116150 * )
NEW met2 ( 113390 185470 ) ( * 196180 0 )
NEW met1 ( 113390 162690 ) ( 113850 * )
NEW met2 ( 113390 162690 ) ( * 185470 )
NEW li1 ( 116150 185810 ) L1M1_PR_MR
NEW met1 ( 113390 185470 ) M1M2_PR
NEW li1 ( 113850 162690 ) L1M1_PR_MR
NEW met1 ( 113390 162690 ) M1M2_PR ;
- data_from_mem[8] ( PIN data_from_mem[8] ) ( ANTENNA_input118_A DIODE ) ( input118 A ) + USE SIGNAL
+ ROUTED met1 ( 183310 183770 ) ( 186070 * )
NEW met2 ( 186070 162690 ) ( * 183770 )
NEW met1 ( 186070 162690 ) ( 186530 * )
NEW met2 ( 182390 183770 ) ( * 196180 0 )
NEW met1 ( 182390 183770 ) ( 183310 * )
NEW li1 ( 183310 183770 ) L1M1_PR_MR
NEW met1 ( 186070 183770 ) M1M2_PR
NEW met1 ( 186070 162690 ) M1M2_PR
NEW li1 ( 186530 162690 ) L1M1_PR_MR
NEW met1 ( 182390 183770 ) M1M2_PR ;
- data_from_mem[90] ( PIN data_from_mem[90] ) ( ANTENNA_input119_A DIODE ) ( input119 A ) + USE SIGNAL
+ ROUTED met1 ( 129030 12410 ) ( 132250 * )
NEW met2 ( 129030 3740 0 ) ( * 12410 )
NEW met1 ( 132250 13090 ) ( 135010 * )
NEW met2 ( 132250 12410 ) ( * 13090 )
NEW met2 ( 134550 17170 ) ( 135010 * )
NEW met2 ( 134550 17170 ) ( * 35870 )
NEW met1 ( 132250 35870 ) ( 134550 * )
NEW met2 ( 135010 13090 ) ( * 17170 )
NEW li1 ( 132250 12410 ) L1M1_PR_MR
NEW met1 ( 129030 12410 ) M1M2_PR
NEW met1 ( 135010 13090 ) M1M2_PR
NEW met1 ( 132250 13090 ) M1M2_PR
NEW met1 ( 132250 12410 ) M1M2_PR
NEW met1 ( 134550 35870 ) M1M2_PR
NEW li1 ( 132250 35870 ) L1M1_PR_MR
NEW met1 ( 132250 12410 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[91] ( PIN data_from_mem[91] ) ( ANTENNA_input120_A DIODE ) ( input120 A ) + USE SIGNAL
+ ROUTED met2 ( 18630 57460 ) ( * 58650 )
NEW met1 ( 18630 58310 ) ( 24150 * )
NEW met1 ( 18630 58310 ) ( * 58650 )
NEW met3 ( 3220 57460 0 ) ( 18630 * )
NEW li1 ( 18630 58650 ) L1M1_PR_MR
NEW met1 ( 18630 58650 ) M1M2_PR
NEW met2 ( 18630 57460 ) M2M3_PR_M
NEW li1 ( 24150 58310 ) L1M1_PR_MR
NEW met1 ( 18630 58650 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[92] ( PIN data_from_mem[92] ) ( ANTENNA_input121_A DIODE ) ( input121 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 115940 ) ( * 120530 )
NEW met3 ( 3220 115940 0 ) ( 9430 * )
NEW met1 ( 9430 120530 ) ( * 121550 )
NEW met2 ( 15410 121550 ) ( * 122910 )
NEW met1 ( 9430 121550 ) ( 15410 * )
NEW li1 ( 9430 120530 ) L1M1_PR_MR
NEW met1 ( 9430 120530 ) M1M2_PR
NEW met2 ( 9430 115940 ) M2M3_PR_M
NEW met1 ( 15410 121550 ) M1M2_PR
NEW li1 ( 15410 122910 ) L1M1_PR_MR
NEW met1 ( 15410 122910 ) M1M2_PR
NEW met1 ( 9430 120530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 15410 122910 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[93] ( PIN data_from_mem[93] ) ( ANTENNA_input122_A DIODE ) ( input122 A ) + USE SIGNAL
+ ROUTED met2 ( 14030 32130 ) ( * 33490 )
NEW met1 ( 14030 32130 ) ( 23230 * )
NEW met2 ( 23230 32130 ) ( * 44030 )
NEW met1 ( 23230 44030 ) ( 25530 * )
NEW met2 ( 15410 31620 ) ( * 32130 )
NEW met3 ( 3220 31620 0 ) ( 15410 * )
NEW li1 ( 14030 33490 ) L1M1_PR_MR
NEW met1 ( 14030 33490 ) M1M2_PR
NEW met1 ( 14030 32130 ) M1M2_PR
NEW met1 ( 23230 32130 ) M1M2_PR
NEW met1 ( 23230 44030 ) M1M2_PR
NEW li1 ( 25530 44030 ) L1M1_PR_MR
NEW met2 ( 15410 31620 ) M2M3_PR_M
NEW met1 ( 15410 32130 ) M1M2_PR
NEW met1 ( 14030 33490 ) RECT ( 0 -70 355 70 )
NEW met1 ( 15410 32130 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[94] ( PIN data_from_mem[94] ) ( ANTENNA_input123_A DIODE ) ( input123 A ) + USE SIGNAL
+ ROUTED met1 ( 14950 44710 ) ( 19090 * )
NEW met2 ( 14950 43860 ) ( * 44710 )
NEW met3 ( 14950 43860 ) ( 15180 * )
NEW met4 ( 15180 32980 ) ( * 43860 )
NEW met2 ( 24610 44370 ) ( * 46750 )
NEW met1 ( 19090 44370 ) ( 24610 * )
NEW met1 ( 19090 44370 ) ( * 44710 )
NEW met3 ( 3220 32980 0 ) ( 15180 * )
NEW li1 ( 19090 44710 ) L1M1_PR_MR
NEW met1 ( 14950 44710 ) M1M2_PR
NEW met2 ( 14950 43860 ) M2M3_PR_M
NEW met3 ( 15180 43860 ) M3M4_PR_M
NEW met3 ( 15180 32980 ) M3M4_PR_M
NEW li1 ( 24610 46750 ) L1M1_PR_MR
NEW met1 ( 24610 46750 ) M1M2_PR
NEW met1 ( 24610 44370 ) M1M2_PR
NEW met3 ( 15180 43860 ) RECT ( 0 -150 390 150 )
NEW met1 ( 24610 46750 ) RECT ( 0 -70 355 70 ) ;
- data_from_mem[95] ( PIN data_from_mem[95] ) ( ANTENNA_input124_A DIODE ) ( input124 A ) + USE SIGNAL
+ ROUTED met1 ( 9430 41990 ) ( * 42330 )
NEW met1 ( 22310 41310 ) ( * 41990 )
NEW met1 ( 22310 41310 ) ( 27830 * )
NEW met1 ( 27830 41310 ) ( * 41650 )
NEW met1 ( 27830 41650 ) ( 28290 * )
NEW met1 ( 28290 41650 ) ( * 41990 )
NEW met2 ( 28290 41990 ) ( * 44030 )
NEW met2 ( 15410 37060 ) ( * 39100 )
NEW met2 ( 14950 39100 ) ( 15410 * )
NEW met2 ( 14950 39100 ) ( * 41990 )
NEW met3 ( 3220 37060 0 ) ( 15410 * )
NEW met1 ( 9430 41990 ) ( 22310 * )
NEW li1 ( 9430 42330 ) L1M1_PR_MR
NEW met1 ( 28290 41990 ) M1M2_PR
NEW li1 ( 28290 44030 ) L1M1_PR_MR
NEW met1 ( 28290 44030 ) M1M2_PR
NEW met2 ( 15410 37060 ) M2M3_PR_M
NEW met1 ( 14950 41990 ) M1M2_PR
NEW met1 ( 28290 44030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14950 41990 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[96] ( PIN data_from_mem[96] ) ( ANTENNA_input125_A DIODE ) ( input125 A ) + USE SIGNAL
+ ROUTED met1 ( 180550 53210 ) ( 181930 * )
NEW met1 ( 176870 55930 ) ( 181930 * )
NEW met2 ( 181930 53210 ) ( * 55930 )
NEW met2 ( 181470 3740 0 ) ( * 22270 )
NEW met2 ( 181470 22270 ) ( 181930 * )
NEW met2 ( 181930 22270 ) ( * 24820 )
NEW met2 ( 181930 24820 ) ( 182390 * )
NEW met2 ( 182390 24820 ) ( * 32130 )
NEW met2 ( 181930 32130 ) ( 182390 * )
NEW met2 ( 181930 32130 ) ( * 53210 )
NEW li1 ( 180550 53210 ) L1M1_PR_MR
NEW met1 ( 181930 53210 ) M1M2_PR
NEW li1 ( 176870 55930 ) L1M1_PR_MR
NEW met1 ( 181930 55930 ) M1M2_PR ;
- data_from_mem[97] ( PIN data_from_mem[97] ) ( ANTENNA_input126_A DIODE ) ( input126 A ) + USE SIGNAL
+ ROUTED met1 ( 190210 20910 ) ( 197570 * )
NEW met1 ( 197570 20570 ) ( * 20910 )
NEW met1 ( 197570 20570 ) ( 199410 * )
NEW li1 ( 199410 20570 ) ( * 57970 )
NEW met1 ( 178250 57970 ) ( 199410 * )
NEW met3 ( 195270 15300 ) ( 196420 * 0 )
NEW met2 ( 195270 15300 ) ( * 15470 )
NEW met1 ( 195270 15470 ) ( 196650 * )
NEW li1 ( 196650 15470 ) ( * 20910 )
NEW li1 ( 190210 20910 ) L1M1_PR_MR
NEW li1 ( 199410 20570 ) L1M1_PR_MR
NEW li1 ( 199410 57970 ) L1M1_PR_MR
NEW li1 ( 178250 57970 ) L1M1_PR_MR
NEW met2 ( 195270 15300 ) M2M3_PR_M
NEW met1 ( 195270 15470 ) M1M2_PR
NEW li1 ( 196650 15470 ) L1M1_PR_MR
NEW li1 ( 196650 20910 ) L1M1_PR_MR
NEW met1 ( 196650 20910 ) RECT ( -595 -70 0 70 ) ;
- data_from_mem[98] ( PIN data_from_mem[98] ) ( ANTENNA_input127_A DIODE ) ( input127 A ) + USE SIGNAL
+ ROUTED met1 ( 177330 50150 ) ( 177790 * )
NEW met1 ( 174110 55590 ) ( 177330 * )
NEW met2 ( 177330 50150 ) ( * 55590 )
NEW met2 ( 178710 3740 0 ) ( * 15300 )
NEW met2 ( 178250 15300 ) ( 178710 * )
NEW met2 ( 178250 15300 ) ( * 30940 )
NEW met2 ( 177330 30940 ) ( 178250 * )
NEW met2 ( 177330 30940 ) ( * 43860 )
NEW met2 ( 177330 43860 ) ( 178250 * )
NEW met2 ( 178250 43860 ) ( * 47260 )
NEW met2 ( 177790 47260 ) ( 178250 * )
NEW met2 ( 177790 47260 ) ( * 47430 )
NEW met2 ( 177330 47430 ) ( 177790 * )
NEW met2 ( 177330 47430 ) ( * 50150 )
NEW li1 ( 177790 50150 ) L1M1_PR_MR
NEW met1 ( 177330 50150 ) M1M2_PR
NEW li1 ( 174110 55590 ) L1M1_PR_MR
NEW met1 ( 177330 55590 ) M1M2_PR ;
- data_from_mem[99] ( PIN data_from_mem[99] ) ( ANTENNA_input128_A DIODE ) ( input128 A ) + USE SIGNAL
+ ROUTED met2 ( 20010 50660 ) ( * 53210 )
NEW met1 ( 20010 53210 ) ( 23230 * )
NEW met3 ( 3220 50660 0 ) ( 20010 * )
NEW li1 ( 20010 53210 ) L1M1_PR_MR
NEW met1 ( 20010 53210 ) M1M2_PR
NEW met2 ( 20010 50660 ) M2M3_PR_M
NEW li1 ( 23230 53210 ) L1M1_PR_MR
NEW met1 ( 20010 53210 ) RECT ( -355 -70 0 70 ) ;
- data_from_mem[9] ( PIN data_from_mem[9] ) ( ANTENNA_input129_A DIODE ) ( input129 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 8500 0 ) ( 19780 * )
NEW met1 ( 26450 36890 ) ( 27370 * )
NEW met2 ( 27370 20060 ) ( * 36890 )
NEW met3 ( 19780 20060 ) ( 27370 * )
NEW met1 ( 27370 36890 ) ( 37490 * )
NEW met4 ( 19780 8500 ) ( * 20060 )
NEW met3 ( 19780 8500 ) M3M4_PR_M
NEW li1 ( 26450 36890 ) L1M1_PR_MR
NEW met1 ( 27370 36890 ) M1M2_PR
NEW met2 ( 27370 20060 ) M2M3_PR_M
NEW met3 ( 19780 20060 ) M3M4_PR_M
NEW li1 ( 37490 36890 ) L1M1_PR_MR ;
- dcache_re ( PIN dcache_re ) + USE SIGNAL ;
- dcache_request ( PIN dcache_request ) ( ANTENNA_input130_A DIODE ) ( input130 A ) + USE SIGNAL
+ ROUTED met1 ( 180550 174930 ) ( * 175270 )
NEW met1 ( 180550 174930 ) ( 188370 * )
NEW met1 ( 188370 174930 ) ( * 175270 )
NEW met1 ( 188370 175270 ) ( 196190 * )
NEW met2 ( 196190 175270 ) ( * 196180 0 )
NEW met1 ( 176870 147390 ) ( 185610 * )
NEW met2 ( 185610 147390 ) ( * 156570 )
NEW met1 ( 185610 156570 ) ( 186070 * )
NEW met1 ( 186070 156570 ) ( * 156910 )
NEW met1 ( 186070 156910 ) ( 191130 * )
NEW met2 ( 191130 156910 ) ( * 175270 )
NEW li1 ( 180550 175270 ) L1M1_PR_MR
NEW met1 ( 196190 175270 ) M1M2_PR
NEW met1 ( 191130 175270 ) M1M2_PR
NEW li1 ( 176870 147390 ) L1M1_PR_MR
NEW met1 ( 185610 147390 ) M1M2_PR
NEW met1 ( 185610 156570 ) M1M2_PR
NEW met1 ( 191130 156910 ) M1M2_PR
NEW met1 ( 191130 175270 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[0] ( PIN dcache_to_mem_data_in[0] ) ( ANTENNA_input131_A DIODE ) ( input131 A ) + USE SIGNAL
+ ROUTED met2 ( 124430 3740 0 ) ( * 13800 )
NEW met1 ( 124890 28390 ) ( 125350 * )
NEW met2 ( 124890 13800 ) ( * 28390 )
NEW met2 ( 124430 13800 ) ( 124890 * )
NEW met1 ( 123970 33150 ) ( 124890 * )
NEW met2 ( 124890 28390 ) ( * 33150 )
NEW li1 ( 125350 28390 ) L1M1_PR_MR
NEW met1 ( 124890 28390 ) M1M2_PR
NEW li1 ( 123970 33150 ) L1M1_PR_MR
NEW met1 ( 124890 33150 ) M1M2_PR ;
- dcache_to_mem_data_in[100] ( PIN dcache_to_mem_data_in[100] ) ( ANTENNA_input132_A DIODE ) ( input132 A ) + USE SIGNAL
+ ROUTED met1 ( 30590 8670 ) ( 32890 * )
NEW met2 ( 30590 3740 0 ) ( * 8670 )
NEW met1 ( 32890 12070 ) ( 36110 * )
NEW met1 ( 32890 38590 ) ( 35190 * )
NEW met2 ( 32890 8670 ) ( * 38590 )
NEW met1 ( 32890 8670 ) M1M2_PR
NEW met1 ( 30590 8670 ) M1M2_PR
NEW li1 ( 36110 12070 ) L1M1_PR_MR
NEW met1 ( 32890 12070 ) M1M2_PR
NEW met1 ( 32890 38590 ) M1M2_PR
NEW li1 ( 35190 38590 ) L1M1_PR_MR
NEW met2 ( 32890 12070 ) RECT ( -70 -485 70 0 ) ;
- dcache_to_mem_data_in[101] ( PIN dcache_to_mem_data_in[101] ) ( ANTENNA_input133_A DIODE ) ( input133 A ) + USE SIGNAL
+ ROUTED met1 ( 140070 36890 ) ( 141450 * )
NEW met2 ( 140070 36890 ) ( * 41310 )
NEW met2 ( 140070 3740 0 ) ( * 36890 )
NEW li1 ( 141450 36890 ) L1M1_PR_MR
NEW met1 ( 140070 36890 ) M1M2_PR
NEW li1 ( 140070 41310 ) L1M1_PR_MR
NEW met1 ( 140070 41310 ) M1M2_PR
NEW met1 ( 140070 41310 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[102] ( PIN dcache_to_mem_data_in[102] ) ( ANTENNA_input134_A DIODE ) ( input134 A ) + USE SIGNAL
+ ROUTED met2 ( 107410 15810 ) ( * 33830 )
NEW met1 ( 107410 15810 ) ( 108790 * )
NEW met2 ( 108790 3740 0 ) ( * 15810 )
NEW met1 ( 107410 33830 ) ( 110400 * )
NEW met1 ( 110400 33490 ) ( * 33830 )
NEW met1 ( 110400 33490 ) ( 115690 * )
NEW met1 ( 107410 33830 ) M1M2_PR
NEW met1 ( 107410 15810 ) M1M2_PR
NEW met1 ( 108790 15810 ) M1M2_PR
NEW li1 ( 109250 33830 ) L1M1_PR_MR
NEW li1 ( 115690 33490 ) L1M1_PR_MR
NEW met1 ( 109250 33830 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[103] ( PIN dcache_to_mem_data_in[103] ) ( ANTENNA_input135_A DIODE ) ( input135 A ) + USE SIGNAL
+ ROUTED met1 ( 183310 28390 ) ( 185150 * )
NEW met2 ( 183310 28390 ) ( * 44540 )
NEW met2 ( 182850 44540 ) ( 183310 * )
NEW met2 ( 182850 44540 ) ( * 45220 )
NEW met2 ( 182390 45220 ) ( 182850 * )
NEW met2 ( 182390 45220 ) ( * 58310 )
NEW met1 ( 175490 58310 ) ( 182390 * )
NEW met3 ( 186070 20740 ) ( 196420 * 0 )
NEW met2 ( 186070 20740 ) ( * 28390 )
NEW met1 ( 185150 28390 ) ( 186070 * )
NEW li1 ( 185150 28390 ) L1M1_PR_MR
NEW met1 ( 183310 28390 ) M1M2_PR
NEW met1 ( 182390 58310 ) M1M2_PR
NEW li1 ( 175490 58310 ) L1M1_PR_MR
NEW met2 ( 186070 20740 ) M2M3_PR_M
NEW met1 ( 186070 28390 ) M1M2_PR ;
- dcache_to_mem_data_in[104] ( PIN dcache_to_mem_data_in[104] ) ( ANTENNA_input136_A DIODE ) ( input136 A ) + USE SIGNAL
+ ROUTED met2 ( 114770 16830 ) ( * 31450 )
NEW met1 ( 106950 16830 ) ( 114770 * )
NEW met2 ( 106950 3740 0 ) ( * 16830 )
NEW met1 ( 113390 35870 ) ( 114770 * )
NEW met2 ( 114770 31450 ) ( * 35870 )
NEW li1 ( 114770 31450 ) L1M1_PR_MR
NEW met1 ( 114770 31450 ) M1M2_PR
NEW met1 ( 114770 16830 ) M1M2_PR
NEW met1 ( 106950 16830 ) M1M2_PR
NEW li1 ( 113390 35870 ) L1M1_PR_MR
NEW met1 ( 114770 35870 ) M1M2_PR
NEW met1 ( 114770 31450 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[105] ( PIN dcache_to_mem_data_in[105] ) ( ANTENNA_input137_A DIODE ) ( input137 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 185980 ) ( * 186150 )
NEW met3 ( 186300 185980 ) ( 186530 * )
NEW met4 ( 186300 154700 ) ( * 185980 )
NEW met3 ( 180090 154700 ) ( 186300 * )
NEW met2 ( 180090 151470 ) ( * 154700 )
NEW met1 ( 173650 151470 ) ( 180090 * )
NEW met3 ( 186530 190740 ) ( 196420 * 0 )
NEW met2 ( 186530 186150 ) ( * 190740 )
NEW li1 ( 186530 186150 ) L1M1_PR_MR
NEW met1 ( 186530 186150 ) M1M2_PR
NEW met2 ( 186530 185980 ) M2M3_PR_M
NEW met3 ( 186300 185980 ) M3M4_PR_M
NEW met3 ( 186300 154700 ) M3M4_PR_M
NEW met2 ( 180090 154700 ) M2M3_PR_M
NEW met1 ( 180090 151470 ) M1M2_PR
NEW li1 ( 173650 151470 ) L1M1_PR_MR
NEW met2 ( 186530 190740 ) M2M3_PR_M
NEW met1 ( 186530 186150 ) RECT ( -355 -70 0 70 )
NEW met3 ( 186530 185980 ) RECT ( 0 -150 390 150 ) ;
- dcache_to_mem_data_in[106] ( PIN dcache_to_mem_data_in[106] ) ( ANTENNA_input138_A DIODE ) ( input138 A ) + USE SIGNAL
+ ROUTED met1 ( 154790 164390 ) ( 157090 * )
NEW met2 ( 154790 164390 ) ( * 172550 )
NEW met1 ( 154790 172550 ) ( 157090 * )
NEW met1 ( 157090 172550 ) ( * 172890 )
NEW met1 ( 157090 172890 ) ( 157550 * )
NEW met2 ( 157550 172890 ) ( * 196180 0 )
NEW met1 ( 152950 162690 ) ( 154790 * )
NEW met2 ( 154790 162690 ) ( * 164390 )
NEW li1 ( 157090 164390 ) L1M1_PR_MR
NEW met1 ( 154790 164390 ) M1M2_PR
NEW met1 ( 154790 172550 ) M1M2_PR
NEW met1 ( 157550 172890 ) M1M2_PR
NEW li1 ( 152950 162690 ) L1M1_PR_MR
NEW met1 ( 154790 162690 ) M1M2_PR ;
- dcache_to_mem_data_in[107] ( PIN dcache_to_mem_data_in[107] ) ( ANTENNA_input139_A DIODE ) ( input139 A ) + USE SIGNAL
+ ROUTED met2 ( 110170 167450 ) ( * 169660 )
NEW met3 ( 109940 169660 ) ( 110170 * )
NEW met4 ( 109940 169660 ) ( * 181220 )
NEW met3 ( 107410 181220 ) ( 109940 * )
NEW met2 ( 107410 181220 ) ( * 186660 )
NEW met2 ( 106950 186660 ) ( 107410 * )
NEW met2 ( 106950 186660 ) ( * 196180 0 )
NEW met2 ( 110170 159970 ) ( * 167450 )
NEW met1 ( 110170 159970 ) ( 111550 * )
NEW li1 ( 110170 167450 ) L1M1_PR_MR
NEW met1 ( 110170 167450 ) M1M2_PR
NEW met2 ( 110170 169660 ) M2M3_PR_M
NEW met3 ( 109940 169660 ) M3M4_PR_M
NEW met3 ( 109940 181220 ) M3M4_PR_M
NEW met2 ( 107410 181220 ) M2M3_PR_M
NEW met1 ( 110170 159970 ) M1M2_PR
NEW li1 ( 111550 159970 ) L1M1_PR_MR
NEW met1 ( 110170 167450 ) RECT ( -355 -70 0 70 )
NEW met3 ( 109940 169660 ) RECT ( -390 -150 0 150 ) ;
- dcache_to_mem_data_in[108] ( PIN dcache_to_mem_data_in[108] ) ( ANTENNA_input140_A DIODE ) ( input140 A ) + USE SIGNAL
+ ROUTED met1 ( 10810 177990 ) ( * 178330 )
NEW met1 ( 7590 178330 ) ( 10810 * )
NEW met2 ( 7590 178330 ) ( * 196180 0 )
NEW met2 ( 19550 177820 ) ( * 178330 )
NEW met3 ( 19550 177820 ) ( 19780 * )
NEW met4 ( 19780 158780 ) ( * 177820 )
NEW met3 ( 19780 158780 ) ( 22310 * )
NEW met1 ( 19550 177990 ) ( * 178330 )
NEW met1 ( 10810 177990 ) ( 19550 * )
NEW met2 ( 22310 158700 ) ( * 158780 )
NEW met2 ( 22310 158700 ) ( 22770 * )
NEW met2 ( 22770 156230 ) ( * 158700 )
NEW met1 ( 22770 156230 ) ( 34730 * )
NEW met1 ( 7590 178330 ) M1M2_PR
NEW li1 ( 19550 178330 ) L1M1_PR_MR
NEW met1 ( 19550 178330 ) M1M2_PR
NEW met2 ( 19550 177820 ) M2M3_PR_M
NEW met3 ( 19780 177820 ) M3M4_PR_M
NEW met3 ( 19780 158780 ) M3M4_PR_M
NEW met2 ( 22310 158780 ) M2M3_PR_M
NEW met1 ( 22770 156230 ) M1M2_PR
NEW li1 ( 34730 156230 ) L1M1_PR_MR
NEW met1 ( 19550 178330 ) RECT ( -355 -70 0 70 )
NEW met3 ( 19550 177820 ) RECT ( -390 -150 0 150 ) ;
- dcache_to_mem_data_in[109] ( PIN dcache_to_mem_data_in[109] ) ( ANTENNA_input141_A DIODE ) ( input141 A ) + USE SIGNAL
+ ROUTED met2 ( 14030 129540 ) ( * 131750 )
NEW met3 ( 3220 129540 0 ) ( 14030 * )
NEW met1 ( 12650 131750 ) ( 15870 * )
NEW li1 ( 12650 131750 ) L1M1_PR_MR
NEW li1 ( 15870 131750 ) L1M1_PR_MR
NEW met2 ( 14030 129540 ) M2M3_PR_M
NEW met1 ( 14030 131750 ) M1M2_PR
NEW met1 ( 14030 131750 ) RECT ( 0 -70 595 70 ) ;
- dcache_to_mem_data_in[10] ( PIN dcache_to_mem_data_in[10] ) ( ANTENNA_input142_A DIODE ) ( input142 A ) + USE SIGNAL
+ ROUTED met1 ( 42090 15130 ) ( 42550 * )
NEW met2 ( 42550 3740 0 ) ( * 15130 )
NEW met1 ( 42550 15130 ) ( * 15810 )
NEW met1 ( 42550 15810 ) ( 48300 * )
NEW met1 ( 48300 15470 ) ( * 15810 )
NEW met1 ( 48300 15470 ) ( 49450 * )
NEW met2 ( 49450 15470 ) ( * 15980 )
NEW met3 ( 49220 15980 ) ( 49450 * )
NEW met4 ( 49220 15980 ) ( * 24820 )
NEW met3 ( 48530 24820 ) ( 49220 * )
NEW met2 ( 48530 24820 ) ( * 30430 )
NEW li1 ( 42090 15130 ) L1M1_PR_MR
NEW met1 ( 42550 15130 ) M1M2_PR
NEW met1 ( 49450 15470 ) M1M2_PR
NEW met2 ( 49450 15980 ) M2M3_PR_M
NEW met3 ( 49220 15980 ) M3M4_PR_M
NEW met3 ( 49220 24820 ) M3M4_PR_M
NEW met2 ( 48530 24820 ) M2M3_PR_M
NEW li1 ( 48530 30430 ) L1M1_PR_MR
NEW met1 ( 48530 30430 ) M1M2_PR
NEW met3 ( 49450 15980 ) RECT ( 0 -150 390 150 )
NEW met1 ( 48530 30430 ) RECT ( 0 -70 355 70 ) ;
- dcache_to_mem_data_in[110] ( PIN dcache_to_mem_data_in[110] ) ( ANTENNA_input143_A DIODE ) ( input143 A ) + USE SIGNAL
+ ROUTED met2 ( 20010 54740 ) ( * 55590 )
NEW met1 ( 20010 55590 ) ( 22310 * )
NEW met3 ( 3220 54740 0 ) ( 20010 * )
NEW li1 ( 20010 55590 ) L1M1_PR_MR
NEW met1 ( 20010 55590 ) M1M2_PR
NEW met2 ( 20010 54740 ) M2M3_PR_M
NEW li1 ( 22310 55590 ) L1M1_PR_MR
NEW met1 ( 20010 55590 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[111] ( PIN dcache_to_mem_data_in[111] ) ( ANTENNA_input144_A DIODE ) ( input144 A ) + USE SIGNAL
+ ROUTED met1 ( 108330 164390 ) ( 110170 * )
NEW met2 ( 108330 164390 ) ( * 184620 )
NEW met2 ( 108330 184620 ) ( 108790 * )
NEW met2 ( 108790 184620 ) ( * 196180 0 )
NEW met2 ( 108330 164390 ) ( 108790 * )
NEW met1 ( 108790 157250 ) ( 109250 * )
NEW met2 ( 108790 157250 ) ( * 164390 )
NEW li1 ( 110170 164390 ) L1M1_PR_MR
NEW met1 ( 108330 164390 ) M1M2_PR
NEW met1 ( 108790 157250 ) M1M2_PR
NEW li1 ( 109250 157250 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[112] ( PIN dcache_to_mem_data_in[112] ) ( ANTENNA_input145_A DIODE ) ( input145 A ) + USE SIGNAL
+ ROUTED met1 ( 154330 39270 ) ( 154790 * )
NEW met1 ( 152490 45730 ) ( 154330 * )
NEW met2 ( 154330 39270 ) ( * 45730 )
NEW met2 ( 154330 39270 ) ( 154790 * )
NEW met2 ( 154790 3740 0 ) ( * 39270 )
NEW li1 ( 154330 39270 ) L1M1_PR_MR
NEW met1 ( 154790 39270 ) M1M2_PR
NEW li1 ( 152490 45730 ) L1M1_PR_MR
NEW met1 ( 154330 45730 ) M1M2_PR ;
- dcache_to_mem_data_in[113] ( PIN dcache_to_mem_data_in[113] ) ( ANTENNA_input146_A DIODE ) ( input146 A ) + USE SIGNAL
+ ROUTED met2 ( 96370 186490 ) ( * 187170 )
NEW met1 ( 87630 187170 ) ( 96370 * )
NEW met2 ( 87630 187170 ) ( * 196180 0 )
NEW met1 ( 94990 157250 ) ( 95450 * )
NEW met2 ( 94990 157250 ) ( * 157420 )
NEW met3 ( 94990 157420 ) ( 95220 * )
NEW met4 ( 95220 157420 ) ( * 184620 )
NEW met3 ( 95220 184620 ) ( 96370 * )
NEW met2 ( 96370 184620 ) ( * 186490 )
NEW li1 ( 96370 186490 ) L1M1_PR_MR
NEW met1 ( 96370 186490 ) M1M2_PR
NEW met1 ( 96370 187170 ) M1M2_PR
NEW met1 ( 87630 187170 ) M1M2_PR
NEW li1 ( 95450 157250 ) L1M1_PR_MR
NEW met1 ( 94990 157250 ) M1M2_PR
NEW met2 ( 94990 157420 ) M2M3_PR_M
NEW met3 ( 95220 157420 ) M3M4_PR_M
NEW met3 ( 95220 184620 ) M3M4_PR_M
NEW met2 ( 96370 184620 ) M2M3_PR_M
NEW met1 ( 96370 186490 ) RECT ( -355 -70 0 70 )
NEW met3 ( 94990 157420 ) RECT ( -390 -150 0 150 ) ;
- dcache_to_mem_data_in[114] ( PIN dcache_to_mem_data_in[114] ) ( ANTENNA_input147_A DIODE ) ( input147 A ) + USE SIGNAL
+ ROUTED met2 ( 178710 48110 ) ( 179170 * )
NEW met2 ( 179170 47940 ) ( * 48110 )
NEW met3 ( 179170 47940 ) ( 196420 * 0 )
NEW met1 ( 182390 55250 ) ( * 55590 )
NEW met1 ( 173650 55250 ) ( 182390 * )
NEW met1 ( 173650 55250 ) ( * 55590 )
NEW met1 ( 170890 55590 ) ( 173650 * )
NEW met2 ( 178710 48110 ) ( * 55250 )
NEW met2 ( 179170 47940 ) M2M3_PR_M
NEW li1 ( 182390 55590 ) L1M1_PR_MR
NEW li1 ( 170890 55590 ) L1M1_PR_MR
NEW met1 ( 178710 55250 ) M1M2_PR
NEW met1 ( 178710 55250 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[115] ( PIN dcache_to_mem_data_in[115] ) ( ANTENNA_input148_A DIODE ) ( input148 A ) + USE SIGNAL
+ ROUTED met1 ( 79810 26010 ) ( 80270 * )
NEW met1 ( 77970 31110 ) ( 80270 * )
NEW met2 ( 80270 26010 ) ( * 31110 )
NEW met2 ( 80270 3740 0 ) ( * 26010 )
NEW li1 ( 79810 26010 ) L1M1_PR_MR
NEW met1 ( 80270 26010 ) M1M2_PR
NEW li1 ( 77970 31110 ) L1M1_PR_MR
NEW met1 ( 80270 31110 ) M1M2_PR ;
- dcache_to_mem_data_in[116] ( PIN dcache_to_mem_data_in[116] ) ( ANTENNA_input149_A DIODE ) ( input149 A ) + USE SIGNAL
+ ROUTED met1 ( 167670 52190 ) ( 172730 * )
NEW met2 ( 172730 50660 ) ( * 52190 )
NEW met3 ( 172730 50660 ) ( 174570 * )
NEW met1 ( 174570 47090 ) ( 175950 * )
NEW met1 ( 175950 46750 ) ( * 47090 )
NEW met1 ( 175950 46750 ) ( 177790 * )
NEW met1 ( 177790 46750 ) ( * 47090 )
NEW met1 ( 177790 47090 ) ( 194810 * )
NEW met2 ( 194810 14790 ) ( * 47090 )
NEW met2 ( 194810 14790 ) ( 195270 * )
NEW met2 ( 195270 3740 0 ) ( * 14790 )
NEW met1 ( 173190 47770 ) ( 174570 * )
NEW met2 ( 174570 47090 ) ( * 50660 )
NEW li1 ( 167670 52190 ) L1M1_PR_MR
NEW met1 ( 172730 52190 ) M1M2_PR
NEW met2 ( 172730 50660 ) M2M3_PR_M
NEW met2 ( 174570 50660 ) M2M3_PR_M
NEW met1 ( 174570 47090 ) M1M2_PR
NEW met1 ( 194810 47090 ) M1M2_PR
NEW li1 ( 173190 47770 ) L1M1_PR_MR
NEW met1 ( 174570 47770 ) M1M2_PR
NEW met2 ( 174570 47770 ) RECT ( -70 -485 70 0 ) ;
- dcache_to_mem_data_in[117] ( PIN dcache_to_mem_data_in[117] ) ( ANTENNA_input150_A DIODE ) ( input150 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 49300 ) ( * 50150 )
NEW met3 ( 3220 49300 0 ) ( 11270 * )
NEW met1 ( 11270 50150 ) ( 13800 * )
NEW met1 ( 13800 49810 ) ( * 50150 )
NEW met1 ( 13800 49810 ) ( 25070 * )
NEW li1 ( 11270 50150 ) L1M1_PR_MR
NEW met1 ( 11270 50150 ) M1M2_PR
NEW met2 ( 11270 49300 ) M2M3_PR_M
NEW li1 ( 25070 49810 ) L1M1_PR_MR
NEW met1 ( 11270 50150 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[118] ( PIN dcache_to_mem_data_in[118] ) ( ANTENNA_input151_A DIODE ) ( input151 A ) + USE SIGNAL
+ ROUTED met1 ( 161690 14790 ) ( 163530 * )
NEW met2 ( 161690 14790 ) ( * 52190 )
NEW met1 ( 161690 52190 ) ( 162610 * )
NEW met2 ( 163070 3740 0 ) ( * 14790 )
NEW li1 ( 163530 14790 ) L1M1_PR_MR
NEW met1 ( 161690 14790 ) M1M2_PR
NEW met1 ( 161690 52190 ) M1M2_PR
NEW li1 ( 162610 52190 ) L1M1_PR_MR
NEW met1 ( 163070 14790 ) M1M2_PR
NEW met1 ( 163070 14790 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[119] ( PIN dcache_to_mem_data_in[119] ) ( ANTENNA_input152_A DIODE ) ( input152 A ) + USE SIGNAL
+ ROUTED met2 ( 126270 3740 0 ) ( * 13800 )
NEW met1 ( 126730 31450 ) ( 127650 * )
NEW met2 ( 126730 13800 ) ( * 31450 )
NEW met2 ( 126270 13800 ) ( 126730 * )
NEW met1 ( 126270 35870 ) ( 126730 * )
NEW met2 ( 126730 31450 ) ( * 35870 )
NEW li1 ( 127650 31450 ) L1M1_PR_MR
NEW met1 ( 126730 31450 ) M1M2_PR
NEW li1 ( 126270 35870 ) L1M1_PR_MR
NEW met1 ( 126730 35870 ) M1M2_PR ;
- dcache_to_mem_data_in[11] ( PIN dcache_to_mem_data_in[11] ) ( ANTENNA_input153_A DIODE ) ( input153 A ) + USE SIGNAL
+ ROUTED met1 ( 14030 148070 ) ( 17250 * )
NEW met2 ( 17250 146370 ) ( * 148070 )
NEW met1 ( 17250 146370 ) ( 20930 * )
NEW met2 ( 14030 147220 ) ( * 148070 )
NEW met3 ( 3220 147220 0 ) ( 14030 * )
NEW li1 ( 14030 148070 ) L1M1_PR_MR
NEW met1 ( 17250 148070 ) M1M2_PR
NEW met1 ( 17250 146370 ) M1M2_PR
NEW li1 ( 20930 146370 ) L1M1_PR_MR
NEW met2 ( 14030 147220 ) M2M3_PR_M
NEW met1 ( 14030 148070 ) M1M2_PR
NEW met1 ( 14030 148070 ) RECT ( 0 -70 595 70 ) ;
- dcache_to_mem_data_in[120] ( PIN dcache_to_mem_data_in[120] ) ( ANTENNA_input154_A DIODE ) ( input154 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 12410 ) ( 11730 * )
NEW met2 ( 11730 12410 ) ( * 20740 )
NEW met3 ( 11730 20740 ) ( 48070 * )
NEW met2 ( 48070 20740 ) ( * 28050 )
NEW met2 ( 6670 3740 0 ) ( * 12410 )
NEW met1 ( 6670 12410 ) ( 11270 * )
NEW met1 ( 48070 28050 ) ( 50830 * )
NEW li1 ( 50830 28050 ) L1M1_PR_MR
NEW li1 ( 11270 12410 ) L1M1_PR_MR
NEW met1 ( 11730 12410 ) M1M2_PR
NEW met2 ( 11730 20740 ) M2M3_PR_M
NEW met2 ( 48070 20740 ) M2M3_PR_M
NEW met1 ( 48070 28050 ) M1M2_PR
NEW met1 ( 6670 12410 ) M1M2_PR ;
- dcache_to_mem_data_in[121] ( PIN dcache_to_mem_data_in[121] ) ( ANTENNA_input155_A DIODE ) ( input155 A ) + USE SIGNAL
+ ROUTED met1 ( 47150 185470 ) ( * 185810 )
NEW met1 ( 45770 185470 ) ( 47150 * )
NEW met2 ( 45770 184450 ) ( * 185470 )
NEW met1 ( 40710 184450 ) ( 45770 * )
NEW met2 ( 40710 184450 ) ( * 196180 0 )
NEW met2 ( 46230 162690 ) ( * 172890 )
NEW met1 ( 46230 172890 ) ( * 173230 )
NEW met1 ( 40710 173230 ) ( 46230 * )
NEW met2 ( 40710 173230 ) ( * 184450 )
NEW li1 ( 47150 185810 ) L1M1_PR_MR
NEW met1 ( 45770 185470 ) M1M2_PR
NEW met1 ( 45770 184450 ) M1M2_PR
NEW met1 ( 40710 184450 ) M1M2_PR
NEW li1 ( 46230 162690 ) L1M1_PR_MR
NEW met1 ( 46230 162690 ) M1M2_PR
NEW met1 ( 46230 172890 ) M1M2_PR
NEW met1 ( 40710 173230 ) M1M2_PR
NEW met1 ( 46230 162690 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[122] ( PIN dcache_to_mem_data_in[122] ) ( ANTENNA_input156_A DIODE ) ( input156 A ) + USE SIGNAL
+ ROUTED met2 ( 20010 103700 ) ( * 104550 )
NEW met1 ( 20010 104550 ) ( 25070 * )
NEW met3 ( 3220 103700 0 ) ( 20010 * )
NEW li1 ( 20010 104550 ) L1M1_PR_MR
NEW met1 ( 20010 104550 ) M1M2_PR
NEW met2 ( 20010 103700 ) M2M3_PR_M
NEW li1 ( 25070 104550 ) L1M1_PR_MR
NEW met1 ( 20010 104550 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[123] ( PIN dcache_to_mem_data_in[123] ) ( ANTENNA_input157_A DIODE ) ( input157 A ) + USE SIGNAL
+ ROUTED met1 ( 20470 58650 ) ( 20930 * )
NEW met2 ( 20470 56100 ) ( * 58650 )
NEW met1 ( 20470 60350 ) ( 21850 * )
NEW met2 ( 20470 58650 ) ( * 60350 )
NEW met3 ( 3220 56100 0 ) ( 20470 * )
NEW li1 ( 20930 58650 ) L1M1_PR_MR
NEW met1 ( 20470 58650 ) M1M2_PR
NEW met2 ( 20470 56100 ) M2M3_PR_M
NEW li1 ( 21850 60350 ) L1M1_PR_MR
NEW met1 ( 20470 60350 ) M1M2_PR ;
- dcache_to_mem_data_in[124] ( PIN dcache_to_mem_data_in[124] ) ( ANTENNA_input158_A DIODE ) ( input158 A ) + USE SIGNAL
+ ROUTED met2 ( 10350 3740 0 ) ( * 4420 )
NEW met2 ( 10350 4420 ) ( 10810 * )
NEW met2 ( 10810 4420 ) ( * 11900 )
NEW met3 ( 10810 11900 ) ( 26220 * )
NEW met1 ( 35650 33830 ) ( 36110 * )
NEW met2 ( 36110 28220 ) ( * 33830 )
NEW met3 ( 26220 28220 ) ( 36110 * )
NEW met1 ( 36110 36550 ) ( 40250 * )
NEW met2 ( 36110 33830 ) ( * 36550 )
NEW met4 ( 26220 11900 ) ( * 28220 )
NEW met2 ( 10810 11900 ) M2M3_PR_M
NEW met3 ( 26220 11900 ) M3M4_PR_M
NEW li1 ( 35650 33830 ) L1M1_PR_MR
NEW met1 ( 36110 33830 ) M1M2_PR
NEW met2 ( 36110 28220 ) M2M3_PR_M
NEW met3 ( 26220 28220 ) M3M4_PR_M
NEW li1 ( 40250 36550 ) L1M1_PR_MR
NEW met1 ( 36110 36550 ) M1M2_PR ;
- dcache_to_mem_data_in[125] ( PIN dcache_to_mem_data_in[125] ) ( ANTENNA_input159_A DIODE ) ( input159 A ) + USE SIGNAL
+ ROUTED met1 ( 159390 49810 ) ( 163070 * )
NEW met1 ( 159390 22610 ) ( 162610 * )
NEW met2 ( 159390 16830 ) ( * 22610 )
NEW met1 ( 157550 16830 ) ( 159390 * )
NEW met2 ( 157550 3740 0 ) ( * 16830 )
NEW met3 ( 163070 26860 ) ( * 28220 )
NEW met2 ( 163070 22610 ) ( * 26860 )
NEW met1 ( 162610 22610 ) ( 163070 * )
NEW met2 ( 163070 28220 ) ( * 49810 )
NEW met1 ( 163070 49810 ) M1M2_PR
NEW li1 ( 159390 49810 ) L1M1_PR_MR
NEW li1 ( 162610 22610 ) L1M1_PR_MR
NEW met1 ( 159390 22610 ) M1M2_PR
NEW met1 ( 159390 16830 ) M1M2_PR
NEW met1 ( 157550 16830 ) M1M2_PR
NEW met2 ( 163070 28220 ) M2M3_PR_M
NEW met2 ( 163070 26860 ) M2M3_PR_M
NEW met1 ( 163070 22610 ) M1M2_PR ;
- dcache_to_mem_data_in[126] ( PIN dcache_to_mem_data_in[126] ) ( ANTENNA_input160_A DIODE ) ( input160 A ) + USE SIGNAL
+ ROUTED met1 ( 185150 177990 ) ( 186530 * )
NEW met2 ( 185150 170340 ) ( * 177990 )
NEW met3 ( 164910 170340 ) ( 185150 * )
NEW met2 ( 164910 166430 ) ( * 170340 )
NEW met1 ( 146510 166430 ) ( 164910 * )
NEW met3 ( 186300 175780 ) ( 196420 * 0 )
NEW met3 ( 186300 175780 ) ( * 176460 )
NEW met3 ( 185150 176460 ) ( 186300 * )
NEW li1 ( 186530 177990 ) L1M1_PR_MR
NEW met1 ( 185150 177990 ) M1M2_PR
NEW met2 ( 185150 170340 ) M2M3_PR_M
NEW met2 ( 164910 170340 ) M2M3_PR_M
NEW met1 ( 164910 166430 ) M1M2_PR
NEW li1 ( 146510 166430 ) L1M1_PR_MR
NEW met2 ( 185150 176460 ) M2M3_PR_M
NEW met2 ( 185150 176460 ) RECT ( -70 -485 70 0 ) ;
- dcache_to_mem_data_in[127] ( PIN dcache_to_mem_data_in[127] ) ( ANTENNA_input161_A DIODE ) ( input161 A ) + USE SIGNAL
+ ROUTED met1 ( 185610 12410 ) ( 186530 * )
NEW met2 ( 185610 30940 ) ( 186070 * )
NEW met1 ( 179630 14110 ) ( 185610 * )
NEW met2 ( 179630 3740 0 ) ( * 14110 )
NEW met2 ( 185610 12410 ) ( * 30940 )
NEW met2 ( 185610 54060 ) ( 186070 * )
NEW met2 ( 185610 54060 ) ( * 59330 )
NEW met1 ( 177790 59330 ) ( 185610 * )
NEW met2 ( 177790 59330 ) ( * 61030 )
NEW met1 ( 176870 61030 ) ( 177790 * )
NEW met2 ( 186070 30940 ) ( * 54060 )
NEW met1 ( 185610 12410 ) M1M2_PR
NEW li1 ( 186530 12410 ) L1M1_PR_MR
NEW met1 ( 179630 14110 ) M1M2_PR
NEW met1 ( 185610 14110 ) M1M2_PR
NEW met1 ( 185610 59330 ) M1M2_PR
NEW met1 ( 177790 59330 ) M1M2_PR
NEW met1 ( 177790 61030 ) M1M2_PR
NEW li1 ( 176870 61030 ) L1M1_PR_MR
NEW met2 ( 185610 14110 ) RECT ( -70 0 70 485 ) ;
- dcache_to_mem_data_in[12] ( PIN dcache_to_mem_data_in[12] ) ( ANTENNA_input162_A DIODE ) ( input162 A ) + USE SIGNAL
+ ROUTED met1 ( 58190 169830 ) ( 60490 * )
NEW met2 ( 58190 169830 ) ( * 183770 )
NEW met2 ( 58190 183770 ) ( 58650 * )
NEW met2 ( 58650 183770 ) ( * 188530 )
NEW met1 ( 58650 188530 ) ( 60030 * )
NEW met2 ( 60030 188530 ) ( * 196180 0 )
NEW met1 ( 60490 168130 ) ( 62330 * )
NEW met2 ( 60490 168130 ) ( * 169830 )
NEW li1 ( 60490 169830 ) L1M1_PR_MR
NEW met1 ( 58190 169830 ) M1M2_PR
NEW met1 ( 58650 188530 ) M1M2_PR
NEW met1 ( 60030 188530 ) M1M2_PR
NEW li1 ( 62330 168130 ) L1M1_PR_MR
NEW met1 ( 60490 168130 ) M1M2_PR
NEW met1 ( 60490 169830 ) M1M2_PR
NEW met1 ( 60490 169830 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[13] ( PIN dcache_to_mem_data_in[13] ) ( ANTENNA_input163_A DIODE ) ( input163 A ) + USE SIGNAL
+ ROUTED met1 ( 185610 183430 ) ( 186530 * )
NEW met2 ( 185610 179010 ) ( * 183430 )
NEW met1 ( 185610 179010 ) ( 195270 * )
NEW met2 ( 185150 183430 ) ( * 196180 0 )
NEW met2 ( 185150 183430 ) ( 185610 * )
NEW met1 ( 180550 146030 ) ( 195270 * )
NEW li1 ( 195270 146030 ) ( * 179010 )
NEW li1 ( 186530 183430 ) L1M1_PR_MR
NEW met1 ( 185610 183430 ) M1M2_PR
NEW met1 ( 185610 179010 ) M1M2_PR
NEW li1 ( 195270 179010 ) L1M1_PR_MR
NEW li1 ( 180550 146030 ) L1M1_PR_MR
NEW li1 ( 195270 146030 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[14] ( PIN dcache_to_mem_data_in[14] ) ( ANTENNA_input164_A DIODE ) ( input164 A ) + USE SIGNAL
+ ROUTED met1 ( 100510 164390 ) ( 102810 * )
NEW met2 ( 100510 164390 ) ( * 196180 0 )
NEW met1 ( 101430 157250 ) ( 102350 * )
NEW met2 ( 102350 157250 ) ( * 164390 )
NEW li1 ( 102810 164390 ) L1M1_PR_MR
NEW met1 ( 100510 164390 ) M1M2_PR
NEW met1 ( 102350 164390 ) M1M2_PR
NEW li1 ( 101430 157250 ) L1M1_PR_MR
NEW met1 ( 102350 157250 ) M1M2_PR
NEW met1 ( 102350 164390 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[15] ( PIN dcache_to_mem_data_in[15] ) ( ANTENNA_input165_A DIODE ) ( input165 A ) + USE SIGNAL
+ ROUTED met2 ( 175950 178330 ) ( * 179860 )
NEW met3 ( 175950 179860 ) ( 196420 * 0 )
NEW met2 ( 176410 146370 ) ( * 146540 )
NEW met3 ( 176410 146540 ) ( 182620 * )
NEW met4 ( 182620 146540 ) ( * 179860 )
NEW li1 ( 175950 178330 ) L1M1_PR_MR
NEW met1 ( 175950 178330 ) M1M2_PR
NEW met2 ( 175950 179860 ) M2M3_PR_M
NEW li1 ( 176410 146370 ) L1M1_PR_MR
NEW met1 ( 176410 146370 ) M1M2_PR
NEW met2 ( 176410 146540 ) M2M3_PR_M
NEW met3 ( 182620 146540 ) M3M4_PR_M
NEW met3 ( 182620 179860 ) M3M4_PR_M
NEW met1 ( 175950 178330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 176410 146370 ) RECT ( -355 -70 0 70 )
NEW met3 ( 182620 179860 ) RECT ( -800 -150 0 150 ) ;
- dcache_to_mem_data_in[16] ( PIN dcache_to_mem_data_in[16] ) ( ANTENNA_input166_A DIODE ) ( input166 A ) + USE SIGNAL
+ ROUTED met1 ( 16330 151130 ) ( 17710 * )
NEW met2 ( 16330 151130 ) ( * 155380 )
NEW met1 ( 17710 151130 ) ( 28290 * )
NEW met3 ( 3220 155380 0 ) ( 16330 * )
NEW li1 ( 17710 151130 ) L1M1_PR_MR
NEW met1 ( 16330 151130 ) M1M2_PR
NEW met2 ( 16330 155380 ) M2M3_PR_M
NEW li1 ( 28290 151130 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[17] ( PIN dcache_to_mem_data_in[17] ) ( ANTENNA_input167_A DIODE ) ( input167 A ) + USE SIGNAL
+ ROUTED met1 ( 186070 36890 ) ( 190210 * )
NEW met1 ( 186070 36550 ) ( * 36890 )
NEW met1 ( 176870 36550 ) ( 186070 * )
NEW met2 ( 176870 36550 ) ( * 39610 )
NEW met1 ( 159390 39610 ) ( 176870 * )
NEW met2 ( 159390 39610 ) ( * 39780 )
NEW met2 ( 158930 39780 ) ( 159390 * )
NEW met2 ( 158930 39780 ) ( * 46750 )
NEW met1 ( 154790 46750 ) ( 158930 * )
NEW met3 ( 190210 32980 ) ( 196420 * 0 )
NEW met2 ( 190210 32980 ) ( * 36890 )
NEW li1 ( 190210 36890 ) L1M1_PR_MR
NEW met1 ( 176870 36550 ) M1M2_PR
NEW met1 ( 176870 39610 ) M1M2_PR
NEW met1 ( 159390 39610 ) M1M2_PR
NEW met1 ( 158930 46750 ) M1M2_PR
NEW li1 ( 154790 46750 ) L1M1_PR_MR
NEW met2 ( 190210 32980 ) M2M3_PR_M
NEW met1 ( 190210 36890 ) M1M2_PR
NEW met1 ( 190210 36890 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[18] ( PIN dcache_to_mem_data_in[18] ) ( ANTENNA_input168_A DIODE ) ( input168 A ) + USE SIGNAL
+ ROUTED met1 ( 51750 186490 ) ( 54970 * )
NEW met2 ( 51750 186490 ) ( * 196180 0 )
NEW met1 ( 57270 165410 ) ( 57730 * )
NEW met2 ( 57730 165410 ) ( * 185980 )
NEW met2 ( 57270 185980 ) ( 57730 * )
NEW met2 ( 57270 185980 ) ( * 186490 )
NEW met1 ( 54970 186490 ) ( 57270 * )
NEW li1 ( 54970 186490 ) L1M1_PR_MR
NEW met1 ( 51750 186490 ) M1M2_PR
NEW li1 ( 57270 165410 ) L1M1_PR_MR
NEW met1 ( 57730 165410 ) M1M2_PR
NEW met1 ( 57270 186490 ) M1M2_PR ;
- dcache_to_mem_data_in[19] ( PIN dcache_to_mem_data_in[19] ) ( ANTENNA_input169_A DIODE ) ( input169 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 186150 ) ( 11730 * )
NEW met2 ( 11730 184620 ) ( * 186150 )
NEW met3 ( 11730 184620 ) ( 27140 * )
NEW met4 ( 27140 154700 ) ( * 184620 )
NEW met3 ( 27140 154700 ) ( 31050 * )
NEW met2 ( 31050 154530 ) ( * 154700 )
NEW met1 ( 31050 154530 ) ( 33350 * )
NEW met2 ( 4830 186490 ) ( * 196180 0 )
NEW met1 ( 4830 186490 ) ( 11270 * )
NEW met1 ( 11270 186150 ) ( * 186490 )
NEW li1 ( 11270 186150 ) L1M1_PR_MR
NEW met1 ( 11730 186150 ) M1M2_PR
NEW met2 ( 11730 184620 ) M2M3_PR_M
NEW met3 ( 27140 184620 ) M3M4_PR_M
NEW met3 ( 27140 154700 ) M3M4_PR_M
NEW met2 ( 31050 154700 ) M2M3_PR_M
NEW met1 ( 31050 154530 ) M1M2_PR
NEW li1 ( 33350 154530 ) L1M1_PR_MR
NEW met1 ( 4830 186490 ) M1M2_PR ;
- dcache_to_mem_data_in[1] ( PIN dcache_to_mem_data_in[1] ) ( ANTENNA_input170_A DIODE ) ( input170 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 169830 ) ( * 170170 )
NEW met1 ( 7130 170170 ) ( 22770 * )
NEW met2 ( 22770 170170 ) ( * 170340 )
NEW met3 ( 22770 170340 ) ( 29900 * )
NEW met4 ( 29900 158100 ) ( * 170340 )
NEW met3 ( 29900 158100 ) ( 36570 * )
NEW met2 ( 36570 157250 ) ( * 158100 )
NEW met1 ( 36570 157250 ) ( 37490 * )
NEW met3 ( 3220 189380 0 ) ( 6210 * )
NEW met2 ( 6210 170170 ) ( * 189380 )
NEW met1 ( 6210 170170 ) ( 7130 * )
NEW li1 ( 7130 169830 ) L1M1_PR_MR
NEW met1 ( 22770 170170 ) M1M2_PR
NEW met2 ( 22770 170340 ) M2M3_PR_M
NEW met3 ( 29900 170340 ) M3M4_PR_M
NEW met3 ( 29900 158100 ) M3M4_PR_M
NEW met2 ( 36570 158100 ) M2M3_PR_M
NEW met1 ( 36570 157250 ) M1M2_PR
NEW li1 ( 37490 157250 ) L1M1_PR_MR
NEW met2 ( 6210 189380 ) M2M3_PR_M
NEW met1 ( 6210 170170 ) M1M2_PR ;
- dcache_to_mem_data_in[20] ( PIN dcache_to_mem_data_in[20] ) ( ANTENNA_input171_A DIODE ) ( input171 A ) + USE SIGNAL
+ ROUTED met2 ( 18630 44370 ) ( * 47090 )
NEW met1 ( 18630 47090 ) ( 27830 * )
NEW met2 ( 15410 41140 ) ( * 44370 )
NEW met3 ( 3220 41140 0 ) ( 15410 * )
NEW met1 ( 9430 44370 ) ( 18630 * )
NEW li1 ( 9430 44370 ) L1M1_PR_MR
NEW met1 ( 18630 44370 ) M1M2_PR
NEW met1 ( 18630 47090 ) M1M2_PR
NEW li1 ( 27830 47090 ) L1M1_PR_MR
NEW met2 ( 15410 41140 ) M2M3_PR_M
NEW met1 ( 15410 44370 ) M1M2_PR
NEW met1 ( 15410 44370 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[21] ( PIN dcache_to_mem_data_in[21] ) ( ANTENNA_input172_A DIODE ) ( input172 A ) + USE SIGNAL
+ ROUTED met1 ( 70610 12070 ) ( 73370 * )
NEW met2 ( 73370 12070 ) ( * 30430 )
NEW met1 ( 70610 30430 ) ( 73370 * )
NEW met2 ( 67390 3740 0 ) ( * 12070 )
NEW met1 ( 67390 12070 ) ( 70610 * )
NEW li1 ( 70610 12070 ) L1M1_PR_MR
NEW met1 ( 73370 12070 ) M1M2_PR
NEW met1 ( 73370 30430 ) M1M2_PR
NEW li1 ( 70610 30430 ) L1M1_PR_MR
NEW met1 ( 67390 12070 ) M1M2_PR ;
- dcache_to_mem_data_in[22] ( PIN dcache_to_mem_data_in[22] ) ( ANTENNA_input173_A DIODE ) ( input173 A ) + USE SIGNAL
+ ROUTED met1 ( 137310 20910 ) ( 137770 * )
NEW met2 ( 137310 20910 ) ( * 38590 )
NEW met1 ( 135930 38590 ) ( 137310 * )
NEW met1 ( 130870 14110 ) ( 137770 * )
NEW met2 ( 137770 14110 ) ( * 20910 )
NEW met2 ( 137310 20910 ) ( 137770 * )
NEW met2 ( 130870 3740 0 ) ( * 14110 )
NEW li1 ( 137770 20910 ) L1M1_PR_MR
NEW met1 ( 137310 20910 ) M1M2_PR
NEW met1 ( 137310 38590 ) M1M2_PR
NEW li1 ( 135930 38590 ) L1M1_PR_MR
NEW met1 ( 130870 14110 ) M1M2_PR
NEW met1 ( 137770 14110 ) M1M2_PR ;
- dcache_to_mem_data_in[23] ( PIN dcache_to_mem_data_in[23] ) ( ANTENNA_input174_A DIODE ) ( input174 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 175100 ) ( * 175270 )
NEW met3 ( 185380 175100 ) ( 186530 * )
NEW met3 ( 185380 175100 ) ( * 175780 )
NEW met3 ( 172500 175780 ) ( 185380 * )
NEW met3 ( 186530 173060 ) ( 196420 * 0 )
NEW met2 ( 186530 173060 ) ( * 175100 )
NEW met3 ( 172500 158100 ) ( 172730 * )
NEW met2 ( 172730 149090 ) ( * 158100 )
NEW met1 ( 172730 149090 ) ( 173650 * )
NEW met4 ( 172500 158100 ) ( * 175780 )
NEW li1 ( 186530 175270 ) L1M1_PR_MR
NEW met1 ( 186530 175270 ) M1M2_PR
NEW met2 ( 186530 175100 ) M2M3_PR_M
NEW met3 ( 172500 175780 ) M3M4_PR_M
NEW met2 ( 186530 173060 ) M2M3_PR_M
NEW met3 ( 172500 158100 ) M3M4_PR_M
NEW met2 ( 172730 158100 ) M2M3_PR_M
NEW met1 ( 172730 149090 ) M1M2_PR
NEW li1 ( 173650 149090 ) L1M1_PR_MR
NEW met1 ( 186530 175270 ) RECT ( -355 -70 0 70 )
NEW met3 ( 172500 158100 ) RECT ( -390 -150 0 150 ) ;
- dcache_to_mem_data_in[24] ( PIN dcache_to_mem_data_in[24] ) ( ANTENNA_input175_A DIODE ) ( input175 A ) + USE SIGNAL
+ ROUTED met2 ( 95910 186300 ) ( * 196180 0 )
NEW met1 ( 95450 183430 ) ( 97290 * )
NEW met2 ( 95450 177650 ) ( * 183430 )
NEW met2 ( 94990 177650 ) ( 95450 * )
NEW met2 ( 94990 175270 ) ( * 177650 )
NEW met1 ( 94990 174930 ) ( * 175270 )
NEW met1 ( 94070 174930 ) ( 94990 * )
NEW met1 ( 94070 174590 ) ( * 174930 )
NEW met2 ( 94070 156910 ) ( * 174590 )
NEW met1 ( 94070 156910 ) ( 98210 * )
NEW met2 ( 95450 186300 ) ( 95910 * )
NEW met2 ( 95450 183430 ) ( * 186300 )
NEW li1 ( 97290 183430 ) L1M1_PR_MR
NEW met1 ( 95450 183430 ) M1M2_PR
NEW met1 ( 94990 175270 ) M1M2_PR
NEW met1 ( 94070 174590 ) M1M2_PR
NEW met1 ( 94070 156910 ) M1M2_PR
NEW li1 ( 98210 156910 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[25] ( PIN dcache_to_mem_data_in[25] ) ( ANTENNA_input176_A DIODE ) ( input176 A ) + USE SIGNAL
+ ROUTED met3 ( 171350 55420 ) ( 172500 * )
NEW met2 ( 171350 54910 ) ( * 55420 )
NEW met1 ( 170430 54910 ) ( 171350 * )
NEW met1 ( 170430 54910 ) ( * 55250 )
NEW met1 ( 168130 55250 ) ( 170430 * )
NEW met2 ( 175490 15470 ) ( * 15980 )
NEW met3 ( 172500 15980 ) ( 175490 * )
NEW met2 ( 175030 3740 0 ) ( * 11900 )
NEW met3 ( 172500 11900 ) ( 175030 * )
NEW met4 ( 172500 11900 ) ( * 15980 )
NEW met4 ( 172500 15980 ) ( * 55420 )
NEW met3 ( 172500 55420 ) M3M4_PR_M
NEW met2 ( 171350 55420 ) M2M3_PR_M
NEW met1 ( 171350 54910 ) M1M2_PR
NEW li1 ( 168130 55250 ) L1M1_PR_MR
NEW li1 ( 175490 15470 ) L1M1_PR_MR
NEW met1 ( 175490 15470 ) M1M2_PR
NEW met2 ( 175490 15980 ) M2M3_PR_M
NEW met3 ( 172500 15980 ) M3M4_PR_M
NEW met2 ( 175030 11900 ) M2M3_PR_M
NEW met3 ( 172500 11900 ) M3M4_PR_M
NEW met1 ( 175490 15470 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[26] ( PIN dcache_to_mem_data_in[26] ) ( ANTENNA_input177_A DIODE ) ( input177 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 113220 ) ( * 115430 )
NEW met3 ( 3220 113220 0 ) ( 11270 * )
NEW met1 ( 11270 115430 ) ( 13800 * )
NEW met1 ( 13800 115090 ) ( * 115430 )
NEW met1 ( 13800 115090 ) ( 19090 * )
NEW li1 ( 11270 115430 ) L1M1_PR_MR
NEW met1 ( 11270 115430 ) M1M2_PR
NEW met2 ( 11270 113220 ) M2M3_PR_M
NEW li1 ( 19090 115090 ) L1M1_PR_MR
NEW met1 ( 11270 115430 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[27] ( PIN dcache_to_mem_data_in[27] ) ( ANTENNA_input178_A DIODE ) ( input178 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 133620 ) ( * 134470 )
NEW met3 ( 3220 133620 0 ) ( 11270 * )
NEW met1 ( 11270 134470 ) ( 17250 * )
NEW li1 ( 11270 134470 ) L1M1_PR_MR
NEW met1 ( 11270 134470 ) M1M2_PR
NEW met2 ( 11270 133620 ) M2M3_PR_M
NEW li1 ( 17250 134470 ) L1M1_PR_MR
NEW met1 ( 11270 134470 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[28] ( PIN dcache_to_mem_data_in[28] ) ( ANTENNA_input179_A DIODE ) ( input179 A ) + USE SIGNAL
+ ROUTED met1 ( 23230 177310 ) ( * 178330 )
NEW met1 ( 23230 177310 ) ( 41170 * )
NEW met2 ( 41170 175610 ) ( * 177310 )
NEW met1 ( 41170 175610 ) ( 43470 * )
NEW met2 ( 43470 173060 ) ( * 175610 )
NEW met3 ( 43470 173060 ) ( 44620 * )
NEW met3 ( 44620 172380 ) ( * 173060 )
NEW met3 ( 44620 172380 ) ( 47150 * )
NEW met2 ( 47150 159630 ) ( * 172380 )
NEW met1 ( 42090 159630 ) ( 47150 * )
NEW met2 ( 19550 193460 ) ( * 196180 0 )
NEW met2 ( 19090 193460 ) ( 19550 * )
NEW met2 ( 19090 189210 ) ( * 193460 )
NEW met1 ( 19090 189210 ) ( 20010 * )
NEW met2 ( 20010 187170 ) ( * 189210 )
NEW met1 ( 20010 187170 ) ( 20470 * )
NEW met1 ( 20470 186830 ) ( * 187170 )
NEW met2 ( 20470 178330 ) ( * 186830 )
NEW met1 ( 20470 178330 ) ( 23230 * )
NEW li1 ( 23230 178330 ) L1M1_PR_MR
NEW met1 ( 41170 177310 ) M1M2_PR
NEW met1 ( 41170 175610 ) M1M2_PR
NEW met1 ( 43470 175610 ) M1M2_PR
NEW met2 ( 43470 173060 ) M2M3_PR_M
NEW met2 ( 47150 172380 ) M2M3_PR_M
NEW met1 ( 47150 159630 ) M1M2_PR
NEW li1 ( 42090 159630 ) L1M1_PR_MR
NEW met1 ( 19090 189210 ) M1M2_PR
NEW met1 ( 20010 189210 ) M1M2_PR
NEW met1 ( 20010 187170 ) M1M2_PR
NEW met1 ( 20470 186830 ) M1M2_PR
NEW met1 ( 20470 178330 ) M1M2_PR ;
- dcache_to_mem_data_in[29] ( PIN dcache_to_mem_data_in[29] ) ( ANTENNA_input180_A DIODE ) ( input180 A ) + USE SIGNAL
+ ROUTED met1 ( 23230 17170 ) ( 35650 * )
NEW met2 ( 35650 17170 ) ( * 29410 )
NEW met1 ( 35650 29410 ) ( 45310 * )
NEW met1 ( 45310 29070 ) ( * 29410 )
NEW met2 ( 22310 3740 0 ) ( * 13090 )
NEW met2 ( 22310 13090 ) ( 23230 * )
NEW met2 ( 23230 13090 ) ( * 14110 )
NEW met2 ( 22770 14110 ) ( 23230 * )
NEW met2 ( 22770 14110 ) ( * 17170 )
NEW met1 ( 22770 17170 ) ( 23230 * )
NEW met1 ( 45310 29070 ) ( 53590 * )
NEW li1 ( 53590 29070 ) L1M1_PR_MR
NEW li1 ( 23230 17170 ) L1M1_PR_MR
NEW met1 ( 35650 17170 ) M1M2_PR
NEW met1 ( 35650 29410 ) M1M2_PR
NEW met1 ( 22770 17170 ) M1M2_PR ;
- dcache_to_mem_data_in[2] ( PIN dcache_to_mem_data_in[2] ) ( ANTENNA_input181_A DIODE ) ( input181 A ) + USE SIGNAL
+ ROUTED met1 ( 179170 55590 ) ( 180090 * )
NEW met2 ( 179170 52020 ) ( * 55590 )
NEW met3 ( 179170 52020 ) ( 196420 * 0 )
NEW met1 ( 174110 60690 ) ( 177330 * )
NEW met2 ( 177330 56100 ) ( * 60690 )
NEW met2 ( 177330 56100 ) ( 177790 * )
NEW met2 ( 177790 55590 ) ( * 56100 )
NEW met1 ( 177790 55590 ) ( 179170 * )
NEW li1 ( 180090 55590 ) L1M1_PR_MR
NEW met1 ( 179170 55590 ) M1M2_PR
NEW met2 ( 179170 52020 ) M2M3_PR_M
NEW li1 ( 174110 60690 ) L1M1_PR_MR
NEW met1 ( 177330 60690 ) M1M2_PR
NEW met1 ( 177790 55590 ) M1M2_PR ;
- dcache_to_mem_data_in[30] ( PIN dcache_to_mem_data_in[30] ) ( ANTENNA_input182_A DIODE ) ( input182 A ) + USE SIGNAL
+ ROUTED met1 ( 179170 66470 ) ( 181010 * )
NEW met2 ( 179170 66470 ) ( * 68340 )
NEW met3 ( 179170 68340 ) ( 196420 * 0 )
NEW met1 ( 176870 68510 ) ( 179170 * )
NEW met2 ( 179170 68340 ) ( * 68510 )
NEW li1 ( 181010 66470 ) L1M1_PR_MR
NEW met1 ( 179170 66470 ) M1M2_PR
NEW met2 ( 179170 68340 ) M2M3_PR_M
NEW li1 ( 176870 68510 ) L1M1_PR_MR
NEW met1 ( 179170 68510 ) M1M2_PR
NEW met2 ( 179170 68510 ) RECT ( -70 0 70 315 ) ;
- dcache_to_mem_data_in[31] ( PIN dcache_to_mem_data_in[31] ) ( ANTENNA_input183_A DIODE ) ( input183 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 76500 ) ( * 77350 )
NEW met3 ( 186530 76500 ) ( 196420 * 0 )
NEW met1 ( 180090 75650 ) ( 186530 * )
NEW met2 ( 186530 75650 ) ( * 76500 )
NEW li1 ( 186530 77350 ) L1M1_PR_MR
NEW met1 ( 186530 77350 ) M1M2_PR
NEW met2 ( 186530 76500 ) M2M3_PR_M
NEW li1 ( 180090 75650 ) L1M1_PR_MR
NEW met1 ( 186530 75650 ) M1M2_PR
NEW met1 ( 186530 77350 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[32] ( PIN dcache_to_mem_data_in[32] ) ( ANTENNA_input184_A DIODE ) ( input184 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 72420 ) ( * 74630 )
NEW met3 ( 3220 72420 0 ) ( 11270 * )
NEW met1 ( 11270 74630 ) ( 20930 * )
NEW li1 ( 11270 74630 ) L1M1_PR_MR
NEW met1 ( 11270 74630 ) M1M2_PR
NEW met2 ( 11270 72420 ) M2M3_PR_M
NEW li1 ( 20930 74630 ) L1M1_PR_MR
NEW met1 ( 11270 74630 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[33] ( PIN dcache_to_mem_data_in[33] ) ( ANTENNA_input185_A DIODE ) ( input185 A ) + USE SIGNAL
+ ROUTED met2 ( 151110 32300 ) ( * 39270 )
NEW met2 ( 151110 32300 ) ( 151570 * )
NEW met2 ( 151570 20060 ) ( * 32300 )
NEW met3 ( 151570 20060 ) ( 152950 * )
NEW met1 ( 149730 44030 ) ( 151110 * )
NEW met2 ( 151110 39270 ) ( * 44030 )
NEW met2 ( 152950 3740 0 ) ( * 20060 )
NEW li1 ( 151110 39270 ) L1M1_PR_MR
NEW met1 ( 151110 39270 ) M1M2_PR
NEW met2 ( 151570 20060 ) M2M3_PR_M
NEW met2 ( 152950 20060 ) M2M3_PR_M
NEW li1 ( 149730 44030 ) L1M1_PR_MR
NEW met1 ( 151110 44030 ) M1M2_PR
NEW met1 ( 151110 39270 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[34] ( PIN dcache_to_mem_data_in[34] ) ( ANTENNA_input186_A DIODE ) ( input186 A ) + USE SIGNAL
+ ROUTED met1 ( 51290 167370 ) ( * 167450 )
NEW met1 ( 50830 167450 ) ( 51290 * )
NEW met2 ( 50830 167450 ) ( * 196180 0 )
NEW met2 ( 50830 162690 ) ( * 167450 )
NEW li1 ( 51290 167370 ) L1M1_PR_MR
NEW met1 ( 50830 167450 ) M1M2_PR
NEW li1 ( 50830 162690 ) L1M1_PR_MR
NEW met1 ( 50830 162690 ) M1M2_PR
NEW met1 ( 50830 162690 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[35] ( PIN dcache_to_mem_data_in[35] ) ( ANTENNA_input187_A DIODE ) ( input187 A ) + USE SIGNAL
+ ROUTED met1 ( 42090 186490 ) ( 44850 * )
NEW met2 ( 44850 183260 ) ( * 186490 )
NEW met2 ( 44390 183260 ) ( 44850 * )
NEW met2 ( 44390 175610 ) ( * 183260 )
NEW met1 ( 44390 175610 ) ( 48070 * )
NEW met2 ( 48070 159970 ) ( * 175610 )
NEW met2 ( 37950 186490 ) ( * 196180 0 )
NEW met1 ( 37950 186490 ) ( 42090 * )
NEW li1 ( 42090 186490 ) L1M1_PR_MR
NEW met1 ( 44850 186490 ) M1M2_PR
NEW met1 ( 44390 175610 ) M1M2_PR
NEW met1 ( 48070 175610 ) M1M2_PR
NEW li1 ( 48070 159970 ) L1M1_PR_MR
NEW met1 ( 48070 159970 ) M1M2_PR
NEW met1 ( 37950 186490 ) M1M2_PR
NEW met1 ( 48070 159970 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[36] ( PIN dcache_to_mem_data_in[36] ) ( ANTENNA_input188_A DIODE ) ( input188 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 121380 ) ( * 123930 )
NEW met3 ( 3220 121380 0 ) ( 9430 * )
NEW met1 ( 9430 123930 ) ( 18170 * )
NEW li1 ( 9430 123930 ) L1M1_PR_MR
NEW met1 ( 9430 123930 ) M1M2_PR
NEW met2 ( 9430 121380 ) M2M3_PR_M
NEW li1 ( 18170 123930 ) L1M1_PR_MR
NEW met1 ( 9430 123930 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[37] ( PIN dcache_to_mem_data_in[37] ) ( ANTENNA_input189_A DIODE ) ( input189 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 158950 ) ( 7590 * )
NEW met1 ( 7590 158950 ) ( * 159970 )
NEW met2 ( 7590 159970 ) ( * 160820 )
NEW met3 ( 3220 160820 0 ) ( 7590 * )
NEW met1 ( 7590 159290 ) ( 17250 * )
NEW met2 ( 17250 151980 ) ( 17710 * )
NEW met2 ( 17710 143310 ) ( * 151980 )
NEW met1 ( 17710 143310 ) ( 19090 * )
NEW met2 ( 17250 151980 ) ( * 159290 )
NEW li1 ( 7130 158950 ) L1M1_PR_MR
NEW met1 ( 7590 159970 ) M1M2_PR
NEW met2 ( 7590 160820 ) M2M3_PR_M
NEW met1 ( 17250 159290 ) M1M2_PR
NEW met1 ( 17710 143310 ) M1M2_PR
NEW li1 ( 19090 143310 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[38] ( PIN dcache_to_mem_data_in[38] ) ( ANTENNA_input190_A DIODE ) ( input190 A ) + USE SIGNAL
+ ROUTED met1 ( 64630 15130 ) ( 66010 * )
NEW met2 ( 66010 15130 ) ( * 17510 )
NEW met1 ( 64630 17510 ) ( 66010 * )
NEW met2 ( 64630 17510 ) ( * 30430 )
NEW met1 ( 63710 30430 ) ( 64630 * )
NEW met2 ( 63710 3740 0 ) ( * 13090 )
NEW met1 ( 63710 13090 ) ( 66010 * )
NEW met2 ( 66010 13090 ) ( * 15130 )
NEW li1 ( 64630 15130 ) L1M1_PR_MR
NEW met1 ( 66010 15130 ) M1M2_PR
NEW met1 ( 66010 17510 ) M1M2_PR
NEW met1 ( 64630 17510 ) M1M2_PR
NEW met1 ( 64630 30430 ) M1M2_PR
NEW li1 ( 63710 30430 ) L1M1_PR_MR
NEW met1 ( 63710 13090 ) M1M2_PR
NEW met1 ( 66010 13090 ) M1M2_PR ;
- dcache_to_mem_data_in[39] ( PIN dcache_to_mem_data_in[39] ) ( ANTENNA_input191_A DIODE ) ( input191 A ) + USE SIGNAL
+ ROUTED met1 ( 176410 15130 ) ( 178250 * )
NEW met2 ( 176410 15130 ) ( * 18020 )
NEW met3 ( 171580 18020 ) ( 176410 * )
NEW met4 ( 171580 18020 ) ( * 56100 )
NEW met3 ( 171350 56100 ) ( 171580 * )
NEW met2 ( 171350 56100 ) ( * 57630 )
NEW met1 ( 169970 57630 ) ( 171350 * )
NEW met2 ( 177790 3740 0 ) ( * 15130 )
NEW li1 ( 178250 15130 ) L1M1_PR_MR
NEW met1 ( 176410 15130 ) M1M2_PR
NEW met2 ( 176410 18020 ) M2M3_PR_M
NEW met3 ( 171580 18020 ) M3M4_PR_M
NEW met3 ( 171580 56100 ) M3M4_PR_M
NEW met2 ( 171350 56100 ) M2M3_PR_M
NEW met1 ( 171350 57630 ) M1M2_PR
NEW li1 ( 169970 57630 ) L1M1_PR_MR
NEW met1 ( 177790 15130 ) M1M2_PR
NEW met3 ( 171580 56100 ) RECT ( 0 -150 390 150 )
NEW met1 ( 177790 15130 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[3] ( PIN dcache_to_mem_data_in[3] ) ( ANTENNA_input192_A DIODE ) ( input192 A ) + USE SIGNAL
+ ROUTED met1 ( 120750 31450 ) ( 121210 * )
NEW met2 ( 120750 3740 0 ) ( * 31450 )
NEW met2 ( 120750 31450 ) ( * 35870 )
NEW li1 ( 121210 31450 ) L1M1_PR_MR
NEW met1 ( 120750 31450 ) M1M2_PR
NEW li1 ( 120750 35870 ) L1M1_PR_MR
NEW met1 ( 120750 35870 ) M1M2_PR
NEW met1 ( 120750 35870 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[40] ( PIN dcache_to_mem_data_in[40] ) ( ANTENNA_input193_A DIODE ) ( input193 A ) + USE SIGNAL
+ ROUTED met1 ( 119370 28390 ) ( 122590 * )
NEW met2 ( 122590 21420 ) ( * 28390 )
NEW met3 ( 111550 21420 ) ( 122590 * )
NEW met2 ( 111550 3740 0 ) ( * 21420 )
NEW met1 ( 117990 35870 ) ( 118450 * )
NEW met2 ( 118450 28390 ) ( * 35870 )
NEW met1 ( 118450 28390 ) ( 119370 * )
NEW li1 ( 119370 28390 ) L1M1_PR_MR
NEW met1 ( 122590 28390 ) M1M2_PR
NEW met2 ( 122590 21420 ) M2M3_PR_M
NEW met2 ( 111550 21420 ) M2M3_PR_M
NEW li1 ( 117990 35870 ) L1M1_PR_MR
NEW met1 ( 118450 35870 ) M1M2_PR
NEW met1 ( 118450 28390 ) M1M2_PR ;
- dcache_to_mem_data_in[41] ( PIN dcache_to_mem_data_in[41] ) ( ANTENNA_input194_A DIODE ) ( input194 A ) + USE SIGNAL
+ ROUTED met1 ( 150190 162690 ) ( 151110 * )
NEW met2 ( 151110 162690 ) ( * 196180 0 )
NEW met1 ( 151110 164390 ) ( 152490 * )
NEW li1 ( 150190 162690 ) L1M1_PR_MR
NEW met1 ( 151110 162690 ) M1M2_PR
NEW li1 ( 152490 164390 ) L1M1_PR_MR
NEW met1 ( 151110 164390 ) M1M2_PR
NEW met2 ( 151110 164390 ) RECT ( -70 -485 70 0 ) ;
- dcache_to_mem_data_in[42] ( PIN dcache_to_mem_data_in[42] ) ( ANTENNA_input195_A DIODE ) ( input195 A ) + USE SIGNAL
+ ROUTED met2 ( 14030 79390 ) ( * 80410 )
NEW met1 ( 14030 79390 ) ( 16790 * )
NEW met2 ( 14030 77860 ) ( * 79390 )
NEW met3 ( 3220 77860 0 ) ( 14030 * )
NEW met1 ( 13570 80410 ) ( 14030 * )
NEW li1 ( 13570 80410 ) L1M1_PR_MR
NEW met1 ( 14030 80410 ) M1M2_PR
NEW met1 ( 14030 79390 ) M1M2_PR
NEW li1 ( 16790 79390 ) L1M1_PR_MR
NEW met2 ( 14030 77860 ) M2M3_PR_M ;
- dcache_to_mem_data_in[43] ( PIN dcache_to_mem_data_in[43] ) ( ANTENNA_input196_A DIODE ) ( input196 A ) + USE SIGNAL
+ ROUTED met1 ( 20470 47770 ) ( 21390 * )
NEW met2 ( 20470 46580 ) ( * 47770 )
NEW met1 ( 27370 49470 ) ( 27830 * )
NEW met2 ( 27370 47770 ) ( * 49470 )
NEW met1 ( 21390 47770 ) ( 27370 * )
NEW met3 ( 3220 46580 0 ) ( 20470 * )
NEW li1 ( 21390 47770 ) L1M1_PR_MR
NEW met1 ( 20470 47770 ) M1M2_PR
NEW met2 ( 20470 46580 ) M2M3_PR_M
NEW li1 ( 27830 49470 ) L1M1_PR_MR
NEW met1 ( 27370 49470 ) M1M2_PR
NEW met1 ( 27370 47770 ) M1M2_PR ;
- dcache_to_mem_data_in[44] ( PIN dcache_to_mem_data_in[44] ) ( ANTENNA_input197_A DIODE ) ( input197 A ) + USE SIGNAL
+ ROUTED met1 ( 179170 123930 ) ( 180090 * )
NEW met2 ( 179170 122740 ) ( * 123930 )
NEW met3 ( 179170 122740 ) ( 196420 * 0 )
NEW met1 ( 176870 123930 ) ( 179170 * )
NEW li1 ( 180090 123930 ) L1M1_PR_MR
NEW met1 ( 179170 123930 ) M1M2_PR
NEW met2 ( 179170 122740 ) M2M3_PR_M
NEW li1 ( 176870 123930 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[45] ( PIN dcache_to_mem_data_in[45] ) ( ANTENNA_input198_A DIODE ) ( input198 A ) + USE SIGNAL
+ ROUTED met1 ( 176410 180710 ) ( 186530 * )
NEW met2 ( 176410 179180 ) ( * 180710 )
NEW met3 ( 171580 179180 ) ( 176410 * )
NEW met4 ( 171580 160140 ) ( * 179180 )
NEW met3 ( 170890 160140 ) ( 171580 * )
NEW met3 ( 194810 185300 ) ( 196420 * 0 )
NEW met2 ( 194810 185130 ) ( * 185300 )
NEW li1 ( 194810 181050 ) ( * 185130 )
NEW met1 ( 186530 181050 ) ( 194810 * )
NEW met1 ( 186530 180710 ) ( * 181050 )
NEW met1 ( 165830 154530 ) ( 170890 * )
NEW met2 ( 170890 154530 ) ( * 160140 )
NEW li1 ( 186530 180710 ) L1M1_PR_MR
NEW met1 ( 176410 180710 ) M1M2_PR
NEW met2 ( 176410 179180 ) M2M3_PR_M
NEW met3 ( 171580 179180 ) M3M4_PR_M
NEW met3 ( 171580 160140 ) M3M4_PR_M
NEW met2 ( 170890 160140 ) M2M3_PR_M
NEW met2 ( 194810 185300 ) M2M3_PR_M
NEW li1 ( 194810 185130 ) L1M1_PR_MR
NEW met1 ( 194810 185130 ) M1M2_PR
NEW li1 ( 194810 181050 ) L1M1_PR_MR
NEW li1 ( 165830 154530 ) L1M1_PR_MR
NEW met1 ( 170890 154530 ) M1M2_PR
NEW met1 ( 194810 185130 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[46] ( PIN dcache_to_mem_data_in[46] ) ( ANTENNA_input199_A DIODE ) ( input199 A ) + USE SIGNAL
+ ROUTED met1 ( 132250 167450 ) ( 132710 * )
NEW met2 ( 132710 167450 ) ( * 172550 )
NEW met1 ( 130410 172550 ) ( 132710 * )
NEW met2 ( 130410 172550 ) ( * 181220 )
NEW met2 ( 130410 181220 ) ( 130870 * )
NEW met2 ( 130870 181220 ) ( * 196180 0 )
NEW met1 ( 130870 159630 ) ( 132710 * )
NEW met2 ( 132710 159630 ) ( * 167450 )
NEW li1 ( 132250 167450 ) L1M1_PR_MR
NEW met1 ( 132710 167450 ) M1M2_PR
NEW met1 ( 132710 172550 ) M1M2_PR
NEW met1 ( 130410 172550 ) M1M2_PR
NEW li1 ( 130870 159630 ) L1M1_PR_MR
NEW met1 ( 132710 159630 ) M1M2_PR ;
- dcache_to_mem_data_in[47] ( PIN dcache_to_mem_data_in[47] ) ( ANTENNA_input200_A DIODE ) ( input200 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 129710 ) ( * 130900 )
NEW met3 ( 3220 130900 0 ) ( 9430 * )
NEW met2 ( 9430 127330 ) ( * 129710 )
NEW met1 ( 9430 127330 ) ( 19090 * )
NEW li1 ( 9430 129710 ) L1M1_PR_MR
NEW met1 ( 9430 129710 ) M1M2_PR
NEW met2 ( 9430 130900 ) M2M3_PR_M
NEW met1 ( 9430 127330 ) M1M2_PR
NEW li1 ( 19090 127330 ) L1M1_PR_MR
NEW met1 ( 9430 129710 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[48] ( PIN dcache_to_mem_data_in[48] ) ( ANTENNA_input201_A DIODE ) ( input201 A ) + USE SIGNAL
+ ROUTED met2 ( 179170 105060 ) ( * 107610 )
NEW met3 ( 179170 105060 ) ( 196420 * 0 )
NEW met2 ( 179170 107610 ) ( * 109310 )
NEW li1 ( 179170 107610 ) L1M1_PR_MR
NEW met1 ( 179170 107610 ) M1M2_PR
NEW met2 ( 179170 105060 ) M2M3_PR_M
NEW li1 ( 179170 109310 ) L1M1_PR_MR
NEW met1 ( 179170 109310 ) M1M2_PR
NEW met1 ( 179170 107610 ) RECT ( -355 -70 0 70 )
NEW met1 ( 179170 109310 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[49] ( PIN dcache_to_mem_data_in[49] ) ( ANTENNA_input202_A DIODE ) ( input202 A ) + USE SIGNAL
+ ROUTED met1 ( 74750 8670 ) ( 77970 * )
NEW met2 ( 74750 3740 0 ) ( * 8670 )
NEW met1 ( 77050 12070 ) ( 77970 * )
NEW met2 ( 77970 8670 ) ( * 33150 )
NEW met1 ( 77970 8670 ) M1M2_PR
NEW met1 ( 74750 8670 ) M1M2_PR
NEW li1 ( 77050 12070 ) L1M1_PR_MR
NEW met1 ( 77970 12070 ) M1M2_PR
NEW li1 ( 77970 33150 ) L1M1_PR_MR
NEW met1 ( 77970 33150 ) M1M2_PR
NEW met2 ( 77970 12070 ) RECT ( -70 -485 70 0 )
NEW met1 ( 77970 33150 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[4] ( PIN dcache_to_mem_data_in[4] ) ( ANTENNA_input203_A DIODE ) ( input203 A ) + USE SIGNAL
+ ROUTED met1 ( 183770 159970 ) ( 189290 * )
NEW met2 ( 183770 159970 ) ( * 160140 )
NEW met2 ( 183310 160140 ) ( 183770 * )
NEW met2 ( 183310 160140 ) ( * 164220 )
NEW met2 ( 183310 164220 ) ( 183770 * )
NEW met2 ( 183770 164220 ) ( * 188020 )
NEW met3 ( 183770 188020 ) ( 196420 * 0 )
NEW met1 ( 182390 148070 ) ( 184690 * )
NEW met2 ( 184690 148070 ) ( * 148750 )
NEW met1 ( 184690 148750 ) ( 189290 * )
NEW met1 ( 179630 143650 ) ( 181930 * )
NEW met2 ( 181930 143650 ) ( * 148070 )
NEW met1 ( 181930 148070 ) ( 182390 * )
NEW met2 ( 189290 148750 ) ( * 159970 )
NEW met1 ( 189290 159970 ) M1M2_PR
NEW met1 ( 183770 159970 ) M1M2_PR
NEW met2 ( 183770 188020 ) M2M3_PR_M
NEW li1 ( 182390 148070 ) L1M1_PR_MR
NEW met1 ( 184690 148070 ) M1M2_PR
NEW met1 ( 184690 148750 ) M1M2_PR
NEW met1 ( 189290 148750 ) M1M2_PR
NEW li1 ( 179630 143650 ) L1M1_PR_MR
NEW met1 ( 181930 143650 ) M1M2_PR
NEW met1 ( 181930 148070 ) M1M2_PR ;
- dcache_to_mem_data_in[50] ( PIN dcache_to_mem_data_in[50] ) ( ANTENNA_input204_A DIODE ) ( input204 A ) + USE SIGNAL
+ ROUTED met2 ( 71070 3740 0 ) ( * 7140 )
NEW met2 ( 71070 7140 ) ( 71530 * )
NEW met1 ( 72910 28390 ) ( 75670 * )
NEW met2 ( 72910 26350 ) ( * 28390 )
NEW met1 ( 71530 26350 ) ( 72910 * )
NEW met2 ( 74290 28390 ) ( * 35870 )
NEW met2 ( 71530 7140 ) ( * 26350 )
NEW li1 ( 75670 28390 ) L1M1_PR_MR
NEW met1 ( 72910 28390 ) M1M2_PR
NEW met1 ( 72910 26350 ) M1M2_PR
NEW met1 ( 71530 26350 ) M1M2_PR
NEW li1 ( 74290 35870 ) L1M1_PR_MR
NEW met1 ( 74290 35870 ) M1M2_PR
NEW met1 ( 74290 28390 ) M1M2_PR
NEW met1 ( 74290 35870 ) RECT ( -355 -70 0 70 )
NEW met1 ( 74290 28390 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[51] ( PIN dcache_to_mem_data_in[51] ) ( ANTENNA_input205_A DIODE ) ( input205 A ) + USE SIGNAL
+ ROUTED met1 ( 167210 180710 ) ( 170890 * )
NEW met1 ( 167210 180710 ) ( * 181050 )
NEW met1 ( 161690 181050 ) ( 167210 * )
NEW met2 ( 161690 175100 ) ( * 181050 )
NEW met3 ( 161690 175100 ) ( 162380 * )
NEW met4 ( 162380 163540 ) ( * 175100 )
NEW met3 ( 162380 163540 ) ( 162610 * )
NEW met2 ( 173190 190230 ) ( * 196180 0 )
NEW met1 ( 169970 190230 ) ( 173190 * )
NEW met2 ( 169970 180710 ) ( * 190230 )
NEW met2 ( 162610 154530 ) ( * 163540 )
NEW li1 ( 170890 180710 ) L1M1_PR_MR
NEW met1 ( 161690 181050 ) M1M2_PR
NEW met2 ( 161690 175100 ) M2M3_PR_M
NEW met3 ( 162380 175100 ) M3M4_PR_M
NEW met3 ( 162380 163540 ) M3M4_PR_M
NEW met2 ( 162610 163540 ) M2M3_PR_M
NEW met1 ( 173190 190230 ) M1M2_PR
NEW met1 ( 169970 190230 ) M1M2_PR
NEW met1 ( 169970 180710 ) M1M2_PR
NEW li1 ( 162610 154530 ) L1M1_PR_MR
NEW met1 ( 162610 154530 ) M1M2_PR
NEW met3 ( 162380 163540 ) RECT ( -390 -150 0 150 )
NEW met1 ( 169970 180710 ) RECT ( -595 -70 0 70 )
NEW met1 ( 162610 154530 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[52] ( PIN dcache_to_mem_data_in[52] ) ( ANTENNA_input206_A DIODE ) ( input206 A ) + USE SIGNAL
+ ROUTED met1 ( 112010 164390 ) ( 112470 * )
NEW met2 ( 112010 164390 ) ( * 183260 )
NEW met2 ( 111550 183260 ) ( 112010 * )
NEW met2 ( 111550 183260 ) ( * 196180 0 )
NEW met1 ( 112010 159970 ) ( 114310 * )
NEW met2 ( 112010 159970 ) ( * 164390 )
NEW li1 ( 112470 164390 ) L1M1_PR_MR
NEW met1 ( 112010 164390 ) M1M2_PR
NEW li1 ( 114310 159970 ) L1M1_PR_MR
NEW met1 ( 112010 159970 ) M1M2_PR ;
- dcache_to_mem_data_in[53] ( PIN dcache_to_mem_data_in[53] ) ( ANTENNA_input207_A DIODE ) ( input207 A ) + USE SIGNAL
+ ROUTED met1 ( 194810 4590 ) ( 196190 * )
NEW met2 ( 194810 4420 ) ( * 4590 )
NEW met3 ( 194810 4420 ) ( 196420 * 0 )
NEW li1 ( 196190 4590 ) ( * 13800 )
NEW li1 ( 196190 13800 ) ( 197570 * )
NEW li1 ( 197570 13800 ) ( * 27370 )
NEW met1 ( 197110 27370 ) ( 197570 * )
NEW met2 ( 197110 27370 ) ( * 40630 )
NEW li1 ( 197110 40630 ) ( * 47090 )
NEW li1 ( 196650 47090 ) ( 197110 * )
NEW li1 ( 196650 47090 ) ( * 55250 )
NEW met1 ( 183310 55250 ) ( 196650 * )
NEW met2 ( 183310 54740 ) ( * 55250 )
NEW met3 ( 166290 54740 ) ( 183310 * )
NEW met2 ( 166290 54740 ) ( * 55590 )
NEW met1 ( 165370 55590 ) ( 166290 * )
NEW met1 ( 186530 14450 ) ( * 14790 )
NEW met1 ( 186530 14450 ) ( 196190 * )
NEW li1 ( 196190 13800 ) ( * 14450 )
NEW li1 ( 196190 4590 ) L1M1_PR_MR
NEW met1 ( 194810 4590 ) M1M2_PR
NEW met2 ( 194810 4420 ) M2M3_PR_M
NEW li1 ( 197570 27370 ) L1M1_PR_MR
NEW met1 ( 197110 27370 ) M1M2_PR
NEW li1 ( 197110 40630 ) L1M1_PR_MR
NEW met1 ( 197110 40630 ) M1M2_PR
NEW li1 ( 196650 55250 ) L1M1_PR_MR
NEW met1 ( 183310 55250 ) M1M2_PR
NEW met2 ( 183310 54740 ) M2M3_PR_M
NEW met2 ( 166290 54740 ) M2M3_PR_M
NEW met1 ( 166290 55590 ) M1M2_PR
NEW li1 ( 165370 55590 ) L1M1_PR_MR
NEW li1 ( 186530 14790 ) L1M1_PR_MR
NEW li1 ( 196190 14450 ) L1M1_PR_MR
NEW met1 ( 197110 40630 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[54] ( PIN dcache_to_mem_data_in[54] ) ( ANTENNA_input208_A DIODE ) ( input208 A ) + USE SIGNAL
+ ROUTED met2 ( 15410 69530 ) ( * 71230 )
NEW met1 ( 15410 71230 ) ( 19090 * )
NEW met2 ( 15410 64260 ) ( * 69530 )
NEW met3 ( 3220 64260 0 ) ( 15410 * )
NEW met1 ( 12650 69530 ) ( 15410 * )
NEW li1 ( 12650 69530 ) L1M1_PR_MR
NEW met1 ( 15410 69530 ) M1M2_PR
NEW met1 ( 15410 71230 ) M1M2_PR
NEW li1 ( 19090 71230 ) L1M1_PR_MR
NEW met2 ( 15410 64260 ) M2M3_PR_M ;
- dcache_to_mem_data_in[55] ( PIN dcache_to_mem_data_in[55] ) ( ANTENNA_input209_A DIODE ) ( input209 A ) + USE SIGNAL
+ ROUTED met1 ( 166750 47770 ) ( 169510 * )
NEW met2 ( 169510 37060 ) ( * 47770 )
NEW met3 ( 168820 37060 ) ( 169510 * )
NEW met4 ( 168820 15300 ) ( * 37060 )
NEW met3 ( 166750 15300 ) ( 168820 * )
NEW met2 ( 166290 47770 ) ( * 50150 )
NEW met1 ( 166290 47770 ) ( 166750 * )
NEW met2 ( 166750 3740 0 ) ( * 15300 )
NEW met1 ( 156630 50150 ) ( 166290 * )
NEW li1 ( 166750 47770 ) L1M1_PR_MR
NEW met1 ( 169510 47770 ) M1M2_PR
NEW met2 ( 169510 37060 ) M2M3_PR_M
NEW met3 ( 168820 37060 ) M3M4_PR_M
NEW met3 ( 168820 15300 ) M3M4_PR_M
NEW met2 ( 166750 15300 ) M2M3_PR_M
NEW met1 ( 166290 50150 ) M1M2_PR
NEW met1 ( 166290 47770 ) M1M2_PR
NEW li1 ( 156630 50150 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[56] ( PIN dcache_to_mem_data_in[56] ) ( ANTENNA_input210_A DIODE ) ( input210 A ) + USE SIGNAL
+ ROUTED met1 ( 13110 20910 ) ( * 21250 )
NEW met3 ( 3220 18020 0 ) ( 6900 * )
NEW met3 ( 6900 18020 ) ( * 18700 )
NEW met2 ( 17710 21250 ) ( * 28220 )
NEW met2 ( 17710 28220 ) ( 18170 * )
NEW met2 ( 18170 28220 ) ( * 28730 )
NEW met2 ( 18170 28730 ) ( 18630 * )
NEW met2 ( 18630 28730 ) ( * 35020 )
NEW met3 ( 18630 35020 ) ( * 36380 )
NEW met3 ( 18630 36380 ) ( 30590 * )
NEW met2 ( 30590 36380 ) ( * 39270 )
NEW met1 ( 30590 39270 ) ( 37950 * )
NEW met2 ( 14950 18700 ) ( * 21250 )
NEW met3 ( 6900 18700 ) ( 14950 * )
NEW met1 ( 13110 21250 ) ( 17710 * )
NEW li1 ( 13110 20910 ) L1M1_PR_MR
NEW met1 ( 17710 21250 ) M1M2_PR
NEW met2 ( 18630 35020 ) M2M3_PR_M
NEW met2 ( 30590 36380 ) M2M3_PR_M
NEW met1 ( 30590 39270 ) M1M2_PR
NEW li1 ( 37950 39270 ) L1M1_PR_MR
NEW met2 ( 14950 18700 ) M2M3_PR_M
NEW met1 ( 14950 21250 ) M1M2_PR
NEW met1 ( 14950 21250 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[57] ( PIN dcache_to_mem_data_in[57] ) ( ANTENNA_input211_A DIODE ) ( input211 A ) + USE SIGNAL
+ ROUTED met1 ( 198950 177310 ) ( 199410 * )
NEW met2 ( 198950 177310 ) ( * 196180 0 )
NEW met1 ( 179630 148070 ) ( 180090 * )
NEW met2 ( 179630 145350 ) ( * 148070 )
NEW met1 ( 179630 145350 ) ( 199410 * )
NEW met1 ( 176870 142970 ) ( 179170 * )
NEW met2 ( 179170 142970 ) ( * 143140 )
NEW met2 ( 179170 143140 ) ( 179630 * )
NEW met2 ( 179630 143140 ) ( * 145350 )
NEW li1 ( 199410 145350 ) ( * 177310 )
NEW li1 ( 199410 177310 ) L1M1_PR_MR
NEW met1 ( 198950 177310 ) M1M2_PR
NEW li1 ( 180090 148070 ) L1M1_PR_MR
NEW met1 ( 179630 148070 ) M1M2_PR
NEW met1 ( 179630 145350 ) M1M2_PR
NEW li1 ( 199410 145350 ) L1M1_PR_MR
NEW li1 ( 176870 142970 ) L1M1_PR_MR
NEW met1 ( 179170 142970 ) M1M2_PR ;
- dcache_to_mem_data_in[58] ( PIN dcache_to_mem_data_in[58] ) ( ANTENNA_input212_A DIODE ) ( input212 A ) + USE SIGNAL
+ ROUTED met1 ( 60030 17510 ) ( 61870 * )
NEW met2 ( 60030 17510 ) ( * 27710 )
NEW met1 ( 58650 27710 ) ( 60030 * )
NEW met2 ( 60950 3740 0 ) ( * 17510 )
NEW li1 ( 61870 17510 ) L1M1_PR_MR
NEW met1 ( 60030 17510 ) M1M2_PR
NEW met1 ( 60030 27710 ) M1M2_PR
NEW li1 ( 58650 27710 ) L1M1_PR_MR
NEW met1 ( 60950 17510 ) M1M2_PR
NEW met1 ( 60950 17510 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[59] ( PIN dcache_to_mem_data_in[59] ) ( ANTENNA_input213_A DIODE ) ( input213 A ) + USE SIGNAL
+ ROUTED met1 ( 14030 98770 ) ( 25070 * )
NEW met2 ( 14030 96900 ) ( * 98770 )
NEW met3 ( 3220 96900 0 ) ( 14030 * )
NEW li1 ( 14030 98770 ) L1M1_PR_MR
NEW li1 ( 25070 98770 ) L1M1_PR_MR
NEW met2 ( 14030 96900 ) M2M3_PR_M
NEW met1 ( 14030 98770 ) M1M2_PR
NEW met1 ( 14030 98770 ) RECT ( 0 -70 595 70 ) ;
- dcache_to_mem_data_in[5] ( PIN dcache_to_mem_data_in[5] ) ( ANTENNA_input214_A DIODE ) ( input214 A ) + USE SIGNAL
+ ROUTED met1 ( 57730 186490 ) ( * 186830 )
NEW met1 ( 52670 186830 ) ( 57730 * )
NEW met2 ( 52670 186830 ) ( * 196180 0 )
NEW met2 ( 60030 165410 ) ( * 186490 )
NEW met1 ( 57730 186490 ) ( 60030 * )
NEW li1 ( 57730 186490 ) L1M1_PR_MR
NEW met1 ( 52670 186830 ) M1M2_PR
NEW li1 ( 60030 165410 ) L1M1_PR_MR
NEW met1 ( 60030 165410 ) M1M2_PR
NEW met1 ( 60030 186490 ) M1M2_PR
NEW met1 ( 60030 165410 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[60] ( PIN dcache_to_mem_data_in[60] ) ( ANTENNA_input215_A DIODE ) ( input215 A ) + USE SIGNAL
+ ROUTED met1 ( 186530 164730 ) ( * 165070 )
NEW met1 ( 164910 165070 ) ( 186530 * )
NEW met2 ( 164910 159290 ) ( * 165070 )
NEW met1 ( 158010 159290 ) ( 164910 * )
NEW met1 ( 158010 159290 ) ( * 159630 )
NEW met1 ( 154790 159630 ) ( 158010 * )
NEW met3 ( 186990 162180 ) ( 196420 * 0 )
NEW met2 ( 186990 162180 ) ( * 164730 )
NEW met1 ( 186530 164730 ) ( 186990 * )
NEW li1 ( 186530 164730 ) L1M1_PR_MR
NEW met1 ( 164910 165070 ) M1M2_PR
NEW met1 ( 164910 159290 ) M1M2_PR
NEW li1 ( 154790 159630 ) L1M1_PR_MR
NEW met2 ( 186990 162180 ) M2M3_PR_M
NEW met1 ( 186990 164730 ) M1M2_PR ;
- dcache_to_mem_data_in[61] ( PIN dcache_to_mem_data_in[61] ) ( ANTENNA_input216_A DIODE ) ( input216 A ) + USE SIGNAL
+ ROUTED met1 ( 25070 41650 ) ( * 42330 )
NEW met1 ( 24150 41650 ) ( 25070 * )
NEW met2 ( 24150 27540 ) ( * 41650 )
NEW met1 ( 27370 45390 ) ( 31050 * )
NEW met2 ( 27370 42330 ) ( * 45390 )
NEW met1 ( 25070 42330 ) ( 27370 * )
NEW met3 ( 3220 27540 0 ) ( 24150 * )
NEW li1 ( 25070 42330 ) L1M1_PR_MR
NEW met1 ( 24150 41650 ) M1M2_PR
NEW met2 ( 24150 27540 ) M2M3_PR_M
NEW li1 ( 31050 45390 ) L1M1_PR_MR
NEW met1 ( 27370 45390 ) M1M2_PR
NEW met1 ( 27370 42330 ) M1M2_PR ;
- dcache_to_mem_data_in[62] ( PIN dcache_to_mem_data_in[62] ) ( ANTENNA_input217_A DIODE ) ( input217 A ) + USE SIGNAL
+ ROUTED met1 ( 121670 162010 ) ( 122130 * )
NEW met2 ( 121670 162010 ) ( * 178500 )
NEW met2 ( 121210 178500 ) ( 121670 * )
NEW met2 ( 121210 178500 ) ( * 183940 )
NEW met2 ( 120750 183940 ) ( 121210 * )
NEW met2 ( 120750 183940 ) ( * 196180 0 )
NEW met1 ( 119370 159970 ) ( 121670 * )
NEW met2 ( 121670 159970 ) ( * 162010 )
NEW li1 ( 122130 162010 ) L1M1_PR_MR
NEW met1 ( 121670 162010 ) M1M2_PR
NEW li1 ( 119370 159970 ) L1M1_PR_MR
NEW met1 ( 121670 159970 ) M1M2_PR ;
- dcache_to_mem_data_in[63] ( PIN dcache_to_mem_data_in[63] ) ( ANTENNA_input218_A DIODE ) ( input218 A ) + USE SIGNAL
+ ROUTED met1 ( 62790 184110 ) ( 64170 * )
NEW met2 ( 62790 184110 ) ( * 196180 0 )
NEW met1 ( 64170 168130 ) ( 65090 * )
NEW met2 ( 64170 168130 ) ( * 184110 )
NEW li1 ( 64170 184110 ) L1M1_PR_MR
NEW met1 ( 62790 184110 ) M1M2_PR
NEW li1 ( 65090 168130 ) L1M1_PR_MR
NEW met1 ( 64170 168130 ) M1M2_PR
NEW met1 ( 64170 184110 ) M1M2_PR
NEW met1 ( 64170 184110 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[64] ( PIN dcache_to_mem_data_in[64] ) ( ANTENNA_input219_A DIODE ) ( input219 A ) + USE SIGNAL
+ ROUTED met1 ( 176410 16830 ) ( * 17170 )
NEW met1 ( 176410 16830 ) ( 181010 * )
NEW met2 ( 181010 15980 ) ( * 16830 )
NEW met3 ( 178940 15980 ) ( 181010 * )
NEW met4 ( 178940 15980 ) ( * 38420 )
NEW met3 ( 162610 38420 ) ( 178940 * )
NEW met2 ( 162610 38420 ) ( * 48110 )
NEW met1 ( 181010 17170 ) ( 198950 * )
NEW met1 ( 181010 16830 ) ( * 17170 )
NEW met2 ( 198950 3740 0 ) ( * 17170 )
NEW met1 ( 152030 48110 ) ( 162610 * )
NEW li1 ( 176410 17170 ) L1M1_PR_MR
NEW met1 ( 181010 16830 ) M1M2_PR
NEW met2 ( 181010 15980 ) M2M3_PR_M
NEW met3 ( 178940 15980 ) M3M4_PR_M
NEW met3 ( 178940 38420 ) M3M4_PR_M
NEW met2 ( 162610 38420 ) M2M3_PR_M
NEW met1 ( 162610 48110 ) M1M2_PR
NEW met1 ( 198950 17170 ) M1M2_PR
NEW li1 ( 152030 48110 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[65] ( PIN dcache_to_mem_data_in[65] ) ( ANTENNA_input220_A DIODE ) ( input220 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 79220 ) ( * 80070 )
NEW met2 ( 19550 79220 ) ( * 79390 )
NEW met3 ( 3220 79220 0 ) ( 19550 * )
NEW li1 ( 11270 80070 ) L1M1_PR_MR
NEW met1 ( 11270 80070 ) M1M2_PR
NEW met2 ( 11270 79220 ) M2M3_PR_M
NEW met2 ( 19550 79220 ) M2M3_PR_M
NEW li1 ( 19550 79390 ) L1M1_PR_MR
NEW met1 ( 19550 79390 ) M1M2_PR
NEW met1 ( 11270 80070 ) RECT ( -355 -70 0 70 )
NEW met3 ( 11270 79220 ) RECT ( -800 -150 0 150 )
NEW met1 ( 19550 79390 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[66] ( PIN dcache_to_mem_data_in[66] ) ( ANTENNA_input221_A DIODE ) ( input221 A ) + USE SIGNAL
+ ROUTED met1 ( 10350 180710 ) ( 11270 * )
NEW met2 ( 10350 180710 ) ( * 188020 )
NEW met3 ( 3220 188020 0 ) ( 10350 * )
NEW met3 ( 8740 158780 ) ( 9890 * )
NEW met4 ( 8740 158780 ) ( * 171020 )
NEW met3 ( 7590 171020 ) ( 8740 * )
NEW met2 ( 7590 171020 ) ( * 176460 )
NEW met2 ( 7590 176460 ) ( 8050 * )
NEW met2 ( 8050 176460 ) ( * 180370 )
NEW met1 ( 8050 180370 ) ( 10350 * )
NEW met1 ( 10350 180370 ) ( * 180710 )
NEW met2 ( 9430 154530 ) ( 9890 * )
NEW met2 ( 9430 150450 ) ( * 154530 )
NEW met2 ( 9890 154530 ) ( * 158780 )
NEW met2 ( 18170 145010 ) ( * 150450 )
NEW met1 ( 18170 145010 ) ( 23690 * )
NEW met1 ( 9430 150450 ) ( 18170 * )
NEW li1 ( 11270 180710 ) L1M1_PR_MR
NEW met1 ( 10350 180710 ) M1M2_PR
NEW met2 ( 10350 188020 ) M2M3_PR_M
NEW met2 ( 9890 158780 ) M2M3_PR_M
NEW met3 ( 8740 158780 ) M3M4_PR_M
NEW met3 ( 8740 171020 ) M3M4_PR_M
NEW met2 ( 7590 171020 ) M2M3_PR_M
NEW met1 ( 8050 180370 ) M1M2_PR
NEW met1 ( 9430 150450 ) M1M2_PR
NEW met1 ( 18170 150450 ) M1M2_PR
NEW met1 ( 18170 145010 ) M1M2_PR
NEW li1 ( 23690 145010 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[67] ( PIN dcache_to_mem_data_in[67] ) ( ANTENNA_input222_A DIODE ) ( input222 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 134980 ) ( * 137190 )
NEW met3 ( 3220 134980 0 ) ( 11270 * )
NEW met1 ( 11270 136850 ) ( * 137190 )
NEW met1 ( 11270 136850 ) ( 19090 * )
NEW li1 ( 11270 137190 ) L1M1_PR_MR
NEW met1 ( 11270 137190 ) M1M2_PR
NEW met2 ( 11270 134980 ) M2M3_PR_M
NEW li1 ( 19090 136850 ) L1M1_PR_MR
NEW met1 ( 11270 137190 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[68] ( PIN dcache_to_mem_data_in[68] ) ( ANTENNA_input223_A DIODE ) ( input223 A ) + USE SIGNAL
+ ROUTED met1 ( 188830 156570 ) ( 190210 * )
NEW met2 ( 188830 151810 ) ( * 156570 )
NEW met1 ( 179630 151810 ) ( 188830 * )
NEW met2 ( 179630 148580 ) ( * 151810 )
NEW met2 ( 179170 148580 ) ( 179630 * )
NEW met2 ( 179170 145350 ) ( * 148580 )
NEW met1 ( 174110 145350 ) ( 179170 * )
NEW met3 ( 188830 158100 ) ( 196420 * 0 )
NEW met2 ( 188830 156570 ) ( * 158100 )
NEW li1 ( 190210 156570 ) L1M1_PR_MR
NEW met1 ( 188830 156570 ) M1M2_PR
NEW met1 ( 188830 151810 ) M1M2_PR
NEW met1 ( 179630 151810 ) M1M2_PR
NEW met1 ( 179170 145350 ) M1M2_PR
NEW li1 ( 174110 145350 ) L1M1_PR_MR
NEW met2 ( 188830 158100 ) M2M3_PR_M ;
- dcache_to_mem_data_in[69] ( PIN dcache_to_mem_data_in[69] ) ( ANTENNA_input224_A DIODE ) ( input224 A ) + USE SIGNAL
+ ROUTED met3 ( 178020 1700 ) ( 196420 * 0 )
NEW met1 ( 173650 53210 ) ( 176410 * )
NEW met2 ( 173650 53210 ) ( * 63070 )
NEW met3 ( 176410 53380 ) ( 178020 * )
NEW met2 ( 176410 53210 ) ( * 53380 )
NEW met4 ( 178020 1700 ) ( * 53380 )
NEW met3 ( 178020 1700 ) M3M4_PR_M
NEW li1 ( 176410 53210 ) L1M1_PR_MR
NEW met1 ( 173650 53210 ) M1M2_PR
NEW li1 ( 173650 63070 ) L1M1_PR_MR
NEW met1 ( 173650 63070 ) M1M2_PR
NEW met3 ( 178020 53380 ) M3M4_PR_M
NEW met2 ( 176410 53380 ) M2M3_PR_M
NEW met1 ( 176410 53210 ) M1M2_PR
NEW met1 ( 173650 63070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 176410 53210 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[6] ( PIN dcache_to_mem_data_in[6] ) ( ANTENNA_input225_A DIODE ) ( input225 A ) + USE SIGNAL
+ ROUTED met1 ( 105570 167450 ) ( 106030 * )
NEW met2 ( 106030 167450 ) ( * 196180 0 )
NEW met1 ( 106030 154530 ) ( 106950 * )
NEW met2 ( 106030 154530 ) ( * 167450 )
NEW li1 ( 105570 167450 ) L1M1_PR_MR
NEW met1 ( 106030 167450 ) M1M2_PR
NEW met1 ( 106030 154530 ) M1M2_PR
NEW li1 ( 106950 154530 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[70] ( PIN dcache_to_mem_data_in[70] ) ( ANTENNA_input226_A DIODE ) ( input226 A ) + USE SIGNAL
+ ROUTED met1 ( 37030 183770 ) ( 41630 * )
NEW met2 ( 37030 183770 ) ( * 196180 0 )
NEW met2 ( 40250 157250 ) ( * 183770 )
NEW li1 ( 41630 183770 ) L1M1_PR_MR
NEW met1 ( 37030 183770 ) M1M2_PR
NEW met1 ( 40250 183770 ) M1M2_PR
NEW li1 ( 40250 157250 ) L1M1_PR_MR
NEW met1 ( 40250 157250 ) M1M2_PR
NEW met1 ( 40250 183770 ) RECT ( -595 -70 0 70 )
NEW met1 ( 40250 157250 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[71] ( PIN dcache_to_mem_data_in[71] ) ( ANTENNA_input227_A DIODE ) ( input227 A ) + USE SIGNAL
+ ROUTED met1 ( 10350 71910 ) ( 10810 * )
NEW met2 ( 10350 69700 ) ( * 71910 )
NEW met3 ( 3220 69700 0 ) ( 10350 * )
NEW met1 ( 10810 71910 ) ( 21850 * )
NEW li1 ( 10810 71910 ) L1M1_PR_MR
NEW met1 ( 10350 71910 ) M1M2_PR
NEW met2 ( 10350 69700 ) M2M3_PR_M
NEW li1 ( 21850 71910 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[72] ( PIN dcache_to_mem_data_in[72] ) ( ANTENNA_input228_A DIODE ) ( input228 A ) + USE SIGNAL
+ ROUTED met2 ( 175950 151130 ) ( * 152660 )
NEW met3 ( 175950 152660 ) ( 186990 * )
NEW met2 ( 186990 152660 ) ( * 159290 )
NEW met1 ( 186990 159290 ) ( 188370 * )
NEW met2 ( 188370 159290 ) ( * 169660 )
NEW met2 ( 188370 169660 ) ( 188830 * )
NEW met2 ( 188830 169660 ) ( * 196180 0 )
NEW met2 ( 170890 149090 ) ( * 151130 )
NEW met1 ( 170890 151130 ) ( 175950 * )
NEW li1 ( 175950 151130 ) L1M1_PR_MR
NEW met1 ( 175950 151130 ) M1M2_PR
NEW met2 ( 175950 152660 ) M2M3_PR_M
NEW met2 ( 186990 152660 ) M2M3_PR_M
NEW met1 ( 186990 159290 ) M1M2_PR
NEW met1 ( 188370 159290 ) M1M2_PR
NEW li1 ( 170890 149090 ) L1M1_PR_MR
NEW met1 ( 170890 149090 ) M1M2_PR
NEW met1 ( 170890 151130 ) M1M2_PR
NEW met1 ( 175950 151130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 170890 149090 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[73] ( PIN dcache_to_mem_data_in[73] ) ( ANTENNA_input229_A DIODE ) ( input229 A ) + USE SIGNAL
+ ROUTED met1 ( 190670 17510 ) ( 199410 * )
NEW li1 ( 199410 17510 ) ( 199870 * )
NEW met2 ( 197110 3740 0 ) ( * 17510 )
NEW li1 ( 199410 60350 ) ( 199870 * )
NEW met1 ( 188370 60350 ) ( 199410 * )
NEW met1 ( 188370 60350 ) ( * 60690 )
NEW met1 ( 181470 60690 ) ( 188370 * )
NEW met1 ( 181470 60350 ) ( * 60690 )
NEW met1 ( 170890 60350 ) ( 181470 * )
NEW li1 ( 199870 17510 ) ( * 60350 )
NEW li1 ( 190670 17510 ) L1M1_PR_MR
NEW li1 ( 199410 17510 ) L1M1_PR_MR
NEW met1 ( 197110 17510 ) M1M2_PR
NEW li1 ( 199410 60350 ) L1M1_PR_MR
NEW li1 ( 170890 60350 ) L1M1_PR_MR
NEW met1 ( 197110 17510 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[74] ( PIN dcache_to_mem_data_in[74] ) ( ANTENNA_input230_A DIODE ) ( input230 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 96390 ) ( * 98260 )
NEW met3 ( 3220 98260 0 ) ( 11270 * )
NEW met1 ( 11270 96050 ) ( * 96390 )
NEW met2 ( 19090 94350 ) ( * 96050 )
NEW met1 ( 11270 96050 ) ( 19090 * )
NEW li1 ( 11270 96390 ) L1M1_PR_MR
NEW met1 ( 11270 96390 ) M1M2_PR
NEW met2 ( 11270 98260 ) M2M3_PR_M
NEW met1 ( 19090 96050 ) M1M2_PR
NEW li1 ( 19090 94350 ) L1M1_PR_MR
NEW met1 ( 19090 94350 ) M1M2_PR
NEW met1 ( 11270 96390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19090 94350 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[75] ( PIN dcache_to_mem_data_in[75] ) ( ANTENNA_input231_A DIODE ) ( input231 A ) + USE SIGNAL
+ ROUTED met3 ( 179170 11220 ) ( 196420 * 0 )
NEW met1 ( 175490 20570 ) ( 176410 * )
NEW met1 ( 175490 20570 ) ( * 20910 )
NEW met1 ( 172730 20910 ) ( 175490 * )
NEW met2 ( 172730 20910 ) ( * 21420 )
NEW met3 ( 162380 21420 ) ( 172730 * )
NEW met4 ( 162380 21420 ) ( * 37060 )
NEW met1 ( 175950 14110 ) ( 179170 * )
NEW met1 ( 175950 14110 ) ( * 15810 )
NEW met1 ( 175030 15810 ) ( 175950 * )
NEW met2 ( 175030 15810 ) ( * 18190 )
NEW met1 ( 172730 18190 ) ( 175030 * )
NEW met2 ( 172730 18190 ) ( * 20910 )
NEW met2 ( 179170 11220 ) ( * 14110 )
NEW met2 ( 157090 37060 ) ( * 44370 )
NEW met1 ( 145130 44370 ) ( 157090 * )
NEW met3 ( 157090 37060 ) ( 162380 * )
NEW met2 ( 179170 11220 ) M2M3_PR_M
NEW li1 ( 176410 20570 ) L1M1_PR_MR
NEW met1 ( 172730 20910 ) M1M2_PR
NEW met2 ( 172730 21420 ) M2M3_PR_M
NEW met3 ( 162380 21420 ) M3M4_PR_M
NEW met3 ( 162380 37060 ) M3M4_PR_M
NEW met1 ( 179170 14110 ) M1M2_PR
NEW met1 ( 175030 15810 ) M1M2_PR
NEW met1 ( 175030 18190 ) M1M2_PR
NEW met1 ( 172730 18190 ) M1M2_PR
NEW met2 ( 157090 37060 ) M2M3_PR_M
NEW met1 ( 157090 44370 ) M1M2_PR
NEW li1 ( 145130 44370 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[76] ( PIN dcache_to_mem_data_in[76] ) ( ANTENNA_input232_A DIODE ) ( input232 A ) + USE SIGNAL
+ ROUTED met1 ( 116150 162010 ) ( 116610 * )
NEW met2 ( 116150 157250 ) ( * 196180 0 )
NEW li1 ( 116610 162010 ) L1M1_PR_MR
NEW met1 ( 116150 162010 ) M1M2_PR
NEW li1 ( 116150 157250 ) L1M1_PR_MR
NEW met1 ( 116150 157250 ) M1M2_PR
NEW met2 ( 116150 162010 ) RECT ( -70 -485 70 0 )
NEW met1 ( 116150 157250 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[77] ( PIN dcache_to_mem_data_in[77] ) ( ANTENNA_input233_A DIODE ) ( input233 A ) + USE SIGNAL
+ ROUTED met1 ( 81190 164390 ) ( 82110 * )
NEW met2 ( 82110 164390 ) ( * 196180 0 )
NEW met1 ( 80730 162690 ) ( 82110 * )
NEW met2 ( 82110 162690 ) ( * 164390 )
NEW li1 ( 81190 164390 ) L1M1_PR_MR
NEW met1 ( 82110 164390 ) M1M2_PR
NEW li1 ( 80730 162690 ) L1M1_PR_MR
NEW met1 ( 82110 162690 ) M1M2_PR ;
- dcache_to_mem_data_in[78] ( PIN dcache_to_mem_data_in[78] ) ( ANTENNA_input234_A DIODE ) ( input234 A ) + USE SIGNAL
+ ROUTED met3 ( 171810 164900 ) ( 196420 * 0 )
NEW met2 ( 169050 151810 ) ( * 156570 )
NEW met1 ( 166750 151810 ) ( 169050 * )
NEW met1 ( 169050 156570 ) ( 171810 * )
NEW met2 ( 171810 156570 ) ( * 164900 )
NEW met2 ( 171810 164900 ) M2M3_PR_M
NEW li1 ( 169050 156570 ) L1M1_PR_MR
NEW met1 ( 169050 156570 ) M1M2_PR
NEW met1 ( 169050 151810 ) M1M2_PR
NEW li1 ( 166750 151810 ) L1M1_PR_MR
NEW met1 ( 171810 156570 ) M1M2_PR
NEW met1 ( 169050 156570 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[79] ( PIN dcache_to_mem_data_in[79] ) ( ANTENNA_input235_A DIODE ) ( input235 A ) + USE SIGNAL
+ ROUTED met2 ( 25990 158780 ) ( * 158950 )
NEW met3 ( 23460 158780 ) ( 25990 * )
NEW met4 ( 23460 158780 ) ( * 188700 )
NEW met3 ( 10350 188700 ) ( 23460 * )
NEW met2 ( 10350 188700 ) ( * 196180 0 )
NEW met1 ( 25990 149090 ) ( 27370 * )
NEW met2 ( 25990 149090 ) ( * 158780 )
NEW li1 ( 25990 158950 ) L1M1_PR_MR
NEW met1 ( 25990 158950 ) M1M2_PR
NEW met2 ( 25990 158780 ) M2M3_PR_M
NEW met3 ( 23460 158780 ) M3M4_PR_M
NEW met3 ( 23460 188700 ) M3M4_PR_M
NEW met2 ( 10350 188700 ) M2M3_PR_M
NEW li1 ( 27370 149090 ) L1M1_PR_MR
NEW met1 ( 25990 149090 ) M1M2_PR
NEW met1 ( 25990 158950 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[7] ( PIN dcache_to_mem_data_in[7] ) ( ANTENNA_input236_A DIODE ) ( input236 A ) + USE SIGNAL
+ ROUTED met2 ( 16790 102340 ) ( * 107610 )
NEW met1 ( 16790 107610 ) ( 22770 * )
NEW met3 ( 3220 102340 0 ) ( 16790 * )
NEW li1 ( 16790 107610 ) L1M1_PR_MR
NEW met1 ( 16790 107610 ) M1M2_PR
NEW met2 ( 16790 102340 ) M2M3_PR_M
NEW li1 ( 22770 107610 ) L1M1_PR_MR
NEW met1 ( 16790 107610 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[80] ( PIN dcache_to_mem_data_in[80] ) ( ANTENNA_input237_A DIODE ) ( input237 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 107780 0 ) ( 14030 * )
NEW met1 ( 14030 113050 ) ( 16330 * )
NEW met1 ( 16330 113050 ) ( * 113390 )
NEW met1 ( 16330 113390 ) ( 20930 * )
NEW met2 ( 14030 107780 ) ( * 113050 )
NEW met2 ( 14030 107780 ) M2M3_PR_M
NEW li1 ( 14030 113050 ) L1M1_PR_MR
NEW li1 ( 20930 113390 ) L1M1_PR_MR
NEW met1 ( 14030 113050 ) M1M2_PR
NEW met1 ( 14030 113050 ) RECT ( 0 -70 595 70 ) ;
- dcache_to_mem_data_in[81] ( PIN dcache_to_mem_data_in[81] ) ( ANTENNA_input238_A DIODE ) ( input238 A ) + USE SIGNAL
+ ROUTED met2 ( 171350 3740 0 ) ( * 13800 )
NEW met1 ( 169970 17510 ) ( 170430 * )
NEW met2 ( 169970 17510 ) ( * 20740 )
NEW met3 ( 169740 20740 ) ( 169970 * )
NEW met4 ( 169740 20740 ) ( * 48620 )
NEW met3 ( 167210 48620 ) ( 169740 * )
NEW met2 ( 167210 48620 ) ( * 57630 )
NEW met2 ( 170890 13800 ) ( 171350 * )
NEW met2 ( 170890 13800 ) ( * 17510 )
NEW met1 ( 170430 17510 ) ( 170890 * )
NEW li1 ( 170430 17510 ) L1M1_PR_MR
NEW met1 ( 169970 17510 ) M1M2_PR
NEW met2 ( 169970 20740 ) M2M3_PR_M
NEW met3 ( 169740 20740 ) M3M4_PR_M
NEW met3 ( 169740 48620 ) M3M4_PR_M
NEW met2 ( 167210 48620 ) M2M3_PR_M
NEW li1 ( 167210 57630 ) L1M1_PR_MR
NEW met1 ( 167210 57630 ) M1M2_PR
NEW met1 ( 170890 17510 ) M1M2_PR
NEW met3 ( 169970 20740 ) RECT ( 0 -150 390 150 )
NEW met1 ( 167210 57630 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[82] ( PIN dcache_to_mem_data_in[82] ) ( ANTENNA_input239_A DIODE ) ( input239 A ) + USE SIGNAL
+ ROUTED met2 ( 176410 98260 ) ( * 99110 )
NEW met3 ( 176410 98260 ) ( 196420 * 0 )
NEW met2 ( 173650 99110 ) ( * 101150 )
NEW met1 ( 173650 99110 ) ( 176410 * )
NEW li1 ( 176410 99110 ) L1M1_PR_MR
NEW met1 ( 176410 99110 ) M1M2_PR
NEW met2 ( 176410 98260 ) M2M3_PR_M
NEW li1 ( 173650 101150 ) L1M1_PR_MR
NEW met1 ( 173650 101150 ) M1M2_PR
NEW met1 ( 173650 99110 ) M1M2_PR
NEW met1 ( 176410 99110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 173650 101150 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[83] ( PIN dcache_to_mem_data_in[83] ) ( ANTENNA_input240_A DIODE ) ( input240 A ) + USE SIGNAL
+ ROUTED met2 ( 132250 15130 ) ( * 38590 )
NEW met1 ( 132250 38590 ) ( 132710 * )
NEW met1 ( 128110 14790 ) ( 132250 * )
NEW met1 ( 132250 14790 ) ( * 15130 )
NEW met2 ( 128110 3740 0 ) ( * 14790 )
NEW li1 ( 132250 15130 ) L1M1_PR_MR
NEW met1 ( 132250 15130 ) M1M2_PR
NEW met1 ( 132250 38590 ) M1M2_PR
NEW li1 ( 132710 38590 ) L1M1_PR_MR
NEW met1 ( 128110 14790 ) M1M2_PR
NEW met1 ( 132250 15130 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[84] ( PIN dcache_to_mem_data_in[84] ) ( ANTENNA_input241_A DIODE ) ( input241 A ) + USE SIGNAL
+ ROUTED met1 ( 176870 102170 ) ( 177790 * )
NEW met2 ( 177790 96900 ) ( * 102170 )
NEW met3 ( 177790 96900 ) ( 196420 * 0 )
NEW met1 ( 170890 102170 ) ( 176870 * )
NEW li1 ( 176870 102170 ) L1M1_PR_MR
NEW met1 ( 177790 102170 ) M1M2_PR
NEW met2 ( 177790 96900 ) M2M3_PR_M
NEW li1 ( 170890 102170 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[85] ( PIN dcache_to_mem_data_in[85] ) ( ANTENNA_input242_A DIODE ) ( input242 A ) + USE SIGNAL
+ ROUTED met1 ( 66930 169830 ) ( 69230 * )
NEW met2 ( 69230 169830 ) ( * 196180 0 )
NEW met1 ( 68310 168130 ) ( 68770 * )
NEW met2 ( 68770 168130 ) ( * 169830 )
NEW met2 ( 68770 169830 ) ( 69230 * )
NEW li1 ( 66930 169830 ) L1M1_PR_MR
NEW met1 ( 69230 169830 ) M1M2_PR
NEW li1 ( 68310 168130 ) L1M1_PR_MR
NEW met1 ( 68770 168130 ) M1M2_PR ;
- dcache_to_mem_data_in[86] ( PIN dcache_to_mem_data_in[86] ) ( ANTENNA_input243_A DIODE ) ( input243 A ) + USE SIGNAL
+ ROUTED met1 ( 30130 158610 ) ( * 158830 )
NEW met2 ( 30130 158610 ) ( * 190570 )
NEW met1 ( 25070 190570 ) ( 30130 * )
NEW met2 ( 25070 190570 ) ( * 196180 0 )
NEW met1 ( 30130 151470 ) ( 31970 * )
NEW met2 ( 30130 151470 ) ( * 158610 )
NEW li1 ( 30130 158830 ) L1M1_PR_MR
NEW met1 ( 30130 158610 ) M1M2_PR
NEW met1 ( 30130 190570 ) M1M2_PR
NEW met1 ( 25070 190570 ) M1M2_PR
NEW li1 ( 31970 151470 ) L1M1_PR_MR
NEW met1 ( 30130 151470 ) M1M2_PR ;
- dcache_to_mem_data_in[87] ( PIN dcache_to_mem_data_in[87] ) ( ANTENNA_input244_A DIODE ) ( input244 A ) + USE SIGNAL
+ ROUTED met2 ( 54050 15130 ) ( * 30430 )
NEW met1 ( 52670 30430 ) ( 54050 * )
NEW met1 ( 52670 15130 ) ( 54050 * )
NEW met2 ( 52670 3740 0 ) ( * 15130 )
NEW li1 ( 54050 15130 ) L1M1_PR_MR
NEW met1 ( 54050 15130 ) M1M2_PR
NEW met1 ( 54050 30430 ) M1M2_PR
NEW li1 ( 52670 30430 ) L1M1_PR_MR
NEW met1 ( 52670 15130 ) M1M2_PR
NEW met1 ( 54050 15130 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[88] ( PIN dcache_to_mem_data_in[88] ) ( ANTENNA_input245_A DIODE ) ( input245 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 179860 0 ) ( 13800 * )
NEW met3 ( 13800 179860 ) ( * 180540 )
NEW met3 ( 13800 180540 ) ( 24380 * )
NEW met1 ( 25530 156570 ) ( * 156910 )
NEW met1 ( 25530 156910 ) ( 27370 * )
NEW met2 ( 27370 154190 ) ( * 156910 )
NEW met1 ( 27370 154190 ) ( 36110 * )
NEW met3 ( 24380 158100 ) ( 25070 * )
NEW met2 ( 25070 156910 ) ( * 158100 )
NEW met1 ( 25070 156910 ) ( 25530 * )
NEW met4 ( 24380 158100 ) ( * 180540 )
NEW met3 ( 24380 180540 ) M3M4_PR_M
NEW li1 ( 25530 156570 ) L1M1_PR_MR
NEW met1 ( 27370 156910 ) M1M2_PR
NEW met1 ( 27370 154190 ) M1M2_PR
NEW li1 ( 36110 154190 ) L1M1_PR_MR
NEW met3 ( 24380 158100 ) M3M4_PR_M
NEW met2 ( 25070 158100 ) M2M3_PR_M
NEW met1 ( 25070 156910 ) M1M2_PR ;
- dcache_to_mem_data_in[89] ( PIN dcache_to_mem_data_in[89] ) ( ANTENNA_input246_A DIODE ) ( input246 A ) + USE SIGNAL
+ ROUTED met2 ( 133170 164390 ) ( * 178670 )
NEW met1 ( 131790 178670 ) ( 133170 * )
NEW met1 ( 131790 178670 ) ( * 179010 )
NEW met2 ( 131790 179010 ) ( * 196180 0 )
NEW met1 ( 133170 162690 ) ( 135010 * )
NEW met2 ( 133170 162690 ) ( * 164390 )
NEW li1 ( 133170 164390 ) L1M1_PR_MR
NEW met1 ( 133170 164390 ) M1M2_PR
NEW met1 ( 133170 178670 ) M1M2_PR
NEW met1 ( 131790 179010 ) M1M2_PR
NEW li1 ( 135010 162690 ) L1M1_PR_MR
NEW met1 ( 133170 162690 ) M1M2_PR
NEW met1 ( 133170 164390 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[8] ( PIN dcache_to_mem_data_in[8] ) ( ANTENNA_input247_A DIODE ) ( input247 A ) + USE SIGNAL
+ ROUTED met1 ( 183310 173230 ) ( 188370 * )
NEW met2 ( 188370 171700 ) ( * 173230 )
NEW met2 ( 187910 171700 ) ( 188370 * )
NEW met2 ( 187910 165580 ) ( * 171700 )
NEW met3 ( 177790 165580 ) ( 187910 * )
NEW met2 ( 177790 164900 ) ( * 165580 )
NEW met2 ( 177330 164900 ) ( 177790 * )
NEW met2 ( 177330 155550 ) ( * 164900 )
NEW met1 ( 169510 155550 ) ( 177330 * )
NEW met1 ( 169510 155550 ) ( * 155890 )
NEW met1 ( 158010 155890 ) ( 169510 * )
NEW met2 ( 183310 186490 ) ( * 196180 0 )
NEW met1 ( 183310 186490 ) ( 188370 * )
NEW met2 ( 188370 173230 ) ( * 186490 )
NEW li1 ( 183310 173230 ) L1M1_PR_MR
NEW met1 ( 188370 173230 ) M1M2_PR
NEW met2 ( 187910 165580 ) M2M3_PR_M
NEW met2 ( 177790 165580 ) M2M3_PR_M
NEW met1 ( 177330 155550 ) M1M2_PR
NEW li1 ( 158010 155890 ) L1M1_PR_MR
NEW met1 ( 183310 186490 ) M1M2_PR
NEW met1 ( 188370 186490 ) M1M2_PR ;
- dcache_to_mem_data_in[90] ( PIN dcache_to_mem_data_in[90] ) ( ANTENNA_input248_A DIODE ) ( input248 A ) + USE SIGNAL
+ ROUTED met2 ( 155710 3740 0 ) ( * 7140 )
NEW met2 ( 155710 7140 ) ( 156170 * )
NEW met1 ( 155250 14790 ) ( 158010 * )
NEW met2 ( 155250 14790 ) ( * 39610 )
NEW met2 ( 155250 39610 ) ( 155710 * )
NEW met2 ( 155710 39610 ) ( * 52190 )
NEW met1 ( 155710 52190 ) ( 157550 * )
NEW met2 ( 156170 7140 ) ( * 14790 )
NEW li1 ( 158010 14790 ) L1M1_PR_MR
NEW met1 ( 155250 14790 ) M1M2_PR
NEW met1 ( 155710 52190 ) M1M2_PR
NEW li1 ( 157550 52190 ) L1M1_PR_MR
NEW met1 ( 156170 14790 ) M1M2_PR
NEW met1 ( 156170 14790 ) RECT ( -595 -70 0 70 ) ;
- dcache_to_mem_data_in[91] ( PIN dcache_to_mem_data_in[91] ) ( ANTENNA_input249_A DIODE ) ( input249 A ) + USE SIGNAL
+ ROUTED met1 ( 161690 158950 ) ( 164450 * )
NEW met2 ( 161690 158950 ) ( * 159460 )
NEW met3 ( 161690 159460 ) ( 165140 * )
NEW met4 ( 165140 159460 ) ( * 183940 )
NEW met3 ( 165140 183940 ) ( 166290 * )
NEW met2 ( 166290 183940 ) ( * 187340 )
NEW met2 ( 165830 187340 ) ( 166290 * )
NEW met2 ( 165830 187340 ) ( * 196180 0 )
NEW met1 ( 157550 158950 ) ( * 159290 )
NEW met1 ( 152030 159290 ) ( 157550 * )
NEW met1 ( 157550 158950 ) ( 161690 * )
NEW li1 ( 164450 158950 ) L1M1_PR_MR
NEW met1 ( 161690 158950 ) M1M2_PR
NEW met2 ( 161690 159460 ) M2M3_PR_M
NEW met3 ( 165140 159460 ) M3M4_PR_M
NEW met3 ( 165140 183940 ) M3M4_PR_M
NEW met2 ( 166290 183940 ) M2M3_PR_M
NEW li1 ( 152030 159290 ) L1M1_PR_MR ;
- dcache_to_mem_data_in[92] ( PIN dcache_to_mem_data_in[92] ) ( ANTENNA_input250_A DIODE ) ( input250 A ) + USE SIGNAL
+ ROUTED met2 ( 177790 65620 ) ( * 66470 )
NEW met3 ( 177790 65620 ) ( 196420 * 0 )
NEW met1 ( 170890 66470 ) ( 177790 * )
NEW li1 ( 177790 66470 ) L1M1_PR_MR
NEW met1 ( 177790 66470 ) M1M2_PR
NEW met2 ( 177790 65620 ) M2M3_PR_M
NEW li1 ( 170890 66470 ) L1M1_PR_MR
NEW met1 ( 177790 66470 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[93] ( PIN dcache_to_mem_data_in[93] ) ( ANTENNA_input251_A DIODE ) ( input251 A ) + USE SIGNAL
+ ROUTED met2 ( 27830 156570 ) ( * 156740 )
NEW met3 ( 17940 156740 ) ( 27830 * )
NEW met4 ( 17940 156740 ) ( * 159460 )
NEW met4 ( 17020 159460 ) ( 17940 * )
NEW met4 ( 17020 159460 ) ( * 188020 )
NEW met3 ( 14950 188020 ) ( 17020 * )
NEW met2 ( 14950 188020 ) ( * 196180 0 )
NEW met1 ( 27830 149090 ) ( 30130 * )
NEW met2 ( 27830 149090 ) ( * 156570 )
NEW li1 ( 27830 156570 ) L1M1_PR_MR
NEW met1 ( 27830 156570 ) M1M2_PR
NEW met2 ( 27830 156740 ) M2M3_PR_M
NEW met3 ( 17940 156740 ) M3M4_PR_M
NEW met3 ( 17020 188020 ) M3M4_PR_M
NEW met2 ( 14950 188020 ) M2M3_PR_M
NEW li1 ( 30130 149090 ) L1M1_PR_MR
NEW met1 ( 27830 149090 ) M1M2_PR
NEW met1 ( 27830 156570 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[94] ( PIN dcache_to_mem_data_in[94] ) ( ANTENNA_input252_A DIODE ) ( input252 A ) + USE SIGNAL
+ ROUTED met2 ( 86250 15130 ) ( * 35870 )
NEW met1 ( 84870 35870 ) ( 86250 * )
NEW met1 ( 82110 15130 ) ( 86250 * )
NEW met2 ( 82110 3740 0 ) ( * 15130 )
NEW li1 ( 86250 15130 ) L1M1_PR_MR
NEW met1 ( 86250 15130 ) M1M2_PR
NEW met1 ( 86250 35870 ) M1M2_PR
NEW li1 ( 84870 35870 ) L1M1_PR_MR
NEW met1 ( 82110 15130 ) M1M2_PR
NEW met1 ( 86250 15130 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[95] ( PIN dcache_to_mem_data_in[95] ) ( ANTENNA_input253_A DIODE ) ( input253 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 139060 ) ( * 139910 )
NEW met3 ( 186530 139060 ) ( 196420 * 0 )
NEW met1 ( 180090 139910 ) ( 186530 * )
NEW li1 ( 186530 139910 ) L1M1_PR_MR
NEW met1 ( 186530 139910 ) M1M2_PR
NEW met2 ( 186530 139060 ) M2M3_PR_M
NEW li1 ( 180090 139910 ) L1M1_PR_MR
NEW met1 ( 186530 139910 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[96] ( PIN dcache_to_mem_data_in[96] ) ( ANTENNA_input254_A DIODE ) ( input254 A ) + USE SIGNAL
+ ROUTED met2 ( 55890 169830 ) ( * 173230 )
NEW met1 ( 54510 173230 ) ( 55890 * )
NEW met2 ( 54510 173230 ) ( * 196180 0 )
NEW met1 ( 55890 162690 ) ( 57730 * )
NEW met2 ( 55890 162690 ) ( * 169830 )
NEW li1 ( 55890 169830 ) L1M1_PR_MR
NEW met1 ( 55890 169830 ) M1M2_PR
NEW met1 ( 55890 173230 ) M1M2_PR
NEW met1 ( 54510 173230 ) M1M2_PR
NEW li1 ( 57730 162690 ) L1M1_PR_MR
NEW met1 ( 55890 162690 ) M1M2_PR
NEW met1 ( 55890 169830 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[97] ( PIN dcache_to_mem_data_in[97] ) ( ANTENNA_input255_A DIODE ) ( input255 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 88230 ) ( * 88740 )
NEW met3 ( 3220 88740 0 ) ( 9430 * )
NEW met1 ( 9430 87890 ) ( * 88230 )
NEW met1 ( 9430 87890 ) ( 21850 * )
NEW li1 ( 9430 88230 ) L1M1_PR_MR
NEW met1 ( 9430 88230 ) M1M2_PR
NEW met2 ( 9430 88740 ) M2M3_PR_M
NEW li1 ( 21850 87890 ) L1M1_PR_MR
NEW met1 ( 9430 88230 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_in[98] ( PIN dcache_to_mem_data_in[98] ) ( ANTENNA_input256_A DIODE ) ( input256 A ) + USE SIGNAL
+ ROUTED met1 ( 74750 164390 ) ( 75210 * )
NEW met2 ( 75210 164390 ) ( * 183940 )
NEW met2 ( 75210 183940 ) ( 75670 * )
NEW met2 ( 75670 183940 ) ( * 196180 0 )
NEW met1 ( 75210 162690 ) ( 77050 * )
NEW met2 ( 75210 162690 ) ( * 164390 )
NEW li1 ( 74750 164390 ) L1M1_PR_MR
NEW met1 ( 75210 164390 ) M1M2_PR
NEW li1 ( 77050 162690 ) L1M1_PR_MR
NEW met1 ( 75210 162690 ) M1M2_PR ;
- dcache_to_mem_data_in[99] ( PIN dcache_to_mem_data_in[99] ) ( ANTENNA_input257_A DIODE ) ( input257 A ) + USE SIGNAL
+ ROUTED met1 ( 31970 158950 ) ( 33350 * )
NEW met1 ( 31970 158950 ) ( * 159290 )
NEW met2 ( 31510 159290 ) ( 31970 * )
NEW met2 ( 31510 159290 ) ( * 166430 )
NEW met1 ( 17710 166430 ) ( 31510 * )
NEW met2 ( 17710 166430 ) ( * 167620 )
NEW met3 ( 3220 167620 0 ) ( 17710 * )
NEW met1 ( 34270 151470 ) ( 34730 * )
NEW met2 ( 34270 151470 ) ( * 159290 )
NEW met1 ( 33810 159290 ) ( 34270 * )
NEW met1 ( 33810 159290 ) ( * 159630 )
NEW met1 ( 32890 159630 ) ( 33810 * )
NEW met1 ( 32890 159290 ) ( * 159630 )
NEW met1 ( 31970 159290 ) ( 32890 * )
NEW li1 ( 33350 158950 ) L1M1_PR_MR
NEW met1 ( 31970 159290 ) M1M2_PR
NEW met1 ( 31510 166430 ) M1M2_PR
NEW met1 ( 17710 166430 ) M1M2_PR
NEW met2 ( 17710 167620 ) M2M3_PR_M
NEW li1 ( 34730 151470 ) L1M1_PR_MR
NEW met1 ( 34270 151470 ) M1M2_PR
NEW met1 ( 34270 159290 ) M1M2_PR ;
- dcache_to_mem_data_in[9] ( PIN dcache_to_mem_data_in[9] ) ( ANTENNA_input258_A DIODE ) ( input258 A ) + USE SIGNAL
+ ROUTED met1 ( 117990 15130 ) ( 118450 * )
NEW met2 ( 117990 15130 ) ( * 38590 )
NEW met2 ( 117990 3740 0 ) ( * 15130 )
NEW li1 ( 118450 15130 ) L1M1_PR_MR
NEW met1 ( 117990 15130 ) M1M2_PR
NEW li1 ( 117990 38590 ) L1M1_PR_MR
NEW met1 ( 117990 38590 ) M1M2_PR
NEW met1 ( 117990 38590 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[0] ( PIN dcache_to_mem_data_out[0] ) ( output306 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 86530 ) ( * 90100 )
NEW met3 ( 190210 90100 ) ( 196420 * 0 )
NEW li1 ( 190210 86530 ) L1M1_PR_MR
NEW met1 ( 190210 86530 ) M1M2_PR
NEW met2 ( 190210 90100 ) M2M3_PR_M
NEW met1 ( 190210 86530 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[100] ( PIN dcache_to_mem_data_out[100] ) ( output307 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 58820 ) ( * 61710 )
NEW met3 ( 190210 58820 ) ( 196420 * 0 )
NEW li1 ( 190210 61710 ) L1M1_PR_MR
NEW met1 ( 190210 61710 ) M1M2_PR
NEW met2 ( 190210 58820 ) M2M3_PR_M
NEW met1 ( 190210 61710 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[101] ( PIN dcache_to_mem_data_out[101] ) ( output308 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 56100 ) ( * 57630 )
NEW met3 ( 190210 56100 ) ( 196420 * 0 )
NEW li1 ( 190210 57630 ) L1M1_PR_MR
NEW met1 ( 190210 57630 ) M1M2_PR
NEW met2 ( 190210 56100 ) M2M3_PR_M
NEW met1 ( 190210 57630 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[102] ( PIN dcache_to_mem_data_out[102] ) ( output309 X ) + USE SIGNAL
+ ROUTED met2 ( 170430 176290 ) ( * 196180 0 )
NEW li1 ( 170430 176290 ) L1M1_PR_MR
NEW met1 ( 170430 176290 ) M1M2_PR
NEW met1 ( 170430 176290 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[103] ( PIN dcache_to_mem_data_out[103] ) ( output310 X ) + USE SIGNAL
+ ROUTED met1 ( 60950 181730 ) ( 61870 * )
NEW met2 ( 60950 181730 ) ( * 196180 0 )
NEW li1 ( 61870 181730 ) L1M1_PR_MR
NEW met1 ( 60950 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[104] ( PIN dcache_to_mem_data_out[104] ) ( output311 X ) + USE SIGNAL
+ ROUTED met2 ( 61870 3740 0 ) ( * 18190 )
NEW met1 ( 61870 18190 ) ( 65550 * )
NEW li1 ( 65550 18190 ) L1M1_PR_MR
NEW met1 ( 61870 18190 ) M1M2_PR ;
- dcache_to_mem_data_out[105] ( PIN dcache_to_mem_data_out[105] ) ( output312 X ) + USE SIGNAL
+ ROUTED met1 ( 36570 181730 ) ( 40710 * )
NEW met2 ( 36570 181730 ) ( * 183940 )
NEW met2 ( 36110 183940 ) ( 36570 * )
NEW met2 ( 36110 183940 ) ( * 196180 0 )
NEW li1 ( 40710 181730 ) L1M1_PR_MR
NEW met1 ( 36570 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[106] ( PIN dcache_to_mem_data_out[106] ) ( output313 X ) + USE SIGNAL
+ ROUTED met1 ( 23690 176290 ) ( 26910 * )
NEW met2 ( 23690 176290 ) ( * 189380 )
NEW met2 ( 23230 189380 ) ( 23690 * )
NEW met2 ( 23230 189380 ) ( * 196180 0 )
NEW li1 ( 26910 176290 ) L1M1_PR_MR
NEW met1 ( 23690 176290 ) M1M2_PR ;
- dcache_to_mem_data_out[107] ( PIN dcache_to_mem_data_out[107] ) ( output314 X ) + USE SIGNAL
+ ROUTED met1 ( 117530 181730 ) ( 118450 * )
NEW met2 ( 117070 181730 ) ( 117530 * )
NEW met2 ( 117070 181730 ) ( * 196180 0 )
NEW li1 ( 118450 181730 ) L1M1_PR_MR
NEW met1 ( 117530 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[108] ( PIN dcache_to_mem_data_out[108] ) ( output315 X ) + USE SIGNAL
+ ROUTED met1 ( 127190 19550 ) ( 128570 * )
NEW met2 ( 127190 3740 0 ) ( * 19550 )
NEW met1 ( 127190 19550 ) M1M2_PR
NEW li1 ( 128570 19550 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[109] ( PIN dcache_to_mem_data_out[109] ) ( output316 X ) + USE SIGNAL
+ ROUTED met2 ( 23230 3740 0 ) ( * 6460 )
NEW met2 ( 23230 6460 ) ( 23690 * )
NEW met1 ( 23690 18190 ) ( 26910 * )
NEW met2 ( 23690 6460 ) ( * 18190 )
NEW met1 ( 23690 18190 ) M1M2_PR
NEW li1 ( 26910 18190 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[10] ( PIN dcache_to_mem_data_out[10] ) ( output317 X ) + USE SIGNAL
+ ROUTED met1 ( 161230 179010 ) ( 162610 * )
NEW met2 ( 161230 179010 ) ( * 196180 0 )
NEW li1 ( 162610 179010 ) L1M1_PR_MR
NEW met1 ( 161230 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[110] ( PIN dcache_to_mem_data_out[110] ) ( output318 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 143140 0 ) ( 7590 * )
NEW met2 ( 7590 143140 ) ( * 143310 )
NEW met2 ( 7590 143140 ) M2M3_PR_M
NEW li1 ( 7590 143310 ) L1M1_PR_MR
NEW met1 ( 7590 143310 ) M1M2_PR
NEW met1 ( 7590 143310 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[111] ( PIN dcache_to_mem_data_out[111] ) ( output319 X ) + USE SIGNAL
+ ROUTED met1 ( 71070 181730 ) ( 74750 * )
NEW met2 ( 71070 181730 ) ( * 196180 0 )
NEW li1 ( 74750 181730 ) L1M1_PR_MR
NEW met1 ( 71070 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[112] ( PIN dcache_to_mem_data_out[112] ) ( output320 X ) + USE SIGNAL
+ ROUTED met2 ( 186070 88740 ) ( * 92990 )
NEW met3 ( 186070 88740 ) ( 196420 * 0 )
NEW li1 ( 186070 92990 ) L1M1_PR_MR
NEW met1 ( 186070 92990 ) M1M2_PR
NEW met2 ( 186070 88740 ) M2M3_PR_M
NEW met1 ( 186070 92990 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[113] ( PIN dcache_to_mem_data_out[113] ) ( output321 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 3060 0 ) ( 13800 * )
NEW met3 ( 13800 3060 ) ( * 4420 )
NEW met3 ( 13800 4420 ) ( 14490 * )
NEW met1 ( 14490 14110 ) ( 16790 * )
NEW met2 ( 14490 4420 ) ( * 14110 )
NEW met2 ( 14490 4420 ) M2M3_PR_M
NEW met1 ( 14490 14110 ) M1M2_PR
NEW li1 ( 16790 14110 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[114] ( PIN dcache_to_mem_data_out[114] ) ( output322 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 28900 ) ( * 33150 )
NEW met3 ( 186530 28900 ) ( 196420 * 0 )
NEW li1 ( 186530 33150 ) L1M1_PR_MR
NEW met1 ( 186530 33150 ) M1M2_PR
NEW met2 ( 186530 28900 ) M2M3_PR_M
NEW met1 ( 186530 33150 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[115] ( PIN dcache_to_mem_data_out[115] ) ( output323 X ) + USE SIGNAL
+ ROUTED met1 ( 65090 181730 ) ( 65550 * )
NEW met2 ( 65090 181730 ) ( * 183260 )
NEW met2 ( 64630 183260 ) ( 65090 * )
NEW met2 ( 64630 183260 ) ( * 196180 0 )
NEW li1 ( 65550 181730 ) L1M1_PR_MR
NEW met1 ( 65090 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[116] ( PIN dcache_to_mem_data_out[116] ) ( output324 X ) + USE SIGNAL
+ ROUTED met2 ( 168590 3740 0 ) ( * 19550 )
NEW li1 ( 168590 19550 ) L1M1_PR_MR
NEW met1 ( 168590 19550 ) M1M2_PR
NEW met1 ( 168590 19550 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[117] ( PIN dcache_to_mem_data_out[117] ) ( output325 X ) + USE SIGNAL
+ ROUTED met1 ( 95910 14450 ) ( 100050 * )
NEW met2 ( 95910 3740 0 ) ( * 14450 )
NEW met1 ( 95910 14450 ) M1M2_PR
NEW li1 ( 100050 14450 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[118] ( PIN dcache_to_mem_data_out[118] ) ( output326 X ) + USE SIGNAL
+ ROUTED met2 ( 19550 170850 ) ( * 175780 )
NEW met3 ( 3220 175780 0 ) ( 19550 * )
NEW li1 ( 19550 170850 ) L1M1_PR_MR
NEW met1 ( 19550 170850 ) M1M2_PR
NEW met2 ( 19550 175780 ) M2M3_PR_M
NEW met1 ( 19550 170850 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[119] ( PIN dcache_to_mem_data_out[119] ) ( output327 X ) + USE SIGNAL
+ ROUTED met1 ( 54050 184450 ) ( 58190 * )
NEW met2 ( 58190 184450 ) ( * 196180 0 )
NEW li1 ( 54050 184450 ) L1M1_PR_MR
NEW met1 ( 58190 184450 ) M1M2_PR ;
- dcache_to_mem_data_out[11] ( PIN dcache_to_mem_data_out[11] ) ( output328 X ) + USE SIGNAL
+ ROUTED met1 ( 84870 16830 ) ( 86250 * )
NEW met2 ( 84870 3740 0 ) ( * 16830 )
NEW met1 ( 84870 16830 ) M1M2_PR
NEW li1 ( 86250 16830 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[120] ( PIN dcache_to_mem_data_out[120] ) ( output329 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 168130 ) ( 194810 * )
NEW li1 ( 194810 168130 ) ( * 174250 )
NEW met1 ( 194810 174250 ) ( 197110 * )
NEW met2 ( 197110 174250 ) ( * 196180 0 )
NEW li1 ( 190210 168130 ) L1M1_PR_MR
NEW li1 ( 194810 168130 ) L1M1_PR_MR
NEW li1 ( 194810 174250 ) L1M1_PR_MR
NEW met1 ( 197110 174250 ) M1M2_PR ;
- dcache_to_mem_data_out[121] ( PIN dcache_to_mem_data_out[121] ) ( output330 X ) + USE SIGNAL
+ ROUTED met1 ( 62790 19550 ) ( 64170 * )
NEW met2 ( 62790 3740 0 ) ( * 19550 )
NEW met1 ( 62790 19550 ) M1M2_PR
NEW li1 ( 64170 19550 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[122] ( PIN dcache_to_mem_data_out[122] ) ( output331 X ) + USE SIGNAL
+ ROUTED met1 ( 4830 17170 ) ( 7590 * )
NEW met1 ( 7590 17170 ) ( * 17510 )
NEW met1 ( 7590 17510 ) ( 12190 * )
NEW met1 ( 12190 16830 ) ( * 17510 )
NEW met2 ( 4830 3740 0 ) ( * 17170 )
NEW met1 ( 12190 16830 ) ( 14950 * )
NEW met1 ( 4830 17170 ) M1M2_PR
NEW li1 ( 14950 16830 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[123] ( PIN dcache_to_mem_data_out[123] ) ( output332 X ) + USE SIGNAL
+ ROUTED met1 ( 174570 23970 ) ( 189750 * )
NEW met2 ( 189750 3740 0 ) ( * 23970 )
NEW met1 ( 189750 23970 ) M1M2_PR
NEW li1 ( 174570 23970 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[124] ( PIN dcache_to_mem_data_out[124] ) ( output333 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 152660 0 ) ( 7590 * )
NEW met2 ( 7590 152660 ) ( * 152830 )
NEW met2 ( 7590 152660 ) M2M3_PR_M
NEW li1 ( 7590 152830 ) L1M1_PR_MR
NEW met1 ( 7590 152830 ) M1M2_PR
NEW met1 ( 7590 152830 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[125] ( PIN dcache_to_mem_data_out[125] ) ( output334 X ) + USE SIGNAL
+ ROUTED met1 ( 15410 173570 ) ( 22770 * )
NEW met2 ( 15410 173570 ) ( * 186660 )
NEW met2 ( 14030 186660 ) ( 15410 * )
NEW met2 ( 14030 186660 ) ( * 196180 0 )
NEW li1 ( 22770 173570 ) L1M1_PR_MR
NEW met1 ( 15410 173570 ) M1M2_PR ;
- dcache_to_mem_data_out[126] ( PIN dcache_to_mem_data_out[126] ) ( output335 X ) + USE SIGNAL
+ ROUTED met1 ( 27830 179010 ) ( 32430 * )
NEW met2 ( 27830 179010 ) ( * 196180 0 )
NEW li1 ( 32430 179010 ) L1M1_PR_MR
NEW met1 ( 27830 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[127] ( PIN dcache_to_mem_data_out[127] ) ( output336 X ) + USE SIGNAL
+ ROUTED met1 ( 186070 170850 ) ( 187450 * )
NEW met2 ( 187450 170850 ) ( * 183940 )
NEW met2 ( 187450 183940 ) ( 187910 * )
NEW met2 ( 187910 183940 ) ( * 196180 0 )
NEW li1 ( 186070 170850 ) L1M1_PR_MR
NEW met1 ( 187450 170850 ) M1M2_PR ;
- dcache_to_mem_data_out[12] ( PIN dcache_to_mem_data_out[12] ) ( output337 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 5780 0 ) ( 16790 * )
NEW met2 ( 16790 5780 ) ( * 19550 )
NEW met2 ( 16790 5780 ) M2M3_PR_M
NEW li1 ( 16790 19550 ) L1M1_PR_MR
NEW met1 ( 16790 19550 ) M1M2_PR
NEW met1 ( 16790 19550 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[13] ( PIN dcache_to_mem_data_out[13] ) ( output338 X ) + USE SIGNAL
+ ROUTED met1 ( 24150 173570 ) ( 26450 * )
NEW met2 ( 24150 173570 ) ( * 189210 )
NEW met1 ( 22310 189210 ) ( 24150 * )
NEW met2 ( 22310 189210 ) ( * 196180 0 )
NEW li1 ( 26450 173570 ) L1M1_PR_MR
NEW met1 ( 24150 173570 ) M1M2_PR
NEW met1 ( 24150 189210 ) M1M2_PR
NEW met1 ( 22310 189210 ) M1M2_PR ;
- dcache_to_mem_data_out[14] ( PIN dcache_to_mem_data_out[14] ) ( output339 X ) + USE SIGNAL
+ ROUTED met1 ( 132710 22270 ) ( 134090 * )
NEW met2 ( 132710 3740 0 ) ( * 22270 )
NEW met1 ( 132710 22270 ) M1M2_PR
NEW li1 ( 134090 22270 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[15] ( PIN dcache_to_mem_data_out[15] ) ( output340 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 122740 0 ) ( 7590 * )
NEW met2 ( 7590 122740 ) ( * 125630 )
NEW met2 ( 7590 122740 ) M2M3_PR_M
NEW li1 ( 7590 125630 ) L1M1_PR_MR
NEW met1 ( 7590 125630 ) M1M2_PR
NEW met1 ( 7590 125630 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[16] ( PIN dcache_to_mem_data_out[16] ) ( output341 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 154020 ) ( * 154190 )
NEW met3 ( 190210 154020 ) ( 196420 * 0 )
NEW li1 ( 190210 154190 ) L1M1_PR_MR
NEW met1 ( 190210 154190 ) M1M2_PR
NEW met2 ( 190210 154020 ) M2M3_PR_M
NEW met1 ( 190210 154190 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[17] ( PIN dcache_to_mem_data_out[17] ) ( output342 X ) + USE SIGNAL
+ ROUTED met1 ( 43470 19550 ) ( 44390 * )
NEW met2 ( 43470 3740 0 ) ( * 19550 )
NEW met1 ( 43470 19550 ) M1M2_PR
NEW li1 ( 44390 19550 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[18] ( PIN dcache_to_mem_data_out[18] ) ( output343 X ) + USE SIGNAL
+ ROUTED met1 ( 78430 14110 ) ( 79810 * )
NEW met2 ( 78430 3740 0 ) ( * 14110 )
NEW met1 ( 78430 14110 ) M1M2_PR
NEW li1 ( 79810 14110 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[19] ( PIN dcache_to_mem_data_out[19] ) ( output344 X ) + USE SIGNAL
+ ROUTED met2 ( 1150 3740 0 ) ( * 17850 )
NEW met1 ( 1150 17850 ) ( 13800 * )
NEW met1 ( 13800 17850 ) ( * 18190 )
NEW met1 ( 13800 18190 ) ( 19550 * )
NEW met1 ( 1150 17850 ) M1M2_PR
NEW li1 ( 19550 18190 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[1] ( PIN dcache_to_mem_data_out[1] ) ( output345 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 107780 ) ( * 109310 )
NEW met3 ( 190210 107780 ) ( 196420 * 0 )
NEW li1 ( 190210 109310 ) L1M1_PR_MR
NEW met1 ( 190210 109310 ) M1M2_PR
NEW met2 ( 190210 107780 ) M2M3_PR_M
NEW met1 ( 190210 109310 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[20] ( PIN dcache_to_mem_data_out[20] ) ( output346 X ) + USE SIGNAL
+ ROUTED met1 ( 152950 181730 ) ( 154330 * )
NEW met2 ( 152950 181730 ) ( * 196180 0 )
NEW li1 ( 154330 181730 ) L1M1_PR_MR
NEW met1 ( 152950 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[21] ( PIN dcache_to_mem_data_out[21] ) ( output347 X ) + USE SIGNAL
+ ROUTED met1 ( 77510 181730 ) ( 78890 * )
NEW met2 ( 77510 181730 ) ( * 196180 0 )
NEW li1 ( 78890 181730 ) L1M1_PR_MR
NEW met1 ( 77510 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[22] ( PIN dcache_to_mem_data_out[22] ) ( output348 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 81940 ) ( * 82110 )
NEW met3 ( 3220 81940 0 ) ( 14030 * )
NEW met1 ( 13110 82110 ) ( 14030 * )
NEW li1 ( 13110 82110 ) L1M1_PR_MR
NEW met2 ( 14030 81940 ) M2M3_PR_M
NEW met1 ( 14030 82110 ) M1M2_PR ;
- dcache_to_mem_data_out[23] ( PIN dcache_to_mem_data_out[23] ) ( output349 X ) + USE SIGNAL
+ ROUTED met1 ( 92690 179010 ) ( 94530 * )
NEW met2 ( 92690 179010 ) ( * 183430 )
NEW met2 ( 92230 183430 ) ( 92690 * )
NEW met2 ( 92230 183430 ) ( * 196180 0 )
NEW li1 ( 94530 179010 ) L1M1_PR_MR
NEW met1 ( 92690 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[24] ( PIN dcache_to_mem_data_out[24] ) ( output350 X ) + USE SIGNAL
+ ROUTED met1 ( 2070 18190 ) ( 13110 * )
NEW met2 ( 13110 18190 ) ( * 22270 )
NEW met2 ( 2070 3740 0 ) ( * 18190 )
NEW met1 ( 2070 18190 ) M1M2_PR
NEW met1 ( 13110 18190 ) M1M2_PR
NEW li1 ( 13110 22270 ) L1M1_PR_MR
NEW met1 ( 13110 22270 ) M1M2_PR
NEW met1 ( 13110 22270 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[25] ( PIN dcache_to_mem_data_out[25] ) ( output351 X ) + USE SIGNAL
+ ROUTED met1 ( 124430 184450 ) ( 125810 * )
NEW met2 ( 124430 184450 ) ( * 186150 )
NEW met2 ( 123510 186150 ) ( 124430 * )
NEW met2 ( 123510 186150 ) ( * 196180 0 )
NEW li1 ( 125810 184450 ) L1M1_PR_MR
NEW met1 ( 124430 184450 ) M1M2_PR ;
- dcache_to_mem_data_out[26] ( PIN dcache_to_mem_data_out[26] ) ( output352 X ) + USE SIGNAL
+ ROUTED met1 ( 179630 171870 ) ( 198030 * )
NEW met2 ( 198030 171870 ) ( * 196180 0 )
NEW li1 ( 179630 171870 ) L1M1_PR_MR
NEW met1 ( 198030 171870 ) M1M2_PR ;
- dcache_to_mem_data_out[27] ( PIN dcache_to_mem_data_out[27] ) ( output353 X ) + USE SIGNAL
+ ROUTED met1 ( 181470 27710 ) ( 192510 * )
NEW met2 ( 192510 3740 0 ) ( * 27710 )
NEW met1 ( 192510 27710 ) M1M2_PR
NEW li1 ( 181470 27710 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[28] ( PIN dcache_to_mem_data_out[28] ) ( output354 X ) + USE SIGNAL
+ ROUTED met2 ( 183770 87380 ) ( * 87550 )
NEW met3 ( 183770 87380 ) ( 196420 * 0 )
NEW li1 ( 183770 87550 ) L1M1_PR_MR
NEW met1 ( 183770 87550 ) M1M2_PR
NEW met2 ( 183770 87380 ) M2M3_PR_M
NEW met1 ( 183770 87550 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[29] ( PIN dcache_to_mem_data_out[29] ) ( output355 X ) + USE SIGNAL
+ ROUTED met1 ( 50370 183090 ) ( 54970 * )
NEW met2 ( 54970 183090 ) ( 55430 * )
NEW met2 ( 55430 183090 ) ( * 196180 0 )
NEW li1 ( 50370 183090 ) L1M1_PR_MR
NEW met1 ( 54970 183090 ) M1M2_PR ;
- dcache_to_mem_data_out[2] ( PIN dcache_to_mem_data_out[2] ) ( output356 X ) + USE SIGNAL
+ ROUTED met1 ( 46230 18190 ) ( 51750 * )
NEW met2 ( 46230 3740 0 ) ( * 18190 )
NEW met1 ( 46230 18190 ) M1M2_PR
NEW li1 ( 51750 18190 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[30] ( PIN dcache_to_mem_data_out[30] ) ( output357 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 159460 ) ( * 159630 )
NEW met3 ( 186530 159460 ) ( 196420 * 0 )
NEW li1 ( 186530 159630 ) L1M1_PR_MR
NEW met1 ( 186530 159630 ) M1M2_PR
NEW met2 ( 186530 159460 ) M2M3_PR_M
NEW met1 ( 186530 159630 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[31] ( PIN dcache_to_mem_data_out[31] ) ( output358 X ) + USE SIGNAL
+ ROUTED met1 ( 24150 19550 ) ( 25070 * )
NEW met2 ( 24150 3740 0 ) ( * 19550 )
NEW met1 ( 24150 19550 ) M1M2_PR
NEW li1 ( 25070 19550 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[32] ( PIN dcache_to_mem_data_out[32] ) ( output359 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 72930 ) ( * 73780 )
NEW met3 ( 186530 73780 ) ( 196420 * 0 )
NEW li1 ( 186530 72930 ) L1M1_PR_MR
NEW met1 ( 186530 72930 ) M1M2_PR
NEW met2 ( 186530 73780 ) M2M3_PR_M
NEW met1 ( 186530 72930 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[33] ( PIN dcache_to_mem_data_out[33] ) ( output360 X ) + USE SIGNAL
+ ROUTED met1 ( 175950 24990 ) ( 187910 * )
NEW met2 ( 187910 3740 0 ) ( * 24990 )
NEW met1 ( 187910 24990 ) M1M2_PR
NEW li1 ( 175950 24990 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[34] ( PIN dcache_to_mem_data_out[34] ) ( output361 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 154020 0 ) ( 7590 * )
NEW met2 ( 7590 154020 ) ( * 155550 )
NEW met2 ( 7590 154020 ) M2M3_PR_M
NEW li1 ( 7590 155550 ) L1M1_PR_MR
NEW met1 ( 7590 155550 ) M1M2_PR
NEW met1 ( 7590 155550 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[35] ( PIN dcache_to_mem_data_out[35] ) ( output362 X ) + USE SIGNAL
+ ROUTED met1 ( 121670 179010 ) ( 123050 * )
NEW met2 ( 121670 179010 ) ( * 196180 0 )
NEW li1 ( 123050 179010 ) L1M1_PR_MR
NEW met1 ( 121670 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[36] ( PIN dcache_to_mem_data_out[36] ) ( output363 X ) + USE SIGNAL
+ ROUTED met1 ( 133630 181730 ) ( 135010 * )
NEW met2 ( 133630 181730 ) ( * 196180 0 )
NEW li1 ( 135010 181730 ) L1M1_PR_MR
NEW met1 ( 133630 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[37] ( PIN dcache_to_mem_data_out[37] ) ( output364 X ) + USE SIGNAL
+ ROUTED met2 ( 186070 95540 ) ( * 98430 )
NEW met3 ( 186070 95540 ) ( 196420 * 0 )
NEW li1 ( 186070 98430 ) L1M1_PR_MR
NEW met1 ( 186070 98430 ) M1M2_PR
NEW met2 ( 186070 95540 ) M2M3_PR_M
NEW met1 ( 186070 98430 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[38] ( PIN dcache_to_mem_data_out[38] ) ( output365 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 83300 ) ( * 84830 )
NEW met3 ( 183310 83300 ) ( 196420 * 0 )
NEW li1 ( 183310 84830 ) L1M1_PR_MR
NEW met1 ( 183310 84830 ) M1M2_PR
NEW met2 ( 183310 83300 ) M2M3_PR_M
NEW met1 ( 183310 84830 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[39] ( PIN dcache_to_mem_data_out[39] ) ( output366 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 102340 ) ( * 106590 )
NEW met3 ( 190210 102340 ) ( 196420 * 0 )
NEW li1 ( 190210 106590 ) L1M1_PR_MR
NEW met1 ( 190210 106590 ) M1M2_PR
NEW met2 ( 190210 102340 ) M2M3_PR_M
NEW met1 ( 190210 106590 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[3] ( PIN dcache_to_mem_data_out[3] ) ( output367 X ) + USE SIGNAL
+ ROUTED met2 ( 185150 80580 ) ( * 82110 )
NEW met3 ( 185150 80580 ) ( 196420 * 0 )
NEW li1 ( 185150 82110 ) L1M1_PR_MR
NEW met1 ( 185150 82110 ) M1M2_PR
NEW met2 ( 185150 80580 ) M2M3_PR_M
NEW met1 ( 185150 82110 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[40] ( PIN dcache_to_mem_data_out[40] ) ( output368 X ) + USE SIGNAL
+ ROUTED met2 ( 14950 22100 ) ( * 24990 )
NEW met3 ( 3220 22100 0 ) ( 14950 * )
NEW met2 ( 14950 22100 ) M2M3_PR_M
NEW li1 ( 14950 24990 ) L1M1_PR_MR
NEW met1 ( 14950 24990 ) M1M2_PR
NEW met1 ( 14950 24990 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[41] ( PIN dcache_to_mem_data_out[41] ) ( output369 X ) + USE SIGNAL
+ ROUTED met1 ( 15870 166770 ) ( 16790 * )
NEW met2 ( 16790 166770 ) ( * 169660 )
NEW met2 ( 16790 169660 ) ( 17250 * )
NEW met2 ( 17250 169660 ) ( * 195500 )
NEW met3 ( 17020 195500 ) ( 17250 * )
NEW met3 ( 17020 195500 ) ( * 196180 )
NEW met3 ( 3220 196180 0 ) ( 17020 * )
NEW li1 ( 15870 166770 ) L1M1_PR_MR
NEW met1 ( 16790 166770 ) M1M2_PR
NEW met2 ( 17250 195500 ) M2M3_PR_M ;
- dcache_to_mem_data_out[42] ( PIN dcache_to_mem_data_out[42] ) ( output370 X ) + USE SIGNAL
+ ROUTED met1 ( 68310 179010 ) ( 70150 * )
NEW met2 ( 68310 179010 ) ( * 196180 0 )
NEW li1 ( 70150 179010 ) L1M1_PR_MR
NEW met1 ( 68310 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[43] ( PIN dcache_to_mem_data_out[43] ) ( output371 X ) + USE SIGNAL
+ ROUTED met1 ( 83950 19550 ) ( 85330 * )
NEW met2 ( 83950 3740 0 ) ( * 19550 )
NEW met1 ( 83950 19550 ) M1M2_PR
NEW li1 ( 85330 19550 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[44] ( PIN dcache_to_mem_data_out[44] ) ( output372 X ) + USE SIGNAL
+ ROUTED met1 ( 127190 181730 ) ( 130410 * )
NEW met2 ( 127190 181730 ) ( * 196180 0 )
NEW li1 ( 130410 181730 ) L1M1_PR_MR
NEW met1 ( 127190 181730 ) M1M2_PR ;
- dcache_to_mem_data_out[45] ( PIN dcache_to_mem_data_out[45] ) ( output373 X ) + USE SIGNAL
+ ROUTED met1 ( 57270 16830 ) ( 58190 * )
NEW met2 ( 57270 3740 0 ) ( * 16830 )
NEW met1 ( 57270 16830 ) M1M2_PR
NEW li1 ( 58190 16830 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[46] ( PIN dcache_to_mem_data_out[46] ) ( output374 X ) + USE SIGNAL
+ ROUTED met1 ( 79810 179010 ) ( 83030 * )
NEW met2 ( 83030 179010 ) ( * 196180 0 )
NEW li1 ( 79810 179010 ) L1M1_PR_MR
NEW met1 ( 83030 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[47] ( PIN dcache_to_mem_data_out[47] ) ( output375 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 106420 ) ( * 109310 )
NEW met3 ( 186530 106420 ) ( 196420 * 0 )
NEW li1 ( 186530 109310 ) L1M1_PR_MR
NEW met1 ( 186530 109310 ) M1M2_PR
NEW met2 ( 186530 106420 ) M2M3_PR_M
NEW met1 ( 186530 109310 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[48] ( PIN dcache_to_mem_data_out[48] ) ( output376 X ) + USE SIGNAL
+ ROUTED met2 ( 183770 163540 ) ( * 163710 )
NEW met3 ( 183770 163540 ) ( 196420 * 0 )
NEW li1 ( 183770 163710 ) L1M1_PR_MR
NEW met1 ( 183770 163710 ) M1M2_PR
NEW met2 ( 183770 163540 ) M2M3_PR_M
NEW met1 ( 183770 163710 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[49] ( PIN dcache_to_mem_data_out[49] ) ( output377 X ) + USE SIGNAL
+ ROUTED met2 ( 166750 176290 ) ( * 196180 0 )
NEW li1 ( 166750 176290 ) L1M1_PR_MR
NEW met1 ( 166750 176290 ) M1M2_PR
NEW met1 ( 166750 176290 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[4] ( PIN dcache_to_mem_data_out[4] ) ( output378 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 115940 ) ( * 120190 )
NEW met3 ( 190210 115940 ) ( 196420 * 0 )
NEW li1 ( 190210 120190 ) L1M1_PR_MR
NEW met1 ( 190210 120190 ) M1M2_PR
NEW met2 ( 190210 115940 ) M2M3_PR_M
NEW met1 ( 190210 120190 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[50] ( PIN dcache_to_mem_data_out[50] ) ( output379 X ) + USE SIGNAL
+ ROUTED met2 ( 20470 19380 ) ( * 19550 )
NEW met3 ( 3220 19380 0 ) ( 20470 * )
NEW met2 ( 20470 19380 ) M2M3_PR_M
NEW li1 ( 20470 19550 ) L1M1_PR_MR
NEW met1 ( 20470 19550 ) M1M2_PR
NEW met1 ( 20470 19550 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[51] ( PIN dcache_to_mem_data_out[51] ) ( output380 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 59330 ) ( * 60180 )
NEW met3 ( 3220 60180 0 ) ( 7590 * )
NEW li1 ( 7590 59330 ) L1M1_PR_MR
NEW met1 ( 7590 59330 ) M1M2_PR
NEW met2 ( 7590 60180 ) M2M3_PR_M
NEW met1 ( 7590 59330 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[52] ( PIN dcache_to_mem_data_out[52] ) ( output381 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 166260 ) ( * 166430 )
NEW met3 ( 183310 166260 ) ( 196420 * 0 )
NEW li1 ( 183310 166430 ) L1M1_PR_MR
NEW met1 ( 183310 166430 ) M1M2_PR
NEW met2 ( 183310 166260 ) M2M3_PR_M
NEW met1 ( 183310 166430 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[53] ( PIN dcache_to_mem_data_out[53] ) ( output382 X ) + USE SIGNAL
+ ROUTED met2 ( 75670 3740 0 ) ( * 14110 )
NEW li1 ( 75670 14110 ) L1M1_PR_MR
NEW met1 ( 75670 14110 ) M1M2_PR
NEW met1 ( 75670 14110 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[54] ( PIN dcache_to_mem_data_out[54] ) ( output383 X ) + USE SIGNAL
+ ROUTED met1 ( 81190 18190 ) ( 89930 * )
NEW met2 ( 81190 3740 0 ) ( * 18190 )
NEW met1 ( 81190 18190 ) M1M2_PR
NEW li1 ( 89930 18190 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[55] ( PIN dcache_to_mem_data_out[55] ) ( output384 X ) + USE SIGNAL
+ ROUTED met1 ( 167670 22270 ) ( 169050 * )
NEW met2 ( 167670 3740 0 ) ( * 22270 )
NEW met1 ( 167670 22270 ) M1M2_PR
NEW li1 ( 169050 22270 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[56] ( PIN dcache_to_mem_data_out[56] ) ( output385 X ) + USE SIGNAL
+ ROUTED met2 ( 163990 3740 0 ) ( * 13800 )
NEW met2 ( 163990 13800 ) ( 164450 * )
NEW met2 ( 164450 13800 ) ( * 24990 )
NEW met1 ( 164450 24990 ) ( 165370 * )
NEW met1 ( 164450 24990 ) M1M2_PR
NEW li1 ( 165370 24990 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[57] ( PIN dcache_to_mem_data_out[57] ) ( output386 X ) + USE SIGNAL
+ ROUTED met1 ( 182390 169150 ) ( 184230 * )
NEW met2 ( 184230 169150 ) ( * 186660 )
NEW met3 ( 184230 186660 ) ( 196420 * 0 )
NEW li1 ( 182390 169150 ) L1M1_PR_MR
NEW met1 ( 184230 169150 ) M1M2_PR
NEW met2 ( 184230 186660 ) M2M3_PR_M ;
- dcache_to_mem_data_out[58] ( PIN dcache_to_mem_data_out[58] ) ( output387 X ) + USE SIGNAL
+ ROUTED met2 ( 178710 167620 ) ( * 169150 )
NEW met3 ( 178710 167620 ) ( 196420 * 0 )
NEW li1 ( 178710 169150 ) L1M1_PR_MR
NEW met1 ( 178710 169150 ) M1M2_PR
NEW met2 ( 178710 167620 ) M2M3_PR_M
NEW met1 ( 178710 169150 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[59] ( PIN dcache_to_mem_data_out[59] ) ( output388 X ) + USE SIGNAL
+ ROUTED met1 ( 149270 184450 ) ( 150650 * )
NEW met2 ( 149270 184450 ) ( * 196180 0 )
NEW li1 ( 150650 184450 ) L1M1_PR_MR
NEW met1 ( 149270 184450 ) M1M2_PR ;
- dcache_to_mem_data_out[5] ( PIN dcache_to_mem_data_out[5] ) ( output389 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 68340 0 ) ( 7590 * )
NEW met2 ( 7590 68340 ) ( * 71230 )
NEW met2 ( 7590 68340 ) M2M3_PR_M
NEW li1 ( 7590 71230 ) L1M1_PR_MR
NEW met1 ( 7590 71230 ) M1M2_PR
NEW met1 ( 7590 71230 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[60] ( PIN dcache_to_mem_data_out[60] ) ( output390 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 140420 0 ) ( 7590 * )
NEW met2 ( 7590 140420 ) ( * 140930 )
NEW met2 ( 7590 140420 ) M2M3_PR_M
NEW li1 ( 7590 140930 ) L1M1_PR_MR
NEW met1 ( 7590 140930 ) M1M2_PR
NEW met1 ( 7590 140930 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[61] ( PIN dcache_to_mem_data_out[61] ) ( output391 X ) + USE SIGNAL
+ ROUTED met1 ( 72910 16830 ) ( 73830 * )
NEW met2 ( 72910 3740 0 ) ( * 16830 )
NEW met1 ( 72910 16830 ) M1M2_PR
NEW li1 ( 73830 16830 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[62] ( PIN dcache_to_mem_data_out[62] ) ( output392 X ) + USE SIGNAL
+ ROUTED met1 ( 85330 176290 ) ( 89010 * )
NEW met2 ( 85330 176290 ) ( * 180540 )
NEW met2 ( 84870 180540 ) ( 85330 * )
NEW met2 ( 84870 180540 ) ( * 196180 0 )
NEW li1 ( 89010 176290 ) L1M1_PR_MR
NEW met1 ( 85330 176290 ) M1M2_PR ;
- dcache_to_mem_data_out[63] ( PIN dcache_to_mem_data_out[63] ) ( output393 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 38420 ) ( * 41310 )
NEW met3 ( 190210 38420 ) ( 196420 * 0 )
NEW li1 ( 190210 41310 ) L1M1_PR_MR
NEW met1 ( 190210 41310 ) M1M2_PR
NEW met2 ( 190210 38420 ) M2M3_PR_M
NEW met1 ( 190210 41310 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[64] ( PIN dcache_to_mem_data_out[64] ) ( output394 X ) + USE SIGNAL
+ ROUTED met1 ( 135470 178330 ) ( * 179010 )
NEW met2 ( 135470 178330 ) ( * 178500 )
NEW met3 ( 132940 178500 ) ( 135470 * )
NEW met3 ( 132940 178500 ) ( * 179860 )
NEW met3 ( 132710 179860 ) ( 132940 * )
NEW met2 ( 132710 179860 ) ( * 196180 0 )
NEW li1 ( 135470 179010 ) L1M1_PR_MR
NEW met1 ( 135470 178330 ) M1M2_PR
NEW met2 ( 135470 178500 ) M2M3_PR_M
NEW met2 ( 132710 179860 ) M2M3_PR_M ;
- dcache_to_mem_data_out[65] ( PIN dcache_to_mem_data_out[65] ) ( output395 X ) + USE SIGNAL
+ ROUTED met2 ( 175950 3740 0 ) ( * 7820 )
NEW met2 ( 175950 7820 ) ( 176870 * )
NEW met1 ( 176870 27710 ) ( 177330 * )
NEW met2 ( 176870 7820 ) ( * 27710 )
NEW met1 ( 176870 27710 ) M1M2_PR
NEW li1 ( 177330 27710 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[66] ( PIN dcache_to_mem_data_out[66] ) ( output396 X ) + USE SIGNAL
+ ROUTED met2 ( 16790 95540 ) ( * 95710 )
NEW met1 ( 16790 95710 ) ( 18630 * )
NEW met3 ( 3220 95540 0 ) ( 16790 * )
NEW met2 ( 16790 95540 ) M2M3_PR_M
NEW met1 ( 16790 95710 ) M1M2_PR
NEW li1 ( 18630 95710 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[67] ( PIN dcache_to_mem_data_out[67] ) ( output397 X ) + USE SIGNAL
+ ROUTED met1 ( 68310 19550 ) ( 69690 * )
NEW met2 ( 68310 3740 0 ) ( * 19550 )
NEW met1 ( 68310 19550 ) M1M2_PR
NEW li1 ( 69690 19550 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[68] ( PIN dcache_to_mem_data_out[68] ) ( output398 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 30260 ) ( * 30430 )
NEW met3 ( 3220 30260 0 ) ( 14030 * )
NEW met2 ( 14030 30260 ) M2M3_PR_M
NEW li1 ( 14030 30430 ) L1M1_PR_MR
NEW met1 ( 14030 30430 ) M1M2_PR
NEW met1 ( 14030 30430 ) RECT ( 0 -70 355 70 ) ;
- dcache_to_mem_data_out[69] ( PIN dcache_to_mem_data_out[69] ) ( output399 X ) + USE SIGNAL
+ ROUTED met2 ( 185150 64260 ) ( * 65790 )
NEW met3 ( 185150 64260 ) ( 196420 * 0 )
NEW li1 ( 185150 65790 ) L1M1_PR_MR
NEW met1 ( 185150 65790 ) M1M2_PR
NEW met2 ( 185150 64260 ) M2M3_PR_M
NEW met1 ( 185150 65790 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[6] ( PIN dcache_to_mem_data_out[6] ) ( output400 X ) + USE SIGNAL
+ ROUTED met1 ( 114310 179010 ) ( 115690 * )
NEW met2 ( 114310 179010 ) ( * 196180 0 )
NEW li1 ( 115690 179010 ) L1M1_PR_MR
NEW met1 ( 114310 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[70] ( PIN dcache_to_mem_data_out[70] ) ( output401 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 35700 ) ( * 38590 )
NEW met3 ( 186530 35700 ) ( 196420 * 0 )
NEW li1 ( 186530 38590 ) L1M1_PR_MR
NEW met1 ( 186530 38590 ) M1M2_PR
NEW met2 ( 186530 35700 ) M2M3_PR_M
NEW met1 ( 186530 38590 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[71] ( PIN dcache_to_mem_data_out[71] ) ( output402 X ) + USE SIGNAL
+ ROUTED met1 ( 41170 16830 ) ( 44390 * )
NEW met2 ( 44390 3740 0 ) ( * 16830 )
NEW met1 ( 44390 16830 ) M1M2_PR
NEW li1 ( 41170 16830 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[72] ( PIN dcache_to_mem_data_out[72] ) ( output403 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 122910 ) ( 190670 * )
NEW met2 ( 190670 118660 ) ( * 122910 )
NEW met3 ( 190670 118660 ) ( 196420 * 0 )
NEW li1 ( 190210 122910 ) L1M1_PR_MR
NEW met1 ( 190670 122910 ) M1M2_PR
NEW met2 ( 190670 118660 ) M2M3_PR_M ;
- dcache_to_mem_data_out[73] ( PIN dcache_to_mem_data_out[73] ) ( output404 X ) + USE SIGNAL
+ ROUTED met1 ( 177790 168130 ) ( 179170 * )
NEW met2 ( 177790 168130 ) ( * 168980 )
NEW met3 ( 177790 168980 ) ( 196420 * 0 )
NEW li1 ( 179170 168130 ) L1M1_PR_MR
NEW met1 ( 177790 168130 ) M1M2_PR
NEW met2 ( 177790 168980 ) M2M3_PR_M ;
- dcache_to_mem_data_out[74] ( PIN dcache_to_mem_data_out[74] ) ( output405 X ) + USE SIGNAL
+ ROUTED met1 ( 172270 19550 ) ( 173190 * )
NEW met2 ( 172270 19550 ) ( * 24990 )
NEW met2 ( 173190 3740 0 ) ( * 19550 )
NEW met1 ( 173190 19550 ) M1M2_PR
NEW met1 ( 172270 19550 ) M1M2_PR
NEW li1 ( 172270 24990 ) L1M1_PR_MR
NEW met1 ( 172270 24990 ) M1M2_PR
NEW met1 ( 172270 24990 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[75] ( PIN dcache_to_mem_data_out[75] ) ( output406 X ) + USE SIGNAL
+ ROUTED met2 ( 104650 181730 ) ( * 183430 )
NEW met2 ( 104650 183430 ) ( 105110 * )
NEW met2 ( 105110 183430 ) ( * 196180 0 )
NEW li1 ( 104650 181730 ) L1M1_PR_MR
NEW met1 ( 104650 181730 ) M1M2_PR
NEW met1 ( 104650 181730 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[76] ( PIN dcache_to_mem_data_out[76] ) ( output407 X ) + USE SIGNAL
+ ROUTED met1 ( 145590 184450 ) ( 146970 * )
NEW met2 ( 145590 184450 ) ( * 196180 0 )
NEW li1 ( 146970 184450 ) L1M1_PR_MR
NEW met1 ( 145590 184450 ) M1M2_PR ;
- dcache_to_mem_data_out[77] ( PIN dcache_to_mem_data_out[77] ) ( output408 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 50660 ) ( * 52190 )
NEW met3 ( 190210 50660 ) ( 196420 * 0 )
NEW li1 ( 190210 52190 ) L1M1_PR_MR
NEW met1 ( 190210 52190 ) M1M2_PR
NEW met2 ( 190210 50660 ) M2M3_PR_M
NEW met1 ( 190210 52190 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[78] ( PIN dcache_to_mem_data_out[78] ) ( output409 X ) + USE SIGNAL
+ ROUTED met1 ( 175490 173570 ) ( 176870 * )
NEW met2 ( 176870 173570 ) ( * 177140 )
NEW met3 ( 176870 177140 ) ( 196420 * 0 )
NEW li1 ( 175490 173570 ) L1M1_PR_MR
NEW met1 ( 176870 173570 ) M1M2_PR
NEW met2 ( 176870 177140 ) M2M3_PR_M ;
- dcache_to_mem_data_out[79] ( PIN dcache_to_mem_data_out[79] ) ( output410 X ) + USE SIGNAL
+ ROUTED met1 ( 30590 176290 ) ( 31510 * )
NEW met2 ( 30590 176290 ) ( * 196180 0 )
NEW li1 ( 31510 176290 ) L1M1_PR_MR
NEW met1 ( 30590 176290 ) M1M2_PR ;
- dcache_to_mem_data_out[7] ( PIN dcache_to_mem_data_out[7] ) ( output411 X ) + USE SIGNAL
+ ROUTED met1 ( 32430 19550 ) ( 33350 * )
NEW met2 ( 32430 3740 0 ) ( * 19550 )
NEW met1 ( 32430 19550 ) M1M2_PR
NEW li1 ( 33350 19550 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[80] ( PIN dcache_to_mem_data_out[80] ) ( output412 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 31620 ) ( * 33150 )
NEW met3 ( 182850 31620 ) ( 196420 * 0 )
NEW li1 ( 182850 33150 ) L1M1_PR_MR
NEW met1 ( 182850 33150 ) M1M2_PR
NEW met2 ( 182850 31620 ) M2M3_PR_M
NEW met1 ( 182850 33150 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[81] ( PIN dcache_to_mem_data_out[81] ) ( output413 X ) + USE SIGNAL
+ ROUTED met1 ( 96830 179010 ) ( 98210 * )
NEW met2 ( 96830 179010 ) ( * 196180 0 )
NEW li1 ( 98210 179010 ) L1M1_PR_MR
NEW met1 ( 96830 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[82] ( PIN dcache_to_mem_data_out[82] ) ( output414 X ) + USE SIGNAL
+ ROUTED met1 ( 77510 19550 ) ( 78430 * )
NEW met2 ( 77510 3740 0 ) ( * 19550 )
NEW met1 ( 77510 19550 ) M1M2_PR
NEW li1 ( 78430 19550 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[83] ( PIN dcache_to_mem_data_out[83] ) ( output415 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 117300 ) ( * 120190 )
NEW met3 ( 186530 117300 ) ( 196420 * 0 )
NEW li1 ( 186530 120190 ) L1M1_PR_MR
NEW met1 ( 186530 120190 ) M1M2_PR
NEW met2 ( 186530 117300 ) M2M3_PR_M
NEW met1 ( 186530 120190 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[84] ( PIN dcache_to_mem_data_out[84] ) ( output416 X ) + USE SIGNAL
+ ROUTED met2 ( 109710 179010 ) ( * 196180 0 )
NEW met1 ( 109710 179010 ) ( 110630 * )
NEW met1 ( 109710 179010 ) M1M2_PR
NEW li1 ( 110630 179010 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[85] ( PIN dcache_to_mem_data_out[85] ) ( output417 X ) + USE SIGNAL
+ ROUTED met2 ( 172270 171870 ) ( * 175270 )
NEW met1 ( 172270 175270 ) ( 180090 * )
NEW met2 ( 180090 175270 ) ( * 184620 )
NEW met2 ( 179630 184620 ) ( 180090 * )
NEW met2 ( 179630 184620 ) ( * 196180 0 )
NEW li1 ( 172270 171870 ) L1M1_PR_MR
NEW met1 ( 172270 171870 ) M1M2_PR
NEW met1 ( 172270 175270 ) M1M2_PR
NEW met1 ( 180090 175270 ) M1M2_PR
NEW met1 ( 172270 171870 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[86] ( PIN dcache_to_mem_data_out[86] ) ( output418 X ) + USE SIGNAL
+ ROUTED met2 ( 5750 3740 0 ) ( * 13800 )
NEW met2 ( 5750 13800 ) ( 7130 * )
NEW met2 ( 7130 13800 ) ( * 27710 )
NEW met1 ( 7130 27710 ) ( 14030 * )
NEW met1 ( 7130 27710 ) M1M2_PR
NEW li1 ( 14030 27710 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[87] ( PIN dcache_to_mem_data_out[87] ) ( output419 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 183940 0 ) ( 13800 * )
NEW met1 ( 14030 165410 ) ( 15870 * )
NEW met2 ( 15870 165410 ) ( * 183260 )
NEW met3 ( 13800 183260 ) ( 15870 * )
NEW met3 ( 13800 183260 ) ( * 183940 )
NEW li1 ( 14030 165410 ) L1M1_PR_MR
NEW met1 ( 15870 165410 ) M1M2_PR
NEW met2 ( 15870 183260 ) M2M3_PR_M ;
- dcache_to_mem_data_out[88] ( PIN dcache_to_mem_data_out[88] ) ( output420 X ) + USE SIGNAL
+ ROUTED met1 ( 32890 179010 ) ( 36110 * )
NEW met2 ( 32430 179010 ) ( 32890 * )
NEW met2 ( 32430 179010 ) ( * 196180 0 )
NEW li1 ( 36110 179010 ) L1M1_PR_MR
NEW met1 ( 32890 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[89] ( PIN dcache_to_mem_data_out[89] ) ( output421 X ) + USE SIGNAL
+ ROUTED met1 ( 38870 179010 ) ( 39790 * )
NEW met2 ( 38870 179010 ) ( * 196180 0 )
NEW li1 ( 39790 179010 ) L1M1_PR_MR
NEW met1 ( 38870 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[8] ( PIN dcache_to_mem_data_out[8] ) ( output422 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 7140 0 ) ( 18630 * )
NEW met2 ( 18630 7140 ) ( * 24990 )
NEW met2 ( 18630 7140 ) M2M3_PR_M
NEW li1 ( 18630 24990 ) L1M1_PR_MR
NEW met1 ( 18630 24990 ) M1M2_PR
NEW met1 ( 18630 24990 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[90] ( PIN dcache_to_mem_data_out[90] ) ( output423 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 151810 ) ( * 152660 )
NEW met3 ( 190210 152660 ) ( 196420 * 0 )
NEW li1 ( 190210 151810 ) L1M1_PR_MR
NEW met1 ( 190210 151810 ) M1M2_PR
NEW met2 ( 190210 152660 ) M2M3_PR_M
NEW met1 ( 190210 151810 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[91] ( PIN dcache_to_mem_data_out[91] ) ( output424 X ) + USE SIGNAL
+ ROUTED met1 ( 126270 179010 ) ( 127190 * )
NEW met2 ( 126270 179010 ) ( * 196180 0 )
NEW li1 ( 127190 179010 ) L1M1_PR_MR
NEW met1 ( 126270 179010 ) M1M2_PR ;
- dcache_to_mem_data_out[92] ( PIN dcache_to_mem_data_out[92] ) ( output425 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 69700 ) ( * 71230 )
NEW met3 ( 182850 69700 ) ( 196420 * 0 )
NEW li1 ( 182850 71230 ) L1M1_PR_MR
NEW met1 ( 182850 71230 ) M1M2_PR
NEW met2 ( 182850 69700 ) M2M3_PR_M
NEW met1 ( 182850 71230 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[93] ( PIN dcache_to_mem_data_out[93] ) ( output426 X ) + USE SIGNAL
+ ROUTED met1 ( 61870 179010 ) ( 62790 * )
NEW met2 ( 61870 179010 ) ( * 181220 )
NEW met3 ( 61870 181220 ) ( * 181900 )
NEW met2 ( 61870 181900 ) ( * 196180 0 )
NEW li1 ( 62790 179010 ) L1M1_PR_MR
NEW met1 ( 61870 179010 ) M1M2_PR
NEW met2 ( 61870 181220 ) M2M3_PR_M
NEW met2 ( 61870 181900 ) M2M3_PR_M ;
- dcache_to_mem_data_out[94] ( PIN dcache_to_mem_data_out[94] ) ( output427 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 139060 0 ) ( 11270 * )
NEW met2 ( 11270 139060 ) ( * 139230 )
NEW met2 ( 11270 139060 ) M2M3_PR_M
NEW li1 ( 11270 139230 ) L1M1_PR_MR
NEW met1 ( 11270 139230 ) M1M2_PR
NEW met1 ( 11270 139230 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[95] ( PIN dcache_to_mem_data_out[95] ) ( output428 X ) + USE SIGNAL
+ ROUTED met1 ( 114310 14110 ) ( 115230 * )
NEW met2 ( 114310 3740 0 ) ( * 14110 )
NEW met1 ( 114310 14110 ) M1M2_PR
NEW li1 ( 115230 14110 ) L1M1_PR_MR ;
- dcache_to_mem_data_out[96] ( PIN dcache_to_mem_data_out[96] ) ( output429 X ) + USE SIGNAL
+ ROUTED met1 ( 92690 176290 ) ( 93150 * )
NEW met2 ( 91310 176290 ) ( 92690 * )
NEW met2 ( 91310 176290 ) ( * 196180 0 )
NEW li1 ( 93150 176290 ) L1M1_PR_MR
NEW met1 ( 92690 176290 ) M1M2_PR ;
- dcache_to_mem_data_out[97] ( PIN dcache_to_mem_data_out[97] ) ( output430 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 148580 ) ( * 148750 )
NEW met3 ( 190210 148580 ) ( 196420 * 0 )
NEW li1 ( 190210 148750 ) L1M1_PR_MR
NEW met1 ( 190210 148750 ) M1M2_PR
NEW met2 ( 190210 148580 ) M2M3_PR_M
NEW met1 ( 190210 148750 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[98] ( PIN dcache_to_mem_data_out[98] ) ( output431 X ) + USE SIGNAL
+ ROUTED met1 ( 79350 176290 ) ( 80730 * )
NEW met2 ( 79350 176290 ) ( * 196180 0 )
NEW li1 ( 80730 176290 ) L1M1_PR_MR
NEW met1 ( 79350 176290 ) M1M2_PR ;
- dcache_to_mem_data_out[99] ( PIN dcache_to_mem_data_out[99] ) ( output432 X ) + USE SIGNAL
+ ROUTED met2 ( 23230 170850 ) ( * 188700 )
NEW met2 ( 21390 188700 ) ( 23230 * )
NEW met2 ( 21390 188700 ) ( * 196180 0 )
NEW li1 ( 23230 170850 ) L1M1_PR_MR
NEW met1 ( 23230 170850 ) M1M2_PR
NEW met1 ( 23230 170850 ) RECT ( -355 -70 0 70 ) ;
- dcache_to_mem_data_out[9] ( PIN dcache_to_mem_data_out[9] ) ( output433 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 141780 ) ( * 141950 )
NEW met3 ( 190210 141780 ) ( 196420 * 0 )
NEW li1 ( 190210 141950 ) L1M1_PR_MR
NEW met1 ( 190210 141950 ) M1M2_PR
NEW met2 ( 190210 141780 ) M2M3_PR_M
NEW met1 ( 190210 141950 ) RECT ( -355 -70 0 70 ) ;
- dcache_we ( PIN dcache_we ) ( ANTENNA_input259_A DIODE ) ( input259 A ) + USE SIGNAL
+ ROUTED met1 ( 20470 44710 ) ( 23230 * )
NEW met2 ( 20470 44710 ) ( * 45220 )
NEW met1 ( 23230 44710 ) ( 33810 * )
NEW met3 ( 3220 45220 0 ) ( 20470 * )
NEW li1 ( 23230 44710 ) L1M1_PR_MR
NEW met1 ( 20470 44710 ) M1M2_PR
NEW met2 ( 20470 45220 ) M2M3_PR_M
NEW li1 ( 33810 44710 ) L1M1_PR_MR ;
- dtlb_physical_addr_in[0] ( PIN dtlb_physical_addr_in[0] ) ( ANTENNA_input260_A DIODE ) ( input260 A ) + USE SIGNAL
+ ROUTED met2 ( 16790 65620 ) ( * 69530 )
NEW met1 ( 16790 69530 ) ( 21850 * )
NEW met3 ( 3220 65620 0 ) ( 16790 * )
NEW li1 ( 16790 69530 ) L1M1_PR_MR
NEW met1 ( 16790 69530 ) M1M2_PR
NEW met2 ( 16790 65620 ) M2M3_PR_M
NEW li1 ( 21850 69530 ) L1M1_PR_MR
NEW met1 ( 16790 69530 ) RECT ( -355 -70 0 70 ) ;
- dtlb_physical_addr_in[10] ( PIN dtlb_physical_addr_in[10] ) ( ANTENNA_input261_A DIODE ) ( input261 A ) + USE SIGNAL
+ ROUTED met1 ( 167670 178330 ) ( 168130 * )
NEW met2 ( 167670 177140 ) ( * 178330 )
NEW met3 ( 158010 177140 ) ( 167670 * )
NEW met2 ( 158010 172380 ) ( * 177140 )
NEW met3 ( 146510 172380 ) ( 158010 * )
NEW met2 ( 146510 164730 ) ( * 172380 )
NEW met1 ( 145130 164730 ) ( 146510 * )
NEW met2 ( 167670 194310 ) ( * 196180 0 )
NEW met1 ( 163530 194310 ) ( 167670 * )
NEW met2 ( 163530 177140 ) ( * 194310 )
NEW li1 ( 168130 178330 ) L1M1_PR_MR
NEW met1 ( 167670 178330 ) M1M2_PR
NEW met2 ( 167670 177140 ) M2M3_PR_M
NEW met2 ( 158010 177140 ) M2M3_PR_M
NEW met2 ( 158010 172380 ) M2M3_PR_M
NEW met2 ( 146510 172380 ) M2M3_PR_M
NEW met1 ( 146510 164730 ) M1M2_PR
NEW li1 ( 145130 164730 ) L1M1_PR_MR
NEW met1 ( 167670 194310 ) M1M2_PR
NEW met1 ( 163530 194310 ) M1M2_PR
NEW met2 ( 163530 177140 ) M2M3_PR_M
NEW met3 ( 163530 177140 ) RECT ( -800 -150 0 150 ) ;
- dtlb_physical_addr_in[11] ( PIN dtlb_physical_addr_in[11] ) ( ANTENNA_input262_A DIODE ) ( input262 A ) + USE SIGNAL
+ ROUTED met1 ( 176410 174590 ) ( * 174930 )
NEW met1 ( 174570 174590 ) ( 176410 * )
NEW met1 ( 174570 174590 ) ( * 174930 )
NEW met1 ( 148350 174930 ) ( 174570 * )
NEW met2 ( 148350 162690 ) ( * 174930 )
NEW met1 ( 147430 162690 ) ( 148350 * )
NEW met2 ( 174110 190060 ) ( * 196180 0 )
NEW met3 ( 170660 190060 ) ( 174110 * )
NEW met4 ( 170660 175100 ) ( * 190060 )
NEW met3 ( 170660 175100 ) ( 170890 * )
NEW met2 ( 170890 174930 ) ( * 175100 )
NEW li1 ( 176410 174930 ) L1M1_PR_MR
NEW met1 ( 148350 174930 ) M1M2_PR
NEW met1 ( 148350 162690 ) M1M2_PR
NEW li1 ( 147430 162690 ) L1M1_PR_MR
NEW met2 ( 174110 190060 ) M2M3_PR_M
NEW met3 ( 170660 190060 ) M3M4_PR_M
NEW met3 ( 170660 175100 ) M3M4_PR_M
NEW met2 ( 170890 175100 ) M2M3_PR_M
NEW met1 ( 170890 174930 ) M1M2_PR
NEW met3 ( 170660 175100 ) RECT ( -390 -150 0 150 )
NEW met1 ( 170890 174930 ) RECT ( -595 -70 0 70 ) ;
- dtlb_physical_addr_in[12] ( PIN dtlb_physical_addr_in[12] ) ( ANTENNA_input263_A DIODE ) ( input263 A ) + USE SIGNAL
+ ROUTED met1 ( 13570 143650 ) ( 21850 * )
NEW met1 ( 13570 175270 ) ( 19090 * )
NEW met2 ( 13570 161670 ) ( * 175270 )
NEW met2 ( 13570 161670 ) ( 14030 * )
NEW met2 ( 14030 158270 ) ( * 161670 )
NEW met2 ( 13570 158270 ) ( 14030 * )
NEW met2 ( 18630 175270 ) ( * 196180 0 )
NEW met2 ( 13570 143650 ) ( * 158270 )
NEW met1 ( 13570 143650 ) M1M2_PR
NEW li1 ( 21850 143650 ) L1M1_PR_MR
NEW li1 ( 19090 175270 ) L1M1_PR_MR
NEW met1 ( 13570 175270 ) M1M2_PR
NEW met1 ( 18630 175270 ) M1M2_PR
NEW met1 ( 18630 175270 ) RECT ( -595 -70 0 70 ) ;
- dtlb_physical_addr_in[13] ( PIN dtlb_physical_addr_in[13] ) ( ANTENNA_input264_A DIODE ) ( input264 A ) + USE SIGNAL
+ ROUTED met2 ( 172270 3740 0 ) ( * 7140 )
NEW met2 ( 171810 7140 ) ( 172270 * )
NEW met2 ( 171810 7140 ) ( * 13800 )
NEW met1 ( 171350 50150 ) ( 174570 * )
NEW met2 ( 171350 49980 ) ( * 50150 )
NEW met3 ( 170660 49980 ) ( 171350 * )
NEW met4 ( 170660 27540 ) ( * 49980 )
NEW met3 ( 170660 27540 ) ( 170890 * )
NEW met2 ( 170890 18190 ) ( * 27540 )
NEW met1 ( 170890 18190 ) ( 172270 * )
NEW met2 ( 172270 13800 ) ( * 18190 )
NEW met2 ( 171810 13800 ) ( 172270 * )
NEW met1 ( 164450 57630 ) ( 165830 * )
NEW met2 ( 165830 49980 ) ( * 57630 )
NEW met3 ( 165830 49980 ) ( 170660 * )
NEW li1 ( 174570 50150 ) L1M1_PR_MR
NEW met1 ( 171350 50150 ) M1M2_PR
NEW met2 ( 171350 49980 ) M2M3_PR_M
NEW met3 ( 170660 49980 ) M3M4_PR_M
NEW met3 ( 170660 27540 ) M3M4_PR_M
NEW met2 ( 170890 27540 ) M2M3_PR_M
NEW met1 ( 170890 18190 ) M1M2_PR
NEW met1 ( 172270 18190 ) M1M2_PR
NEW li1 ( 164450 57630 ) L1M1_PR_MR
NEW met1 ( 165830 57630 ) M1M2_PR
NEW met2 ( 165830 49980 ) M2M3_PR_M
NEW met3 ( 170660 27540 ) RECT ( -390 -150 0 150 ) ;
- dtlb_physical_addr_in[14] ( PIN dtlb_physical_addr_in[14] ) ( ANTENNA_input265_A DIODE ) ( input265 A ) + USE SIGNAL
+ ROUTED met1 ( 133170 15130 ) ( 135010 * )
NEW met2 ( 133170 15130 ) ( * 36550 )
NEW met1 ( 129490 36550 ) ( 133170 * )
NEW met2 ( 134550 3740 0 ) ( * 15130 )
NEW li1 ( 135010 15130 ) L1M1_PR_MR
NEW met1 ( 133170 15130 ) M1M2_PR
NEW met1 ( 133170 36550 ) M1M2_PR
NEW li1 ( 129490 36550 ) L1M1_PR_MR
NEW met1 ( 134550 15130 ) M1M2_PR
NEW met1 ( 134550 15130 ) RECT ( -595 -70 0 70 ) ;
- dtlb_physical_addr_in[15] ( PIN dtlb_physical_addr_in[15] ) ( ANTENNA_input266_A DIODE ) ( input266 A ) + USE SIGNAL
+ ROUTED met2 ( 182850 144500 ) ( * 145690 )
NEW met3 ( 182850 144500 ) ( 196420 * 0 )
NEW met1 ( 171350 145690 ) ( 182850 * )
NEW li1 ( 182850 145690 ) L1M1_PR_MR
NEW met1 ( 182850 145690 ) M1M2_PR
NEW met2 ( 182850 144500 ) M2M3_PR_M
NEW li1 ( 171350 145690 ) L1M1_PR_MR
NEW met1 ( 182850 145690 ) RECT ( -355 -70 0 70 ) ;
- dtlb_physical_addr_in[16] ( PIN dtlb_physical_addr_in[16] ) ( ANTENNA_input267_A DIODE ) ( input267 A ) + USE SIGNAL
+ ROUTED met1 ( 175950 107610 ) ( 177330 * )
NEW met2 ( 177330 103700 ) ( * 107610 )
NEW met3 ( 177330 103700 ) ( 196420 * 0 )
NEW met1 ( 173650 107610 ) ( 175950 * )
NEW li1 ( 175950 107610 ) L1M1_PR_MR
NEW met1 ( 177330 107610 ) M1M2_PR
NEW met2 ( 177330 103700 ) M2M3_PR_M
NEW li1 ( 173650 107610 ) L1M1_PR_MR ;
- dtlb_physical_addr_in[17] ( PIN dtlb_physical_addr_in[17] ) ( ANTENNA_input268_A DIODE ) ( input268 A ) + USE SIGNAL
+ ROUTED met2 ( 123050 180710 ) ( * 187170 )
NEW met1 ( 119830 187170 ) ( 123050 * )
NEW met2 ( 119830 187170 ) ( * 196180 0 )
NEW met2 ( 122590 175780 ) ( 123050 * )
NEW met2 ( 123050 175780 ) ( * 180710 )
NEW met1 ( 121670 157250 ) ( 122590 * )
NEW met2 ( 122590 157250 ) ( * 175780 )
NEW li1 ( 123050 180710 ) L1M1_PR_MR
NEW met1 ( 123050 180710 ) M1M2_PR
NEW met1 ( 123050 187170 ) M1M2_PR
NEW met1 ( 119830 187170 ) M1M2_PR
NEW li1 ( 121670 157250 ) L1M1_PR_MR
NEW met1 ( 122590 157250 ) M1M2_PR
NEW met1 ( 123050 180710 ) RECT ( -355 -70 0 70 ) ;
- dtlb_physical_addr_in[18] ( PIN dtlb_physical_addr_in[18] ) ( ANTENNA_input269_A DIODE ) ( input269 A ) + USE SIGNAL
+ ROUTED met2 ( 87630 3740 0 ) ( * 13800 )
NEW met1 ( 88090 31450 ) ( 89010 * )
NEW met2 ( 88090 13800 ) ( * 31450 )
NEW met2 ( 87630 13800 ) ( 88090 * )
NEW met1 ( 87630 35870 ) ( 88090 * )
NEW met2 ( 88090 31450 ) ( * 35870 )
NEW li1 ( 89010 31450 ) L1M1_PR_MR
NEW met1 ( 88090 31450 ) M1M2_PR
NEW li1 ( 87630 35870 ) L1M1_PR_MR
NEW met1 ( 88090 35870 ) M1M2_PR ;
- dtlb_physical_addr_in[19] ( PIN dtlb_physical_addr_in[19] ) ( ANTENNA_input270_A DIODE ) ( input270 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 106930 ) ( * 107270 )
NEW met2 ( 19550 106930 ) ( * 109310 )
NEW met1 ( 19550 109310 ) ( 21850 * )
NEW met2 ( 14030 105060 ) ( * 106930 )
NEW met3 ( 3220 105060 0 ) ( 14030 * )
NEW met1 ( 11270 106930 ) ( 19550 * )
NEW li1 ( 11270 107270 ) L1M1_PR_MR
NEW met1 ( 19550 106930 ) M1M2_PR
NEW met1 ( 19550 109310 ) M1M2_PR
NEW li1 ( 21850 109310 ) L1M1_PR_MR
NEW met2 ( 14030 105060 ) M2M3_PR_M
NEW met1 ( 14030 106930 ) M1M2_PR
NEW met1 ( 14030 106930 ) RECT ( 0 -70 595 70 ) ;
- dtlb_physical_addr_in[1] ( PIN dtlb_physical_addr_in[1] ) ( ANTENNA_input271_A DIODE ) ( input271 A ) + USE SIGNAL
+ ROUTED met1 ( 18630 140930 ) ( 20470 * )
NEW met1 ( 12190 167450 ) ( 14030 * )
NEW met2 ( 14030 166940 ) ( * 167450 )
NEW met3 ( 14030 166940 ) ( 15180 * )
NEW met4 ( 15180 153340 ) ( * 166940 )
NEW met3 ( 15180 153340 ) ( 20470 * )
NEW met3 ( 3220 166260 0 ) ( 14030 * )
NEW met3 ( 14030 166260 ) ( * 166940 )
NEW met2 ( 20470 140930 ) ( * 153340 )
NEW li1 ( 18630 140930 ) L1M1_PR_MR
NEW met1 ( 20470 140930 ) M1M2_PR
NEW li1 ( 12190 167450 ) L1M1_PR_MR
NEW met1 ( 14030 167450 ) M1M2_PR
NEW met2 ( 14030 166940 ) M2M3_PR_M
NEW met3 ( 15180 166940 ) M3M4_PR_M
NEW met3 ( 15180 153340 ) M3M4_PR_M
NEW met2 ( 20470 153340 ) M2M3_PR_M ;
- dtlb_physical_addr_in[2] ( PIN dtlb_physical_addr_in[2] ) ( ANTENNA_input272_A DIODE ) ( input272 A ) + USE SIGNAL
+ ROUTED met2 ( 31050 17510 ) ( * 41650 )
NEW met1 ( 31050 41650 ) ( 34730 * )
NEW met1 ( 29670 17510 ) ( 31050 * )
NEW met2 ( 29670 3740 0 ) ( * 17510 )
NEW li1 ( 31050 17510 ) L1M1_PR_MR
NEW met1 ( 31050 17510 ) M1M2_PR
NEW met1 ( 31050 41650 ) M1M2_PR
NEW li1 ( 34730 41650 ) L1M1_PR_MR
NEW met1 ( 29670 17510 ) M1M2_PR
NEW met1 ( 31050 17510 ) RECT ( -355 -70 0 70 ) ;
- dtlb_physical_addr_in[3] ( PIN dtlb_physical_addr_in[3] ) ( ANTENNA_input273_A DIODE ) ( input273 A ) + USE SIGNAL
+ ROUTED met1 ( 63710 169830 ) ( 66010 * )
NEW met2 ( 66010 169830 ) ( * 185980 )
NEW met2 ( 65550 185980 ) ( 66010 * )
NEW met2 ( 65550 185980 ) ( * 196180 0 )
NEW met1 ( 65550 165410 ) ( 66010 * )
NEW met2 ( 66010 165410 ) ( * 169830 )
NEW li1 ( 63710 169830 ) L1M1_PR_MR
NEW met1 ( 66010 169830 ) M1M2_PR
NEW li1 ( 65550 165410 ) L1M1_PR_MR
NEW met1 ( 66010 165410 ) M1M2_PR ;
- dtlb_physical_addr_in[4] ( PIN dtlb_physical_addr_in[4] ) ( ANTENNA_input274_A DIODE ) ( input274 A ) + USE SIGNAL
+ ROUTED met1 ( 189750 39270 ) ( 190210 * )
NEW met2 ( 189750 34340 ) ( * 39270 )
NEW met3 ( 172500 34340 ) ( 189750 * )
NEW met3 ( 172500 34340 ) ( * 35020 )
NEW met3 ( 164450 35020 ) ( 172500 * )
NEW met2 ( 164450 35020 ) ( * 55590 )
NEW met1 ( 159850 55590 ) ( 164450 * )
NEW met3 ( 189750 34340 ) ( 196420 * 0 )
NEW li1 ( 190210 39270 ) L1M1_PR_MR
NEW met1 ( 189750 39270 ) M1M2_PR
NEW met2 ( 189750 34340 ) M2M3_PR_M
NEW met2 ( 164450 35020 ) M2M3_PR_M
NEW met1 ( 164450 55590 ) M1M2_PR
NEW li1 ( 159850 55590 ) L1M1_PR_MR ;
- dtlb_physical_addr_in[5] ( PIN dtlb_physical_addr_in[5] ) ( ANTENNA_input275_A DIODE ) ( input275 A ) + USE SIGNAL
+ ROUTED met1 ( 90390 33830 ) ( 90850 * )
NEW met1 ( 88090 38590 ) ( 90390 * )
NEW met2 ( 90390 33830 ) ( * 38590 )
NEW met2 ( 90390 3740 0 ) ( * 33830 )
NEW li1 ( 90850 33830 ) L1M1_PR_MR
NEW met1 ( 90390 33830 ) M1M2_PR
NEW li1 ( 88090 38590 ) L1M1_PR_MR
NEW met1 ( 90390 38590 ) M1M2_PR ;
- dtlb_physical_addr_in[6] ( PIN dtlb_physical_addr_in[6] ) ( ANTENNA_input276_A DIODE ) ( input276 A ) + USE SIGNAL
+ ROUTED met1 ( 152950 49470 ) ( 153410 * )
NEW met1 ( 175950 22610 ) ( 178250 * )
NEW met2 ( 175950 21250 ) ( * 22610 )
NEW met1 ( 152950 21250 ) ( 175950 * )
NEW met3 ( 186530 13940 ) ( 196420 * 0 )
NEW met2 ( 186530 13940 ) ( * 20910 )
NEW met1 ( 175950 20910 ) ( 186530 * )
NEW met1 ( 175950 20910 ) ( * 21250 )
NEW met2 ( 152950 21250 ) ( * 49470 )
NEW met1 ( 152950 49470 ) M1M2_PR
NEW li1 ( 153410 49470 ) L1M1_PR_MR
NEW li1 ( 178250 22610 ) L1M1_PR_MR
NEW met1 ( 175950 22610 ) M1M2_PR
NEW met1 ( 175950 21250 ) M1M2_PR
NEW met1 ( 152950 21250 ) M1M2_PR
NEW met2 ( 186530 13940 ) M2M3_PR_M
NEW met1 ( 186530 20910 ) M1M2_PR ;
- dtlb_physical_addr_in[7] ( PIN dtlb_physical_addr_in[7] ) ( ANTENNA_input277_A DIODE ) ( input277 A ) + USE SIGNAL
+ ROUTED met2 ( 3910 181050 ) ( * 196180 0 )
NEW met2 ( 13570 179180 ) ( * 180710 )
NEW met1 ( 13570 180710 ) ( * 181050 )
NEW met2 ( 14030 181050 ) ( * 185810 )
NEW met2 ( 21850 177820 ) ( * 179180 )
NEW met3 ( 21850 177820 ) ( 36340 * )
NEW met3 ( 36340 176460 ) ( * 177820 )
NEW met3 ( 36340 176460 ) ( 48990 * )
NEW met2 ( 48990 173060 ) ( * 176460 )
NEW met3 ( 48990 173060 ) ( 53820 * )
NEW met3 ( 53820 172380 ) ( * 173060 )
NEW met3 ( 53820 172380 ) ( 54510 * )
NEW met2 ( 54510 162690 ) ( * 172380 )
NEW met1 ( 53590 162690 ) ( 54510 * )
NEW met3 ( 13570 179180 ) ( 21850 * )
NEW met1 ( 3910 181050 ) ( 14030 * )
NEW met1 ( 3910 181050 ) M1M2_PR
NEW met2 ( 13570 179180 ) M2M3_PR_M
NEW met1 ( 13570 180710 ) M1M2_PR
NEW met1 ( 14030 181050 ) M1M2_PR
NEW li1 ( 14030 185810 ) L1M1_PR_MR
NEW met1 ( 14030 185810 ) M1M2_PR
NEW met2 ( 21850 179180 ) M2M3_PR_M
NEW met2 ( 21850 177820 ) M2M3_PR_M
NEW met2 ( 48990 176460 ) M2M3_PR_M
NEW met2 ( 48990 173060 ) M2M3_PR_M
NEW met2 ( 54510 172380 ) M2M3_PR_M
NEW met1 ( 54510 162690 ) M1M2_PR
NEW li1 ( 53590 162690 ) L1M1_PR_MR
NEW met1 ( 14030 185810 ) RECT ( 0 -70 355 70 ) ;
- dtlb_physical_addr_in[8] ( PIN dtlb_physical_addr_in[8] ) ( ANTENNA_input278_A DIODE ) ( input278 A ) + USE SIGNAL
+ ROUTED met1 ( 169970 63070 ) ( 170430 * )
NEW met1 ( 169970 49470 ) ( * 50150 )
NEW met1 ( 169970 49470 ) ( 170430 * )
NEW met2 ( 169510 50660 ) ( 169970 * )
NEW met2 ( 169510 49470 ) ( * 50660 )
NEW met1 ( 169510 49470 ) ( 169970 * )
NEW met2 ( 169970 50660 ) ( * 63070 )
NEW met2 ( 170430 3740 0 ) ( * 49470 )
NEW met1 ( 169970 63070 ) M1M2_PR
NEW li1 ( 170430 63070 ) L1M1_PR_MR
NEW li1 ( 169970 50150 ) L1M1_PR_MR
NEW met1 ( 170430 49470 ) M1M2_PR
NEW met1 ( 169510 49470 ) M1M2_PR ;
- dtlb_physical_addr_in[9] ( PIN dtlb_physical_addr_in[9] ) ( ANTENNA_input279_A DIODE ) ( input279 A ) + USE SIGNAL
+ ROUTED met1 ( 24150 143650 ) ( 24610 * )
NEW met1 ( 22770 174930 ) ( * 175270 )
NEW met1 ( 22310 174930 ) ( 22770 * )
NEW met1 ( 22310 174590 ) ( * 174930 )
NEW met1 ( 20470 174590 ) ( 22310 * )
NEW met1 ( 20470 174590 ) ( * 174930 )
NEW met1 ( 16330 174930 ) ( 20470 * )
NEW met2 ( 16330 174930 ) ( * 183770 )
NEW met2 ( 15870 183770 ) ( 16330 * )
NEW met2 ( 15870 183770 ) ( * 196180 0 )
NEW met3 ( 24150 151980 ) ( 26220 * )
NEW met4 ( 26220 151980 ) ( * 168980 )
NEW met3 ( 21850 168980 ) ( 26220 * )
NEW met2 ( 21850 168980 ) ( * 170340 )
NEW met3 ( 16790 170340 ) ( 21850 * )
NEW met2 ( 16790 170340 ) ( * 171700 )
NEW met2 ( 16330 171700 ) ( 16790 * )
NEW met2 ( 16330 171700 ) ( * 174930 )
NEW met2 ( 24150 143650 ) ( * 151980 )
NEW met1 ( 24150 143650 ) M1M2_PR
NEW li1 ( 24610 143650 ) L1M1_PR_MR
NEW li1 ( 22770 175270 ) L1M1_PR_MR
NEW met1 ( 16330 174930 ) M1M2_PR
NEW met2 ( 24150 151980 ) M2M3_PR_M
NEW met3 ( 26220 151980 ) M3M4_PR_M
NEW met3 ( 26220 168980 ) M3M4_PR_M
NEW met2 ( 21850 168980 ) M2M3_PR_M
NEW met2 ( 21850 170340 ) M2M3_PR_M
NEW met2 ( 16790 170340 ) M2M3_PR_M ;
- hit_dtlb_in ( PIN hit_dtlb_in ) ( ANTENNA_input280_A DIODE ) ( input280 A ) + USE SIGNAL
+ ROUTED met3 ( 191130 5780 ) ( 196420 * 0 )
NEW met1 ( 179630 26010 ) ( 181010 * )
NEW met1 ( 181010 25670 ) ( * 26010 )
NEW met2 ( 181010 25500 ) ( * 25670 )
NEW met3 ( 179860 25500 ) ( 181010 * )
NEW met4 ( 179860 25500 ) ( * 37740 )
NEW met3 ( 162610 37740 ) ( 179860 * )
NEW met2 ( 162610 37570 ) ( * 37740 )
NEW met1 ( 181010 25670 ) ( 191130 * )
NEW met2 ( 191130 5780 ) ( * 25670 )
NEW met2 ( 151570 37570 ) ( * 46750 )
NEW met1 ( 149270 46750 ) ( 151570 * )
NEW met1 ( 151570 37570 ) ( 162610 * )
NEW met2 ( 191130 5780 ) M2M3_PR_M
NEW li1 ( 179630 26010 ) L1M1_PR_MR
NEW met1 ( 181010 25670 ) M1M2_PR
NEW met2 ( 181010 25500 ) M2M3_PR_M
NEW met3 ( 179860 25500 ) M3M4_PR_M
NEW met3 ( 179860 37740 ) M3M4_PR_M
NEW met2 ( 162610 37740 ) M2M3_PR_M
NEW met1 ( 162610 37570 ) M1M2_PR
NEW met1 ( 191130 25670 ) M1M2_PR
NEW met1 ( 151570 37570 ) M1M2_PR
NEW met1 ( 151570 46750 ) M1M2_PR
NEW li1 ( 149270 46750 ) L1M1_PR_MR ;
- hit_itlb_in ( PIN hit_itlb_in ) ( ANTENNA_input281_A DIODE ) ( input281 A ) + USE SIGNAL
+ ROUTED met1 ( 55430 8670 ) ( 57730 * )
NEW met2 ( 55430 3740 0 ) ( * 8670 )
NEW met1 ( 57730 31450 ) ( 58190 * )
NEW met2 ( 57730 8670 ) ( * 31450 )
NEW met1 ( 57730 8670 ) M1M2_PR
NEW met1 ( 55430 8670 ) M1M2_PR
NEW li1 ( 57730 12070 ) L1M1_PR_MR
NEW met1 ( 57730 12070 ) M1M2_PR
NEW met1 ( 57730 31450 ) M1M2_PR
NEW li1 ( 58190 31450 ) L1M1_PR_MR
NEW met1 ( 57730 12070 ) RECT ( -355 -70 0 70 )
NEW met2 ( 57730 12070 ) RECT ( -70 -485 70 0 ) ;
- icache_request ( PIN icache_request ) ( ANTENNA_input282_A DIODE ) ( input282 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 109140 ) ( * 109990 )
NEW met3 ( 3220 109140 0 ) ( 11270 * )
NEW met1 ( 11270 109990 ) ( 24610 * )
NEW li1 ( 11270 109990 ) L1M1_PR_MR
NEW met1 ( 11270 109990 ) M1M2_PR
NEW met2 ( 11270 109140 ) M2M3_PR_M
NEW li1 ( 24610 109990 ) L1M1_PR_MR
NEW met1 ( 11270 109990 ) RECT ( -355 -70 0 70 ) ;
- is_dcache_ready ( PIN is_dcache_ready ) ( output434 X ) + USE SIGNAL
+ ROUTED met1 ( 91310 19550 ) ( 92230 * )
NEW met2 ( 91310 3740 0 ) ( * 19550 )
NEW met1 ( 91310 19550 ) M1M2_PR
NEW li1 ( 92230 19550 ) L1M1_PR_MR ;
- is_icache_ready ( PIN is_icache_ready ) ( output435 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 66980 ) ( * 68510 )
NEW met3 ( 183310 66980 ) ( 196420 * 0 )
NEW li1 ( 183310 68510 ) L1M1_PR_MR
NEW met1 ( 183310 68510 ) M1M2_PR
NEW met2 ( 183310 66980 ) M2M3_PR_M
NEW met1 ( 183310 68510 ) RECT ( -355 -70 0 70 ) ;
- is_mem_req ( PIN is_mem_req ) ( output436 X ) + USE SIGNAL
+ ROUTED met1 ( 175030 170850 ) ( 182390 * )
NEW met2 ( 182390 170850 ) ( * 183260 )
NEW met2 ( 181930 183260 ) ( 182390 * )
NEW met2 ( 181930 183260 ) ( * 187340 )
NEW met2 ( 181470 187340 ) ( 181930 * )
NEW met2 ( 181470 187340 ) ( * 196180 0 )
NEW li1 ( 175030 170850 ) L1M1_PR_MR
NEW met1 ( 182390 170850 ) M1M2_PR ;
- itlb_physical_addr_in[0] ( PIN itlb_physical_addr_in[0] ) ( ANTENNA_input283_A DIODE ) ( input283 A ) + USE SIGNAL
+ ROUTED met1 ( 10810 164390 ) ( 11270 * )
NEW met2 ( 10810 160990 ) ( * 164390 )
NEW met1 ( 10810 160990 ) ( 19550 * )
NEW met2 ( 19550 148750 ) ( * 160990 )
NEW met1 ( 19550 148750 ) ( 32890 * )
NEW met3 ( 3220 163540 0 ) ( 10810 * )
NEW li1 ( 11270 164390 ) L1M1_PR_MR
NEW met1 ( 10810 164390 ) M1M2_PR
NEW met1 ( 10810 160990 ) M1M2_PR
NEW met1 ( 19550 160990 ) M1M2_PR
NEW met1 ( 19550 148750 ) M1M2_PR
NEW li1 ( 32890 148750 ) L1M1_PR_MR
NEW met2 ( 10810 163540 ) M2M3_PR_M
NEW met2 ( 10810 163540 ) RECT ( -70 -485 70 0 ) ;
- itlb_physical_addr_in[10] ( PIN itlb_physical_addr_in[10] ) ( ANTENNA_input284_A DIODE ) ( input284 A ) + USE SIGNAL
+ ROUTED met2 ( 107870 3740 0 ) ( * 7140 )
NEW met2 ( 107870 7140 ) ( 108330 * )
NEW met2 ( 108330 7140 ) ( * 17170 )
NEW met1 ( 113390 33830 ) ( 114310 * )
NEW met2 ( 114310 15980 ) ( * 33830 )
NEW met3 ( 110630 15980 ) ( 114310 * )
NEW met2 ( 110630 15980 ) ( * 17170 )
NEW met1 ( 112010 39270 ) ( 114310 * )
NEW met2 ( 114310 33830 ) ( * 39270 )
NEW met1 ( 108330 17170 ) ( 110630 * )
NEW met1 ( 108330 17170 ) M1M2_PR
NEW li1 ( 113390 33830 ) L1M1_PR_MR
NEW met1 ( 114310 33830 ) M1M2_PR
NEW met2 ( 114310 15980 ) M2M3_PR_M
NEW met2 ( 110630 15980 ) M2M3_PR_M
NEW met1 ( 110630 17170 ) M1M2_PR
NEW li1 ( 112010 39270 ) L1M1_PR_MR
NEW met1 ( 114310 39270 ) M1M2_PR ;
- itlb_physical_addr_in[11] ( PIN itlb_physical_addr_in[11] ) ( ANTENNA_input285_A DIODE ) ( input285 A ) + USE SIGNAL
+ ROUTED met1 ( 35190 180710 ) ( 37030 * )
NEW met2 ( 35190 180710 ) ( * 196180 0 )
NEW met1 ( 37030 151470 ) ( 37490 * )
NEW met2 ( 37030 151470 ) ( * 180710 )
NEW li1 ( 37030 180710 ) L1M1_PR_MR
NEW met1 ( 35190 180710 ) M1M2_PR
NEW met1 ( 37030 180710 ) M1M2_PR
NEW met1 ( 37030 151470 ) M1M2_PR
NEW li1 ( 37490 151470 ) L1M1_PR_MR
NEW met1 ( 37030 180710 ) RECT ( -595 -70 0 70 ) ;
- itlb_physical_addr_in[12] ( PIN itlb_physical_addr_in[12] ) ( ANTENNA_input286_A DIODE ) ( input286 A ) + USE SIGNAL
+ ROUTED met1 ( 45310 183770 ) ( 47610 * )
NEW met2 ( 47610 179010 ) ( * 183770 )
NEW met2 ( 44390 183770 ) ( * 196180 0 )
NEW met1 ( 44390 183770 ) ( 45310 * )
NEW met2 ( 48530 174930 ) ( * 179010 )
NEW met1 ( 48530 174930 ) ( 52210 * )
NEW met2 ( 52210 159970 ) ( * 174930 )
NEW met1 ( 50830 159970 ) ( 52210 * )
NEW met1 ( 47610 179010 ) ( 48530 * )
NEW li1 ( 45310 183770 ) L1M1_PR_MR
NEW met1 ( 47610 183770 ) M1M2_PR
NEW met1 ( 47610 179010 ) M1M2_PR
NEW met1 ( 44390 183770 ) M1M2_PR
NEW met1 ( 48530 179010 ) M1M2_PR
NEW met1 ( 48530 174930 ) M1M2_PR
NEW met1 ( 52210 174930 ) M1M2_PR
NEW met1 ( 52210 159970 ) M1M2_PR
NEW li1 ( 50830 159970 ) L1M1_PR_MR ;
- itlb_physical_addr_in[13] ( PIN itlb_physical_addr_in[13] ) ( ANTENNA_input287_A DIODE ) ( input287 A ) + USE SIGNAL
+ ROUTED met1 ( 21390 140930 ) ( 25530 * )
NEW met2 ( 13570 181900 ) ( * 183770 )
NEW met3 ( 11500 181900 ) ( 13570 * )
NEW met4 ( 11500 150620 ) ( * 181900 )
NEW met3 ( 11500 150620 ) ( 25530 * )
NEW met3 ( 3220 185300 0 ) ( 13570 * )
NEW met2 ( 13570 183770 ) ( * 185300 )
NEW met2 ( 25530 140930 ) ( * 150620 )
NEW li1 ( 21390 140930 ) L1M1_PR_MR
NEW met1 ( 25530 140930 ) M1M2_PR
NEW li1 ( 13570 183770 ) L1M1_PR_MR
NEW met1 ( 13570 183770 ) M1M2_PR
NEW met2 ( 13570 181900 ) M2M3_PR_M
NEW met3 ( 11500 181900 ) M3M4_PR_M
NEW met3 ( 11500 150620 ) M3M4_PR_M
NEW met2 ( 25530 150620 ) M2M3_PR_M
NEW met2 ( 13570 185300 ) M2M3_PR_M
NEW met1 ( 13570 183770 ) RECT ( -355 -70 0 70 ) ;
- itlb_physical_addr_in[14] ( PIN itlb_physical_addr_in[14] ) ( ANTENNA_input288_A DIODE ) ( input288 A ) + USE SIGNAL
+ ROUTED met1 ( 169970 53210 ) ( 171350 * )
NEW met2 ( 171350 52700 ) ( * 53210 )
NEW met3 ( 171350 52700 ) ( 180090 * )
NEW met2 ( 180090 50830 ) ( * 52700 )
NEW met1 ( 180090 50830 ) ( 186990 * )
NEW met1 ( 186990 50150 ) ( * 50830 )
NEW met1 ( 186990 50150 ) ( 198950 * )
NEW li1 ( 198950 42670 ) ( * 50150 )
NEW met1 ( 198030 42670 ) ( 198950 * )
NEW met2 ( 168130 53210 ) ( * 60350 )
NEW met1 ( 168130 53210 ) ( 169970 * )
NEW met2 ( 198030 3740 0 ) ( * 42670 )
NEW li1 ( 169970 53210 ) L1M1_PR_MR
NEW met1 ( 171350 53210 ) M1M2_PR
NEW met2 ( 171350 52700 ) M2M3_PR_M
NEW met2 ( 180090 52700 ) M2M3_PR_M
NEW met1 ( 180090 50830 ) M1M2_PR
NEW li1 ( 198950 50150 ) L1M1_PR_MR
NEW li1 ( 198950 42670 ) L1M1_PR_MR
NEW met1 ( 198030 42670 ) M1M2_PR
NEW li1 ( 168130 60350 ) L1M1_PR_MR
NEW met1 ( 168130 60350 ) M1M2_PR
NEW met1 ( 168130 53210 ) M1M2_PR
NEW met1 ( 168130 60350 ) RECT ( -355 -70 0 70 ) ;
- itlb_physical_addr_in[15] ( PIN itlb_physical_addr_in[15] ) ( ANTENNA_input289_A DIODE ) ( input289 A ) + USE SIGNAL
+ ROUTED met1 ( 12650 85850 ) ( 13800 * )
NEW met1 ( 13800 85850 ) ( * 86190 )
NEW met1 ( 13800 86190 ) ( 23230 * )
NEW met2 ( 14490 83300 ) ( * 86190 )
NEW met3 ( 3220 83300 0 ) ( 14490 * )
NEW li1 ( 12650 85850 ) L1M1_PR_MR
NEW li1 ( 23230 86190 ) L1M1_PR_MR
NEW met2 ( 14490 83300 ) M2M3_PR_M
NEW met1 ( 14490 86190 ) M1M2_PR
NEW met1 ( 14490 86190 ) RECT ( -595 -70 0 70 ) ;
- itlb_physical_addr_in[16] ( PIN itlb_physical_addr_in[16] ) ( ANTENNA_input290_A DIODE ) ( input290 A ) + USE SIGNAL
+ ROUTED met1 ( 168130 63410 ) ( 179170 * )
NEW met3 ( 179170 61540 ) ( 196420 * 0 )
NEW met2 ( 179170 61030 ) ( * 61540 )
NEW met2 ( 179170 61540 ) ( * 63410 )
NEW met1 ( 179170 63410 ) M1M2_PR
NEW li1 ( 168130 63410 ) L1M1_PR_MR
NEW met2 ( 179170 61540 ) M2M3_PR_M
NEW li1 ( 179170 61030 ) L1M1_PR_MR
NEW met1 ( 179170 61030 ) M1M2_PR
NEW met1 ( 179170 61030 ) RECT ( -355 -70 0 70 ) ;
- itlb_physical_addr_in[17] ( PIN itlb_physical_addr_in[17] ) ( ANTENNA_input291_A DIODE ) ( input291 A ) + USE SIGNAL
+ ROUTED met1 ( 14030 173230 ) ( 14490 * )
NEW met2 ( 14490 173230 ) ( * 178500 )
NEW met3 ( 14490 178500 ) ( 37260 * )
NEW met3 ( 37260 177140 ) ( * 178500 )
NEW met3 ( 37260 177140 ) ( 54970 * )
NEW met2 ( 54970 159970 ) ( * 177140 )
NEW met1 ( 53590 159970 ) ( 54970 * )
NEW met3 ( 3220 177140 0 ) ( 14490 * )
NEW li1 ( 14030 173230 ) L1M1_PR_MR
NEW met1 ( 14490 173230 ) M1M2_PR
NEW met2 ( 14490 178500 ) M2M3_PR_M
NEW met2 ( 54970 177140 ) M2M3_PR_M
NEW met1 ( 54970 159970 ) M1M2_PR
NEW li1 ( 53590 159970 ) L1M1_PR_MR
NEW met2 ( 14490 177140 ) M2M3_PR_M
NEW met2 ( 14490 177140 ) RECT ( -70 -485 70 0 ) ;
- itlb_physical_addr_in[18] ( PIN itlb_physical_addr_in[18] ) ( ANTENNA_input292_A DIODE ) ( input292 A ) + USE SIGNAL
+ ROUTED met2 ( 11270 17170 ) ( * 30260 )
NEW met2 ( 11270 30260 ) ( 11730 * )
NEW met2 ( 11730 30260 ) ( * 39610 )
NEW met1 ( 11730 39610 ) ( 40710 * )
NEW met3 ( 3220 4420 0 ) ( 8050 * )
NEW met2 ( 8050 4420 ) ( * 7820 )
NEW met2 ( 8050 7820 ) ( 8510 * )
NEW met2 ( 8510 7820 ) ( * 17170 )
NEW met1 ( 8510 17170 ) ( 11270 * )
NEW li1 ( 11270 17170 ) L1M1_PR_MR
NEW met1 ( 11270 17170 ) M1M2_PR
NEW met1 ( 11730 39610 ) M1M2_PR
NEW li1 ( 40710 39610 ) L1M1_PR_MR
NEW met2 ( 8050 4420 ) M2M3_PR_M
NEW met1 ( 8510 17170 ) M1M2_PR
NEW met1 ( 11270 17170 ) RECT ( -355 -70 0 70 ) ;
- itlb_physical_addr_in[19] ( PIN itlb_physical_addr_in[19] ) ( ANTENNA_input293_A DIODE ) ( input293 A ) + USE SIGNAL
+ ROUTED met1 ( 136390 180710 ) ( 139610 * )
NEW met2 ( 136390 180710 ) ( * 196180 0 )
NEW met2 ( 140070 165410 ) ( * 180710 )
NEW met1 ( 139610 180710 ) ( 140070 * )
NEW li1 ( 139610 180710 ) L1M1_PR_MR
NEW met1 ( 136390 180710 ) M1M2_PR
NEW li1 ( 140070 165410 ) L1M1_PR_MR
NEW met1 ( 140070 165410 ) M1M2_PR
NEW met1 ( 140070 180710 ) M1M2_PR
NEW met1 ( 140070 165410 ) RECT ( -355 -70 0 70 ) ;
- itlb_physical_addr_in[1] ( PIN itlb_physical_addr_in[1] ) ( ANTENNA_input294_A DIODE ) ( input294 A ) + USE SIGNAL
+ ROUTED met1 ( 112930 11730 ) ( 113390 * )
NEW met1 ( 113390 38590 ) ( 114310 * )
NEW met2 ( 113390 3740 0 ) ( * 38590 )
NEW li1 ( 112930 11730 ) L1M1_PR_MR
NEW met1 ( 113390 11730 ) M1M2_PR
NEW met1 ( 113390 38590 ) M1M2_PR
NEW li1 ( 114310 38590 ) L1M1_PR_MR
NEW met2 ( 113390 11730 ) RECT ( -70 -485 70 0 ) ;
- itlb_physical_addr_in[2] ( PIN itlb_physical_addr_in[2] ) ( ANTENNA_input295_A DIODE ) ( input295 A ) + USE SIGNAL
+ ROUTED met2 ( 34270 183940 ) ( * 196180 0 )
NEW met2 ( 34270 183940 ) ( 34730 * )
NEW met2 ( 34730 183430 ) ( * 183940 )
NEW met1 ( 34730 149090 ) ( 35650 * )
NEW met2 ( 34730 149090 ) ( * 183430 )
NEW li1 ( 34730 183430 ) L1M1_PR_MR
NEW met1 ( 34730 183430 ) M1M2_PR
NEW met1 ( 34730 149090 ) M1M2_PR
NEW li1 ( 35650 149090 ) L1M1_PR_MR
NEW met1 ( 34730 183430 ) RECT ( -355 -70 0 70 ) ;
- itlb_physical_addr_in[3] ( PIN itlb_physical_addr_in[3] ) ( ANTENNA_input296_A DIODE ) ( input296 A ) + USE SIGNAL
+ ROUTED met2 ( 19090 131750 ) ( * 132260 )
NEW met1 ( 19090 131750 ) ( 22310 * )
NEW met3 ( 3220 132260 0 ) ( 19090 * )
NEW li1 ( 19090 131750 ) L1M1_PR_MR
NEW met1 ( 19090 131750 ) M1M2_PR
NEW met2 ( 19090 132260 ) M2M3_PR_M
NEW li1 ( 22310 131750 ) L1M1_PR_MR
NEW met1 ( 19090 131750 ) RECT ( -355 -70 0 70 ) ;
- itlb_physical_addr_in[4] ( PIN itlb_physical_addr_in[4] ) ( ANTENNA_input297_A DIODE ) ( input297 A ) + USE SIGNAL
+ ROUTED met2 ( 10810 169830 ) ( * 173060 )
NEW met3 ( 3220 173060 0 ) ( 10810 * )
NEW met2 ( 16330 158780 ) ( * 170850 )
NEW met3 ( 16330 158780 ) ( 17020 * )
NEW met1 ( 10810 170850 ) ( 16330 * )
NEW met3 ( 17020 155380 ) ( 18630 * )
NEW met2 ( 18630 142970 ) ( * 155380 )
NEW met1 ( 18630 142970 ) ( 27370 * )
NEW met4 ( 17020 155380 ) ( * 158780 )
NEW li1 ( 10810 169830 ) L1M1_PR_MR
NEW met1 ( 10810 169830 ) M1M2_PR
NEW met2 ( 10810 173060 ) M2M3_PR_M
NEW met1 ( 10810 170850 ) M1M2_PR
NEW met1 ( 16330 170850 ) M1M2_PR
NEW met2 ( 16330 158780 ) M2M3_PR_M
NEW met3 ( 17020 158780 ) M3M4_PR_M
NEW met3 ( 17020 155380 ) M3M4_PR_M
NEW met2 ( 18630 155380 ) M2M3_PR_M
NEW met1 ( 18630 142970 ) M1M2_PR
NEW li1 ( 27370 142970 ) L1M1_PR_MR
NEW met1 ( 10810 169830 ) RECT ( -355 -70 0 70 )
NEW met2 ( 10810 170850 ) RECT ( -70 -485 70 0 ) ;
- itlb_physical_addr_in[5] ( PIN itlb_physical_addr_in[5] ) ( ANTENNA_input298_A DIODE ) ( input298 A ) + USE SIGNAL
+ ROUTED met1 ( 155250 52530 ) ( 165370 * )
NEW met1 ( 172270 20570 ) ( 173190 * )
NEW met1 ( 173190 20230 ) ( * 20570 )
NEW met2 ( 173190 20060 ) ( * 20230 )
NEW met3 ( 173190 20060 ) ( 173420 * )
NEW met4 ( 173420 340 ) ( * 20060 )
NEW met3 ( 173420 340 ) ( 196420 * 0 )
NEW met2 ( 165370 32300 ) ( 165830 * )
NEW met2 ( 165830 29410 ) ( * 32300 )
NEW met1 ( 165830 29410 ) ( 177330 * )
NEW met2 ( 177330 23460 ) ( * 29410 )
NEW met3 ( 175490 23460 ) ( 177330 * )
NEW met2 ( 175490 20570 ) ( * 23460 )
NEW met2 ( 175030 20570 ) ( 175490 * )
NEW met1 ( 173190 20570 ) ( 175030 * )
NEW met2 ( 165370 32300 ) ( * 52530 )
NEW met1 ( 165370 52530 ) M1M2_PR
NEW li1 ( 155250 52530 ) L1M1_PR_MR
NEW li1 ( 172270 20570 ) L1M1_PR_MR
NEW met1 ( 173190 20230 ) M1M2_PR
NEW met2 ( 173190 20060 ) M2M3_PR_M
NEW met3 ( 173420 20060 ) M3M4_PR_M
NEW met3 ( 173420 340 ) M3M4_PR_M
NEW met1 ( 165830 29410 ) M1M2_PR
NEW met1 ( 177330 29410 ) M1M2_PR
NEW met2 ( 177330 23460 ) M2M3_PR_M
NEW met2 ( 175490 23460 ) M2M3_PR_M
NEW met1 ( 175030 20570 ) M1M2_PR
NEW met3 ( 173190 20060 ) RECT ( -390 -150 0 150 ) ;
- itlb_physical_addr_in[6] ( PIN itlb_physical_addr_in[6] ) ( ANTENNA_input299_A DIODE ) ( input299 A ) + USE SIGNAL
+ ROUTED met1 ( 179170 120870 ) ( 180090 * )
NEW met2 ( 179170 120020 ) ( * 120870 )
NEW met3 ( 179170 120020 ) ( 196420 * 0 )
NEW met1 ( 176870 120870 ) ( 179170 * )
NEW li1 ( 180090 120870 ) L1M1_PR_MR
NEW met1 ( 179170 120870 ) M1M2_PR
NEW met2 ( 179170 120020 ) M2M3_PR_M
NEW li1 ( 176870 120870 ) L1M1_PR_MR ;
- itlb_physical_addr_in[7] ( PIN itlb_physical_addr_in[7] ) ( ANTENNA_input300_A DIODE ) ( input300 A ) + USE SIGNAL
+ ROUTED met1 ( 101430 36890 ) ( 102810 * )
NEW met2 ( 101430 3740 0 ) ( * 36890 )
NEW met1 ( 101430 41310 ) ( 103270 * )
NEW met2 ( 101430 36890 ) ( * 41310 )
NEW li1 ( 102810 36890 ) L1M1_PR_MR
NEW met1 ( 101430 36890 ) M1M2_PR
NEW li1 ( 103270 41310 ) L1M1_PR_MR
NEW met1 ( 101430 41310 ) M1M2_PR ;
- itlb_physical_addr_in[8] ( PIN itlb_physical_addr_in[8] ) ( ANTENNA_input301_A DIODE ) ( input301 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 178330 ) ( * 186660 )
NEW met3 ( 3220 186660 0 ) ( 7130 * )
NEW met1 ( 4830 161330 ) ( 7590 * )
NEW met2 ( 7590 161330 ) ( * 169660 )
NEW met2 ( 7130 169660 ) ( 7590 * )
NEW met2 ( 7130 169660 ) ( * 178330 )
NEW li1 ( 4830 158700 ) ( * 161330 )
NEW li1 ( 4370 158700 ) ( 4830 * )
NEW li1 ( 4370 156910 ) ( * 158700 )
NEW met1 ( 4370 156910 ) ( 7130 * )
NEW met2 ( 7130 140590 ) ( * 156910 )
NEW met1 ( 7130 140590 ) ( 23690 * )
NEW li1 ( 7130 178330 ) L1M1_PR_MR
NEW met1 ( 7130 178330 ) M1M2_PR
NEW met2 ( 7130 186660 ) M2M3_PR_M
NEW li1 ( 4830 161330 ) L1M1_PR_MR
NEW met1 ( 7590 161330 ) M1M2_PR
NEW li1 ( 4370 156910 ) L1M1_PR_MR
NEW met1 ( 7130 156910 ) M1M2_PR
NEW met1 ( 7130 140590 ) M1M2_PR
NEW li1 ( 23690 140590 ) L1M1_PR_MR
NEW met1 ( 7130 178330 ) RECT ( -355 -70 0 70 ) ;
- itlb_physical_addr_in[9] ( PIN itlb_physical_addr_in[9] ) ( ANTENNA_input302_A DIODE ) ( input302 A ) + USE SIGNAL
+ ROUTED met1 ( 142830 186490 ) ( 145130 * )
NEW met2 ( 142830 186490 ) ( * 196180 0 )
NEW met1 ( 142830 162690 ) ( 144210 * )
NEW met2 ( 142830 162690 ) ( * 186490 )
NEW li1 ( 145130 186490 ) L1M1_PR_MR
NEW met1 ( 142830 186490 ) M1M2_PR
NEW li1 ( 144210 162690 ) L1M1_PR_MR
NEW met1 ( 142830 162690 ) M1M2_PR ;
- mem_addr[0] ( PIN mem_addr[0] ) ( output437 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 12580 0 ) ( 20010 * )
NEW met2 ( 20010 12580 ) ( * 22270 )
NEW met2 ( 20010 12580 ) M2M3_PR_M
NEW li1 ( 20010 22270 ) L1M1_PR_MR
NEW met1 ( 20010 22270 ) M1M2_PR
NEW met1 ( 20010 22270 ) RECT ( -355 -70 0 70 ) ;
- mem_addr[10] ( PIN mem_addr[10] ) ( output438 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 9860 0 ) ( 18170 * )
NEW met1 ( 18170 27710 ) ( 19550 * )
NEW met2 ( 18170 9860 ) ( * 27710 )
NEW met2 ( 18170 9860 ) M2M3_PR_M
NEW met1 ( 18170 27710 ) M1M2_PR
NEW li1 ( 19550 27710 ) L1M1_PR_MR ;
- mem_addr[11] ( PIN mem_addr[11] ) ( output439 X ) + USE SIGNAL
+ ROUTED met1 ( 25990 22270 ) ( 26910 * )
NEW met2 ( 25990 3740 0 ) ( * 22270 )
NEW met1 ( 25990 22270 ) M1M2_PR
NEW li1 ( 26910 22270 ) L1M1_PR_MR ;
- mem_addr[12] ( PIN mem_addr[12] ) ( output440 X ) + USE SIGNAL
+ ROUTED met1 ( 149270 22270 ) ( 150650 * )
NEW met2 ( 149270 3740 0 ) ( * 22270 )
NEW met1 ( 149270 22270 ) M1M2_PR
NEW li1 ( 150650 22270 ) L1M1_PR_MR ;
- mem_addr[13] ( PIN mem_addr[13] ) ( output441 X ) + USE SIGNAL
+ ROUTED met2 ( 165830 3740 0 ) ( * 13940 )
NEW met2 ( 165830 13940 ) ( 166290 * )
NEW met2 ( 166290 13940 ) ( * 26860 )
NEW met2 ( 166290 26860 ) ( 167210 * )
NEW met2 ( 167210 26860 ) ( * 27710 )
NEW met1 ( 167210 27710 ) ( 169510 * )
NEW met1 ( 167210 27710 ) M1M2_PR
NEW li1 ( 169510 27710 ) L1M1_PR_MR ;
- mem_addr[14] ( PIN mem_addr[14] ) ( output442 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 132770 ) ( * 133620 )
NEW met3 ( 190210 133620 ) ( 196420 * 0 )
NEW li1 ( 190210 132770 ) L1M1_PR_MR
NEW met1 ( 190210 132770 ) M1M2_PR
NEW met2 ( 190210 133620 ) M2M3_PR_M
NEW met1 ( 190210 132770 ) RECT ( -355 -70 0 70 ) ;
- mem_addr[15] ( PIN mem_addr[15] ) ( output443 X ) + USE SIGNAL
+ ROUTED met2 ( 189750 45220 ) ( * 45390 )
NEW met3 ( 189750 45220 ) ( 196420 * 0 )
NEW li1 ( 189750 45390 ) L1M1_PR_MR
NEW met1 ( 189750 45390 ) M1M2_PR
NEW met2 ( 189750 45220 ) M2M3_PR_M
NEW met1 ( 189750 45390 ) RECT ( -355 -70 0 70 ) ;
- mem_addr[16] ( PIN mem_addr[16] ) ( output444 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 22100 ) ( * 30430 )
NEW met3 ( 182850 22100 ) ( 196420 * 0 )
NEW li1 ( 182850 30430 ) L1M1_PR_MR
NEW met1 ( 182850 30430 ) M1M2_PR
NEW met2 ( 182850 22100 ) M2M3_PR_M
NEW met1 ( 182850 30430 ) RECT ( -355 -70 0 70 ) ;
- mem_addr[17] ( PIN mem_addr[17] ) ( output445 X ) + USE SIGNAL
+ ROUTED met1 ( 89010 19550 ) ( 89470 * )
NEW met2 ( 89470 3740 0 ) ( * 19550 )
NEW met1 ( 89470 19550 ) M1M2_PR
NEW li1 ( 89010 19550 ) L1M1_PR_MR ;
- mem_addr[18] ( PIN mem_addr[18] ) ( output446 X ) + USE SIGNAL
+ ROUTED met1 ( 76130 179010 ) ( 78890 * )
NEW met2 ( 78890 179010 ) ( * 181220 )
NEW met2 ( 78430 181220 ) ( 78890 * )
NEW met2 ( 78430 181220 ) ( * 196180 0 )
NEW li1 ( 76130 179010 ) L1M1_PR_MR
NEW met1 ( 78890 179010 ) M1M2_PR ;
- mem_addr[19] ( PIN mem_addr[19] ) ( output447 X ) + USE SIGNAL
+ ROUTED met1 ( 69230 19890 ) ( 72910 * )
NEW met2 ( 69230 3740 0 ) ( * 19890 )
NEW met1 ( 69230 19890 ) M1M2_PR
NEW li1 ( 72910 19890 ) L1M1_PR_MR ;
- mem_addr[1] ( PIN mem_addr[1] ) ( output448 X ) + USE SIGNAL
+ ROUTED met1 ( 140070 181730 ) ( 143290 * )
NEW met2 ( 140070 181730 ) ( * 196180 0 )
NEW li1 ( 143290 181730 ) L1M1_PR_MR
NEW met1 ( 140070 181730 ) M1M2_PR ;
- mem_addr[2] ( PIN mem_addr[2] ) ( output449 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 118660 ) ( * 120190 )
NEW met3 ( 3220 118660 0 ) ( 14030 * )
NEW met1 ( 13110 120190 ) ( 14030 * )
NEW li1 ( 13110 120190 ) L1M1_PR_MR
NEW met2 ( 14030 118660 ) M2M3_PR_M
NEW met1 ( 14030 120190 ) M1M2_PR ;
- mem_addr[3] ( PIN mem_addr[3] ) ( output450 X ) + USE SIGNAL
+ ROUTED met1 ( 140990 19550 ) ( 142370 * )
NEW met2 ( 140990 3740 0 ) ( * 19550 )
NEW met1 ( 140990 19550 ) M1M2_PR
NEW li1 ( 142370 19550 ) L1M1_PR_MR ;
- mem_addr[4] ( PIN mem_addr[4] ) ( output451 X ) + USE SIGNAL
+ ROUTED met1 ( 112010 14110 ) ( 112470 * )
NEW met2 ( 112470 3740 0 ) ( * 14110 )
NEW met1 ( 112470 14110 ) M1M2_PR
NEW li1 ( 112010 14110 ) L1M1_PR_MR ;
- mem_addr[5] ( PIN mem_addr[5] ) ( output452 X ) + USE SIGNAL
+ ROUTED met2 ( 11270 156740 ) ( * 158270 )
NEW met3 ( 3220 156740 0 ) ( 11270 * )
NEW li1 ( 11270 158270 ) L1M1_PR_MR
NEW met1 ( 11270 158270 ) M1M2_PR
NEW met2 ( 11270 156740 ) M2M3_PR_M
NEW met1 ( 11270 158270 ) RECT ( -355 -70 0 70 ) ;
- mem_addr[6] ( PIN mem_addr[6] ) ( output453 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 114580 ) ( * 114750 )
NEW met3 ( 3220 114580 0 ) ( 14030 * )
NEW met2 ( 14030 114580 ) M2M3_PR_M
NEW li1 ( 14030 114750 ) L1M1_PR_MR
NEW met1 ( 14030 114750 ) M1M2_PR
NEW met1 ( 14030 114750 ) RECT ( 0 -70 355 70 ) ;
- mem_addr[7] ( PIN mem_addr[7] ) ( output454 X ) + USE SIGNAL
+ ROUTED met1 ( 93150 19550 ) ( 95910 * )
NEW met2 ( 93150 3740 0 ) ( * 19550 )
NEW met1 ( 93150 19550 ) M1M2_PR
NEW li1 ( 95910 19550 ) L1M1_PR_MR ;
- mem_addr[8] ( PIN mem_addr[8] ) ( output455 X ) + USE SIGNAL
+ ROUTED met1 ( 179630 32130 ) ( 190210 * )
NEW met2 ( 190210 8500 ) ( * 32130 )
NEW met3 ( 190210 8500 ) ( 196420 * 0 )
NEW li1 ( 179630 32130 ) L1M1_PR_MR
NEW met1 ( 190210 32130 ) M1M2_PR
NEW met2 ( 190210 8500 ) M2M3_PR_M ;
- mem_addr[9] ( PIN mem_addr[9] ) ( output456 X ) + USE SIGNAL
+ ROUTED met2 ( 176870 3740 0 ) ( * 7140 )
NEW met2 ( 176870 7140 ) ( 177330 * )
NEW met2 ( 177330 22100 ) ( 177790 * )
NEW met2 ( 177790 22100 ) ( * 30430 )
NEW met1 ( 175950 30430 ) ( 177790 * )
NEW met2 ( 177330 7140 ) ( * 22100 )
NEW met1 ( 177790 30430 ) M1M2_PR
NEW li1 ( 175950 30430 ) L1M1_PR_MR ;
- mem_ready ( PIN mem_ready ) ( ANTENNA_input303_A DIODE ) ( input303 A ) + USE SIGNAL
+ ROUTED met2 ( 9430 33830 ) ( * 34340 )
NEW met3 ( 3220 34340 0 ) ( 9430 * )
NEW met1 ( 9430 33830 ) ( * 34170 )
NEW met1 ( 21390 34170 ) ( * 34510 )
NEW met1 ( 21390 34510 ) ( 25990 * )
NEW met1 ( 25990 34170 ) ( * 34510 )
NEW met1 ( 25990 34170 ) ( 37490 * )
NEW met2 ( 37490 34170 ) ( * 41650 )
NEW met1 ( 9430 34170 ) ( 21390 * )
NEW li1 ( 9430 33830 ) L1M1_PR_MR
NEW met1 ( 9430 33830 ) M1M2_PR
NEW met2 ( 9430 34340 ) M2M3_PR_M
NEW met1 ( 37490 34170 ) M1M2_PR
NEW li1 ( 37490 41650 ) L1M1_PR_MR
NEW met1 ( 37490 41650 ) M1M2_PR
NEW met1 ( 9430 33830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37490 41650 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[0] ( PIN mem_to_dcache_data[0] ) ( output457 X ) + USE SIGNAL
+ ROUTED met1 ( 169970 30430 ) ( 172730 * )
NEW met2 ( 172730 26180 ) ( * 30430 )
NEW met3 ( 172730 26180 ) ( 196420 * 0 )
NEW li1 ( 169970 30430 ) L1M1_PR_MR
NEW met1 ( 172730 30430 ) M1M2_PR
NEW met2 ( 172730 26180 ) M2M3_PR_M ;
- mem_to_dcache_data[100] ( PIN mem_to_dcache_data[100] ) ( output458 X ) + USE SIGNAL
+ ROUTED met2 ( 70150 3740 0 ) ( * 13800 )
NEW met2 ( 70150 13800 ) ( 70610 * )
NEW met2 ( 70610 13800 ) ( * 22270 )
NEW met1 ( 70610 22270 ) ( 71070 * )
NEW met1 ( 70610 22270 ) M1M2_PR
NEW li1 ( 71070 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[101] ( PIN mem_to_dcache_data[101] ) ( output459 X ) + USE SIGNAL
+ ROUTED met1 ( 17250 166770 ) ( 19550 * )
NEW met1 ( 17250 166430 ) ( * 166770 )
NEW met1 ( 14950 166430 ) ( 17250 * )
NEW met2 ( 14950 166430 ) ( * 172210 )
NEW met1 ( 230 172210 ) ( 14950 * )
NEW met2 ( 230 172210 ) ( * 196180 0 )
NEW li1 ( 19550 166770 ) L1M1_PR_MR
NEW met1 ( 14950 166430 ) M1M2_PR
NEW met1 ( 14950 172210 ) M1M2_PR
NEW met1 ( 230 172210 ) M1M2_PR ;
- mem_to_dcache_data[102] ( PIN mem_to_dcache_data[102] ) ( output460 X ) + USE SIGNAL
+ ROUTED met1 ( 129950 22270 ) ( 130410 * )
NEW met2 ( 129950 3740 0 ) ( * 22270 )
NEW met1 ( 129950 22270 ) M1M2_PR
NEW li1 ( 130410 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[103] ( PIN mem_to_dcache_data[103] ) ( output461 X ) + USE SIGNAL
+ ROUTED met1 ( 31970 176290 ) ( 35190 * )
NEW met1 ( 31970 175950 ) ( * 176290 )
NEW met1 ( 26450 175950 ) ( 31970 * )
NEW met2 ( 26450 175950 ) ( * 179180 )
NEW met2 ( 26450 179180 ) ( 26910 * )
NEW met2 ( 26910 179180 ) ( * 196180 0 )
NEW li1 ( 35190 176290 ) L1M1_PR_MR
NEW met1 ( 26450 175950 ) M1M2_PR ;
- mem_to_dcache_data[104] ( PIN mem_to_dcache_data[104] ) ( output462 X ) + USE SIGNAL
+ ROUTED met1 ( 152030 24990 ) ( 153410 * )
NEW met2 ( 152030 3740 0 ) ( * 24990 )
NEW met1 ( 152030 24990 ) M1M2_PR
NEW li1 ( 153410 24990 ) L1M1_PR_MR ;
- mem_to_dcache_data[105] ( PIN mem_to_dcache_data[105] ) ( output463 X ) + USE SIGNAL
+ ROUTED met1 ( 66470 176290 ) ( 71530 * )
NEW met2 ( 66470 176290 ) ( * 196180 0 )
NEW li1 ( 71530 176290 ) L1M1_PR_MR
NEW met1 ( 66470 176290 ) M1M2_PR ;
- mem_to_dcache_data[106] ( PIN mem_to_dcache_data[106] ) ( output464 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 38420 ) ( * 38590 )
NEW met3 ( 3220 38420 0 ) ( 14030 * )
NEW met1 ( 13110 38590 ) ( 14030 * )
NEW li1 ( 13110 38590 ) L1M1_PR_MR
NEW met2 ( 14030 38420 ) M2M3_PR_M
NEW met1 ( 14030 38590 ) M1M2_PR ;
- mem_to_dcache_data[107] ( PIN mem_to_dcache_data[107] ) ( output465 X ) + USE SIGNAL
+ ROUTED met1 ( 183310 35870 ) ( 185150 * )
NEW met2 ( 185150 3740 0 ) ( * 35870 )
NEW met1 ( 185150 35870 ) M1M2_PR
NEW li1 ( 183310 35870 ) L1M1_PR_MR ;
- mem_to_dcache_data[108] ( PIN mem_to_dcache_data[108] ) ( output466 X ) + USE SIGNAL
+ ROUTED met1 ( 31510 173570 ) ( 32890 * )
NEW met2 ( 31510 173570 ) ( * 196180 0 )
NEW li1 ( 32890 173570 ) L1M1_PR_MR
NEW met1 ( 31510 173570 ) M1M2_PR ;
- mem_to_dcache_data[109] ( PIN mem_to_dcache_data[109] ) ( output467 X ) + USE SIGNAL
+ ROUTED met2 ( 99590 3740 0 ) ( * 6460 )
NEW met2 ( 99590 6460 ) ( 100050 * )
NEW met1 ( 100050 19550 ) ( 100510 * )
NEW met2 ( 100050 6460 ) ( * 19550 )
NEW met1 ( 100050 19550 ) M1M2_PR
NEW li1 ( 100510 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[10] ( PIN mem_to_dcache_data[10] ) ( output468 X ) + USE SIGNAL
+ ROUTED met1 ( 118910 19550 ) ( 120290 * )
NEW met2 ( 118910 3740 0 ) ( * 19550 )
NEW met1 ( 118910 19550 ) M1M2_PR
NEW li1 ( 120290 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[110] ( PIN mem_to_dcache_data[110] ) ( output469 X ) + USE SIGNAL
+ ROUTED met1 ( 77050 175950 ) ( 80270 * )
NEW met2 ( 80270 175950 ) ( * 196180 0 )
NEW li1 ( 77050 175950 ) L1M1_PR_MR
NEW met1 ( 80270 175950 ) M1M2_PR ;
- mem_to_dcache_data[111] ( PIN mem_to_dcache_data[111] ) ( output470 X ) + USE SIGNAL
+ ROUTED met1 ( 135930 179010 ) ( 139610 * )
NEW met2 ( 135470 179010 ) ( 135930 * )
NEW met2 ( 135470 179010 ) ( * 196180 0 )
NEW li1 ( 139610 179010 ) L1M1_PR_MR
NEW met1 ( 135930 179010 ) M1M2_PR ;
- mem_to_dcache_data[112] ( PIN mem_to_dcache_data[112] ) ( output471 X ) + USE SIGNAL
+ ROUTED met1 ( 139150 22270 ) ( 140070 * )
NEW met2 ( 139150 3740 0 ) ( * 22270 )
NEW met1 ( 139150 22270 ) M1M2_PR
NEW li1 ( 140070 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[113] ( PIN mem_to_dcache_data[113] ) ( output472 X ) + USE SIGNAL
+ ROUTED met1 ( 4830 162690 ) ( 14030 * )
NEW li1 ( 4830 162690 ) ( * 180030 )
NEW met1 ( 4830 180030 ) ( 5290 * )
NEW met2 ( 5290 180030 ) ( * 198900 )
NEW met3 ( 3220 198900 0 ) ( 5290 * )
NEW li1 ( 14030 162690 ) L1M1_PR_MR
NEW li1 ( 4830 162690 ) L1M1_PR_MR
NEW li1 ( 4830 180030 ) L1M1_PR_MR
NEW met1 ( 5290 180030 ) M1M2_PR
NEW met2 ( 5290 198900 ) M2M3_PR_M ;
- mem_to_dcache_data[114] ( PIN mem_to_dcache_data[114] ) ( output473 X ) + USE SIGNAL
+ ROUTED met1 ( 138230 177650 ) ( 143290 * )
NEW met2 ( 138230 177650 ) ( * 196180 0 )
NEW li1 ( 143290 177650 ) L1M1_PR_MR
NEW met1 ( 138230 177650 ) M1M2_PR ;
- mem_to_dcache_data[115] ( PIN mem_to_dcache_data[115] ) ( output474 X ) + USE SIGNAL
+ ROUTED met1 ( 48070 176290 ) ( 48990 * )
NEW met2 ( 48070 176290 ) ( * 196180 0 )
NEW li1 ( 48990 176290 ) L1M1_PR_MR
NEW met1 ( 48070 176290 ) M1M2_PR ;
- mem_to_dcache_data[116] ( PIN mem_to_dcache_data[116] ) ( output475 X ) + USE SIGNAL
+ ROUTED met1 ( 168590 173570 ) ( 173650 * )
NEW met2 ( 173650 173570 ) ( * 188700 )
NEW met2 ( 173650 188700 ) ( 175950 * )
NEW met2 ( 175950 188700 ) ( * 196180 0 )
NEW li1 ( 168590 173570 ) L1M1_PR_MR
NEW met1 ( 173650 173570 ) M1M2_PR ;
- mem_to_dcache_data[117] ( PIN mem_to_dcache_data[117] ) ( output476 X ) + USE SIGNAL
+ ROUTED met2 ( 144670 3740 0 ) ( * 20060 )
NEW met2 ( 144210 20060 ) ( 144670 * )
NEW met2 ( 144210 20060 ) ( * 20910 )
NEW met1 ( 144210 20910 ) ( 146050 * )
NEW met1 ( 146050 20910 ) ( * 21250 )
NEW met1 ( 144210 20910 ) M1M2_PR
NEW li1 ( 146050 21250 ) L1M1_PR_MR ;
- mem_to_dcache_data[118] ( PIN mem_to_dcache_data[118] ) ( output477 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 60180 ) ( * 60350 )
NEW met3 ( 186530 60180 ) ( 196420 * 0 )
NEW li1 ( 186530 60350 ) L1M1_PR_MR
NEW met1 ( 186530 60350 ) M1M2_PR
NEW met2 ( 186530 60180 ) M2M3_PR_M
NEW met1 ( 186530 60350 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[119] ( PIN mem_to_dcache_data[119] ) ( output478 X ) + USE SIGNAL
+ ROUTED met1 ( 83950 173570 ) ( 84410 * )
NEW met2 ( 84410 173570 ) ( * 175610 )
NEW met1 ( 81190 175610 ) ( 84410 * )
NEW met2 ( 81190 175610 ) ( * 196180 0 )
NEW li1 ( 83950 173570 ) L1M1_PR_MR
NEW met1 ( 84410 173570 ) M1M2_PR
NEW met1 ( 84410 175610 ) M1M2_PR
NEW met1 ( 81190 175610 ) M1M2_PR ;
- mem_to_dcache_data[11] ( PIN mem_to_dcache_data[11] ) ( output479 X ) + USE SIGNAL
+ ROUTED met1 ( 134550 176290 ) ( 135930 * )
NEW met2 ( 134550 176290 ) ( * 196180 0 )
NEW li1 ( 135930 176290 ) L1M1_PR_MR
NEW met1 ( 134550 176290 ) M1M2_PR ;
- mem_to_dcache_data[120] ( PIN mem_to_dcache_data[120] ) ( output480 X ) + USE SIGNAL
+ ROUTED met1 ( 179170 18530 ) ( 186070 * )
NEW met2 ( 179170 18530 ) ( * 33150 )
NEW met2 ( 186070 3740 0 ) ( * 18530 )
NEW met1 ( 186070 18530 ) M1M2_PR
NEW met1 ( 179170 18530 ) M1M2_PR
NEW li1 ( 179170 33150 ) L1M1_PR_MR
NEW met1 ( 179170 33150 ) M1M2_PR
NEW met1 ( 179170 33150 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[121] ( PIN mem_to_dcache_data[121] ) ( output481 X ) + USE SIGNAL
+ ROUTED met1 ( 83030 22270 ) ( 84410 * )
NEW met2 ( 83030 3740 0 ) ( * 22270 )
NEW met1 ( 83030 22270 ) M1M2_PR
NEW li1 ( 84410 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[122] ( PIN mem_to_dcache_data[122] ) ( output482 X ) + USE SIGNAL
+ ROUTED met2 ( 98670 3740 0 ) ( * 7650 )
NEW met1 ( 98670 7650 ) ( 100970 * )
NEW met1 ( 100050 22270 ) ( 100970 * )
NEW met2 ( 100970 7650 ) ( * 22270 )
NEW met1 ( 98670 7650 ) M1M2_PR
NEW met1 ( 100970 7650 ) M1M2_PR
NEW met1 ( 100970 22270 ) M1M2_PR
NEW li1 ( 100050 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[123] ( PIN mem_to_dcache_data[123] ) ( output483 X ) + USE SIGNAL
+ ROUTED met1 ( 170430 170850 ) ( 171350 * )
NEW met2 ( 171350 170850 ) ( * 196180 0 )
NEW li1 ( 170430 170850 ) L1M1_PR_MR
NEW met1 ( 171350 170850 ) M1M2_PR ;
- mem_to_dcache_data[124] ( PIN mem_to_dcache_data[124] ) ( output484 X ) + USE SIGNAL
+ ROUTED met1 ( 179170 35870 ) ( 182850 * )
NEW met1 ( 182850 35870 ) ( * 36210 )
NEW met1 ( 182850 36210 ) ( 192050 * )
NEW met2 ( 192050 9860 ) ( * 36210 )
NEW met3 ( 192050 9860 ) ( 196420 * 0 )
NEW li1 ( 179170 35870 ) L1M1_PR_MR
NEW met1 ( 192050 36210 ) M1M2_PR
NEW met2 ( 192050 9860 ) M2M3_PR_M ;
- mem_to_dcache_data[125] ( PIN mem_to_dcache_data[125] ) ( output485 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 43860 ) ( * 44030 )
NEW met3 ( 3220 43860 0 ) ( 14030 * )
NEW met1 ( 13110 44030 ) ( 14030 * )
NEW li1 ( 13110 44030 ) L1M1_PR_MR
NEW met2 ( 14030 43860 ) M2M3_PR_M
NEW met1 ( 14030 44030 ) M1M2_PR ;
- mem_to_dcache_data[126] ( PIN mem_to_dcache_data[126] ) ( output486 X ) + USE SIGNAL
+ ROUTED met2 ( 48070 3740 0 ) ( * 9860 )
NEW met2 ( 47150 9860 ) ( 48070 * )
NEW met2 ( 47150 9860 ) ( * 19550 )
NEW met1 ( 47150 19550 ) ( 48990 * )
NEW met1 ( 47150 19550 ) M1M2_PR
NEW li1 ( 48990 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[127] ( PIN mem_to_dcache_data[127] ) ( output487 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 130900 ) ( * 131070 )
NEW met3 ( 186530 130900 ) ( 196420 * 0 )
NEW li1 ( 186530 131070 ) L1M1_PR_MR
NEW met1 ( 186530 131070 ) M1M2_PR
NEW met2 ( 186530 130900 ) M2M3_PR_M
NEW met1 ( 186530 131070 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[12] ( PIN mem_to_dcache_data[12] ) ( output488 X ) + USE SIGNAL
+ ROUTED met1 ( 71990 173570 ) ( 73370 * )
NEW met2 ( 71990 173570 ) ( * 196180 0 )
NEW li1 ( 73370 173570 ) L1M1_PR_MR
NEW met1 ( 71990 173570 ) M1M2_PR ;
- mem_to_dcache_data[13] ( PIN mem_to_dcache_data[13] ) ( output489 X ) + USE SIGNAL
+ ROUTED met1 ( 163070 176290 ) ( 164910 * )
NEW met2 ( 164910 176290 ) ( * 196180 0 )
NEW li1 ( 163070 176290 ) L1M1_PR_MR
NEW met1 ( 164910 176290 ) M1M2_PR ;
- mem_to_dcache_data[14] ( PIN mem_to_dcache_data[14] ) ( output490 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 126820 ) ( * 126990 )
NEW met3 ( 190210 126820 ) ( 196420 * 0 )
NEW li1 ( 190210 126990 ) L1M1_PR_MR
NEW met1 ( 190210 126990 ) M1M2_PR
NEW met2 ( 190210 126820 ) M2M3_PR_M
NEW met1 ( 190210 126990 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[15] ( PIN mem_to_dcache_data[15] ) ( output491 X ) + USE SIGNAL
+ ROUTED met1 ( 107870 176290 ) ( 108790 * )
NEW met2 ( 107870 176290 ) ( * 196180 0 )
NEW li1 ( 108790 176290 ) L1M1_PR_MR
NEW met1 ( 107870 176290 ) M1M2_PR ;
- mem_to_dcache_data[16] ( PIN mem_to_dcache_data[16] ) ( output492 X ) + USE SIGNAL
+ ROUTED met1 ( 129030 179010 ) ( 130870 * )
NEW met2 ( 129030 179010 ) ( * 196180 0 )
NEW li1 ( 130870 179010 ) L1M1_PR_MR
NEW met1 ( 129030 179010 ) M1M2_PR ;
- mem_to_dcache_data[17] ( PIN mem_to_dcache_data[17] ) ( output493 X ) + USE SIGNAL
+ ROUTED met2 ( 73830 3740 0 ) ( * 7140 )
NEW met2 ( 73830 7140 ) ( 74290 * )
NEW met1 ( 74290 22270 ) ( 74750 * )
NEW met2 ( 74290 7140 ) ( * 22270 )
NEW met1 ( 74290 22270 ) M1M2_PR
NEW li1 ( 74750 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[18] ( PIN mem_to_dcache_data[18] ) ( output494 X ) + USE SIGNAL
+ ROUTED met2 ( 14950 158100 ) ( * 158270 )
NEW met3 ( 3220 158100 0 ) ( 14950 * )
NEW li1 ( 14950 158270 ) L1M1_PR_MR
NEW met1 ( 14950 158270 ) M1M2_PR
NEW met2 ( 14950 158100 ) M2M3_PR_M
NEW met1 ( 14950 158270 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[19] ( PIN mem_to_dcache_data[19] ) ( output495 X ) + USE SIGNAL
+ ROUTED met1 ( 133630 24990 ) ( 135930 * )
NEW met2 ( 133630 3740 0 ) ( * 24990 )
NEW met1 ( 133630 24990 ) M1M2_PR
NEW li1 ( 135930 24990 ) L1M1_PR_MR ;
- mem_to_dcache_data[1] ( PIN mem_to_dcache_data[1] ) ( output496 X ) + USE SIGNAL
+ ROUTED met1 ( 39790 176290 ) ( 40710 * )
NEW met2 ( 39790 176290 ) ( * 196180 0 )
NEW li1 ( 40710 176290 ) L1M1_PR_MR
NEW met1 ( 39790 176290 ) M1M2_PR ;
- mem_to_dcache_data[20] ( PIN mem_to_dcache_data[20] ) ( output497 X ) + USE SIGNAL
+ ROUTED met1 ( 35190 19550 ) ( 37030 * )
NEW met2 ( 35190 3740 0 ) ( * 19550 )
NEW met1 ( 35190 19550 ) M1M2_PR
NEW li1 ( 37030 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[21] ( PIN mem_to_dcache_data[21] ) ( output498 X ) + USE SIGNAL
+ ROUTED met1 ( 94530 177310 ) ( 101890 * )
NEW met2 ( 94530 177310 ) ( * 177990 )
NEW met2 ( 94530 177990 ) ( 94990 * )
NEW met2 ( 94990 177990 ) ( * 196180 0 )
NEW li1 ( 101890 177310 ) L1M1_PR_MR
NEW met1 ( 94530 177310 ) M1M2_PR ;
- mem_to_dcache_data[22] ( PIN mem_to_dcache_data[22] ) ( output499 X ) + USE SIGNAL
+ ROUTED met2 ( 14950 119170 ) ( * 120020 )
NEW met3 ( 3220 120020 0 ) ( 14950 * )
NEW li1 ( 14950 119170 ) L1M1_PR_MR
NEW met1 ( 14950 119170 ) M1M2_PR
NEW met2 ( 14950 120020 ) M2M3_PR_M
NEW met1 ( 14950 119170 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[23] ( PIN mem_to_dcache_data[23] ) ( output500 X ) + USE SIGNAL
+ ROUTED met1 ( 93150 22270 ) ( 94070 * )
NEW met2 ( 94070 3740 0 ) ( * 22270 )
NEW met1 ( 94070 22270 ) M1M2_PR
NEW li1 ( 93150 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[24] ( PIN mem_to_dcache_data[24] ) ( output501 X ) + USE SIGNAL
+ ROUTED met1 ( 180090 165410 ) ( 180550 * )
NEW met2 ( 180550 165410 ) ( * 196180 0 )
NEW li1 ( 180090 165410 ) L1M1_PR_MR
NEW met1 ( 180550 165410 ) M1M2_PR ;
- mem_to_dcache_data[25] ( PIN mem_to_dcache_data[25] ) ( output502 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 79220 ) ( * 79390 )
NEW met3 ( 183310 79220 ) ( 196420 * 0 )
NEW li1 ( 183310 79390 ) L1M1_PR_MR
NEW met1 ( 183310 79390 ) M1M2_PR
NEW met2 ( 183310 79220 ) M2M3_PR_M
NEW met1 ( 183310 79390 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[26] ( PIN mem_to_dcache_data[26] ) ( output503 X ) + USE SIGNAL
+ ROUTED met1 ( 193430 19890 ) ( 196190 * )
NEW li1 ( 196190 19890 ) ( * 30770 )
NEW met1 ( 195730 30770 ) ( 196190 * )
NEW met1 ( 195730 30770 ) ( * 31110 )
NEW met1 ( 183310 31110 ) ( 195730 * )
NEW met1 ( 183310 31110 ) ( * 31790 )
NEW met1 ( 175490 31790 ) ( 183310 * )
NEW met2 ( 175490 31790 ) ( * 33150 )
NEW met2 ( 193430 3740 0 ) ( * 19890 )
NEW met1 ( 193430 19890 ) M1M2_PR
NEW li1 ( 196190 19890 ) L1M1_PR_MR
NEW li1 ( 196190 30770 ) L1M1_PR_MR
NEW met1 ( 175490 31790 ) M1M2_PR
NEW li1 ( 175490 33150 ) L1M1_PR_MR
NEW met1 ( 175490 33150 ) M1M2_PR
NEW met1 ( 175490 33150 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[27] ( PIN mem_to_dcache_data[27] ) ( output504 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 125460 ) ( * 125630 )
NEW met3 ( 186530 125460 ) ( 196420 * 0 )
NEW li1 ( 186530 125630 ) L1M1_PR_MR
NEW met1 ( 186530 125630 ) M1M2_PR
NEW met2 ( 186530 125460 ) M2M3_PR_M
NEW met1 ( 186530 125630 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[28] ( PIN mem_to_dcache_data[28] ) ( output505 X ) + USE SIGNAL
+ ROUTED met2 ( 14950 42500 ) ( * 43010 )
NEW met3 ( 3220 42500 0 ) ( 14950 * )
NEW met2 ( 14950 42500 ) M2M3_PR_M
NEW li1 ( 14950 43010 ) L1M1_PR_MR
NEW met1 ( 14950 43010 ) M1M2_PR
NEW met1 ( 14950 43010 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[29] ( PIN mem_to_dcache_data[29] ) ( output506 X ) + USE SIGNAL
+ ROUTED met2 ( 17710 16660 ) ( * 17850 )
NEW met1 ( 17710 17850 ) ( 23230 * )
NEW met2 ( 23230 17850 ) ( * 24990 )
NEW met1 ( 22310 24990 ) ( 23230 * )
NEW met3 ( 3220 16660 0 ) ( 17710 * )
NEW met2 ( 17710 16660 ) M2M3_PR_M
NEW met1 ( 17710 17850 ) M1M2_PR
NEW met1 ( 23230 17850 ) M1M2_PR
NEW met1 ( 23230 24990 ) M1M2_PR
NEW li1 ( 22310 24990 ) L1M1_PR_MR ;
- mem_to_dcache_data[2] ( PIN mem_to_dcache_data[2] ) ( output507 X ) + USE SIGNAL
+ ROUTED met1 ( 53590 179010 ) ( 58650 * )
NEW met2 ( 53590 179010 ) ( * 196180 0 )
NEW li1 ( 58650 179010 ) L1M1_PR_MR
NEW met1 ( 53590 179010 ) M1M2_PR ;
- mem_to_dcache_data[30] ( PIN mem_to_dcache_data[30] ) ( output508 X ) + USE SIGNAL
+ ROUTED met2 ( 175950 168130 ) ( * 168300 )
NEW met3 ( 175950 168300 ) ( 190210 * )
NEW met2 ( 190210 168300 ) ( * 192100 )
NEW met3 ( 190210 192100 ) ( 196420 * 0 )
NEW li1 ( 175950 168130 ) L1M1_PR_MR
NEW met1 ( 175950 168130 ) M1M2_PR
NEW met2 ( 175950 168300 ) M2M3_PR_M
NEW met2 ( 190210 168300 ) M2M3_PR_M
NEW met2 ( 190210 192100 ) M2M3_PR_M
NEW met1 ( 175950 168130 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[31] ( PIN mem_to_dcache_data[31] ) ( output509 X ) + USE SIGNAL
+ ROUTED met1 ( 43470 176290 ) ( 45310 * )
NEW met2 ( 43470 176290 ) ( * 196180 0 )
NEW li1 ( 45310 176290 ) L1M1_PR_MR
NEW met1 ( 43470 176290 ) M1M2_PR ;
- mem_to_dcache_data[32] ( PIN mem_to_dcache_data[32] ) ( output510 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 100980 ) ( * 101150 )
NEW met3 ( 183310 100980 ) ( 196420 * 0 )
NEW li1 ( 183310 101150 ) L1M1_PR_MR
NEW met1 ( 183310 101150 ) M1M2_PR
NEW met2 ( 183310 100980 ) M2M3_PR_M
NEW met1 ( 183310 101150 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[33] ( PIN mem_to_dcache_data[33] ) ( output511 X ) + USE SIGNAL
+ ROUTED met1 ( 19090 163710 ) ( 19550 * )
NEW met1 ( 19090 163710 ) ( * 164730 )
NEW met2 ( 19090 164730 ) ( * 182580 )
NEW met3 ( 3220 182580 0 ) ( 19090 * )
NEW li1 ( 19550 163710 ) L1M1_PR_MR
NEW met1 ( 19090 164730 ) M1M2_PR
NEW met2 ( 19090 182580 ) M2M3_PR_M ;
- mem_to_dcache_data[34] ( PIN mem_to_dcache_data[34] ) ( output512 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 39780 ) ( * 44030 )
NEW met3 ( 186530 39780 ) ( 196420 * 0 )
NEW li1 ( 186530 44030 ) L1M1_PR_MR
NEW met1 ( 186530 44030 ) M1M2_PR
NEW met2 ( 186530 39780 ) M2M3_PR_M
NEW met1 ( 186530 44030 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[35] ( PIN mem_to_dcache_data[35] ) ( output513 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 154530 ) ( * 155380 )
NEW met3 ( 186530 155380 ) ( 196420 * 0 )
NEW li1 ( 186530 154530 ) L1M1_PR_MR
NEW met1 ( 186530 154530 ) M1M2_PR
NEW met2 ( 186530 155380 ) M2M3_PR_M
NEW met1 ( 186530 154530 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[36] ( PIN mem_to_dcache_data[36] ) ( output514 X ) + USE SIGNAL
+ ROUTED met1 ( 104190 179010 ) ( 105570 * )
NEW met2 ( 104190 179010 ) ( * 196180 0 )
NEW li1 ( 105570 179010 ) L1M1_PR_MR
NEW met1 ( 104190 179010 ) M1M2_PR ;
- mem_to_dcache_data[37] ( PIN mem_to_dcache_data[37] ) ( output515 X ) + USE SIGNAL
+ ROUTED met2 ( 14950 3740 0 ) ( * 13800 )
NEW met2 ( 14950 13800 ) ( 15410 * )
NEW met2 ( 15410 13800 ) ( * 22610 )
NEW met1 ( 15410 22610 ) ( 23690 * )
NEW met1 ( 23690 22270 ) ( * 22610 )
NEW met1 ( 15410 22610 ) M1M2_PR
NEW li1 ( 23690 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[38] ( PIN mem_to_dcache_data[38] ) ( output516 X ) + USE SIGNAL
+ ROUTED met2 ( 96830 3740 0 ) ( * 7140 )
NEW met2 ( 96830 7140 ) ( 97290 * )
NEW met1 ( 97290 24990 ) ( 97750 * )
NEW met2 ( 97290 7140 ) ( * 24990 )
NEW met1 ( 97290 24990 ) M1M2_PR
NEW li1 ( 97750 24990 ) L1M1_PR_MR ;
- mem_to_dcache_data[39] ( PIN mem_to_dcache_data[39] ) ( output517 X ) + USE SIGNAL
+ ROUTED met1 ( 51750 19550 ) ( 54510 * )
NEW met2 ( 51750 3740 0 ) ( * 19550 )
NEW met1 ( 51750 19550 ) M1M2_PR
NEW li1 ( 54510 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[3] ( PIN mem_to_dcache_data[3] ) ( output518 X ) + USE SIGNAL
+ ROUTED met1 ( 169970 33150 ) ( 170430 * )
NEW met2 ( 169970 27710 ) ( * 33150 )
NEW met1 ( 169970 27710 ) ( 173190 * )
NEW met2 ( 173190 20740 ) ( * 27710 )
NEW met3 ( 173190 20740 ) ( 178020 * )
NEW met3 ( 178020 19380 ) ( * 20740 )
NEW met3 ( 178020 19380 ) ( 196420 * 0 )
NEW li1 ( 170430 33150 ) L1M1_PR_MR
NEW met1 ( 169970 33150 ) M1M2_PR
NEW met1 ( 169970 27710 ) M1M2_PR
NEW met1 ( 173190 27710 ) M1M2_PR
NEW met2 ( 173190 20740 ) M2M3_PR_M ;
- mem_to_dcache_data[40] ( PIN mem_to_dcache_data[40] ) ( output519 X ) + USE SIGNAL
+ ROUTED met2 ( 12190 3740 0 ) ( * 17170 )
NEW met2 ( 12190 17170 ) ( 12650 * )
NEW met1 ( 12650 17170 ) ( 14490 * )
NEW met2 ( 14490 17170 ) ( * 25500 )
NEW met2 ( 14490 25500 ) ( 15410 * )
NEW met2 ( 15410 25500 ) ( * 28900 )
NEW met3 ( 15410 28900 ) ( 21850 * )
NEW met2 ( 21850 28900 ) ( * 29070 )
NEW met1 ( 21850 29070 ) ( 23690 * )
NEW met1 ( 12650 17170 ) M1M2_PR
NEW met1 ( 14490 17170 ) M1M2_PR
NEW met2 ( 15410 28900 ) M2M3_PR_M
NEW met2 ( 21850 28900 ) M2M3_PR_M
NEW met1 ( 21850 29070 ) M1M2_PR
NEW li1 ( 23690 29070 ) L1M1_PR_MR ;
- mem_to_dcache_data[41] ( PIN mem_to_dcache_data[41] ) ( output520 X ) + USE SIGNAL
+ ROUTED met1 ( 53590 14110 ) ( 54970 * )
NEW met2 ( 54970 14110 ) ( * 19550 )
NEW met1 ( 54970 19550 ) ( 58650 * )
NEW met2 ( 53590 3740 0 ) ( * 14110 )
NEW met1 ( 53590 14110 ) M1M2_PR
NEW met1 ( 54970 14110 ) M1M2_PR
NEW met1 ( 54970 19550 ) M1M2_PR
NEW li1 ( 58650 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[42] ( PIN mem_to_dcache_data[42] ) ( output521 X ) + USE SIGNAL
+ ROUTED met3 ( 173650 12580 ) ( 196420 * 0 )
NEW met2 ( 173650 15980 ) ( 174110 * )
NEW met2 ( 174110 15980 ) ( * 25330 )
NEW met1 ( 163990 25330 ) ( 174110 * )
NEW met1 ( 163990 24990 ) ( * 25330 )
NEW met1 ( 161690 24990 ) ( 163990 * )
NEW met2 ( 173650 12580 ) ( * 15980 )
NEW met2 ( 173650 12580 ) M2M3_PR_M
NEW met1 ( 174110 25330 ) M1M2_PR
NEW li1 ( 161690 24990 ) L1M1_PR_MR ;
- mem_to_dcache_data[43] ( PIN mem_to_dcache_data[43] ) ( output522 X ) + USE SIGNAL
+ ROUTED met1 ( 157550 179010 ) ( 158010 * )
NEW met2 ( 158010 179010 ) ( * 188190 )
NEW met1 ( 158010 188190 ) ( 186990 * )
NEW met2 ( 186990 188190 ) ( * 196180 0 )
NEW li1 ( 157550 179010 ) L1M1_PR_MR
NEW met1 ( 158010 179010 ) M1M2_PR
NEW met1 ( 158010 188190 ) M1M2_PR
NEW met1 ( 186990 188190 ) M1M2_PR ;
- mem_to_dcache_data[44] ( PIN mem_to_dcache_data[44] ) ( output523 X ) + USE SIGNAL
+ ROUTED met2 ( 181470 81940 ) ( * 82110 )
NEW met3 ( 181470 81940 ) ( 196420 * 0 )
NEW li1 ( 181470 82110 ) L1M1_PR_MR
NEW met1 ( 181470 82110 ) M1M2_PR
NEW met2 ( 181470 81940 ) M2M3_PR_M
NEW met1 ( 181470 82110 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[45] ( PIN mem_to_dcache_data[45] ) ( output524 X ) + USE SIGNAL
+ ROUTED met2 ( 18630 117300 ) ( * 117470 )
NEW met3 ( 3220 117300 0 ) ( 18630 * )
NEW met2 ( 18630 117300 ) M2M3_PR_M
NEW li1 ( 18630 117470 ) L1M1_PR_MR
NEW met1 ( 18630 117470 ) M1M2_PR
NEW met1 ( 18630 117470 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[46] ( PIN mem_to_dcache_data[46] ) ( output525 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 62900 ) ( * 63070 )
NEW met3 ( 183310 62900 ) ( 196420 * 0 )
NEW li1 ( 183310 63070 ) L1M1_PR_MR
NEW met1 ( 183310 63070 ) M1M2_PR
NEW met2 ( 183310 62900 ) M2M3_PR_M
NEW met1 ( 183310 63070 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[47] ( PIN mem_to_dcache_data[47] ) ( output526 X ) + USE SIGNAL
+ ROUTED met1 ( 124890 19550 ) ( 125350 * )
NEW met2 ( 125350 3740 0 ) ( * 19550 )
NEW met1 ( 125350 19550 ) M1M2_PR
NEW li1 ( 124890 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[48] ( PIN mem_to_dcache_data[48] ) ( output527 X ) + USE SIGNAL
+ ROUTED met1 ( 153410 179010 ) ( 154790 * )
NEW met2 ( 154790 179010 ) ( * 196180 0 )
NEW li1 ( 153410 179010 ) L1M1_PR_MR
NEW met1 ( 154790 179010 ) M1M2_PR ;
- mem_to_dcache_data[49] ( PIN mem_to_dcache_data[49] ) ( output528 X ) + USE SIGNAL
+ ROUTED met2 ( 18630 39780 ) ( * 41650 )
NEW met3 ( 3220 39780 0 ) ( 18630 * )
NEW met2 ( 18630 39780 ) M2M3_PR_M
NEW li1 ( 18630 41650 ) L1M1_PR_MR
NEW met1 ( 18630 41650 ) M1M2_PR
NEW met1 ( 18630 41650 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[4] ( PIN mem_to_dcache_data[4] ) ( output529 X ) + USE SIGNAL
+ ROUTED met2 ( 182390 114580 ) ( * 114750 )
NEW met3 ( 182390 114580 ) ( 196420 * 0 )
NEW li1 ( 182390 114750 ) L1M1_PR_MR
NEW met1 ( 182390 114750 ) M1M2_PR
NEW met2 ( 182390 114580 ) M2M3_PR_M
NEW met1 ( 182390 114750 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[50] ( PIN mem_to_dcache_data[50] ) ( output530 X ) + USE SIGNAL
+ ROUTED met1 ( 164450 173570 ) ( 164910 * )
NEW met2 ( 164910 173570 ) ( * 174590 )
NEW met1 ( 164910 174590 ) ( 173190 * )
NEW met2 ( 173190 174590 ) ( * 177990 )
NEW met1 ( 173190 177990 ) ( 176870 * )
NEW met2 ( 176870 177990 ) ( * 196180 0 )
NEW li1 ( 164450 173570 ) L1M1_PR_MR
NEW met1 ( 164910 173570 ) M1M2_PR
NEW met1 ( 164910 174590 ) M1M2_PR
NEW met1 ( 173190 174590 ) M1M2_PR
NEW met1 ( 173190 177990 ) M1M2_PR
NEW met1 ( 176870 177990 ) M1M2_PR ;
- mem_to_dcache_data[51] ( PIN mem_to_dcache_data[51] ) ( output531 X ) + USE SIGNAL
+ ROUTED met1 ( 156630 176290 ) ( 158010 * )
NEW met2 ( 156630 176290 ) ( * 196180 0 )
NEW li1 ( 158010 176290 ) L1M1_PR_MR
NEW met1 ( 156630 176290 ) M1M2_PR ;
- mem_to_dcache_data[52] ( PIN mem_to_dcache_data[52] ) ( output532 X ) + USE SIGNAL
+ ROUTED met1 ( 112470 176290 ) ( 113850 * )
NEW met2 ( 112470 176290 ) ( * 196180 0 )
NEW li1 ( 113850 176290 ) L1M1_PR_MR
NEW met1 ( 112470 176290 ) M1M2_PR ;
- mem_to_dcache_data[53] ( PIN mem_to_dcache_data[53] ) ( output533 X ) + USE SIGNAL
+ ROUTED met2 ( 180090 162690 ) ( * 173570 )
NEW met1 ( 178710 173570 ) ( 180090 * )
NEW met2 ( 178710 173570 ) ( * 196180 0 )
NEW li1 ( 180090 162690 ) L1M1_PR_MR
NEW met1 ( 180090 162690 ) M1M2_PR
NEW met1 ( 180090 173570 ) M1M2_PR
NEW met1 ( 178710 173570 ) M1M2_PR
NEW met1 ( 180090 162690 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[54] ( PIN mem_to_dcache_data[54] ) ( output534 X ) + USE SIGNAL
+ ROUTED met2 ( 13110 168130 ) ( * 175610 )
NEW met1 ( 11730 175610 ) ( 13110 * )
NEW met2 ( 11730 175610 ) ( * 178500 )
NEW met2 ( 11270 178500 ) ( 11730 * )
NEW met2 ( 11270 178500 ) ( * 196180 0 )
NEW met1 ( 13110 168130 ) ( 23230 * )
NEW met1 ( 13110 168130 ) M1M2_PR
NEW met1 ( 13110 175610 ) M1M2_PR
NEW met1 ( 11730 175610 ) M1M2_PR
NEW li1 ( 23230 168130 ) L1M1_PR_MR ;
- mem_to_dcache_data[55] ( PIN mem_to_dcache_data[55] ) ( output535 X ) + USE SIGNAL
+ ROUTED met1 ( 59110 22270 ) ( 60030 * )
NEW met2 ( 59110 3740 0 ) ( * 22270 )
NEW met1 ( 59110 22270 ) M1M2_PR
NEW li1 ( 60030 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[56] ( PIN mem_to_dcache_data[56] ) ( output536 X ) + USE SIGNAL
+ ROUTED met1 ( 191590 20570 ) ( 197110 * )
NEW li1 ( 197110 20570 ) ( * 39950 )
NEW met1 ( 182850 39950 ) ( 197110 * )
NEW met2 ( 191590 3740 0 ) ( * 20570 )
NEW met1 ( 191590 20570 ) M1M2_PR
NEW li1 ( 197110 20570 ) L1M1_PR_MR
NEW li1 ( 197110 39950 ) L1M1_PR_MR
NEW li1 ( 182850 39950 ) L1M1_PR_MR ;
- mem_to_dcache_data[57] ( PIN mem_to_dcache_data[57] ) ( output537 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 54740 ) ( * 54910 )
NEW met3 ( 190210 54740 ) ( 196420 * 0 )
NEW li1 ( 190210 54910 ) L1M1_PR_MR
NEW met1 ( 190210 54910 ) M1M2_PR
NEW met2 ( 190210 54740 ) M2M3_PR_M
NEW met1 ( 190210 54910 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[58] ( PIN mem_to_dcache_data[58] ) ( output538 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 121380 ) ( * 122910 )
NEW met3 ( 183310 121380 ) ( 196420 * 0 )
NEW li1 ( 183310 122910 ) L1M1_PR_MR
NEW met1 ( 183310 122910 ) M1M2_PR
NEW met2 ( 183310 121380 ) M2M3_PR_M
NEW met1 ( 183310 122910 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[59] ( PIN mem_to_dcache_data[59] ) ( output539 X ) + USE SIGNAL
+ ROUTED met1 ( 86710 170850 ) ( 88090 * )
NEW met2 ( 86710 170850 ) ( * 196180 0 )
NEW li1 ( 88090 170850 ) L1M1_PR_MR
NEW met1 ( 86710 170850 ) M1M2_PR ;
- mem_to_dcache_data[5] ( PIN mem_to_dcache_data[5] ) ( output540 X ) + USE SIGNAL
+ ROUTED met1 ( 138230 24990 ) ( 139610 * )
NEW met2 ( 138230 3740 0 ) ( * 24990 )
NEW met1 ( 138230 24990 ) M1M2_PR
NEW li1 ( 139610 24990 ) L1M1_PR_MR ;
- mem_to_dcache_data[60] ( PIN mem_to_dcache_data[60] ) ( output541 X ) + USE SIGNAL
+ ROUTED met1 ( 17710 170510 ) ( 26910 * )
NEW met2 ( 17710 170510 ) ( * 196180 0 )
NEW li1 ( 26910 170510 ) L1M1_PR_MR
NEW met1 ( 17710 170510 ) M1M2_PR ;
- mem_to_dcache_data[61] ( PIN mem_to_dcache_data[61] ) ( output542 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 146370 ) ( * 147220 )
NEW met3 ( 190210 147220 ) ( 196420 * 0 )
NEW li1 ( 190210 146370 ) L1M1_PR_MR
NEW met1 ( 190210 146370 ) M1M2_PR
NEW met2 ( 190210 147220 ) M2M3_PR_M
NEW met1 ( 190210 146370 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[62] ( PIN mem_to_dcache_data[62] ) ( output543 X ) + USE SIGNAL
+ ROUTED met1 ( 163990 170850 ) ( 165370 * )
NEW met2 ( 163990 170850 ) ( * 196180 0 )
NEW li1 ( 165370 170850 ) L1M1_PR_MR
NEW met1 ( 163990 170850 ) M1M2_PR ;
- mem_to_dcache_data[63] ( PIN mem_to_dcache_data[63] ) ( output544 X ) + USE SIGNAL
+ ROUTED met1 ( 176410 163710 ) ( * 164050 )
NEW met1 ( 176410 164050 ) ( 197570 * )
NEW li1 ( 197570 164050 ) ( * 179350 )
NEW met1 ( 195730 179350 ) ( 197570 * )
NEW met2 ( 195730 179350 ) ( * 191420 )
NEW met2 ( 195270 191420 ) ( 195730 * )
NEW met2 ( 195270 191420 ) ( * 196180 0 )
NEW li1 ( 176410 163710 ) L1M1_PR_MR
NEW li1 ( 197570 164050 ) L1M1_PR_MR
NEW li1 ( 197570 179350 ) L1M1_PR_MR
NEW met1 ( 195730 179350 ) M1M2_PR ;
- mem_to_dcache_data[64] ( PIN mem_to_dcache_data[64] ) ( output545 X ) + USE SIGNAL
+ ROUTED met2 ( 164910 3740 0 ) ( * 16660 )
NEW met2 ( 164910 16660 ) ( 165830 * )
NEW met2 ( 165830 16660 ) ( * 26860 )
NEW met3 ( 165830 26860 ) ( 166750 * )
NEW met3 ( 166750 26860 ) ( * 27540 )
NEW met2 ( 166750 27540 ) ( * 28900 )
NEW met2 ( 166290 28900 ) ( 166750 * )
NEW met2 ( 166290 28900 ) ( * 33150 )
NEW met1 ( 166290 33150 ) ( 166750 * )
NEW met2 ( 165830 26860 ) M2M3_PR_M
NEW met2 ( 166750 27540 ) M2M3_PR_M
NEW met1 ( 166290 33150 ) M1M2_PR
NEW li1 ( 166750 33150 ) L1M1_PR_MR ;
- mem_to_dcache_data[65] ( PIN mem_to_dcache_data[65] ) ( output546 X ) + USE SIGNAL
+ ROUTED met1 ( 172270 166430 ) ( 182390 * )
NEW met1 ( 182390 166430 ) ( * 166770 )
NEW met1 ( 182390 166770 ) ( 192510 * )
NEW met2 ( 192510 166770 ) ( * 196180 0 )
NEW li1 ( 172270 166430 ) L1M1_PR_MR
NEW met1 ( 192510 166770 ) M1M2_PR ;
- mem_to_dcache_data[66] ( PIN mem_to_dcache_data[66] ) ( output547 X ) + USE SIGNAL
+ ROUTED met1 ( 157550 24990 ) ( 158470 * )
NEW met2 ( 158470 3740 0 ) ( * 24990 )
NEW met1 ( 158470 24990 ) M1M2_PR
NEW li1 ( 157550 24990 ) L1M1_PR_MR ;
- mem_to_dcache_data[67] ( PIN mem_to_dcache_data[67] ) ( output548 X ) + USE SIGNAL
+ ROUTED met1 ( 188830 20230 ) ( 194810 * )
NEW li1 ( 194810 20230 ) ( * 41650 )
NEW met1 ( 183310 41650 ) ( 194810 * )
NEW met2 ( 188830 3740 0 ) ( * 20230 )
NEW met1 ( 188830 20230 ) M1M2_PR
NEW li1 ( 194810 20230 ) L1M1_PR_MR
NEW li1 ( 194810 41650 ) L1M1_PR_MR
NEW li1 ( 183310 41650 ) L1M1_PR_MR ;
- mem_to_dcache_data[68] ( PIN mem_to_dcache_data[68] ) ( output549 X ) + USE SIGNAL
+ ROUTED met1 ( 31510 22270 ) ( 32430 * )
NEW met2 ( 31510 3740 0 ) ( * 22270 )
NEW met1 ( 31510 22270 ) M1M2_PR
NEW li1 ( 32430 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[69] ( PIN mem_to_dcache_data[69] ) ( output550 X ) + USE SIGNAL
+ ROUTED met2 ( 48990 3740 0 ) ( * 11220 )
NEW met3 ( 48990 11220 ) ( 51060 * )
NEW met4 ( 51060 11220 ) ( * 23460 )
NEW met3 ( 51060 23460 ) ( 54970 * )
NEW met2 ( 54970 23460 ) ( * 23630 )
NEW met1 ( 53130 23630 ) ( 54970 * )
NEW met2 ( 48990 11220 ) M2M3_PR_M
NEW met3 ( 51060 11220 ) M3M4_PR_M
NEW met3 ( 51060 23460 ) M3M4_PR_M
NEW met2 ( 54970 23460 ) M2M3_PR_M
NEW met1 ( 54970 23630 ) M1M2_PR
NEW li1 ( 53130 23630 ) L1M1_PR_MR ;
- mem_to_dcache_data[6] ( PIN mem_to_dcache_data[6] ) ( output551 X ) + USE SIGNAL
+ ROUTED met2 ( 169510 3740 0 ) ( * 35870 )
NEW met1 ( 169510 35870 ) ( 169970 * )
NEW met1 ( 169510 35870 ) M1M2_PR
NEW li1 ( 169970 35870 ) L1M1_PR_MR ;
- mem_to_dcache_data[70] ( PIN mem_to_dcache_data[70] ) ( output552 X ) + USE SIGNAL
+ ROUTED met2 ( 18170 99620 ) ( * 101150 )
NEW met3 ( 3220 99620 0 ) ( 18170 * )
NEW met2 ( 18170 99620 ) M2M3_PR_M
NEW li1 ( 18170 101150 ) L1M1_PR_MR
NEW met1 ( 18170 101150 ) M1M2_PR
NEW met1 ( 18170 101150 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[71] ( PIN mem_to_dcache_data[71] ) ( output553 X ) + USE SIGNAL
+ ROUTED met1 ( 150190 181730 ) ( 151570 * )
NEW met2 ( 151570 181220 ) ( * 181730 )
NEW met3 ( 151570 181220 ) ( 196420 * 0 )
NEW li1 ( 150190 181730 ) L1M1_PR_MR
NEW met1 ( 151570 181730 ) M1M2_PR
NEW met2 ( 151570 181220 ) M2M3_PR_M ;
- mem_to_dcache_data[72] ( PIN mem_to_dcache_data[72] ) ( output554 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 126820 ) ( * 128350 )
NEW met3 ( 3220 126820 0 ) ( 14030 * )
NEW met1 ( 13110 128350 ) ( 14030 * )
NEW li1 ( 13110 128350 ) L1M1_PR_MR
NEW met2 ( 14030 126820 ) M2M3_PR_M
NEW met1 ( 14030 128350 ) M1M2_PR ;
- mem_to_dcache_data[73] ( PIN mem_to_dcache_data[73] ) ( output555 X ) + USE SIGNAL
+ ROUTED met1 ( 85790 173570 ) ( 94530 * )
NEW met2 ( 85790 173570 ) ( * 196180 0 )
NEW li1 ( 94530 173570 ) L1M1_PR_MR
NEW met1 ( 85790 173570 ) M1M2_PR ;
- mem_to_dcache_data[74] ( PIN mem_to_dcache_data[74] ) ( output556 X ) + USE SIGNAL
+ ROUTED met1 ( 59110 176290 ) ( 60490 * )
NEW met2 ( 59110 176290 ) ( * 196180 0 )
NEW li1 ( 60490 176290 ) L1M1_PR_MR
NEW met1 ( 59110 176290 ) M1M2_PR ;
- mem_to_dcache_data[75] ( PIN mem_to_dcache_data[75] ) ( output557 X ) + USE SIGNAL
+ ROUTED met2 ( 63250 14790 ) ( * 22270 )
NEW met1 ( 63250 22270 ) ( 64170 * )
NEW met2 ( 60030 3740 0 ) ( * 14790 )
NEW met1 ( 60030 14790 ) ( 63250 * )
NEW met1 ( 63250 14790 ) M1M2_PR
NEW met1 ( 63250 22270 ) M1M2_PR
NEW li1 ( 64170 22270 ) L1M1_PR_MR
NEW met1 ( 60030 14790 ) M1M2_PR ;
- mem_to_dcache_data[76] ( PIN mem_to_dcache_data[76] ) ( output558 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 151300 0 ) ( 7590 * )
NEW met2 ( 7590 150450 ) ( * 151300 )
NEW met2 ( 7590 151300 ) M2M3_PR_M
NEW li1 ( 7590 150450 ) L1M1_PR_MR
NEW met1 ( 7590 150450 ) M1M2_PR
NEW met1 ( 7590 150450 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[77] ( PIN mem_to_dcache_data[77] ) ( output559 X ) + USE SIGNAL
+ ROUTED met1 ( 179170 38930 ) ( 186990 * )
NEW met1 ( 179170 38590 ) ( * 38930 )
NEW met2 ( 186990 3740 0 ) ( * 38930 )
NEW met1 ( 186990 38930 ) M1M2_PR
NEW li1 ( 179170 38590 ) L1M1_PR_MR ;
- mem_to_dcache_data[78] ( PIN mem_to_dcache_data[78] ) ( output560 X ) + USE SIGNAL
+ ROUTED met1 ( 48990 177650 ) ( 54510 * )
NEW met2 ( 48990 177650 ) ( * 196180 0 )
NEW li1 ( 54510 177650 ) L1M1_PR_MR
NEW met1 ( 48990 177650 ) M1M2_PR ;
- mem_to_dcache_data[79] ( PIN mem_to_dcache_data[79] ) ( output561 X ) + USE SIGNAL
+ ROUTED met1 ( 37950 19550 ) ( 40710 * )
NEW met2 ( 37950 3740 0 ) ( * 19550 )
NEW met1 ( 37950 19550 ) M1M2_PR
NEW li1 ( 40710 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[7] ( PIN mem_to_dcache_data[7] ) ( output562 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 76500 0 ) ( 13110 * )
NEW met2 ( 13110 76500 ) ( * 76670 )
NEW met2 ( 13110 76500 ) M2M3_PR_M
NEW li1 ( 13110 76670 ) L1M1_PR_MR
NEW met1 ( 13110 76670 ) M1M2_PR
NEW met1 ( 13110 76670 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[80] ( PIN mem_to_dcache_data[80] ) ( output563 X ) + USE SIGNAL
+ ROUTED met2 ( 18170 110500 ) ( * 112030 )
NEW met3 ( 3220 110500 0 ) ( 18170 * )
NEW met2 ( 18170 110500 ) M2M3_PR_M
NEW li1 ( 18170 112030 ) L1M1_PR_MR
NEW met1 ( 18170 112030 ) M1M2_PR
NEW met1 ( 18170 112030 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[81] ( PIN mem_to_dcache_data[81] ) ( output564 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 86020 ) ( * 87550 )
NEW met3 ( 3220 86020 0 ) ( 14030 * )
NEW met1 ( 13110 87550 ) ( 14030 * )
NEW li1 ( 13110 87550 ) L1M1_PR_MR
NEW met2 ( 14030 86020 ) M2M3_PR_M
NEW met1 ( 14030 87550 ) M1M2_PR ;
- mem_to_dcache_data[82] ( PIN mem_to_dcache_data[82] ) ( output565 X ) + USE SIGNAL
+ ROUTED met1 ( 148350 179010 ) ( 149730 * )
NEW met2 ( 148350 179010 ) ( * 196180 0 )
NEW li1 ( 149730 179010 ) L1M1_PR_MR
NEW met1 ( 148350 179010 ) M1M2_PR ;
- mem_to_dcache_data[83] ( PIN mem_to_dcache_data[83] ) ( output566 X ) + USE SIGNAL
+ ROUTED met1 ( 157550 173570 ) ( 158470 * )
NEW met2 ( 158470 173570 ) ( * 196180 0 )
NEW li1 ( 157550 173570 ) L1M1_PR_MR
NEW met1 ( 158470 173570 ) M1M2_PR ;
- mem_to_dcache_data[84] ( PIN mem_to_dcache_data[84] ) ( output567 X ) + USE SIGNAL
+ ROUTED met1 ( 159850 170850 ) ( 160770 * )
NEW met2 ( 159850 170850 ) ( * 176460 )
NEW met2 ( 159390 176460 ) ( 159850 * )
NEW met2 ( 159390 176460 ) ( * 196180 0 )
NEW li1 ( 160770 170850 ) L1M1_PR_MR
NEW met1 ( 159850 170850 ) M1M2_PR ;
- mem_to_dcache_data[85] ( PIN mem_to_dcache_data[85] ) ( output568 X ) + USE SIGNAL
+ ROUTED met1 ( 105110 19550 ) ( 109710 * )
NEW met2 ( 105110 3740 0 ) ( * 19550 )
NEW met1 ( 105110 19550 ) M1M2_PR
NEW li1 ( 109710 19550 ) L1M1_PR_MR ;
- mem_to_dcache_data[86] ( PIN mem_to_dcache_data[86] ) ( output569 X ) + USE SIGNAL
+ ROUTED met1 ( 100050 176290 ) ( 100970 * )
NEW met2 ( 100050 176290 ) ( * 185810 )
NEW met2 ( 99590 185810 ) ( 100050 * )
NEW met2 ( 99590 185810 ) ( * 196180 0 )
NEW li1 ( 100970 176290 ) L1M1_PR_MR
NEW met1 ( 100050 176290 ) M1M2_PR ;
- mem_to_dcache_data[87] ( PIN mem_to_dcache_data[87] ) ( output570 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 91460 ) ( * 91970 )
NEW met3 ( 3220 91460 0 ) ( 14030 * )
NEW met1 ( 13110 91970 ) ( 14030 * )
NEW li1 ( 13110 91970 ) L1M1_PR_MR
NEW met2 ( 14030 91460 ) M2M3_PR_M
NEW met1 ( 14030 91970 ) M1M2_PR ;
- mem_to_dcache_data[88] ( PIN mem_to_dcache_data[88] ) ( output571 X ) + USE SIGNAL
+ ROUTED met1 ( 2990 19890 ) ( 12650 * )
NEW met2 ( 12650 19890 ) ( * 25330 )
NEW met2 ( 2990 3740 0 ) ( * 19890 )
NEW met1 ( 12650 25330 ) ( 25990 * )
NEW met1 ( 2990 19890 ) M1M2_PR
NEW met1 ( 12650 19890 ) M1M2_PR
NEW met1 ( 12650 25330 ) M1M2_PR
NEW li1 ( 25990 25330 ) L1M1_PR_MR ;
- mem_to_dcache_data[89] ( PIN mem_to_dcache_data[89] ) ( output572 X ) + USE SIGNAL
+ ROUTED met2 ( 156630 3740 0 ) ( * 13800 )
NEW met2 ( 156630 13800 ) ( 157090 * )
NEW met2 ( 157090 13800 ) ( * 27710 )
NEW met1 ( 157090 27710 ) ( 158010 * )
NEW met1 ( 157090 27710 ) M1M2_PR
NEW li1 ( 158010 27710 ) L1M1_PR_MR ;
- mem_to_dcache_data[8] ( PIN mem_to_dcache_data[8] ) ( output573 X ) + USE SIGNAL
+ ROUTED met2 ( 14490 135490 ) ( * 136340 )
NEW met3 ( 3220 136340 0 ) ( 14490 * )
NEW li1 ( 14490 135490 ) L1M1_PR_MR
NEW met1 ( 14490 135490 ) M1M2_PR
NEW met2 ( 14490 136340 ) M2M3_PR_M
NEW met1 ( 14490 135490 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[90] ( PIN mem_to_dcache_data[90] ) ( output574 X ) + USE SIGNAL
+ ROUTED met1 ( 175950 35870 ) ( 176870 * )
NEW met2 ( 176870 35020 ) ( * 35870 )
NEW met3 ( 176870 35020 ) ( 192970 * )
NEW met2 ( 192970 18020 ) ( * 35020 )
NEW met3 ( 192970 18020 ) ( 196420 * 0 )
NEW li1 ( 175950 35870 ) L1M1_PR_MR
NEW met1 ( 176870 35870 ) M1M2_PR
NEW met2 ( 176870 35020 ) M2M3_PR_M
NEW met2 ( 192970 35020 ) M2M3_PR_M
NEW met2 ( 192970 18020 ) M2M3_PR_M ;
- mem_to_dcache_data[91] ( PIN mem_to_dcache_data[91] ) ( output575 X ) + USE SIGNAL
+ ROUTED met1 ( 17250 161330 ) ( 17710 * )
NEW met2 ( 17250 161330 ) ( * 168980 )
NEW met3 ( 3220 168980 0 ) ( 17250 * )
NEW li1 ( 17710 161330 ) L1M1_PR_MR
NEW met1 ( 17250 161330 ) M1M2_PR
NEW met2 ( 17250 168980 ) M2M3_PR_M ;
- mem_to_dcache_data[92] ( PIN mem_to_dcache_data[92] ) ( output576 X ) + USE SIGNAL
+ ROUTED met1 ( 179170 41650 ) ( 179630 * )
NEW met2 ( 179170 41140 ) ( * 41650 )
NEW met3 ( 179170 41140 ) ( 196420 * 0 )
NEW li1 ( 179630 41650 ) L1M1_PR_MR
NEW met1 ( 179170 41650 ) M1M2_PR
NEW met2 ( 179170 41140 ) M2M3_PR_M ;
- mem_to_dcache_data[93] ( PIN mem_to_dcache_data[93] ) ( output577 X ) + USE SIGNAL
+ ROUTED met2 ( 6670 165070 ) ( * 176290 )
NEW met1 ( 1150 176290 ) ( 6670 * )
NEW met2 ( 1150 176290 ) ( * 196180 0 )
NEW met1 ( 6670 165070 ) ( 23230 * )
NEW met1 ( 6670 165070 ) M1M2_PR
NEW met1 ( 6670 176290 ) M1M2_PR
NEW met1 ( 1150 176290 ) M1M2_PR
NEW li1 ( 23230 165070 ) L1M1_PR_MR ;
- mem_to_dcache_data[94] ( PIN mem_to_dcache_data[94] ) ( output578 X ) + USE SIGNAL
+ ROUTED met2 ( 8510 3740 0 ) ( * 7140 )
NEW met2 ( 8510 7140 ) ( 9430 * )
NEW met2 ( 9430 7140 ) ( * 29070 )
NEW met2 ( 17710 29070 ) ( * 30430 )
NEW met1 ( 9430 29070 ) ( 17710 * )
NEW met1 ( 9430 29070 ) M1M2_PR
NEW met1 ( 17710 29070 ) M1M2_PR
NEW li1 ( 17710 30430 ) L1M1_PR_MR
NEW met1 ( 17710 30430 ) M1M2_PR
NEW met1 ( 17710 30430 ) RECT ( -355 -70 0 70 ) ;
- mem_to_dcache_data[95] ( PIN mem_to_dcache_data[95] ) ( output579 X ) + USE SIGNAL
+ ROUTED met1 ( 39790 22270 ) ( 40710 * )
NEW met2 ( 39790 3740 0 ) ( * 22270 )
NEW met1 ( 39790 22270 ) M1M2_PR
NEW li1 ( 40710 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[96] ( PIN mem_to_dcache_data[96] ) ( output580 X ) + USE SIGNAL
+ ROUTED met1 ( 88550 22270 ) ( 89010 * )
NEW met2 ( 88550 3740 0 ) ( * 22270 )
NEW met1 ( 88550 22270 ) M1M2_PR
NEW li1 ( 89010 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[97] ( PIN mem_to_dcache_data[97] ) ( output581 X ) + USE SIGNAL
+ ROUTED met2 ( 97750 186300 ) ( * 196180 0 )
NEW met1 ( 97290 172210 ) ( 98670 * )
NEW met2 ( 97290 172210 ) ( * 186300 )
NEW met2 ( 97290 186300 ) ( 97750 * )
NEW li1 ( 98670 172210 ) L1M1_PR_MR
NEW met1 ( 97290 172210 ) M1M2_PR ;
- mem_to_dcache_data[98] ( PIN mem_to_dcache_data[98] ) ( output582 X ) + USE SIGNAL
+ ROUTED met2 ( 161230 3740 0 ) ( * 34510 )
NEW met1 ( 161230 34510 ) ( 162610 * )
NEW met1 ( 161230 34510 ) M1M2_PR
NEW li1 ( 162610 34510 ) L1M1_PR_MR ;
- mem_to_dcache_data[99] ( PIN mem_to_dcache_data[99] ) ( output583 X ) + USE SIGNAL
+ ROUTED met1 ( 76590 22270 ) ( 78890 * )
NEW met2 ( 76590 3740 0 ) ( * 22270 )
NEW met1 ( 76590 22270 ) M1M2_PR
NEW li1 ( 78890 22270 ) L1M1_PR_MR ;
- mem_to_dcache_data[9] ( PIN mem_to_dcache_data[9] ) ( output584 X ) + USE SIGNAL
+ ROUTED met1 ( 20010 166770 ) ( 26910 * )
NEW met1 ( 20010 166770 ) ( * 167110 )
NEW met1 ( 7590 167110 ) ( 20010 * )
NEW met1 ( 7590 167110 ) ( * 167790 )
NEW met1 ( 6210 167790 ) ( 7590 * )
NEW met2 ( 6210 167790 ) ( * 168980 )
NEW met2 ( 5750 168980 ) ( 6210 * )
NEW met2 ( 5750 168980 ) ( * 170340 )
NEW met3 ( 3220 170340 0 ) ( 5750 * )
NEW li1 ( 26910 166770 ) L1M1_PR_MR
NEW met1 ( 6210 167790 ) M1M2_PR
NEW met2 ( 5750 170340 ) M2M3_PR_M ;
- mem_to_icache_data[0] ( PIN mem_to_icache_data[0] ) ( output585 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 143140 ) ( * 143310 )
NEW met3 ( 186530 143140 ) ( 196420 * 0 )
NEW li1 ( 186530 143310 ) L1M1_PR_MR
NEW met1 ( 186530 143310 ) M1M2_PR
NEW met2 ( 186530 143140 ) M2M3_PR_M
NEW met1 ( 186530 143310 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[100] ( PIN mem_to_icache_data[100] ) ( output586 X ) + USE SIGNAL
+ ROUTED met1 ( 181010 159630 ) ( 182850 * )
NEW met2 ( 181010 159630 ) ( * 160990 )
NEW met1 ( 181010 160990 ) ( 187450 * )
NEW met2 ( 187450 160990 ) ( * 166260 )
NEW met2 ( 186990 166260 ) ( 187450 * )
NEW met2 ( 186990 166260 ) ( * 172890 )
NEW met1 ( 185610 172890 ) ( 186990 * )
NEW met2 ( 185610 172890 ) ( * 178500 )
NEW met2 ( 185150 178500 ) ( 185610 * )
NEW met2 ( 185150 178500 ) ( * 181220 )
NEW met2 ( 184690 181220 ) ( 185150 * )
NEW met2 ( 184690 181220 ) ( * 195500 )
NEW met3 ( 184690 195500 ) ( 185380 * )
NEW met3 ( 185380 195500 ) ( * 196180 )
NEW met3 ( 185380 196180 ) ( 196420 * 0 )
NEW li1 ( 182850 159630 ) L1M1_PR_MR
NEW met1 ( 181010 159630 ) M1M2_PR
NEW met1 ( 181010 160990 ) M1M2_PR
NEW met1 ( 187450 160990 ) M1M2_PR
NEW met1 ( 186990 172890 ) M1M2_PR
NEW met1 ( 185610 172890 ) M1M2_PR
NEW met2 ( 184690 195500 ) M2M3_PR_M ;
- mem_to_icache_data[101] ( PIN mem_to_icache_data[101] ) ( output587 X ) + USE SIGNAL
+ ROUTED met1 ( 153870 27710 ) ( 154330 * )
NEW met2 ( 153870 3740 0 ) ( * 27710 )
NEW met1 ( 153870 27710 ) M1M2_PR
NEW li1 ( 154330 27710 ) L1M1_PR_MR ;
- mem_to_icache_data[102] ( PIN mem_to_icache_data[102] ) ( output588 X ) + USE SIGNAL
+ ROUTED met2 ( 2990 169490 ) ( * 196180 0 )
NEW met1 ( 30590 169150 ) ( * 169490 )
NEW met1 ( 2990 169490 ) ( 30590 * )
NEW met1 ( 2990 169490 ) M1M2_PR
NEW li1 ( 30590 169150 ) L1M1_PR_MR ;
- mem_to_icache_data[103] ( PIN mem_to_icache_data[103] ) ( output589 X ) + USE SIGNAL
+ ROUTED met1 ( 196190 19210 ) ( 198030 * )
NEW li1 ( 198030 19210 ) ( * 39610 )
NEW met1 ( 178710 39610 ) ( 198030 * )
NEW met1 ( 178710 38590 ) ( * 39610 )
NEW met1 ( 175490 38590 ) ( 178710 * )
NEW met2 ( 196190 3740 0 ) ( * 19210 )
NEW met1 ( 196190 19210 ) M1M2_PR
NEW li1 ( 198030 19210 ) L1M1_PR_MR
NEW li1 ( 198030 39610 ) L1M1_PR_MR
NEW li1 ( 175490 38590 ) L1M1_PR_MR ;
- mem_to_icache_data[104] ( PIN mem_to_icache_data[104] ) ( output590 X ) + USE SIGNAL
+ ROUTED met2 ( 176410 161330 ) ( * 164220 )
NEW met3 ( 176410 164220 ) ( 178940 * )
NEW met4 ( 178940 164220 ) ( * 182580 )
NEW met3 ( 178940 182580 ) ( 196420 * 0 )
NEW li1 ( 176410 161330 ) L1M1_PR_MR
NEW met1 ( 176410 161330 ) M1M2_PR
NEW met2 ( 176410 164220 ) M2M3_PR_M
NEW met3 ( 178940 164220 ) M3M4_PR_M
NEW met3 ( 178940 182580 ) M3M4_PR_M
NEW met1 ( 176410 161330 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[105] ( PIN mem_to_icache_data[105] ) ( output591 X ) + USE SIGNAL
+ ROUTED met1 ( 76590 173570 ) ( 77970 * )
NEW met2 ( 76590 173570 ) ( * 196180 0 )
NEW li1 ( 77970 173570 ) L1M1_PR_MR
NEW met1 ( 76590 173570 ) M1M2_PR ;
- mem_to_icache_data[106] ( PIN mem_to_icache_data[106] ) ( output592 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 145860 ) ( * 147390 )
NEW met3 ( 186530 145860 ) ( 196420 * 0 )
NEW li1 ( 186530 147390 ) L1M1_PR_MR
NEW met1 ( 186530 147390 ) M1M2_PR
NEW met2 ( 186530 145860 ) M2M3_PR_M
NEW met1 ( 186530 147390 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[107] ( PIN mem_to_icache_data[107] ) ( output593 X ) + USE SIGNAL
+ ROUTED met1 ( 149730 24990 ) ( 150190 * )
NEW met2 ( 150190 3740 0 ) ( * 24990 )
NEW met1 ( 150190 24990 ) M1M2_PR
NEW li1 ( 149730 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[108] ( PIN mem_to_icache_data[108] ) ( output594 X ) + USE SIGNAL
+ ROUTED met1 ( 118910 179010 ) ( 119370 * )
NEW met2 ( 118910 179010 ) ( * 196180 0 )
NEW li1 ( 119370 179010 ) L1M1_PR_MR
NEW met1 ( 118910 179010 ) M1M2_PR ;
- mem_to_icache_data[109] ( PIN mem_to_icache_data[109] ) ( output595 X ) + USE SIGNAL
+ ROUTED met1 ( 170430 38590 ) ( 174570 * )
NEW met2 ( 174570 38590 ) ( * 39100 )
NEW met3 ( 174570 39100 ) ( 193430 * )
NEW met2 ( 193430 23460 ) ( * 39100 )
NEW met3 ( 193430 23460 ) ( 196420 * 0 )
NEW li1 ( 170430 38590 ) L1M1_PR_MR
NEW met1 ( 174570 38590 ) M1M2_PR
NEW met2 ( 174570 39100 ) M2M3_PR_M
NEW met2 ( 193430 39100 ) M2M3_PR_M
NEW met2 ( 193430 23460 ) M2M3_PR_M ;
- mem_to_icache_data[10] ( PIN mem_to_icache_data[10] ) ( output596 X ) + USE SIGNAL
+ ROUTED met1 ( 63710 176290 ) ( 64630 * )
NEW met2 ( 63710 176290 ) ( * 196180 0 )
NEW li1 ( 64630 176290 ) L1M1_PR_MR
NEW met1 ( 63710 176290 ) M1M2_PR ;
- mem_to_icache_data[110] ( PIN mem_to_icache_data[110] ) ( output597 X ) + USE SIGNAL
+ ROUTED met2 ( 16790 128180 ) ( * 128350 )
NEW met3 ( 3220 128180 0 ) ( 16790 * )
NEW met2 ( 16790 128180 ) M2M3_PR_M
NEW li1 ( 16790 128350 ) L1M1_PR_MR
NEW met1 ( 16790 128350 ) M1M2_PR
NEW met1 ( 16790 128350 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[111] ( PIN mem_to_icache_data[111] ) ( output598 X ) + USE SIGNAL
+ ROUTED met2 ( 15410 35700 ) ( * 35870 )
NEW met3 ( 3220 35700 0 ) ( 15410 * )
NEW met2 ( 15410 35700 ) M2M3_PR_M
NEW li1 ( 15410 35870 ) L1M1_PR_MR
NEW met1 ( 15410 35870 ) M1M2_PR
NEW met1 ( 15410 35870 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[112] ( PIN mem_to_icache_data[112] ) ( output599 X ) + USE SIGNAL
+ ROUTED met1 ( 25070 27710 ) ( 26910 * )
NEW met2 ( 25070 3740 0 ) ( * 27710 )
NEW met1 ( 25070 27710 ) M1M2_PR
NEW li1 ( 26910 27710 ) L1M1_PR_MR ;
- mem_to_icache_data[113] ( PIN mem_to_icache_data[113] ) ( output600 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 136340 ) ( * 136510 )
NEW met3 ( 186530 136340 ) ( 196420 * 0 )
NEW li1 ( 186530 136510 ) L1M1_PR_MR
NEW met1 ( 186530 136510 ) M1M2_PR
NEW met2 ( 186530 136340 ) M2M3_PR_M
NEW met1 ( 186530 136510 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[114] ( PIN mem_to_icache_data[114] ) ( output601 X ) + USE SIGNAL
+ ROUTED met2 ( 109710 3740 0 ) ( * 7140 )
NEW met2 ( 109710 7140 ) ( 110170 * )
NEW met2 ( 110170 7140 ) ( * 19550 )
NEW met1 ( 110170 19550 ) ( 113850 * )
NEW met1 ( 110170 19550 ) M1M2_PR
NEW li1 ( 113850 19550 ) L1M1_PR_MR ;
- mem_to_icache_data[115] ( PIN mem_to_icache_data[115] ) ( output602 X ) + USE SIGNAL
+ ROUTED met1 ( 168590 166430 ) ( 171810 * )
NEW met1 ( 171810 166430 ) ( * 166770 )
NEW met1 ( 171810 166770 ) ( 176870 * )
NEW met2 ( 176870 166770 ) ( * 171870 )
NEW met1 ( 176870 171870 ) ( 177790 * )
NEW met2 ( 177790 171870 ) ( * 178500 )
NEW met3 ( 177790 178500 ) ( 196420 * 0 )
NEW li1 ( 168590 166430 ) L1M1_PR_MR
NEW met1 ( 176870 166770 ) M1M2_PR
NEW met1 ( 176870 171870 ) M1M2_PR
NEW met1 ( 177790 171870 ) M1M2_PR
NEW met2 ( 177790 178500 ) M2M3_PR_M ;
- mem_to_icache_data[116] ( PIN mem_to_icache_data[116] ) ( output603 X ) + USE SIGNAL
+ ROUTED met2 ( 49910 3740 0 ) ( * 19890 )
NEW met1 ( 49910 19890 ) ( 54970 * )
NEW met1 ( 54970 19890 ) ( * 20230 )
NEW met2 ( 54970 20230 ) ( * 22270 )
NEW met1 ( 48990 22270 ) ( 54970 * )
NEW met1 ( 49910 19890 ) M1M2_PR
NEW met1 ( 54970 20230 ) M1M2_PR
NEW met1 ( 54970 22270 ) M1M2_PR
NEW li1 ( 48990 22270 ) L1M1_PR_MR ;
- mem_to_icache_data[117] ( PIN mem_to_icache_data[117] ) ( output604 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 53380 ) ( * 57630 )
NEW met3 ( 3220 53380 0 ) ( 14030 * )
NEW met1 ( 11270 57630 ) ( 14030 * )
NEW li1 ( 11270 57630 ) L1M1_PR_MR
NEW met2 ( 14030 53380 ) M2M3_PR_M
NEW met1 ( 14030 57630 ) M1M2_PR ;
- mem_to_icache_data[118] ( PIN mem_to_icache_data[118] ) ( output605 X ) + USE SIGNAL
+ ROUTED met2 ( 13110 178670 ) ( * 196180 0 )
NEW met1 ( 35190 172210 ) ( 36110 * )
NEW met2 ( 35190 172210 ) ( * 177990 )
NEW met1 ( 25530 177990 ) ( 35190 * )
NEW met1 ( 25530 177990 ) ( * 178670 )
NEW met1 ( 13110 178670 ) ( 25530 * )
NEW met1 ( 13110 178670 ) M1M2_PR
NEW li1 ( 36110 172210 ) L1M1_PR_MR
NEW met1 ( 35190 172210 ) M1M2_PR
NEW met1 ( 35190 177990 ) M1M2_PR ;
- mem_to_icache_data[119] ( PIN mem_to_icache_data[119] ) ( output606 X ) + USE SIGNAL
+ ROUTED met1 ( 122590 176290 ) ( 123970 * )
NEW met2 ( 122590 176290 ) ( * 196180 0 )
NEW li1 ( 123970 176290 ) L1M1_PR_MR
NEW met1 ( 122590 176290 ) M1M2_PR ;
- mem_to_icache_data[11] ( PIN mem_to_icache_data[11] ) ( output607 X ) + USE SIGNAL
+ ROUTED met1 ( 170430 165410 ) ( 170890 * )
NEW met2 ( 170890 165410 ) ( * 166940 )
NEW met3 ( 170890 166940 ) ( 194350 * )
NEW met2 ( 194350 166940 ) ( * 196180 0 )
NEW li1 ( 170430 165410 ) L1M1_PR_MR
NEW met1 ( 170890 165410 ) M1M2_PR
NEW met2 ( 170890 166940 ) M2M3_PR_M
NEW met2 ( 194350 166940 ) M2M3_PR_M ;
- mem_to_icache_data[120] ( PIN mem_to_icache_data[120] ) ( output608 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 159460 ) ( 8050 * )
NEW met2 ( 8050 159460 ) ( * 175950 )
NEW met1 ( 4370 175950 ) ( 8050 * )
NEW met2 ( 4370 175950 ) ( * 177650 )
NEW met1 ( 4370 177650 ) ( 6670 * )
NEW met2 ( 6670 177650 ) ( * 196180 0 )
NEW met1 ( 7590 157250 ) ( 11270 * )
NEW met2 ( 7590 157250 ) ( * 159460 )
NEW met1 ( 8050 175950 ) M1M2_PR
NEW met1 ( 4370 175950 ) M1M2_PR
NEW met1 ( 4370 177650 ) M1M2_PR
NEW met1 ( 6670 177650 ) M1M2_PR
NEW li1 ( 11270 157250 ) L1M1_PR_MR
NEW met1 ( 7590 157250 ) M1M2_PR ;
- mem_to_icache_data[121] ( PIN mem_to_icache_data[121] ) ( output609 X ) + USE SIGNAL
+ ROUTED met1 ( 25070 165410 ) ( 26910 * )
NEW met2 ( 25070 165410 ) ( * 190060 )
NEW met2 ( 24150 190060 ) ( 25070 * )
NEW met2 ( 24150 190060 ) ( * 196180 0 )
NEW li1 ( 26910 165410 ) L1M1_PR_MR
NEW met1 ( 25070 165410 ) M1M2_PR ;
- mem_to_icache_data[122] ( PIN mem_to_icache_data[122] ) ( output610 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 37060 ) ( * 44030 )
NEW met3 ( 182850 37060 ) ( 196420 * 0 )
NEW li1 ( 182850 44030 ) L1M1_PR_MR
NEW met1 ( 182850 44030 ) M1M2_PR
NEW met2 ( 182850 37060 ) M2M3_PR_M
NEW met1 ( 182850 44030 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[123] ( PIN mem_to_icache_data[123] ) ( output611 X ) + USE SIGNAL
+ ROUTED met2 ( 18170 181900 ) ( * 194820 )
NEW met3 ( 18170 181900 ) ( 18860 * )
NEW met4 ( 18860 158780 ) ( * 181900 )
NEW met3 ( 18630 158780 ) ( 18860 * )
NEW met3 ( 3220 194820 0 ) ( 18170 * )
NEW met1 ( 14950 155890 ) ( 18630 * )
NEW met2 ( 18630 155890 ) ( * 158780 )
NEW met2 ( 18170 194820 ) M2M3_PR_M
NEW met2 ( 18170 181900 ) M2M3_PR_M
NEW met3 ( 18860 181900 ) M3M4_PR_M
NEW met3 ( 18860 158780 ) M3M4_PR_M
NEW met2 ( 18630 158780 ) M2M3_PR_M
NEW li1 ( 14950 155890 ) L1M1_PR_MR
NEW met1 ( 18630 155890 ) M1M2_PR
NEW met3 ( 18630 158780 ) RECT ( -390 -150 0 150 ) ;
- mem_to_icache_data[124] ( PIN mem_to_icache_data[124] ) ( output612 X ) + USE SIGNAL
+ ROUTED met2 ( 179170 84660 ) ( * 84830 )
NEW met3 ( 179170 84660 ) ( 196420 * 0 )
NEW li1 ( 179170 84830 ) L1M1_PR_MR
NEW met1 ( 179170 84830 ) M1M2_PR
NEW met2 ( 179170 84660 ) M2M3_PR_M
NEW met1 ( 179170 84830 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[125] ( PIN mem_to_icache_data[125] ) ( output613 X ) + USE SIGNAL
+ ROUTED met1 ( 89930 170850 ) ( 91770 * )
NEW met2 ( 89930 170850 ) ( * 173740 )
NEW met2 ( 89470 173740 ) ( 89930 * )
NEW met2 ( 89470 173740 ) ( * 196180 0 )
NEW li1 ( 91770 170850 ) L1M1_PR_MR
NEW met1 ( 89930 170850 ) M1M2_PR ;
- mem_to_icache_data[126] ( PIN mem_to_icache_data[126] ) ( output614 X ) + USE SIGNAL
+ ROUTED met1 ( 49910 176290 ) ( 52670 * )
NEW met2 ( 49910 176290 ) ( * 196180 0 )
NEW li1 ( 52670 176290 ) L1M1_PR_MR
NEW met1 ( 49910 176290 ) M1M2_PR ;
- mem_to_icache_data[127] ( PIN mem_to_icache_data[127] ) ( output615 X ) + USE SIGNAL
+ ROUTED met1 ( 20470 161330 ) ( 21390 * )
NEW met2 ( 20470 161330 ) ( * 164900 )
NEW met3 ( 3220 164900 0 ) ( 20470 * )
NEW li1 ( 21390 161330 ) L1M1_PR_MR
NEW met1 ( 20470 161330 ) M1M2_PR
NEW met2 ( 20470 164900 ) M2M3_PR_M ;
- mem_to_icache_data[12] ( PIN mem_to_icache_data[12] ) ( output616 X ) + USE SIGNAL
+ ROUTED met1 ( 17710 15810 ) ( 20470 * )
NEW met2 ( 20470 15810 ) ( * 16830 )
NEW met1 ( 20470 16830 ) ( 24610 * )
NEW met2 ( 24610 16830 ) ( * 30430 )
NEW met1 ( 23230 30430 ) ( 24610 * )
NEW met2 ( 17710 3740 0 ) ( * 15810 )
NEW met1 ( 17710 15810 ) M1M2_PR
NEW met1 ( 20470 15810 ) M1M2_PR
NEW met1 ( 20470 16830 ) M1M2_PR
NEW met1 ( 24610 16830 ) M1M2_PR
NEW met1 ( 24610 30430 ) M1M2_PR
NEW li1 ( 23230 30430 ) L1M1_PR_MR ;
- mem_to_icache_data[13] ( PIN mem_to_icache_data[13] ) ( output617 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 124100 0 ) ( 11730 * )
NEW met2 ( 11730 124100 ) ( * 125630 )
NEW met2 ( 11730 124100 ) M2M3_PR_M
NEW li1 ( 11730 125630 ) L1M1_PR_MR
NEW met1 ( 11730 125630 ) M1M2_PR
NEW met1 ( 11730 125630 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[14] ( PIN mem_to_icache_data[14] ) ( output618 X ) + USE SIGNAL
+ ROUTED met1 ( 153410 176290 ) ( 153870 * )
NEW met2 ( 153410 176290 ) ( * 189380 )
NEW met3 ( 153410 189380 ) ( 196420 * 0 )
NEW li1 ( 153870 176290 ) L1M1_PR_MR
NEW met1 ( 153410 176290 ) M1M2_PR
NEW met2 ( 153410 189380 ) M2M3_PR_M ;
- mem_to_icache_data[15] ( PIN mem_to_icache_data[15] ) ( output619 X ) + USE SIGNAL
+ ROUTED met3 ( 185610 171700 ) ( 196420 * 0 )
NEW met1 ( 183310 157250 ) ( 185610 * )
NEW met2 ( 185610 157250 ) ( * 171700 )
NEW met2 ( 185610 171700 ) M2M3_PR_M
NEW li1 ( 183310 157250 ) L1M1_PR_MR
NEW met1 ( 185610 157250 ) M1M2_PR ;
- mem_to_icache_data[16] ( PIN mem_to_icache_data[16] ) ( output620 X ) + USE SIGNAL
+ ROUTED met2 ( 119830 3740 0 ) ( * 13800 )
NEW met2 ( 119830 13800 ) ( 120290 * )
NEW met2 ( 120290 13800 ) ( * 22270 )
NEW met1 ( 120290 22270 ) ( 123050 * )
NEW met1 ( 120290 22270 ) M1M2_PR
NEW li1 ( 123050 22270 ) L1M1_PR_MR ;
- mem_to_icache_data[17] ( PIN mem_to_icache_data[17] ) ( output621 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 151300 ) ( * 154530 )
NEW met3 ( 182850 151300 ) ( 196420 * 0 )
NEW li1 ( 182850 154530 ) L1M1_PR_MR
NEW met1 ( 182850 154530 ) M1M2_PR
NEW met2 ( 182850 151300 ) M2M3_PR_M
NEW met1 ( 182850 154530 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[18] ( PIN mem_to_icache_data[18] ) ( output622 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 71060 ) ( * 73950 )
NEW met3 ( 183310 71060 ) ( 196420 * 0 )
NEW li1 ( 183310 73950 ) L1M1_PR_MR
NEW met1 ( 183310 73950 ) M1M2_PR
NEW met2 ( 183310 71060 ) M2M3_PR_M
NEW met1 ( 183310 73950 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[19] ( PIN mem_to_icache_data[19] ) ( output623 X ) + USE SIGNAL
+ ROUTED met2 ( 180550 3740 0 ) ( * 9350 )
NEW met1 ( 180550 9350 ) ( 181930 * )
NEW met2 ( 181930 9350 ) ( * 21250 )
NEW met1 ( 181930 21250 ) ( 184230 * )
NEW met2 ( 184230 21250 ) ( * 37570 )
NEW met1 ( 166750 37570 ) ( 184230 * )
NEW met1 ( 180550 9350 ) M1M2_PR
NEW met1 ( 181930 9350 ) M1M2_PR
NEW met1 ( 181930 21250 ) M1M2_PR
NEW met1 ( 184230 21250 ) M1M2_PR
NEW met1 ( 184230 37570 ) M1M2_PR
NEW li1 ( 166750 37570 ) L1M1_PR_MR ;
- mem_to_icache_data[1] ( PIN mem_to_icache_data[1] ) ( output624 X ) + USE SIGNAL
+ ROUTED met2 ( 95910 170850 ) ( * 177140 )
NEW met3 ( 92690 177140 ) ( 95910 * )
NEW met2 ( 92690 177140 ) ( * 177310 )
NEW met2 ( 92690 177310 ) ( 93150 * )
NEW met2 ( 93150 177310 ) ( * 196180 0 )
NEW met1 ( 95910 170850 ) ( 97290 * )
NEW li1 ( 97290 170850 ) L1M1_PR_MR
NEW met1 ( 95910 170850 ) M1M2_PR
NEW met2 ( 95910 177140 ) M2M3_PR_M
NEW met2 ( 92690 177140 ) M2M3_PR_M ;
- mem_to_icache_data[20] ( PIN mem_to_icache_data[20] ) ( output625 X ) + USE SIGNAL
+ ROUTED met1 ( 146510 176290 ) ( 148810 * )
NEW met2 ( 146510 176290 ) ( * 196180 0 )
NEW li1 ( 148810 176290 ) L1M1_PR_MR
NEW met1 ( 146510 176290 ) M1M2_PR ;
- mem_to_icache_data[21] ( PIN mem_to_icache_data[21] ) ( output626 X ) + USE SIGNAL
+ ROUTED met1 ( 106030 24990 ) ( 109710 * )
NEW met2 ( 106030 3740 0 ) ( * 24990 )
NEW met1 ( 106030 24990 ) M1M2_PR
NEW li1 ( 109710 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[22] ( PIN mem_to_icache_data[22] ) ( output627 X ) + USE SIGNAL
+ ROUTED met1 ( 144670 23630 ) ( 151110 * )
NEW met2 ( 151110 3740 0 ) ( * 23630 )
NEW met1 ( 151110 23630 ) M1M2_PR
NEW li1 ( 144670 23630 ) L1M1_PR_MR ;
- mem_to_icache_data[23] ( PIN mem_to_icache_data[23] ) ( output628 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 45220 ) ( * 46750 )
NEW met3 ( 183310 43860 ) ( * 45220 )
NEW met3 ( 183310 43860 ) ( 196420 * 0 )
NEW li1 ( 183310 46750 ) L1M1_PR_MR
NEW met1 ( 183310 46750 ) M1M2_PR
NEW met2 ( 183310 45220 ) M2M3_PR_M
NEW met1 ( 183310 46750 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[24] ( PIN mem_to_icache_data[24] ) ( output629 X ) + USE SIGNAL
+ ROUTED met1 ( 14950 159630 ) ( 19550 * )
NEW met2 ( 14950 159630 ) ( * 165580 )
NEW met3 ( 14260 165580 ) ( 14950 * )
NEW met4 ( 14260 165580 ) ( * 197540 )
NEW met3 ( 3220 197540 0 ) ( 14260 * )
NEW li1 ( 19550 159630 ) L1M1_PR_MR
NEW met1 ( 14950 159630 ) M1M2_PR
NEW met2 ( 14950 165580 ) M2M3_PR_M
NEW met3 ( 14260 165580 ) M3M4_PR_M
NEW met3 ( 14260 197540 ) M3M4_PR_M ;
- mem_to_icache_data[25] ( PIN mem_to_icache_data[25] ) ( output630 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 15300 0 ) ( 6900 * )
NEW met3 ( 6900 15300 ) ( * 15980 )
NEW met2 ( 17250 15980 ) ( * 30770 )
NEW met1 ( 17250 30770 ) ( 21390 * )
NEW met2 ( 21390 30770 ) ( * 33150 )
NEW met3 ( 6900 15980 ) ( 17250 * )
NEW met2 ( 17250 15980 ) M2M3_PR_M
NEW met1 ( 17250 30770 ) M1M2_PR
NEW met1 ( 21390 30770 ) M1M2_PR
NEW li1 ( 21390 33150 ) L1M1_PR_MR
NEW met1 ( 21390 33150 ) M1M2_PR
NEW met1 ( 21390 33150 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[26] ( PIN mem_to_icache_data[26] ) ( output631 X ) + USE SIGNAL
+ ROUTED met2 ( 19550 3740 0 ) ( * 6460 )
NEW met2 ( 19090 6460 ) ( 19550 * )
NEW met3 ( 19090 14620 ) ( 22310 * )
NEW met2 ( 22310 14620 ) ( * 15470 )
NEW met1 ( 22310 15470 ) ( 30590 * )
NEW met2 ( 30590 15470 ) ( * 27710 )
NEW met2 ( 19090 6460 ) ( * 14620 )
NEW met2 ( 19090 14620 ) M2M3_PR_M
NEW met2 ( 22310 14620 ) M2M3_PR_M
NEW met1 ( 22310 15470 ) M1M2_PR
NEW met1 ( 30590 15470 ) M1M2_PR
NEW li1 ( 30590 27710 ) L1M1_PR_MR
NEW met1 ( 30590 27710 ) M1M2_PR
NEW met1 ( 30590 27710 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[27] ( PIN mem_to_icache_data[27] ) ( output632 X ) + USE SIGNAL
+ ROUTED met1 ( 42090 173570 ) ( 43010 * )
NEW met2 ( 42090 173570 ) ( * 175780 )
NEW met2 ( 41630 175780 ) ( 42090 * )
NEW met2 ( 41630 175780 ) ( * 196180 0 )
NEW li1 ( 43010 173570 ) L1M1_PR_MR
NEW met1 ( 42090 173570 ) M1M2_PR ;
- mem_to_icache_data[28] ( PIN mem_to_icache_data[28] ) ( output633 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 137700 ) ( * 139230 )
NEW met3 ( 183310 137700 ) ( 196420 * 0 )
NEW li1 ( 183310 139230 ) L1M1_PR_MR
NEW met1 ( 183310 139230 ) M1M2_PR
NEW met2 ( 183310 137700 ) M2M3_PR_M
NEW met1 ( 183310 139230 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[29] ( PIN mem_to_icache_data[29] ) ( output634 X ) + USE SIGNAL
+ ROUTED met1 ( 164910 168130 ) ( 171810 * )
NEW met2 ( 171810 168130 ) ( * 175780 )
NEW met2 ( 171810 175780 ) ( 172270 * )
NEW met2 ( 172270 175780 ) ( * 196180 0 )
NEW li1 ( 164910 168130 ) L1M1_PR_MR
NEW met1 ( 171810 168130 ) M1M2_PR ;
- mem_to_icache_data[2] ( PIN mem_to_icache_data[2] ) ( output635 X ) + USE SIGNAL
+ ROUTED met2 ( 71990 3740 0 ) ( * 7140 )
NEW met2 ( 71990 7140 ) ( 72450 * )
NEW met1 ( 72450 24990 ) ( 73370 * )
NEW met2 ( 72450 7140 ) ( * 24990 )
NEW met1 ( 72450 24990 ) M1M2_PR
NEW li1 ( 73370 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[30] ( PIN mem_to_icache_data[30] ) ( output636 X ) + USE SIGNAL
+ ROUTED met1 ( 128110 176290 ) ( 129490 * )
NEW met2 ( 128110 176290 ) ( * 196180 0 )
NEW li1 ( 129490 176290 ) L1M1_PR_MR
NEW met1 ( 128110 176290 ) M1M2_PR ;
- mem_to_icache_data[31] ( PIN mem_to_icache_data[31] ) ( output637 X ) + USE SIGNAL
+ ROUTED met2 ( 182390 91460 ) ( * 92990 )
NEW met3 ( 182390 91460 ) ( 196420 * 0 )
NEW li1 ( 182390 92990 ) L1M1_PR_MR
NEW met1 ( 182390 92990 ) M1M2_PR
NEW met2 ( 182390 91460 ) M2M3_PR_M
NEW met1 ( 182390 92990 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[32] ( PIN mem_to_icache_data[32] ) ( output638 X ) + USE SIGNAL
+ ROUTED met1 ( 143750 22270 ) ( 145130 * )
NEW met2 ( 145130 22270 ) ( * 24990 )
NEW met2 ( 143750 3740 0 ) ( * 22270 )
NEW met1 ( 143750 22270 ) M1M2_PR
NEW met1 ( 145130 22270 ) M1M2_PR
NEW li1 ( 145130 24990 ) L1M1_PR_MR
NEW met1 ( 145130 24990 ) M1M2_PR
NEW met1 ( 145130 24990 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[33] ( PIN mem_to_icache_data[33] ) ( output639 X ) + USE SIGNAL
+ ROUTED met1 ( 125350 173570 ) ( 126730 * )
NEW met2 ( 125350 173570 ) ( * 196180 0 )
NEW li1 ( 126730 173570 ) L1M1_PR_MR
NEW met1 ( 125350 173570 ) M1M2_PR ;
- mem_to_icache_data[34] ( PIN mem_to_icache_data[34] ) ( output640 X ) + USE SIGNAL
+ ROUTED met1 ( 137310 20230 ) ( 138690 * )
NEW met2 ( 138690 20230 ) ( * 27710 )
NEW met2 ( 137310 3740 0 ) ( * 20230 )
NEW met1 ( 137310 20230 ) M1M2_PR
NEW met1 ( 138690 20230 ) M1M2_PR
NEW li1 ( 138690 27710 ) L1M1_PR_MR
NEW met1 ( 138690 27710 ) M1M2_PR
NEW met1 ( 138690 27710 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[35] ( PIN mem_to_icache_data[35] ) ( output641 X ) + USE SIGNAL
+ ROUTED met1 ( 58190 24990 ) ( 59110 * )
NEW met2 ( 58190 3740 0 ) ( * 24990 )
NEW met1 ( 58190 24990 ) M1M2_PR
NEW li1 ( 59110 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[36] ( PIN mem_to_icache_data[36] ) ( output642 X ) + USE SIGNAL
+ ROUTED met2 ( 9430 3740 0 ) ( * 5100 )
NEW met2 ( 9430 5100 ) ( 10350 * )
NEW met2 ( 10350 18700 ) ( 10810 * )
NEW met2 ( 10810 18700 ) ( * 23970 )
NEW met2 ( 10350 5100 ) ( * 18700 )
NEW met2 ( 32430 23970 ) ( * 24990 )
NEW met1 ( 10810 23970 ) ( 32430 * )
NEW met1 ( 10810 23970 ) M1M2_PR
NEW met1 ( 32430 23970 ) M1M2_PR
NEW li1 ( 32430 24990 ) L1M1_PR_MR
NEW met1 ( 32430 24990 ) M1M2_PR
NEW met1 ( 32430 24990 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[37] ( PIN mem_to_icache_data[37] ) ( output643 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 61540 0 ) ( 7590 * )
NEW met2 ( 7590 61540 ) ( * 63070 )
NEW li1 ( 7590 63070 ) L1M1_PR_MR
NEW met1 ( 7590 63070 ) M1M2_PR
NEW met2 ( 7590 61540 ) M2M3_PR_M
NEW met1 ( 7590 63070 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[38] ( PIN mem_to_icache_data[38] ) ( output644 X ) + USE SIGNAL
+ ROUTED met2 ( 179170 46580 ) ( * 46750 )
NEW met3 ( 179170 46580 ) ( 196420 * 0 )
NEW li1 ( 179170 46750 ) L1M1_PR_MR
NEW met1 ( 179170 46750 ) M1M2_PR
NEW met2 ( 179170 46580 ) M2M3_PR_M
NEW met1 ( 179170 46750 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[39] ( PIN mem_to_icache_data[39] ) ( output645 X ) + USE SIGNAL
+ ROUTED met1 ( 46230 173570 ) ( 47150 * )
NEW met2 ( 46230 173570 ) ( * 196180 0 )
NEW li1 ( 47150 173570 ) L1M1_PR_MR
NEW met1 ( 46230 173570 ) M1M2_PR ;
- mem_to_icache_data[3] ( PIN mem_to_icache_data[3] ) ( output646 X ) + USE SIGNAL
+ ROUTED met1 ( 178710 159970 ) ( 180550 * )
NEW met2 ( 180550 159970 ) ( * 161330 )
NEW met1 ( 180550 161330 ) ( 193430 * )
NEW met2 ( 193430 161330 ) ( * 196180 0 )
NEW li1 ( 178710 159970 ) L1M1_PR_MR
NEW met1 ( 180550 159970 ) M1M2_PR
NEW met1 ( 180550 161330 ) M1M2_PR
NEW met1 ( 193430 161330 ) M1M2_PR ;
- mem_to_icache_data[40] ( PIN mem_to_icache_data[40] ) ( output647 X ) + USE SIGNAL
+ ROUTED met2 ( 14950 125460 ) ( * 125630 )
NEW met3 ( 3220 125460 0 ) ( 14950 * )
NEW met2 ( 14950 125460 ) M2M3_PR_M
NEW li1 ( 14950 125630 ) L1M1_PR_MR
NEW met1 ( 14950 125630 ) M1M2_PR
NEW met1 ( 14950 125630 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[41] ( PIN mem_to_icache_data[41] ) ( output648 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 145860 0 ) ( 7590 * )
NEW met2 ( 7590 145860 ) ( * 147390 )
NEW met2 ( 7590 145860 ) M2M3_PR_M
NEW li1 ( 7590 147390 ) L1M1_PR_MR
NEW met1 ( 7590 147390 ) M1M2_PR
NEW met1 ( 7590 147390 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[42] ( PIN mem_to_icache_data[42] ) ( output649 X ) + USE SIGNAL
+ ROUTED met2 ( 14950 137700 ) ( * 139230 )
NEW met3 ( 3220 137700 0 ) ( 14950 * )
NEW met2 ( 14950 137700 ) M2M3_PR_M
NEW li1 ( 14950 139230 ) L1M1_PR_MR
NEW met1 ( 14950 139230 ) M1M2_PR
NEW met1 ( 14950 139230 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[43] ( PIN mem_to_icache_data[43] ) ( output650 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 3740 0 ) ( * 13800 )
NEW met2 ( 7590 13800 ) ( 8050 * )
NEW met2 ( 8050 13800 ) ( * 25670 )
NEW met1 ( 8050 25670 ) ( 10350 * )
NEW met2 ( 10350 25670 ) ( * 37230 )
NEW met1 ( 10350 37230 ) ( 13800 * )
NEW met1 ( 13800 37230 ) ( * 37570 )
NEW met1 ( 13800 37570 ) ( 20010 * )
NEW met1 ( 8050 25670 ) M1M2_PR
NEW met1 ( 10350 25670 ) M1M2_PR
NEW met1 ( 10350 37230 ) M1M2_PR
NEW li1 ( 20010 37570 ) L1M1_PR_MR ;
- mem_to_icache_data[44] ( PIN mem_to_icache_data[44] ) ( output651 X ) + USE SIGNAL
+ ROUTED met1 ( 38870 24990 ) ( 39790 * )
NEW met2 ( 38870 3740 0 ) ( * 24990 )
NEW met1 ( 38870 24990 ) M1M2_PR
NEW li1 ( 39790 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[45] ( PIN mem_to_icache_data[45] ) ( output652 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 110500 ) ( * 112030 )
NEW met3 ( 183310 110500 ) ( 196420 * 0 )
NEW li1 ( 183310 112030 ) L1M1_PR_MR
NEW met1 ( 183310 112030 ) M1M2_PR
NEW met2 ( 183310 110500 ) M2M3_PR_M
NEW met1 ( 183310 112030 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[46] ( PIN mem_to_icache_data[46] ) ( output653 X ) + USE SIGNAL
+ ROUTED met1 ( 104190 24990 ) ( 105110 * )
NEW met2 ( 104190 3740 0 ) ( * 24990 )
NEW met1 ( 104190 24990 ) M1M2_PR
NEW li1 ( 105110 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[47] ( PIN mem_to_icache_data[47] ) ( output654 X ) + USE SIGNAL
+ ROUTED met1 ( 117990 176290 ) ( 118450 * )
NEW met2 ( 117990 176290 ) ( * 196180 0 )
NEW li1 ( 118450 176290 ) L1M1_PR_MR
NEW met1 ( 117990 176290 ) M1M2_PR ;
- mem_to_icache_data[48] ( PIN mem_to_icache_data[48] ) ( output655 X ) + USE SIGNAL
+ ROUTED met1 ( 162150 165410 ) ( 163530 * )
NEW met2 ( 162150 165410 ) ( * 196180 0 )
NEW li1 ( 163530 165410 ) L1M1_PR_MR
NEW met1 ( 162150 165410 ) M1M2_PR ;
- mem_to_icache_data[49] ( PIN mem_to_icache_data[49] ) ( output656 X ) + USE SIGNAL
+ ROUTED met1 ( 32430 170850 ) ( 34730 * )
NEW met2 ( 32430 170850 ) ( * 171870 )
NEW met1 ( 5750 171870 ) ( 32430 * )
NEW met2 ( 5750 171870 ) ( * 196180 0 )
NEW li1 ( 34730 170850 ) L1M1_PR_MR
NEW met1 ( 32430 170850 ) M1M2_PR
NEW met1 ( 32430 171870 ) M1M2_PR
NEW met1 ( 5750 171870 ) M1M2_PR ;
- mem_to_icache_data[4] ( PIN mem_to_icache_data[4] ) ( output657 X ) + USE SIGNAL
+ ROUTED met1 ( 27830 22270 ) ( * 22610 )
NEW met1 ( 27830 22610 ) ( 36110 * )
NEW met1 ( 36110 22270 ) ( * 22610 )
NEW met2 ( 27830 3740 0 ) ( * 22270 )
NEW met1 ( 27830 22270 ) M1M2_PR
NEW li1 ( 36110 22270 ) L1M1_PR_MR ;
- mem_to_icache_data[50] ( PIN mem_to_icache_data[50] ) ( output658 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 129540 ) ( * 131070 )
NEW met3 ( 182850 129540 ) ( 196420 * 0 )
NEW li1 ( 182850 131070 ) L1M1_PR_MR
NEW met1 ( 182850 131070 ) M1M2_PR
NEW met2 ( 182850 129540 ) M2M3_PR_M
NEW met1 ( 182850 131070 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[51] ( PIN mem_to_icache_data[51] ) ( output659 X ) + USE SIGNAL
+ ROUTED met1 ( 169970 162690 ) ( 170890 * )
NEW met2 ( 169970 162690 ) ( * 172380 )
NEW met2 ( 169510 172380 ) ( 169970 * )
NEW met2 ( 169510 172380 ) ( * 196180 0 )
NEW li1 ( 170890 162690 ) L1M1_PR_MR
NEW met1 ( 169970 162690 ) M1M2_PR ;
- mem_to_icache_data[52] ( PIN mem_to_icache_data[52] ) ( output660 X ) + USE SIGNAL
+ ROUTED met2 ( 15410 92820 ) ( * 92990 )
NEW met3 ( 3220 92820 0 ) ( 15410 * )
NEW met2 ( 15410 92820 ) M2M3_PR_M
NEW li1 ( 15410 92990 ) L1M1_PR_MR
NEW met1 ( 15410 92990 ) M1M2_PR
NEW met1 ( 15410 92990 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[53] ( PIN mem_to_icache_data[53] ) ( output661 X ) + USE SIGNAL
+ ROUTED met1 ( 179630 159290 ) ( 183310 * )
NEW met1 ( 179630 159290 ) ( * 159630 )
NEW met2 ( 179630 159630 ) ( * 172380 )
NEW met3 ( 179630 172380 ) ( 180780 * )
NEW met3 ( 180780 172380 ) ( * 174420 )
NEW met3 ( 180780 174420 ) ( 196420 * 0 )
NEW met1 ( 179630 157250 ) ( 182850 * )
NEW met2 ( 182850 157250 ) ( * 157420 )
NEW met2 ( 182850 157420 ) ( 183310 * )
NEW met2 ( 183310 157420 ) ( * 159290 )
NEW met1 ( 183310 159290 ) M1M2_PR
NEW met1 ( 179630 159630 ) M1M2_PR
NEW met2 ( 179630 172380 ) M2M3_PR_M
NEW li1 ( 179630 157250 ) L1M1_PR_MR
NEW met1 ( 182850 157250 ) M1M2_PR ;
- mem_to_icache_data[54] ( PIN mem_to_icache_data[54] ) ( output662 X ) + USE SIGNAL
+ ROUTED met2 ( 186530 53380 ) ( * 54910 )
NEW met3 ( 186530 53380 ) ( 196420 * 0 )
NEW li1 ( 186530 54910 ) L1M1_PR_MR
NEW met1 ( 186530 54910 ) M1M2_PR
NEW met2 ( 186530 53380 ) M2M3_PR_M
NEW met1 ( 186530 54910 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[55] ( PIN mem_to_icache_data[55] ) ( output663 X ) + USE SIGNAL
+ ROUTED met1 ( 123510 18190 ) ( 125810 * )
NEW met2 ( 125810 18190 ) ( * 22270 )
NEW met1 ( 125810 22270 ) ( 126730 * )
NEW met2 ( 123510 3740 0 ) ( * 18190 )
NEW met1 ( 123510 18190 ) M1M2_PR
NEW met1 ( 125810 18190 ) M1M2_PR
NEW met1 ( 125810 22270 ) M1M2_PR
NEW li1 ( 126730 22270 ) L1M1_PR_MR ;
- mem_to_icache_data[56] ( PIN mem_to_icache_data[56] ) ( output664 X ) + USE SIGNAL
+ ROUTED met1 ( 150190 173570 ) ( 151570 * )
NEW met2 ( 150190 173570 ) ( * 196180 0 )
NEW li1 ( 151570 173570 ) L1M1_PR_MR
NEW met1 ( 150190 173570 ) M1M2_PR ;
- mem_to_icache_data[57] ( PIN mem_to_icache_data[57] ) ( output665 X ) + USE SIGNAL
+ ROUTED met1 ( 45310 170850 ) ( 46230 * )
NEW met2 ( 45310 170850 ) ( * 196180 0 )
NEW li1 ( 46230 170850 ) L1M1_PR_MR
NEW met1 ( 45310 170850 ) M1M2_PR ;
- mem_to_icache_data[58] ( PIN mem_to_icache_data[58] ) ( output666 X ) + USE SIGNAL
+ ROUTED met2 ( 56350 3740 0 ) ( * 22270 )
NEW li1 ( 56350 22270 ) L1M1_PR_MR
NEW met1 ( 56350 22270 ) M1M2_PR
NEW met1 ( 56350 22270 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[59] ( PIN mem_to_icache_data[59] ) ( output667 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 124100 ) ( * 125630 )
NEW met3 ( 182850 124100 ) ( 196420 * 0 )
NEW li1 ( 182850 125630 ) L1M1_PR_MR
NEW met1 ( 182850 125630 ) M1M2_PR
NEW met2 ( 182850 124100 ) M2M3_PR_M
NEW met1 ( 182850 125630 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[5] ( PIN mem_to_icache_data[5] ) ( output668 X ) + USE SIGNAL
+ ROUTED met1 ( 145590 27710 ) ( 148810 * )
NEW met2 ( 145590 3740 0 ) ( * 27710 )
NEW met1 ( 145590 27710 ) M1M2_PR
NEW li1 ( 148810 27710 ) L1M1_PR_MR ;
- mem_to_icache_data[60] ( PIN mem_to_icache_data[60] ) ( output669 X ) + USE SIGNAL
+ ROUTED met2 ( 179170 42500 ) ( * 44030 )
NEW met3 ( 179170 42500 ) ( 196420 * 0 )
NEW li1 ( 179170 44030 ) L1M1_PR_MR
NEW met1 ( 179170 44030 ) M1M2_PR
NEW met2 ( 179170 42500 ) M2M3_PR_M
NEW met1 ( 179170 44030 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[61] ( PIN mem_to_icache_data[61] ) ( output670 X ) + USE SIGNAL
+ ROUTED met2 ( 16790 86530 ) ( * 87380 )
NEW met3 ( 3220 87380 0 ) ( 16790 * )
NEW li1 ( 16790 86530 ) L1M1_PR_MR
NEW met1 ( 16790 86530 ) M1M2_PR
NEW met2 ( 16790 87380 ) M2M3_PR_M
NEW met1 ( 16790 86530 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[62] ( PIN mem_to_icache_data[62] ) ( output671 X ) + USE SIGNAL
+ ROUTED met2 ( 182390 3740 0 ) ( * 15810 )
NEW met1 ( 178710 15810 ) ( 182390 * )
NEW met2 ( 178710 15810 ) ( * 41310 )
NEW met1 ( 175950 41310 ) ( 178710 * )
NEW met1 ( 182390 15810 ) M1M2_PR
NEW met1 ( 178710 15810 ) M1M2_PR
NEW met1 ( 178710 41310 ) M1M2_PR
NEW li1 ( 175950 41310 ) L1M1_PR_MR ;
- mem_to_icache_data[63] ( PIN mem_to_icache_data[63] ) ( output672 X ) + USE SIGNAL
+ ROUTED met2 ( 28750 3740 0 ) ( * 13800 )
NEW met2 ( 28750 13800 ) ( 29210 * )
NEW met2 ( 29210 13800 ) ( * 29070 )
NEW met1 ( 29210 29070 ) ( 34270 * )
NEW met1 ( 29210 29070 ) M1M2_PR
NEW li1 ( 34270 29070 ) L1M1_PR_MR ;
- mem_to_icache_data[64] ( PIN mem_to_icache_data[64] ) ( output673 X ) + USE SIGNAL
+ ROUTED met1 ( 86710 24990 ) ( 88090 * )
NEW met2 ( 86710 3740 0 ) ( * 24990 )
NEW met1 ( 86710 24990 ) M1M2_PR
NEW li1 ( 88090 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[65] ( PIN mem_to_icache_data[65] ) ( output674 X ) + USE SIGNAL
+ ROUTED met2 ( 179170 77860 ) ( * 79390 )
NEW met3 ( 179170 77860 ) ( 196420 * 0 )
NEW li1 ( 179170 79390 ) L1M1_PR_MR
NEW met1 ( 179170 79390 ) M1M2_PR
NEW met2 ( 179170 77860 ) M2M3_PR_M
NEW met1 ( 179170 79390 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[66] ( PIN mem_to_icache_data[66] ) ( output675 X ) + USE SIGNAL
+ ROUTED met1 ( 144210 175950 ) ( 147430 * )
NEW met2 ( 147430 175950 ) ( * 196180 0 )
NEW li1 ( 144210 175950 ) L1M1_PR_MR
NEW met1 ( 147430 175950 ) M1M2_PR ;
- mem_to_icache_data[67] ( PIN mem_to_icache_data[67] ) ( output676 X ) + USE SIGNAL
+ ROUTED met1 ( 175490 159630 ) ( 176410 * )
NEW met2 ( 176410 159460 ) ( * 159630 )
NEW met3 ( 176410 159460 ) ( 177100 * )
NEW met4 ( 177100 159460 ) ( * 187340 )
NEW met3 ( 177100 187340 ) ( 179170 * )
NEW met2 ( 179170 187340 ) ( * 194820 )
NEW met3 ( 179170 194820 ) ( 196420 * 0 )
NEW li1 ( 175490 159630 ) L1M1_PR_MR
NEW met1 ( 176410 159630 ) M1M2_PR
NEW met2 ( 176410 159460 ) M2M3_PR_M
NEW met3 ( 177100 159460 ) M3M4_PR_M
NEW met3 ( 177100 187340 ) M3M4_PR_M
NEW met2 ( 179170 187340 ) M2M3_PR_M
NEW met2 ( 179170 194820 ) M2M3_PR_M ;
- mem_to_icache_data[68] ( PIN mem_to_icache_data[68] ) ( output677 X ) + USE SIGNAL
+ ROUTED met1 ( 181010 18190 ) ( 183310 * )
NEW met2 ( 181010 18190 ) ( * 21250 )
NEW met2 ( 180550 21250 ) ( 181010 * )
NEW met2 ( 180550 21250 ) ( * 36210 )
NEW met1 ( 176410 36210 ) ( 180550 * )
NEW met2 ( 176410 36210 ) ( * 38930 )
NEW met1 ( 173650 38930 ) ( 176410 * )
NEW li1 ( 173650 38930 ) ( * 40290 )
NEW met1 ( 166750 40290 ) ( 173650 * )
NEW met2 ( 183310 3740 0 ) ( * 18190 )
NEW met1 ( 183310 18190 ) M1M2_PR
NEW met1 ( 181010 18190 ) M1M2_PR
NEW met1 ( 180550 36210 ) M1M2_PR
NEW met1 ( 176410 36210 ) M1M2_PR
NEW met1 ( 176410 38930 ) M1M2_PR
NEW li1 ( 173650 38930 ) L1M1_PR_MR
NEW li1 ( 173650 40290 ) L1M1_PR_MR
NEW li1 ( 166750 40290 ) L1M1_PR_MR ;
- mem_to_icache_data[69] ( PIN mem_to_icache_data[69] ) ( output678 X ) + USE SIGNAL
+ ROUTED met1 ( 14030 20570 ) ( 17250 * )
NEW met1 ( 17250 20570 ) ( * 20910 )
NEW met1 ( 17250 20910 ) ( 18630 * )
NEW met1 ( 18630 20570 ) ( * 20910 )
NEW met1 ( 18630 20570 ) ( 20930 * )
NEW met1 ( 20930 19890 ) ( * 20570 )
NEW met1 ( 20930 19890 ) ( 23690 * )
NEW met2 ( 23690 19890 ) ( * 35870 )
NEW met1 ( 23230 35870 ) ( 23690 * )
NEW met2 ( 14030 3740 0 ) ( * 20570 )
NEW met1 ( 14030 20570 ) M1M2_PR
NEW met1 ( 23690 19890 ) M1M2_PR
NEW met1 ( 23690 35870 ) M1M2_PR
NEW li1 ( 23230 35870 ) L1M1_PR_MR ;
- mem_to_icache_data[6] ( PIN mem_to_icache_data[6] ) ( output679 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 109140 ) ( * 109310 )
NEW met3 ( 182850 109140 ) ( 196420 * 0 )
NEW li1 ( 182850 109310 ) L1M1_PR_MR
NEW met1 ( 182850 109310 ) M1M2_PR
NEW met2 ( 182850 109140 ) M2M3_PR_M
NEW met1 ( 182850 109310 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[70] ( PIN mem_to_icache_data[70] ) ( output680 X ) + USE SIGNAL
+ ROUTED met1 ( 3910 19550 ) ( 12190 * )
NEW met2 ( 12190 19550 ) ( * 28730 )
NEW met2 ( 3910 3740 0 ) ( * 19550 )
NEW met1 ( 14490 28390 ) ( * 28730 )
NEW met2 ( 14490 28390 ) ( 14950 * )
NEW met2 ( 14950 28390 ) ( * 38590 )
NEW met1 ( 14950 38590 ) ( 20010 * )
NEW met1 ( 12190 28730 ) ( 14490 * )
NEW met1 ( 3910 19550 ) M1M2_PR
NEW met1 ( 12190 19550 ) M1M2_PR
NEW met1 ( 12190 28730 ) M1M2_PR
NEW met1 ( 14490 28390 ) M1M2_PR
NEW met1 ( 14950 38590 ) M1M2_PR
NEW li1 ( 20010 38590 ) L1M1_PR_MR ;
- mem_to_icache_data[71] ( PIN mem_to_icache_data[71] ) ( output681 X ) + USE SIGNAL
+ ROUTED met2 ( 173650 189210 ) ( * 197540 )
NEW met3 ( 173650 197540 ) ( 196420 * 0 )
NEW met2 ( 156630 170850 ) ( * 175780 )
NEW met2 ( 156630 175780 ) ( 157090 * )
NEW met2 ( 157090 175780 ) ( * 189210 )
NEW met1 ( 157090 189210 ) ( 173650 * )
NEW met1 ( 173650 189210 ) M1M2_PR
NEW met2 ( 173650 197540 ) M2M3_PR_M
NEW li1 ( 156630 170850 ) L1M1_PR_MR
NEW met1 ( 156630 170850 ) M1M2_PR
NEW met1 ( 157090 189210 ) M1M2_PR
NEW met1 ( 156630 170850 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[72] ( PIN mem_to_icache_data[72] ) ( output682 X ) + USE SIGNAL
+ ROUTED met1 ( 143750 173570 ) ( 145130 * )
NEW met2 ( 143750 173570 ) ( * 196180 0 )
NEW li1 ( 145130 173570 ) L1M1_PR_MR
NEW met1 ( 143750 173570 ) M1M2_PR ;
- mem_to_icache_data[73] ( PIN mem_to_icache_data[73] ) ( output683 X ) + USE SIGNAL
+ ROUTED met1 ( 194350 19550 ) ( 198950 * )
NEW li1 ( 198950 19550 ) ( * 41990 )
NEW met1 ( 178710 41990 ) ( 198950 * )
NEW met1 ( 178710 41650 ) ( * 41990 )
NEW met1 ( 170430 41650 ) ( 178710 * )
NEW met2 ( 194350 3740 0 ) ( * 19550 )
NEW met1 ( 194350 19550 ) M1M2_PR
NEW li1 ( 198950 19550 ) L1M1_PR_MR
NEW li1 ( 198950 41990 ) L1M1_PR_MR
NEW li1 ( 170430 41650 ) L1M1_PR_MR ;
- mem_to_icache_data[74] ( PIN mem_to_icache_data[74] ) ( output684 X ) + USE SIGNAL
+ ROUTED met2 ( 17250 11220 ) ( * 13090 )
NEW met1 ( 17250 13090 ) ( 20470 * )
NEW met3 ( 3220 11220 0 ) ( 17250 * )
NEW met2 ( 20470 14620 ) ( 20930 * )
NEW met2 ( 20930 14620 ) ( * 17510 )
NEW met1 ( 20930 17510 ) ( 25530 * )
NEW met2 ( 25530 17510 ) ( * 30430 )
NEW met1 ( 25530 30430 ) ( 26910 * )
NEW met2 ( 20470 13090 ) ( * 14620 )
NEW met2 ( 17250 11220 ) M2M3_PR_M
NEW met1 ( 17250 13090 ) M1M2_PR
NEW met1 ( 20470 13090 ) M1M2_PR
NEW met1 ( 20930 17510 ) M1M2_PR
NEW met1 ( 25530 17510 ) M1M2_PR
NEW met1 ( 25530 30430 ) M1M2_PR
NEW li1 ( 26910 30430 ) L1M1_PR_MR ;
- mem_to_icache_data[75] ( PIN mem_to_icache_data[75] ) ( output685 X ) + USE SIGNAL
+ ROUTED met2 ( 117070 3740 0 ) ( * 13800 )
NEW met2 ( 117070 13800 ) ( 117530 * )
NEW met2 ( 117530 13800 ) ( * 22270 )
NEW met1 ( 117530 22270 ) ( 118450 * )
NEW met1 ( 117530 22270 ) M1M2_PR
NEW li1 ( 118450 22270 ) L1M1_PR_MR ;
- mem_to_icache_data[76] ( PIN mem_to_icache_data[76] ) ( output686 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 47940 0 ) ( 11730 * )
NEW met2 ( 11730 47090 ) ( * 47940 )
NEW met2 ( 11730 47940 ) M2M3_PR_M
NEW li1 ( 11730 47090 ) L1M1_PR_MR
NEW met1 ( 11730 47090 ) M1M2_PR
NEW met1 ( 11730 47090 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[77] ( PIN mem_to_icache_data[77] ) ( output687 X ) + USE SIGNAL
+ ROUTED met1 ( 116150 24990 ) ( 117070 * )
NEW met2 ( 116150 3740 0 ) ( * 24990 )
NEW met1 ( 116150 24990 ) M1M2_PR
NEW li1 ( 117070 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[78] ( PIN mem_to_icache_data[78] ) ( output688 X ) + USE SIGNAL
+ ROUTED met2 ( 230 3740 0 ) ( * 31790 )
NEW met1 ( 230 31790 ) ( 19550 * )
NEW met2 ( 19550 31790 ) ( * 33490 )
NEW met1 ( 19550 33490 ) ( 25070 * )
NEW met1 ( 25070 33150 ) ( * 33490 )
NEW met1 ( 230 31790 ) M1M2_PR
NEW met1 ( 19550 31790 ) M1M2_PR
NEW met1 ( 19550 33490 ) M1M2_PR
NEW li1 ( 25070 33150 ) L1M1_PR_MR ;
- mem_to_icache_data[79] ( PIN mem_to_icache_data[79] ) ( output689 X ) + USE SIGNAL
+ ROUTED met1 ( 136390 30430 ) ( 137770 * )
NEW met2 ( 136390 3740 0 ) ( * 30430 )
NEW met1 ( 136390 30430 ) M1M2_PR
NEW li1 ( 137770 30430 ) L1M1_PR_MR ;
- mem_to_icache_data[7] ( PIN mem_to_icache_data[7] ) ( output690 X ) + USE SIGNAL
+ ROUTED met2 ( 16790 90270 ) ( * 94180 )
NEW met3 ( 3220 94180 0 ) ( 16790 * )
NEW li1 ( 16790 90270 ) L1M1_PR_MR
NEW met1 ( 16790 90270 ) M1M2_PR
NEW met2 ( 16790 94180 ) M2M3_PR_M
NEW met1 ( 16790 90270 ) RECT ( 0 -70 355 70 ) ;
- mem_to_icache_data[80] ( PIN mem_to_icache_data[80] ) ( output691 X ) + USE SIGNAL
+ ROUTED met1 ( 30590 168130 ) ( 32890 * )
NEW met2 ( 30590 168130 ) ( * 174420 )
NEW met2 ( 30590 174420 ) ( 31050 * )
NEW met2 ( 31050 174420 ) ( * 186490 )
NEW met1 ( 16330 186490 ) ( 31050 * )
NEW met2 ( 16330 186490 ) ( * 193460 )
NEW met3 ( 3220 193460 0 ) ( 16330 * )
NEW li1 ( 32890 168130 ) L1M1_PR_MR
NEW met1 ( 30590 168130 ) M1M2_PR
NEW met1 ( 31050 186490 ) M1M2_PR
NEW met1 ( 16330 186490 ) M1M2_PR
NEW met2 ( 16330 193460 ) M2M3_PR_M ;
- mem_to_icache_data[81] ( PIN mem_to_icache_data[81] ) ( output692 X ) + USE SIGNAL
+ ROUTED met1 ( 68770 172210 ) ( * 172550 )
NEW met1 ( 67390 172550 ) ( 68770 * )
NEW met2 ( 67390 172550 ) ( * 196180 0 )
NEW li1 ( 68770 172210 ) L1M1_PR_MR
NEW met1 ( 67390 172550 ) M1M2_PR ;
- mem_to_icache_data[82] ( PIN mem_to_icache_data[82] ) ( output693 X ) + USE SIGNAL
+ ROUTED met2 ( 14030 58820 ) ( * 60350 )
NEW met3 ( 3220 58820 0 ) ( 14030 * )
NEW met1 ( 13110 60350 ) ( 14030 * )
NEW li1 ( 13110 60350 ) L1M1_PR_MR
NEW met2 ( 14030 58820 ) M2M3_PR_M
NEW met1 ( 14030 60350 ) M1M2_PR ;
- mem_to_icache_data[83] ( PIN mem_to_icache_data[83] ) ( output694 X ) + USE SIGNAL
+ ROUTED met2 ( 36110 3740 0 ) ( * 23630 )
NEW met1 ( 36110 23630 ) ( 45770 * )
NEW met1 ( 36110 23630 ) M1M2_PR
NEW li1 ( 45770 23630 ) L1M1_PR_MR ;
- mem_to_icache_data[84] ( PIN mem_to_icache_data[84] ) ( output695 X ) + USE SIGNAL
+ ROUTED met2 ( 13110 3740 0 ) ( * 13800 )
NEW met2 ( 13110 13800 ) ( 13570 * )
NEW met2 ( 13570 13800 ) ( * 20230 )
NEW met2 ( 20470 20230 ) ( * 26690 )
NEW met1 ( 20470 26690 ) ( 36110 * )
NEW met1 ( 13570 20230 ) ( 20470 * )
NEW met1 ( 13570 20230 ) M1M2_PR
NEW met1 ( 20470 20230 ) M1M2_PR
NEW met1 ( 20470 26690 ) M1M2_PR
NEW li1 ( 36110 26690 ) L1M1_PR_MR ;
- mem_to_icache_data[85] ( PIN mem_to_icache_data[85] ) ( output696 X ) + USE SIGNAL
+ ROUTED met1 ( 110630 173570 ) ( 112010 * )
NEW met2 ( 110630 173570 ) ( * 196180 0 )
NEW li1 ( 112010 173570 ) L1M1_PR_MR
NEW met1 ( 110630 173570 ) M1M2_PR ;
- mem_to_icache_data[86] ( PIN mem_to_icache_data[86] ) ( output697 X ) + USE SIGNAL
+ ROUTED met1 ( 72910 170850 ) ( 73830 * )
NEW met2 ( 72910 170850 ) ( * 196180 0 )
NEW li1 ( 73830 170850 ) L1M1_PR_MR
NEW met1 ( 72910 170850 ) M1M2_PR ;
- mem_to_icache_data[87] ( PIN mem_to_icache_data[87] ) ( output698 X ) + USE SIGNAL
+ ROUTED met2 ( 92230 3740 0 ) ( * 13800 )
NEW met2 ( 92230 13800 ) ( 92690 * )
NEW met2 ( 92690 13800 ) ( * 24990 )
NEW met1 ( 92690 24990 ) ( 93610 * )
NEW met1 ( 92690 24990 ) M1M2_PR
NEW li1 ( 93610 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[88] ( PIN mem_to_icache_data[88] ) ( output699 X ) + USE SIGNAL
+ ROUTED met2 ( 140990 176290 ) ( * 196180 0 )
NEW li1 ( 140990 176290 ) L1M1_PR_MR
NEW met1 ( 140990 176290 ) M1M2_PR
NEW met1 ( 140990 176290 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[89] ( PIN mem_to_icache_data[89] ) ( output700 X ) + USE SIGNAL
+ ROUTED met2 ( 157550 32130 ) ( * 32300 )
NEW met3 ( 157550 32300 ) ( 180090 * )
NEW met2 ( 180090 27540 ) ( * 32300 )
NEW met3 ( 180090 27540 ) ( 196420 * 0 )
NEW li1 ( 157550 32130 ) L1M1_PR_MR
NEW met1 ( 157550 32130 ) M1M2_PR
NEW met2 ( 157550 32300 ) M2M3_PR_M
NEW met2 ( 180090 32300 ) M2M3_PR_M
NEW met2 ( 180090 27540 ) M2M3_PR_M
NEW met1 ( 157550 32130 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[8] ( PIN mem_to_icache_data[8] ) ( output701 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 149940 0 ) ( 11730 * )
NEW met2 ( 11730 149940 ) ( * 150110 )
NEW met2 ( 11730 149940 ) M2M3_PR_M
NEW li1 ( 11730 150110 ) L1M1_PR_MR
NEW met1 ( 11730 150110 ) M1M2_PR
NEW met1 ( 11730 150110 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[90] ( PIN mem_to_icache_data[90] ) ( output702 X ) + USE SIGNAL
+ ROUTED met2 ( 183310 149940 ) ( * 150110 )
NEW met3 ( 183310 149940 ) ( 196420 * 0 )
NEW li1 ( 183310 150110 ) L1M1_PR_MR
NEW met1 ( 183310 150110 ) M1M2_PR
NEW met2 ( 183310 149940 ) M2M3_PR_M
NEW met1 ( 183310 150110 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[91] ( PIN mem_to_icache_data[91] ) ( output703 X ) + USE SIGNAL
+ ROUTED met1 ( 37030 27710 ) ( 38410 * )
NEW met2 ( 37030 3740 0 ) ( * 27710 )
NEW met1 ( 37030 27710 ) M1M2_PR
NEW li1 ( 38410 27710 ) L1M1_PR_MR ;
- mem_to_icache_data[92] ( PIN mem_to_icache_data[92] ) ( output704 X ) + USE SIGNAL
+ ROUTED met1 ( 65550 24990 ) ( 66930 * )
NEW met2 ( 65550 3740 0 ) ( * 24990 )
NEW met1 ( 65550 24990 ) M1M2_PR
NEW li1 ( 66930 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[93] ( PIN mem_to_icache_data[93] ) ( output705 X ) + USE SIGNAL
+ ROUTED met1 ( 101890 24990 ) ( 102350 * )
NEW met2 ( 102350 3740 0 ) ( * 24990 )
NEW met1 ( 102350 24990 ) M1M2_PR
NEW li1 ( 101890 24990 ) L1M1_PR_MR ;
- mem_to_icache_data[94] ( PIN mem_to_icache_data[94] ) ( output706 X ) + USE SIGNAL
+ ROUTED met2 ( 182850 140420 ) ( * 141950 )
NEW met3 ( 182850 140420 ) ( 196420 * 0 )
NEW li1 ( 182850 141950 ) L1M1_PR_MR
NEW met1 ( 182850 141950 ) M1M2_PR
NEW met2 ( 182850 140420 ) M2M3_PR_M
NEW met1 ( 182850 141950 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[95] ( PIN mem_to_icache_data[95] ) ( output707 X ) + USE SIGNAL
+ ROUTED met2 ( 103270 3740 0 ) ( * 13090 )
NEW met2 ( 102810 13090 ) ( 103270 * )
NEW met2 ( 102810 13090 ) ( * 13940 )
NEW met2 ( 102810 13940 ) ( 103730 * )
NEW met2 ( 103730 13940 ) ( * 27710 )
NEW li1 ( 103730 27710 ) L1M1_PR_MR
NEW met1 ( 103730 27710 ) M1M2_PR
NEW met1 ( 103730 27710 ) RECT ( -355 -70 0 70 ) ;
- mem_to_icache_data[96] ( PIN mem_to_icache_data[96] ) ( output708 X ) + USE SIGNAL
+ ROUTED met1 ( 139610 173570 ) ( 140530 * )
NEW met2 ( 139610 173570 ) ( * 180540 )
NEW met2 ( 139150 180540 ) ( 139610 * )
NEW met2 ( 139150 180540 ) ( * 196180 0 )
NEW li1 ( 140530 173570 ) L1M1_PR_MR
NEW met1 ( 139610 173570 ) M1M2_PR ;
- mem_to_icache_data[97] ( PIN mem_to_icache_data[97] ) ( output709 X ) + USE SIGNAL
+ ROUTED met1 ( 136850 173570 ) ( 137310 * )
NEW met2 ( 137310 173570 ) ( * 196180 0 )
NEW li1 ( 136850 173570 ) L1M1_PR_MR
NEW met1 ( 137310 173570 ) M1M2_PR ;
- mem_to_icache_data[98] ( PIN mem_to_icache_data[98] ) ( output710 X ) + USE SIGNAL
+ ROUTED met1 ( 24150 161330 ) ( 25070 * )
NEW met2 ( 24150 161330 ) ( * 172210 )
NEW met1 ( 17710 172210 ) ( 24150 * )
NEW met1 ( 17710 172210 ) ( * 172890 )
NEW met1 ( 16790 172890 ) ( 17710 * )
NEW met1 ( 16790 172890 ) ( * 173230 )
NEW met2 ( 16790 173230 ) ( * 196180 0 )
NEW li1 ( 25070 161330 ) L1M1_PR_MR
NEW met1 ( 24150 161330 ) M1M2_PR
NEW met1 ( 24150 172210 ) M1M2_PR
NEW met1 ( 16790 173230 ) M1M2_PR ;
- mem_to_icache_data[99] ( PIN mem_to_icache_data[99] ) ( output711 X ) + USE SIGNAL
+ ROUTED met1 ( 47610 173570 ) ( 50830 * )
NEW met1 ( 47610 173230 ) ( * 173570 )
NEW met1 ( 47150 173230 ) ( 47610 * )
NEW met1 ( 47150 172890 ) ( * 173230 )
NEW met2 ( 47150 172890 ) ( * 196180 0 )
NEW li1 ( 50830 173570 ) L1M1_PR_MR
NEW met1 ( 47150 172890 ) M1M2_PR ;
- mem_to_icache_data[9] ( PIN mem_to_icache_data[9] ) ( output712 X ) + USE SIGNAL
+ ROUTED met1 ( 121670 24990 ) ( 123050 * )
NEW met2 ( 121670 3740 0 ) ( * 24990 )
NEW met1 ( 121670 24990 ) M1M2_PR
NEW li1 ( 123050 24990 ) L1M1_PR_MR ;
- mem_we ( PIN mem_we ) ( output713 X ) + USE SIGNAL
+ ROUTED met2 ( 16790 52020 ) ( * 52190 )
NEW met3 ( 3220 52020 0 ) ( 16790 * )
NEW met2 ( 16790 52020 ) M2M3_PR_M
NEW li1 ( 16790 52190 ) L1M1_PR_MR
NEW met1 ( 16790 52190 ) M1M2_PR
NEW met1 ( 16790 52190 ) RECT ( -355 -70 0 70 ) ;
- net1 ( ANTENNA__792__CLK DIODE ) ( ANTENNA__791__CLK DIODE ) ( ANTENNA__790__CLK DIODE ) ( ANTENNA__789__CLK DIODE ) ( ANTENNA__788__CLK DIODE ) ( input1 X ) ( _788_ CLK )
( _789_ CLK ) ( _790_ CLK ) ( _791_ CLK ) ( _792_ CLK ) + USE SIGNAL
+ ROUTED met1 ( 176870 91630 ) ( * 91970 )
NEW met1 ( 176870 91630 ) ( 183310 * )
NEW met1 ( 183310 91630 ) ( * 91970 )
NEW met1 ( 183310 91970 ) ( 194810 * )
NEW li1 ( 194810 91970 ) ( * 94010 )
NEW li1 ( 194810 94010 ) ( 195270 * )
NEW met1 ( 189750 112030 ) ( 195270 * )
NEW li1 ( 195270 94010 ) ( * 112030 )
NEW met1 ( 146970 91970 ) ( 176870 * )
NEW met1 ( 103730 85510 ) ( 114310 * )
NEW met1 ( 125350 88230 ) ( 129030 * )
NEW met2 ( 125350 84830 ) ( * 88230 )
NEW met1 ( 114310 84830 ) ( 125350 * )
NEW met1 ( 114310 84830 ) ( * 85510 )
NEW met1 ( 125350 82790 ) ( 128905 * )
NEW met2 ( 125350 82790 ) ( * 84830 )
NEW met1 ( 128570 80070 ) ( 129030 * )
NEW met2 ( 129030 80070 ) ( * 82790 )
NEW met1 ( 128905 82790 ) ( 129030 * )
NEW met1 ( 127650 90950 ) ( 129490 * )
NEW met2 ( 129490 88230 ) ( * 90950 )
NEW met1 ( 129030 88230 ) ( 129490 * )
NEW met1 ( 129030 79730 ) ( 140990 * )
NEW met1 ( 129030 79730 ) ( * 80070 )
NEW met1 ( 142370 87550 ) ( 142830 * )
NEW met2 ( 142830 79730 ) ( * 87550 )
NEW met1 ( 140990 79730 ) ( 142830 * )
NEW met2 ( 142830 87550 ) ( * 91970 )
NEW met1 ( 136850 75650 ) ( 137770 * )
NEW met2 ( 136850 75650 ) ( * 79730 )
NEW met1 ( 142830 91970 ) ( 146970 * )
NEW li1 ( 194810 91970 ) L1M1_PR_MR
NEW li1 ( 195270 112030 ) L1M1_PR_MR
NEW li1 ( 189750 112030 ) L1M1_PR_MR
NEW li1 ( 146970 91970 ) L1M1_PR_MR
NEW li1 ( 114310 85510 ) L1M1_PR_MR
NEW li1 ( 103730 85510 ) L1M1_PR_MR
NEW li1 ( 129030 88230 ) L1M1_PR_MR
NEW met1 ( 125350 88230 ) M1M2_PR
NEW met1 ( 125350 84830 ) M1M2_PR
NEW li1 ( 128905 82790 ) L1M1_PR_MR
NEW met1 ( 125350 82790 ) M1M2_PR
NEW li1 ( 128570 80070 ) L1M1_PR_MR
NEW met1 ( 129030 80070 ) M1M2_PR
NEW met1 ( 129030 82790 ) M1M2_PR
NEW li1 ( 127650 90950 ) L1M1_PR_MR
NEW met1 ( 129490 90950 ) M1M2_PR
NEW met1 ( 129490 88230 ) M1M2_PR
NEW li1 ( 140990 79730 ) L1M1_PR_MR
NEW li1 ( 142370 87550 ) L1M1_PR_MR
NEW met1 ( 142830 87550 ) M1M2_PR
NEW met1 ( 142830 79730 ) M1M2_PR
NEW met1 ( 142830 91970 ) M1M2_PR
NEW li1 ( 137770 75650 ) L1M1_PR_MR
NEW met1 ( 136850 75650 ) M1M2_PR
NEW met1 ( 136850 79730 ) M1M2_PR
NEW met1 ( 128905 82790 ) RECT ( -470 -70 0 70 )
NEW met1 ( 136850 79730 ) RECT ( -595 -70 0 70 ) ;
- net10 ( ANTENNA__767__A DIODE ) ( ANTENNA__639__A DIODE ) ( input10 X ) ( _639_ A ) ( _767_ A ) + USE SIGNAL
+ ROUTED met2 ( 8510 167790 ) ( * 168300 )
NEW met1 ( 71070 48110 ) ( 73370 * )
NEW met1 ( 73370 47770 ) ( * 48110 )
NEW met1 ( 73370 47770 ) ( 96830 * )
NEW met1 ( 96830 47770 ) ( * 48110 )
NEW met2 ( 71070 48110 ) ( * 110400 )
NEW met2 ( 69230 134300 ) ( 70610 * )
NEW met2 ( 70610 110400 ) ( * 134300 )
NEW met2 ( 70610 110400 ) ( 71070 * )
NEW met2 ( 69230 134300 ) ( * 168980 )
NEW met3 ( 62100 168980 ) ( 69230 * )
NEW met3 ( 62100 168300 ) ( * 168980 )
NEW met3 ( 8510 168300 ) ( 62100 * )
NEW met2 ( 138230 39950 ) ( * 48110 )
NEW met1 ( 138230 41650 ) ( 149730 * )
NEW met1 ( 150190 33830 ) ( 150650 * )
NEW met1 ( 150190 33830 ) ( * 34170 )
NEW met2 ( 150190 34170 ) ( * 41650 )
NEW met1 ( 149730 41650 ) ( 150190 * )
NEW met1 ( 143750 31450 ) ( 144670 * )
NEW met2 ( 144670 31450 ) ( * 41310 )
NEW met1 ( 144670 41310 ) ( * 41650 )
NEW met1 ( 96830 48110 ) ( 138230 * )
NEW li1 ( 8510 167790 ) L1M1_PR_MR
NEW met1 ( 8510 167790 ) M1M2_PR
NEW met2 ( 8510 168300 ) M2M3_PR_M
NEW met2 ( 69230 168980 ) M2M3_PR_M
NEW met1 ( 71070 48110 ) M1M2_PR
NEW li1 ( 138230 39950 ) L1M1_PR_MR
NEW met1 ( 138230 39950 ) M1M2_PR
NEW met1 ( 138230 48110 ) M1M2_PR
NEW li1 ( 149730 41650 ) L1M1_PR_MR
NEW met1 ( 138230 41650 ) M1M2_PR
NEW li1 ( 150650 33830 ) L1M1_PR_MR
NEW met1 ( 150190 34170 ) M1M2_PR
NEW met1 ( 150190 41650 ) M1M2_PR
NEW li1 ( 143750 31450 ) L1M1_PR_MR
NEW met1 ( 144670 31450 ) M1M2_PR
NEW met1 ( 144670 41310 ) M1M2_PR
NEW met1 ( 8510 167790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 138230 39950 ) RECT ( -355 -70 0 70 )
NEW met2 ( 138230 41650 ) RECT ( -70 -485 70 0 ) ;
- net100 ( input100 X ) ( _605_ A ) ( _733_ A ) + USE SIGNAL
+ ROUTED met1 ( 155250 186150 ) ( 161690 * )
NEW met1 ( 161690 186150 ) ( * 186830 )
NEW met1 ( 161690 186830 ) ( 175950 * )
NEW met2 ( 175950 183770 ) ( * 186830 )
NEW met1 ( 175950 183770 ) ( 177790 * )
NEW met1 ( 160310 163710 ) ( 161230 * )
NEW met2 ( 161230 163710 ) ( * 164900 )
NEW met3 ( 161230 164900 ) ( 161460 * )
NEW met4 ( 161460 164900 ) ( * 181900 )
NEW met3 ( 161460 181900 ) ( 161690 * )
NEW met2 ( 161690 181900 ) ( * 186150 )
NEW li1 ( 155250 186150 ) L1M1_PR_MR
NEW met1 ( 175950 186830 ) M1M2_PR
NEW met1 ( 175950 183770 ) M1M2_PR
NEW li1 ( 177790 183770 ) L1M1_PR_MR
NEW li1 ( 160310 163710 ) L1M1_PR_MR
NEW met1 ( 161230 163710 ) M1M2_PR
NEW met2 ( 161230 164900 ) M2M3_PR_M
NEW met3 ( 161460 164900 ) M3M4_PR_M
NEW met3 ( 161460 181900 ) M3M4_PR_M
NEW met2 ( 161690 181900 ) M2M3_PR_M
NEW met1 ( 161690 186150 ) M1M2_PR
NEW met3 ( 161230 164900 ) RECT ( -390 -150 0 150 )
NEW met3 ( 161460 181900 ) RECT ( -390 -150 0 150 )
NEW met1 ( 161690 186150 ) RECT ( -595 -70 0 70 ) ;
- net101 ( ANTENNA__734__A DIODE ) ( ANTENNA__606__A DIODE ) ( input101 X ) ( _606_ A ) ( _734_ A ) + USE SIGNAL
+ ROUTED met1 ( 109710 181390 ) ( * 181730 )
NEW met2 ( 116610 181730 ) ( * 183090 )
NEW met1 ( 116610 183090 ) ( 121210 * )
NEW met1 ( 121210 183090 ) ( * 183430 )
NEW met1 ( 121210 183430 ) ( 142370 * )
NEW met1 ( 109710 181730 ) ( 116610 * )
NEW met1 ( 56350 180370 ) ( * 180710 )
NEW met1 ( 56350 180370 ) ( 78890 * )
NEW met1 ( 78890 180370 ) ( * 180710 )
NEW met1 ( 78890 180710 ) ( 86710 * )
NEW met1 ( 86710 180710 ) ( * 181390 )
NEW met1 ( 58190 172890 ) ( 60030 * )
NEW met1 ( 60030 172890 ) ( * 173570 )
NEW met1 ( 60030 173570 ) ( 60490 * )
NEW met2 ( 60490 173570 ) ( * 180370 )
NEW met1 ( 59110 168130 ) ( 59570 * )
NEW met2 ( 59110 168130 ) ( * 172890 )
NEW met1 ( 54970 168130 ) ( 59110 * )
NEW met1 ( 86710 181390 ) ( 109710 * )
NEW met1 ( 116610 181730 ) M1M2_PR
NEW met1 ( 116610 183090 ) M1M2_PR
NEW li1 ( 142370 183430 ) L1M1_PR_MR
NEW li1 ( 56350 180710 ) L1M1_PR_MR
NEW li1 ( 58190 172890 ) L1M1_PR_MR
NEW met1 ( 60490 173570 ) M1M2_PR
NEW met1 ( 60490 180370 ) M1M2_PR
NEW li1 ( 59570 168130 ) L1M1_PR_MR
NEW met1 ( 59110 168130 ) M1M2_PR
NEW met1 ( 59110 172890 ) M1M2_PR
NEW li1 ( 54970 168130 ) L1M1_PR_MR
NEW met1 ( 60490 180370 ) RECT ( -595 -70 0 70 )
NEW met1 ( 59110 172890 ) RECT ( -595 -70 0 70 ) ;
- net102 ( ANTENNA__735__A DIODE ) ( ANTENNA__607__A DIODE ) ( input102 X ) ( _607_ A ) ( _735_ A ) + USE SIGNAL
+ ROUTED met1 ( 62330 26010 ) ( * 26350 )
NEW met1 ( 62330 26350 ) ( 69690 * )
NEW met2 ( 63710 26350 ) ( * 28390 )
NEW met1 ( 57730 171870 ) ( 60950 * )
NEW li1 ( 57730 171870 ) ( * 173570 )
NEW met1 ( 51750 173570 ) ( 57730 * )
NEW met2 ( 51750 173570 ) ( * 178330 )
NEW met2 ( 51750 178330 ) ( 52210 * )
NEW met2 ( 52210 178330 ) ( * 187170 )
NEW met1 ( 22770 187170 ) ( 52210 * )
NEW met1 ( 62100 28390 ) ( 63710 * )
NEW met1 ( 59110 29070 ) ( 60950 * )
NEW met2 ( 59110 29070 ) ( * 56610 )
NEW met1 ( 59110 56610 ) ( 60950 * )
NEW met1 ( 62100 28390 ) ( * 29070 )
NEW met1 ( 60950 29070 ) ( 62100 * )
NEW met2 ( 60950 56610 ) ( * 171870 )
NEW li1 ( 62330 26010 ) L1M1_PR_MR
NEW li1 ( 69690 26350 ) L1M1_PR_MR
NEW li1 ( 63710 28390 ) L1M1_PR_MR
NEW met1 ( 63710 28390 ) M1M2_PR
NEW met1 ( 63710 26350 ) M1M2_PR
NEW met1 ( 60950 171870 ) M1M2_PR
NEW li1 ( 57730 171870 ) L1M1_PR_MR
NEW li1 ( 57730 173570 ) L1M1_PR_MR
NEW met1 ( 51750 173570 ) M1M2_PR
NEW met1 ( 52210 187170 ) M1M2_PR
NEW li1 ( 22770 187170 ) L1M1_PR_MR
NEW li1 ( 60950 29070 ) L1M1_PR_MR
NEW met1 ( 59110 29070 ) M1M2_PR
NEW met1 ( 59110 56610 ) M1M2_PR
NEW met1 ( 60950 56610 ) M1M2_PR
NEW met1 ( 63710 28390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 63710 26350 ) RECT ( -595 -70 0 70 ) ;
- net103 ( input103 X ) ( _608_ A ) ( _736_ A ) + USE SIGNAL
+ ROUTED met1 ( 15410 53210 ) ( * 53550 )
NEW met1 ( 15410 53550 ) ( 18170 * )
NEW met2 ( 18170 36210 ) ( * 53550 )
NEW met1 ( 18170 47770 ) ( 19090 * )
NEW met1 ( 8050 36210 ) ( 18170 * )
NEW met1 ( 13570 53210 ) ( 15410 * )
NEW li1 ( 13570 53210 ) L1M1_PR_MR
NEW li1 ( 8050 36210 ) L1M1_PR_MR
NEW met1 ( 18170 53550 ) M1M2_PR
NEW met1 ( 18170 36210 ) M1M2_PR
NEW li1 ( 19090 47770 ) L1M1_PR_MR
NEW met1 ( 18170 47770 ) M1M2_PR
NEW met2 ( 18170 47770 ) RECT ( -70 -485 70 0 ) ;
- net104 ( input104 X ) ( _609_ A ) ( _737_ A ) + USE SIGNAL
+ ROUTED met1 ( 187910 88230 ) ( 198030 * )
NEW met1 ( 166290 44710 ) ( 166750 * )
NEW met2 ( 166290 44710 ) ( * 47260 )
NEW met3 ( 166290 47260 ) ( 176410 * )
NEW met3 ( 176410 47260 ) ( * 47430 )
NEW met3 ( 176410 47430 ) ( 177790 * )
NEW met3 ( 177790 47260 ) ( * 47430 )
NEW met3 ( 177790 47260 ) ( 183310 * )
NEW met2 ( 183310 47260 ) ( * 47430 )
NEW met1 ( 183310 47430 ) ( 198030 * )
NEW met1 ( 185610 22610 ) ( 193890 * )
NEW met2 ( 193890 22610 ) ( * 47430 )
NEW li1 ( 198030 47430 ) ( * 88230 )
NEW li1 ( 198030 88230 ) L1M1_PR_MR
NEW li1 ( 187910 88230 ) L1M1_PR_MR
NEW li1 ( 166750 44710 ) L1M1_PR_MR
NEW met1 ( 166290 44710 ) M1M2_PR
NEW met2 ( 166290 47260 ) M2M3_PR_M
NEW met2 ( 183310 47260 ) M2M3_PR_M
NEW met1 ( 183310 47430 ) M1M2_PR
NEW li1 ( 198030 47430 ) L1M1_PR_MR
NEW li1 ( 185610 22610 ) L1M1_PR_MR
NEW met1 ( 193890 22610 ) M1M2_PR
NEW met1 ( 193890 47430 ) M1M2_PR
NEW met1 ( 193890 47430 ) RECT ( -595 -70 0 70 ) ;
- net105 ( input105 X ) ( _610_ A ) ( _738_ A ) + USE SIGNAL
+ ROUTED met1 ( 16790 178330 ) ( 18170 * )
NEW met1 ( 18170 157250 ) ( 21390 * )
NEW met2 ( 18170 156570 ) ( * 178330 )
NEW met1 ( 18170 178330 ) M1M2_PR
NEW li1 ( 16790 178330 ) L1M1_PR_MR
NEW li1 ( 18170 156570 ) L1M1_PR_MR
NEW met1 ( 18170 156570 ) M1M2_PR
NEW li1 ( 21390 157250 ) L1M1_PR_MR
NEW met1 ( 18170 157250 ) M1M2_PR
NEW met1 ( 18170 156570 ) RECT ( -355 -70 0 70 )
NEW met2 ( 18170 157250 ) RECT ( -70 -485 70 0 ) ;
- net106 ( ANTENNA__739__A DIODE ) ( ANTENNA__611__A DIODE ) ( input106 X ) ( _611_ A ) ( _739_ A ) + USE SIGNAL
+ ROUTED met1 ( 125350 12070 ) ( 125810 * )
NEW met1 ( 131330 182750 ) ( 136850 * )
NEW met1 ( 129950 34170 ) ( 136850 * )
NEW met2 ( 136850 34170 ) ( * 62100 )
NEW met2 ( 136850 62100 ) ( 137310 * )
NEW met1 ( 126730 34170 ) ( 129950 * )
NEW met2 ( 128110 26010 ) ( * 34170 )
NEW met2 ( 125810 17510 ) ( 126270 * )
NEW met2 ( 126270 17510 ) ( * 26010 )
NEW met1 ( 126270 26010 ) ( 128110 * )
NEW met2 ( 125810 12070 ) ( * 17510 )
NEW met2 ( 137310 62100 ) ( * 110400 )
NEW met2 ( 136850 110400 ) ( 137310 * )
NEW met2 ( 136850 110400 ) ( * 182750 )
NEW met1 ( 125810 12070 ) M1M2_PR
NEW li1 ( 125350 12070 ) L1M1_PR_MR
NEW met1 ( 136850 182750 ) M1M2_PR
NEW li1 ( 131330 182750 ) L1M1_PR_MR
NEW li1 ( 129950 34170 ) L1M1_PR_MR
NEW met1 ( 136850 34170 ) M1M2_PR
NEW li1 ( 126730 34170 ) L1M1_PR_MR
NEW li1 ( 128110 26010 ) L1M1_PR_MR
NEW met1 ( 128110 26010 ) M1M2_PR
NEW met1 ( 128110 34170 ) M1M2_PR
NEW met1 ( 126270 26010 ) M1M2_PR
NEW met1 ( 128110 26010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 128110 34170 ) RECT ( -595 -70 0 70 ) ;
- net107 ( input107 X ) ( _539_ A ) ( _667_ A ) + USE SIGNAL
+ ROUTED met2 ( 13570 43180 ) ( * 48620 )
NEW met1 ( 14490 74970 ) ( 15410 * )
NEW met1 ( 20930 85850 ) ( 24150 * )
NEW met2 ( 24150 75310 ) ( * 85850 )
NEW met1 ( 15410 75310 ) ( 24150 * )
NEW met1 ( 15410 74970 ) ( * 75310 )
NEW met2 ( 14030 31450 ) ( * 31620 )
NEW met2 ( 14030 31620 ) ( 14490 * )
NEW met2 ( 14490 31620 ) ( * 43180 )
NEW met1 ( 9890 31450 ) ( 14030 * )
NEW met2 ( 13570 43180 ) ( 14490 * )
NEW met2 ( 13570 48620 ) ( 14490 * )
NEW met2 ( 14490 48620 ) ( * 74970 )
NEW li1 ( 9890 31450 ) L1M1_PR_MR
NEW li1 ( 15410 74970 ) L1M1_PR_MR
NEW met1 ( 14490 74970 ) M1M2_PR
NEW li1 ( 20930 85850 ) L1M1_PR_MR
NEW met1 ( 24150 85850 ) M1M2_PR
NEW met1 ( 24150 75310 ) M1M2_PR
NEW met1 ( 14030 31450 ) M1M2_PR ;
- net108 ( ANTENNA__740__A DIODE ) ( ANTENNA__612__A DIODE ) ( input108 X ) ( _612_ A ) ( _740_ A ) + USE SIGNAL
+ ROUTED met2 ( 7130 92990 ) ( 7590 * )
NEW met2 ( 7130 69020 ) ( * 92990 )
NEW met2 ( 6670 69020 ) ( 7130 * )
NEW met2 ( 6670 65620 ) ( * 69020 )
NEW met2 ( 6670 65620 ) ( 7130 * )
NEW met2 ( 7130 64770 ) ( * 65620 )
NEW met1 ( 7130 64770 ) ( 8510 * )
NEW met1 ( 13570 107610 ) ( * 107950 )
NEW met1 ( 8510 20230 ) ( 10350 * )
NEW met2 ( 8510 20230 ) ( * 64770 )
NEW met1 ( 9430 118490 ) ( 11270 * )
NEW met2 ( 11270 118490 ) ( * 122910 )
NEW met1 ( 11270 122910 ) ( 12650 * )
NEW met1 ( 7590 118490 ) ( 9430 * )
NEW met2 ( 7590 92990 ) ( * 118490 )
NEW met1 ( 7590 107950 ) ( 20010 * )
NEW met1 ( 7130 64770 ) M1M2_PR
NEW met1 ( 8510 64770 ) M1M2_PR
NEW met1 ( 7590 107950 ) M1M2_PR
NEW li1 ( 13570 107610 ) L1M1_PR_MR
NEW met1 ( 8510 20230 ) M1M2_PR
NEW li1 ( 10350 20230 ) L1M1_PR_MR
NEW li1 ( 9430 118490 ) L1M1_PR_MR
NEW met1 ( 11270 118490 ) M1M2_PR
NEW met1 ( 11270 122910 ) M1M2_PR
NEW li1 ( 12650 122910 ) L1M1_PR_MR
NEW met1 ( 7590 118490 ) M1M2_PR
NEW li1 ( 20010 107950 ) L1M1_PR_MR
NEW met2 ( 7590 107950 ) RECT ( -70 -485 70 0 )
NEW met1 ( 13570 107610 ) RECT ( 0 -70 255 70 ) ;
- net109 ( input109 X ) ( _613_ A ) ( _741_ A ) + USE SIGNAL
+ ROUTED met1 ( 35190 164390 ) ( 35650 * )
NEW met2 ( 35190 160990 ) ( * 164390 )
NEW met1 ( 30590 153510 ) ( 35190 * )
NEW met2 ( 35190 153510 ) ( * 160990 )
NEW li1 ( 35190 160990 ) L1M1_PR_MR
NEW met1 ( 35190 160990 ) M1M2_PR
NEW li1 ( 35650 164390 ) L1M1_PR_MR
NEW met1 ( 35190 164390 ) M1M2_PR
NEW met1 ( 35190 153510 ) M1M2_PR
NEW li1 ( 30590 153510 ) L1M1_PR_MR
NEW met1 ( 35190 160990 ) RECT ( -355 -70 0 70 ) ;
- net11 ( ANTENNA__768__A DIODE ) ( ANTENNA__640__A DIODE ) ( input11 X ) ( _640_ A ) ( _768_ A ) + USE SIGNAL
+ ROUTED met2 ( 5750 32980 ) ( 6210 * )
NEW met2 ( 5750 14450 ) ( * 32980 )
NEW met1 ( 5750 14110 ) ( * 14450 )
NEW met1 ( 5750 14110 ) ( 12650 * )
NEW met2 ( 12650 14110 ) ( * 14620 )
NEW met2 ( 14950 14450 ) ( * 14620 )
NEW met1 ( 14950 14450 ) ( 28290 * )
NEW met3 ( 12650 14620 ) ( 14950 * )
NEW met1 ( 30590 164390 ) ( * 164730 )
NEW met1 ( 28750 164730 ) ( 30590 * )
NEW met1 ( 28750 164730 ) ( * 165070 )
NEW met1 ( 24610 165070 ) ( 28750 * )
NEW met1 ( 24610 165070 ) ( * 165410 )
NEW met1 ( 18170 165410 ) ( 24610 * )
NEW li1 ( 18170 163710 ) ( * 165410 )
NEW met1 ( 6210 163710 ) ( 18170 * )
NEW met1 ( 38870 162690 ) ( 40710 * )
NEW met2 ( 40710 162690 ) ( * 164730 )
NEW met1 ( 30590 164730 ) ( 40710 * )
NEW met1 ( 40710 164730 ) ( 44850 * )
NEW met2 ( 33350 179180 ) ( * 180370 )
NEW met3 ( 33350 179180 ) ( 33580 * )
NEW met4 ( 33580 168980 ) ( * 179180 )
NEW met3 ( 33580 168980 ) ( 34270 * )
NEW met2 ( 34270 164730 ) ( * 168980 )
NEW met2 ( 6210 32980 ) ( * 163710 )
NEW met1 ( 5750 14450 ) M1M2_PR
NEW met1 ( 12650 14110 ) M1M2_PR
NEW met2 ( 12650 14620 ) M2M3_PR_M
NEW met2 ( 14950 14620 ) M2M3_PR_M
NEW met1 ( 14950 14450 ) M1M2_PR
NEW li1 ( 28290 14450 ) L1M1_PR_MR
NEW li1 ( 30590 164390 ) L1M1_PR_MR
NEW li1 ( 18170 165410 ) L1M1_PR_MR
NEW li1 ( 18170 163710 ) L1M1_PR_MR
NEW met1 ( 6210 163710 ) M1M2_PR
NEW li1 ( 38870 162690 ) L1M1_PR_MR
NEW met1 ( 40710 162690 ) M1M2_PR
NEW met1 ( 40710 164730 ) M1M2_PR
NEW li1 ( 44850 164730 ) L1M1_PR_MR
NEW li1 ( 33350 180370 ) L1M1_PR_MR
NEW met1 ( 33350 180370 ) M1M2_PR
NEW met2 ( 33350 179180 ) M2M3_PR_M
NEW met3 ( 33580 179180 ) M3M4_PR_M
NEW met3 ( 33580 168980 ) M3M4_PR_M
NEW met2 ( 34270 168980 ) M2M3_PR_M
NEW met1 ( 34270 164730 ) M1M2_PR
NEW met1 ( 33350 180370 ) RECT ( -355 -70 0 70 )
NEW met3 ( 33350 179180 ) RECT ( -390 -150 0 150 )
NEW met1 ( 34270 164730 ) RECT ( -595 -70 0 70 ) ;
- net110 ( input110 X ) ( _614_ A ) ( _742_ A ) + USE SIGNAL
+ ROUTED met2 ( 13570 64090 ) ( * 66130 )
NEW met1 ( 15410 64090 ) ( 17710 * )
NEW met1 ( 17710 64090 ) ( * 64770 )
NEW met1 ( 13570 64090 ) ( 15410 * )
NEW met1 ( 13570 66130 ) ( 14030 * )
NEW met1 ( 13570 64090 ) M1M2_PR
NEW met1 ( 13570 66130 ) M1M2_PR
NEW li1 ( 15410 64090 ) L1M1_PR_MR
NEW li1 ( 17710 64770 ) L1M1_PR_MR
NEW li1 ( 14030 66130 ) L1M1_PR_MR ;
- net111 ( input111 X ) ( _615_ A ) ( _743_ A ) + USE SIGNAL
+ ROUTED met1 ( 41170 28390 ) ( 43010 * )
NEW met2 ( 43010 17510 ) ( * 28390 )
NEW met1 ( 43010 17510 ) ( 45310 * )
NEW met1 ( 45310 17490 ) ( * 17510 )
NEW met1 ( 45310 17490 ) ( 45770 * )
NEW met1 ( 45770 17490 ) ( * 17510 )
NEW met1 ( 45770 17510 ) ( 47150 * )
NEW met2 ( 43010 28390 ) ( * 30430 )
NEW li1 ( 41170 28390 ) L1M1_PR_MR
NEW met1 ( 43010 28390 ) M1M2_PR
NEW met1 ( 43010 17510 ) M1M2_PR
NEW li1 ( 47150 17510 ) L1M1_PR_MR
NEW li1 ( 43010 30430 ) L1M1_PR_MR
NEW met1 ( 43010 30430 ) M1M2_PR
NEW met1 ( 43010 30430 ) RECT ( -355 -70 0 70 ) ;
- net112 ( input112 X ) ( _616_ A ) ( _744_ A ) + USE SIGNAL
+ ROUTED met1 ( 157550 20570 ) ( 158010 * )
NEW met2 ( 157550 20570 ) ( * 21420 )
NEW met3 ( 154100 21420 ) ( 157550 * )
NEW met4 ( 154100 21420 ) ( * 40460 )
NEW met3 ( 154100 40460 ) ( 159390 * )
NEW met2 ( 159390 40460 ) ( * 41650 )
NEW met1 ( 159390 41650 ) ( 163070 * )
NEW met1 ( 146970 15470 ) ( 158930 * )
NEW met2 ( 158930 15470 ) ( * 20910 )
NEW met1 ( 158010 20910 ) ( 158930 * )
NEW met1 ( 158010 20570 ) ( * 20910 )
NEW li1 ( 158010 20570 ) L1M1_PR_MR
NEW met1 ( 157550 20570 ) M1M2_PR
NEW met2 ( 157550 21420 ) M2M3_PR_M
NEW met3 ( 154100 21420 ) M3M4_PR_M
NEW met3 ( 154100 40460 ) M3M4_PR_M
NEW met2 ( 159390 40460 ) M2M3_PR_M
NEW met1 ( 159390 41650 ) M1M2_PR
NEW li1 ( 163070 41650 ) L1M1_PR_MR
NEW li1 ( 146970 15470 ) L1M1_PR_MR
NEW met1 ( 158930 15470 ) M1M2_PR
NEW met1 ( 158930 20910 ) M1M2_PR ;
- net113 ( input113 X ) ( _617_ A ) ( _745_ A ) + USE SIGNAL
+ ROUTED met1 ( 113850 26010 ) ( 116150 * )
NEW met2 ( 116150 26010 ) ( * 27710 )
NEW met1 ( 116150 27710 ) ( 117530 * )
NEW met1 ( 117530 27710 ) ( * 28730 )
NEW met1 ( 117530 28730 ) ( 122130 * )
NEW met2 ( 122130 28730 ) ( * 33490 )
NEW met1 ( 122130 33490 ) ( 131330 * )
NEW met2 ( 131330 33490 ) ( * 44710 )
NEW met1 ( 131330 44710 ) ( 135010 * )
NEW met1 ( 135010 44710 ) ( * 45390 )
NEW met1 ( 111550 17510 ) ( 112930 * )
NEW met2 ( 112930 16660 ) ( * 17510 )
NEW met3 ( 112930 16660 ) ( 113620 * )
NEW met4 ( 113620 16660 ) ( * 25500 )
NEW met3 ( 113620 25500 ) ( 116150 * )
NEW met2 ( 116150 25500 ) ( * 26010 )
NEW met1 ( 135010 45390 ) ( 162610 * )
NEW li1 ( 162610 45390 ) L1M1_PR_MR
NEW li1 ( 113850 26010 ) L1M1_PR_MR
NEW met1 ( 116150 26010 ) M1M2_PR
NEW met1 ( 116150 27710 ) M1M2_PR
NEW met1 ( 122130 28730 ) M1M2_PR
NEW met1 ( 122130 33490 ) M1M2_PR
NEW met1 ( 131330 33490 ) M1M2_PR
NEW met1 ( 131330 44710 ) M1M2_PR
NEW li1 ( 111550 17510 ) L1M1_PR_MR
NEW met1 ( 112930 17510 ) M1M2_PR
NEW met2 ( 112930 16660 ) M2M3_PR_M
NEW met3 ( 113620 16660 ) M3M4_PR_M
NEW met3 ( 113620 25500 ) M3M4_PR_M
NEW met2 ( 116150 25500 ) M2M3_PR_M ;
- net114 ( ANTENNA__746__A DIODE ) ( ANTENNA__618__A DIODE ) ( input114 X ) ( _618_ A ) ( _746_ A ) + USE SIGNAL
+ ROUTED met1 ( 89010 167450 ) ( 89930 * )
NEW met2 ( 89010 159970 ) ( * 167450 )
NEW met1 ( 86710 159970 ) ( 89010 * )
NEW met1 ( 100050 169830 ) ( 100970 * )
NEW met2 ( 100970 167110 ) ( * 169830 )
NEW met1 ( 97750 167110 ) ( 100970 * )
NEW met2 ( 97750 164050 ) ( * 167110 )
NEW met1 ( 89010 164050 ) ( 97750 * )
NEW met1 ( 105570 162690 ) ( 106030 * )
NEW met2 ( 105570 162690 ) ( * 164730 )
NEW met1 ( 100970 164730 ) ( 105570 * )
NEW met2 ( 100970 164730 ) ( * 167110 )
NEW met1 ( 106030 162690 ) ( 107410 * )
NEW met1 ( 107410 140930 ) ( 131330 * )
NEW met2 ( 131330 137190 ) ( * 140930 )
NEW met2 ( 107410 140930 ) ( * 162690 )
NEW met2 ( 167670 137020 ) ( * 137190 )
NEW met3 ( 167670 137020 ) ( 189290 * )
NEW met2 ( 189290 137020 ) ( * 137190 )
NEW met1 ( 131330 137190 ) ( 167670 * )
NEW li1 ( 89930 167450 ) L1M1_PR_MR
NEW met1 ( 89010 167450 ) M1M2_PR
NEW met1 ( 89010 159970 ) M1M2_PR
NEW li1 ( 86710 159970 ) L1M1_PR_MR
NEW li1 ( 100050 169830 ) L1M1_PR_MR
NEW met1 ( 100970 169830 ) M1M2_PR
NEW met1 ( 100970 167110 ) M1M2_PR
NEW met1 ( 97750 167110 ) M1M2_PR
NEW met1 ( 97750 164050 ) M1M2_PR
NEW met1 ( 89010 164050 ) M1M2_PR
NEW li1 ( 106030 162690 ) L1M1_PR_MR
NEW met1 ( 105570 162690 ) M1M2_PR
NEW met1 ( 105570 164730 ) M1M2_PR
NEW met1 ( 100970 164730 ) M1M2_PR
NEW met1 ( 107410 162690 ) M1M2_PR
NEW met1 ( 107410 140930 ) M1M2_PR
NEW met1 ( 131330 140930 ) M1M2_PR
NEW met1 ( 131330 137190 ) M1M2_PR
NEW met1 ( 167670 137190 ) M1M2_PR
NEW met2 ( 167670 137020 ) M2M3_PR_M
NEW met2 ( 189290 137020 ) M2M3_PR_M
NEW li1 ( 189290 137190 ) L1M1_PR_MR
NEW met1 ( 189290 137190 ) M1M2_PR
NEW met2 ( 89010 164050 ) RECT ( -70 -485 70 0 )
NEW met1 ( 189290 137190 ) RECT ( -355 -70 0 70 ) ;
- net115 ( ANTENNA__747__A DIODE ) ( ANTENNA__619__A DIODE ) ( input115 X ) ( _619_ A ) ( _747_ A ) + USE SIGNAL
+ ROUTED met1 ( 87630 91290 ) ( 88090 * )
NEW met2 ( 88090 91290 ) ( * 93330 )
NEW met1 ( 88090 93330 ) ( 103730 * )
NEW met1 ( 103730 93330 ) ( * 93670 )
NEW met1 ( 88090 89250 ) ( 88550 * )
NEW met2 ( 88090 89250 ) ( * 91290 )
NEW met1 ( 88090 86190 ) ( 91770 * )
NEW met2 ( 88090 86190 ) ( * 89250 )
NEW met1 ( 88090 83810 ) ( 93150 * )
NEW met2 ( 88090 83810 ) ( * 86190 )
NEW met2 ( 164450 92990 ) ( * 180030 )
NEW met1 ( 127650 93330 ) ( * 93670 )
NEW met1 ( 127650 93330 ) ( 136850 * )
NEW met1 ( 136850 92990 ) ( * 93330 )
NEW met1 ( 103730 93670 ) ( 127650 * )
NEW met1 ( 136850 92990 ) ( 164450 * )
NEW li1 ( 87630 91290 ) L1M1_PR_MR
NEW met1 ( 88090 91290 ) M1M2_PR
NEW met1 ( 88090 93330 ) M1M2_PR
NEW li1 ( 88550 89250 ) L1M1_PR_MR
NEW met1 ( 88090 89250 ) M1M2_PR
NEW li1 ( 91770 86190 ) L1M1_PR_MR
NEW met1 ( 88090 86190 ) M1M2_PR
NEW li1 ( 93150 83810 ) L1M1_PR_MR
NEW met1 ( 88090 83810 ) M1M2_PR
NEW met1 ( 164450 92990 ) M1M2_PR
NEW li1 ( 164450 180030 ) L1M1_PR_MR
NEW met1 ( 164450 180030 ) M1M2_PR
NEW met1 ( 164450 180030 ) RECT ( -355 -70 0 70 ) ;
- net116 ( input116 X ) ( _620_ A ) ( _748_ A ) + USE SIGNAL
+ ROUTED met2 ( 14030 172380 ) ( * 174930 )
NEW met2 ( 14030 172380 ) ( 14490 * )
NEW met1 ( 18170 183430 ) ( * 183770 )
NEW met1 ( 14490 183430 ) ( 18170 * )
NEW met2 ( 14490 180540 ) ( * 183430 )
NEW met2 ( 14030 180540 ) ( 14490 * )
NEW met2 ( 14030 174930 ) ( * 180540 )
NEW met1 ( 14490 154530 ) ( 19090 * )
NEW met2 ( 14490 154530 ) ( * 172380 )
NEW li1 ( 14030 174930 ) L1M1_PR_MR
NEW met1 ( 14030 174930 ) M1M2_PR
NEW li1 ( 18170 183770 ) L1M1_PR_MR
NEW met1 ( 14490 183430 ) M1M2_PR
NEW li1 ( 19090 154530 ) L1M1_PR_MR
NEW met1 ( 14490 154530 ) M1M2_PR
NEW met1 ( 14030 174930 ) RECT ( 0 -70 355 70 ) ;
- net117 ( ANTENNA__749__A DIODE ) ( ANTENNA__621__A DIODE ) ( input117 X ) ( _621_ A ) ( _749_ A ) + USE SIGNAL
+ ROUTED met1 ( 117530 186490 ) ( 118450 * )
NEW met1 ( 118450 42330 ) ( 144210 * )
NEW met2 ( 118450 42330 ) ( * 62100 )
NEW met2 ( 118450 62100 ) ( 118910 * )
NEW met1 ( 144210 42330 ) ( * 42670 )
NEW met2 ( 118450 158700 ) ( * 186490 )
NEW met2 ( 118450 158700 ) ( 118910 * )
NEW met2 ( 118910 62100 ) ( * 158700 )
NEW met1 ( 152030 36890 ) ( 155710 * )
NEW met2 ( 152030 36890 ) ( * 44030 )
NEW met1 ( 152030 44030 ) ( 154790 * )
NEW met1 ( 146970 31450 ) ( 148810 * )
NEW met1 ( 148810 31450 ) ( * 31470 )
NEW met1 ( 148810 31470 ) ( 149270 * )
NEW met1 ( 149270 31470 ) ( * 31790 )
NEW met1 ( 149270 31790 ) ( 153410 * )
NEW met1 ( 153410 31790 ) ( * 32130 )
NEW met2 ( 153410 32130 ) ( * 32300 )
NEW met2 ( 153410 32300 ) ( 153870 * )
NEW met2 ( 153870 32300 ) ( * 36890 )
NEW met1 ( 144210 42670 ) ( 152030 * )
NEW met1 ( 118450 186490 ) M1M2_PR
NEW li1 ( 117530 186490 ) L1M1_PR_MR
NEW li1 ( 144210 42330 ) L1M1_PR_MR
NEW met1 ( 118450 42330 ) M1M2_PR
NEW li1 ( 155710 36890 ) L1M1_PR_MR
NEW met1 ( 152030 36890 ) M1M2_PR
NEW met1 ( 152030 44030 ) M1M2_PR
NEW li1 ( 154790 44030 ) L1M1_PR_MR
NEW met1 ( 152030 42670 ) M1M2_PR
NEW li1 ( 146970 31450 ) L1M1_PR_MR
NEW met1 ( 153410 32130 ) M1M2_PR
NEW met1 ( 153870 36890 ) M1M2_PR
NEW met2 ( 152030 42670 ) RECT ( -70 -485 70 0 )
NEW met1 ( 153870 36890 ) RECT ( -595 -70 0 70 ) ;
- net118 ( ANTENNA__668__A DIODE ) ( ANTENNA__540__A DIODE ) ( input118 X ) ( _540_ A ) ( _668_ A ) + USE SIGNAL
+ ROUTED met3 ( 76590 166260 ) ( 76820 * )
NEW met4 ( 76820 166260 ) ( * 183260 )
NEW met2 ( 179630 183260 ) ( * 183770 )
NEW met1 ( 179630 183770 ) ( 181470 * )
NEW met1 ( 11730 148070 ) ( 13110 * )
NEW met2 ( 13110 148070 ) ( * 151470 )
NEW met2 ( 13110 146370 ) ( * 148070 )
NEW met2 ( 13110 143310 ) ( * 146370 )
NEW met1 ( 10810 142630 ) ( 13110 * )
NEW met1 ( 13110 142630 ) ( * 143310 )
NEW met2 ( 76590 158700 ) ( * 166260 )
NEW met1 ( 70150 150790 ) ( * 151130 )
NEW met1 ( 70150 150790 ) ( 77050 * )
NEW met2 ( 77050 150790 ) ( * 158700 )
NEW met2 ( 76590 158700 ) ( 77050 * )
NEW met3 ( 76820 183260 ) ( 179630 * )
NEW met1 ( 13110 151470 ) ( 13800 * )
NEW met1 ( 13800 150790 ) ( * 151470 )
NEW met1 ( 13800 150790 ) ( 60490 * )
NEW met1 ( 60490 150790 ) ( * 151130 )
NEW met1 ( 13110 143310 ) ( 14030 * )
NEW met1 ( 60490 151130 ) ( 70150 * )
NEW met2 ( 76590 166260 ) M2M3_PR_M
NEW met3 ( 76820 166260 ) M3M4_PR_M
NEW met3 ( 76820 183260 ) M3M4_PR_M
NEW met2 ( 179630 183260 ) M2M3_PR_M
NEW met1 ( 179630 183770 ) M1M2_PR
NEW li1 ( 181470 183770 ) L1M1_PR_MR
NEW li1 ( 11730 148070 ) L1M1_PR_MR
NEW met1 ( 13110 148070 ) M1M2_PR
NEW met1 ( 13110 151470 ) M1M2_PR
NEW li1 ( 13110 146370 ) L1M1_PR_MR
NEW met1 ( 13110 146370 ) M1M2_PR
NEW met1 ( 13110 143310 ) M1M2_PR
NEW li1 ( 10810 142630 ) L1M1_PR_MR
NEW met1 ( 77050 150790 ) M1M2_PR
NEW li1 ( 14030 143310 ) L1M1_PR_MR
NEW met3 ( 76590 166260 ) RECT ( -390 -150 0 150 )
NEW met1 ( 13110 146370 ) RECT ( -355 -70 0 70 ) ;
- net119 ( input119 X ) ( _622_ A ) ( _750_ A ) + USE SIGNAL
+ ROUTED met2 ( 158930 8330 ) ( * 13260 )
NEW met3 ( 158930 13260 ) ( 159620 * )
NEW met1 ( 158930 39270 ) ( 160770 * )
NEW met2 ( 158930 37740 ) ( * 39270 )
NEW met3 ( 158930 37740 ) ( 159620 * )
NEW met1 ( 181010 22610 ) ( 181470 * )
NEW met2 ( 181010 22100 ) ( * 22610 )
NEW met3 ( 159620 22100 ) ( 181010 * )
NEW met4 ( 159620 13260 ) ( * 37740 )
NEW met2 ( 133170 8330 ) ( * 12070 )
NEW met1 ( 130870 12070 ) ( 133170 * )
NEW met1 ( 133170 8330 ) ( 158930 * )
NEW met1 ( 158930 8330 ) M1M2_PR
NEW met2 ( 158930 13260 ) M2M3_PR_M
NEW met3 ( 159620 13260 ) M3M4_PR_M
NEW li1 ( 160770 39270 ) L1M1_PR_MR
NEW met1 ( 158930 39270 ) M1M2_PR
NEW met2 ( 158930 37740 ) M2M3_PR_M
NEW met3 ( 159620 37740 ) M3M4_PR_M
NEW li1 ( 181470 22610 ) L1M1_PR_MR
NEW met1 ( 181010 22610 ) M1M2_PR
NEW met2 ( 181010 22100 ) M2M3_PR_M
NEW met3 ( 159620 22100 ) M3M4_PR_M
NEW met1 ( 133170 8330 ) M1M2_PR
NEW met1 ( 133170 12070 ) M1M2_PR
NEW li1 ( 130870 12070 ) L1M1_PR_MR
NEW met4 ( 159620 22100 ) RECT ( -150 -800 150 0 ) ;
- net12 ( input12 X ) ( _641_ A ) ( _769_ A ) + USE SIGNAL
+ ROUTED met2 ( 54510 9350 ) ( * 12070 )
NEW met1 ( 53590 12070 ) ( 54510 * )
NEW met1 ( 103730 20910 ) ( 106490 * )
NEW met2 ( 106490 9350 ) ( * 20910 )
NEW met1 ( 100510 28050 ) ( * 28390 )
NEW met1 ( 100510 28050 ) ( 106490 * )
NEW met2 ( 106490 20910 ) ( * 28050 )
NEW met1 ( 54510 9350 ) ( 106490 * )
NEW met1 ( 54510 9350 ) M1M2_PR
NEW met1 ( 54510 12070 ) M1M2_PR
NEW li1 ( 53590 12070 ) L1M1_PR_MR
NEW li1 ( 103730 20910 ) L1M1_PR_MR
NEW met1 ( 106490 20910 ) M1M2_PR
NEW met1 ( 106490 9350 ) M1M2_PR
NEW li1 ( 100510 28390 ) L1M1_PR_MR
NEW met1 ( 106490 28050 ) M1M2_PR ;
- net120 ( input120 X ) ( _623_ A ) ( _751_ A ) + USE SIGNAL
+ ROUTED met2 ( 9430 57970 ) ( * 60690 )
NEW met2 ( 13570 55590 ) ( * 57970 )
NEW met1 ( 13570 55590 ) ( 14030 * )
NEW met1 ( 9430 57970 ) ( 17710 * )
NEW met1 ( 9430 57970 ) M1M2_PR
NEW li1 ( 9430 60690 ) L1M1_PR_MR
NEW met1 ( 9430 60690 ) M1M2_PR
NEW met1 ( 13570 55590 ) M1M2_PR
NEW met1 ( 13570 57970 ) M1M2_PR
NEW li1 ( 17710 57970 ) L1M1_PR_MR
NEW li1 ( 14030 55590 ) L1M1_PR_MR
NEW met1 ( 9430 60690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 13570 57970 ) RECT ( -595 -70 0 70 ) ;
- net121 ( ANTENNA__752__A DIODE ) ( ANTENNA__624__A DIODE ) ( input121 X ) ( _624_ A ) ( _752_ A ) + USE SIGNAL
+ ROUTED met1 ( 64170 43010 ) ( 65090 * )
NEW met1 ( 65090 42670 ) ( 68310 * )
NEW met1 ( 65090 42670 ) ( * 43010 )
NEW met2 ( 67850 37570 ) ( * 42670 )
NEW met1 ( 64630 36890 ) ( 67850 * )
NEW met1 ( 67850 36890 ) ( * 37570 )
NEW met2 ( 64170 43010 ) ( * 118150 )
NEW met2 ( 37490 118150 ) ( * 120530 )
NEW met1 ( 10350 120530 ) ( 37490 * )
NEW met1 ( 37490 118150 ) ( 64170 * )
NEW li1 ( 10350 120530 ) L1M1_PR_MR
NEW li1 ( 65090 43010 ) L1M1_PR_MR
NEW met1 ( 64170 43010 ) M1M2_PR
NEW li1 ( 68310 42670 ) L1M1_PR_MR
NEW li1 ( 67850 37570 ) L1M1_PR_MR
NEW met1 ( 67850 37570 ) M1M2_PR
NEW met1 ( 67850 42670 ) M1M2_PR
NEW li1 ( 64630 36890 ) L1M1_PR_MR
NEW met1 ( 64170 118150 ) M1M2_PR
NEW met1 ( 37490 120530 ) M1M2_PR
NEW met1 ( 37490 118150 ) M1M2_PR
NEW met1 ( 67850 37570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 67850 42670 ) RECT ( -595 -70 0 70 ) ;
- net122 ( ANTENNA__753__A DIODE ) ( ANTENNA__625__A DIODE ) ( input122 X ) ( _625_ A ) ( _753_ A ) + USE SIGNAL
+ ROUTED met1 ( 28750 43010 ) ( 33350 * )
NEW met2 ( 33350 34510 ) ( * 43010 )
NEW met1 ( 33350 34510 ) ( 37950 * )
NEW met1 ( 37950 34170 ) ( * 34510 )
NEW met1 ( 14950 33490 ) ( 18170 * )
NEW met2 ( 18170 30430 ) ( * 33490 )
NEW met1 ( 18170 30430 ) ( 21850 * )
NEW met1 ( 21850 30430 ) ( * 30770 )
NEW met1 ( 21850 30770 ) ( 33350 * )
NEW met2 ( 33350 30770 ) ( * 34510 )
NEW met2 ( 20470 34850 ) ( * 38930 )
NEW met1 ( 18170 34850 ) ( 20470 * )
NEW met2 ( 18170 33490 ) ( * 34850 )
NEW met1 ( 9430 38930 ) ( 20470 * )
NEW met2 ( 89930 34510 ) ( * 35870 )
NEW met1 ( 54970 34510 ) ( 89930 * )
NEW met1 ( 54970 34170 ) ( * 34510 )
NEW met1 ( 89930 31450 ) ( 93150 * )
NEW met2 ( 89930 31450 ) ( * 34510 )
NEW met1 ( 37950 34170 ) ( 54970 * )
NEW li1 ( 9430 38930 ) L1M1_PR_MR
NEW li1 ( 28750 43010 ) L1M1_PR_MR
NEW met1 ( 33350 43010 ) M1M2_PR
NEW met1 ( 33350 34510 ) M1M2_PR
NEW li1 ( 14950 33490 ) L1M1_PR_MR
NEW met1 ( 18170 33490 ) M1M2_PR
NEW met1 ( 18170 30430 ) M1M2_PR
NEW met1 ( 33350 30770 ) M1M2_PR
NEW met1 ( 20470 38930 ) M1M2_PR
NEW met1 ( 20470 34850 ) M1M2_PR
NEW met1 ( 18170 34850 ) M1M2_PR
NEW li1 ( 89930 35870 ) L1M1_PR_MR
NEW met1 ( 89930 35870 ) M1M2_PR
NEW met1 ( 89930 34510 ) M1M2_PR
NEW li1 ( 93150 31450 ) L1M1_PR_MR
NEW met1 ( 89930 31450 ) M1M2_PR
NEW met1 ( 89930 35870 ) RECT ( -355 -70 0 70 ) ;
- net123 ( input123 X ) ( _626_ A ) ( _754_ A ) + USE SIGNAL
+ ROUTED met1 ( 19550 44030 ) ( 20010 * )
NEW met2 ( 19550 37230 ) ( * 44030 )
NEW met1 ( 19550 37230 ) ( 20470 * )
NEW met1 ( 20470 37230 ) ( * 37570 )
NEW met1 ( 20470 37570 ) ( 26450 * )
NEW met2 ( 26450 31790 ) ( * 37570 )
NEW met1 ( 26450 31790 ) ( 28290 * )
NEW met2 ( 28290 31790 ) ( * 33830 )
NEW met1 ( 28290 33830 ) ( 29210 * )
NEW met1 ( 15410 36890 ) ( * 37230 )
NEW met1 ( 15410 37230 ) ( 19550 * )
NEW met1 ( 12190 36890 ) ( 15410 * )
NEW li1 ( 12190 36890 ) L1M1_PR_MR
NEW li1 ( 20010 44030 ) L1M1_PR_MR
NEW met1 ( 19550 44030 ) M1M2_PR
NEW met1 ( 19550 37230 ) M1M2_PR
NEW met1 ( 26450 37570 ) M1M2_PR
NEW met1 ( 26450 31790 ) M1M2_PR
NEW met1 ( 28290 31790 ) M1M2_PR
NEW met1 ( 28290 33830 ) M1M2_PR
NEW li1 ( 29210 33830 ) L1M1_PR_MR ;
- net124 ( ANTENNA__755__A DIODE ) ( ANTENNA__627__A DIODE ) ( input124 X ) ( _627_ A ) ( _755_ A ) + USE SIGNAL
+ ROUTED met1 ( 40250 30770 ) ( 45310 * )
NEW met2 ( 40250 30770 ) ( * 42500 )
NEW met3 ( 18630 42500 ) ( 40250 * )
NEW met2 ( 18630 42500 ) ( * 42670 )
NEW met1 ( 10350 42670 ) ( 18630 * )
NEW met1 ( 41170 26010 ) ( 43930 * )
NEW met1 ( 41170 25670 ) ( * 26010 )
NEW met1 ( 38870 25670 ) ( 41170 * )
NEW met2 ( 38870 25670 ) ( * 30430 )
NEW met1 ( 38870 30430 ) ( 40250 * )
NEW met1 ( 40250 30430 ) ( * 30770 )
NEW met1 ( 45310 30770 ) ( 48300 * )
NEW met2 ( 80270 31620 ) ( * 31790 )
NEW met3 ( 48530 31620 ) ( 80270 * )
NEW met2 ( 48530 31110 ) ( * 31620 )
NEW met1 ( 48300 31110 ) ( 48530 * )
NEW met1 ( 48300 30770 ) ( * 31110 )
NEW met1 ( 88550 28390 ) ( 89010 * )
NEW met2 ( 88550 28390 ) ( * 31790 )
NEW met1 ( 80270 31790 ) ( 88550 * )
NEW li1 ( 45310 30770 ) L1M1_PR_MR
NEW met1 ( 40250 30770 ) M1M2_PR
NEW met2 ( 40250 42500 ) M2M3_PR_M
NEW met2 ( 18630 42500 ) M2M3_PR_M
NEW met1 ( 18630 42670 ) M1M2_PR
NEW li1 ( 10350 42670 ) L1M1_PR_MR
NEW li1 ( 43930 26010 ) L1M1_PR_MR
NEW met1 ( 38870 25670 ) M1M2_PR
NEW met1 ( 38870 30430 ) M1M2_PR
NEW li1 ( 80270 31790 ) L1M1_PR_MR
NEW met1 ( 80270 31790 ) M1M2_PR
NEW met2 ( 80270 31620 ) M2M3_PR_M
NEW met2 ( 48530 31620 ) M2M3_PR_M
NEW met1 ( 48530 31110 ) M1M2_PR
NEW li1 ( 89010 28390 ) L1M1_PR_MR
NEW met1 ( 88550 28390 ) M1M2_PR
NEW met1 ( 88550 31790 ) M1M2_PR
NEW met1 ( 80270 31790 ) RECT ( -355 -70 0 70 ) ;
- net125 ( input125 X ) ( _628_ A ) ( _756_ A ) + USE SIGNAL
+ ROUTED met1 ( 132250 26010 ) ( 133630 * )
NEW met2 ( 133630 26010 ) ( * 27710 )
NEW met1 ( 133630 27710 ) ( 135010 * )
NEW met2 ( 135010 27710 ) ( * 30430 )
NEW met1 ( 135010 30430 ) ( 135930 * )
NEW met1 ( 139150 17510 ) ( 139610 * )
NEW met1 ( 139150 17510 ) ( * 18190 )
NEW met1 ( 131330 18190 ) ( 139150 * )
NEW met2 ( 131330 18190 ) ( * 26010 )
NEW met1 ( 131330 26010 ) ( 132250 * )
NEW met2 ( 135930 30430 ) ( * 53550 )
NEW met1 ( 135930 53550 ) ( 144900 * )
NEW met1 ( 144900 53210 ) ( * 53550 )
NEW met1 ( 144900 53210 ) ( 159390 * )
NEW met1 ( 159390 52870 ) ( * 53210 )
NEW met1 ( 159390 52870 ) ( 177790 * )
NEW met1 ( 177790 52870 ) ( * 53210 )
NEW met1 ( 177790 53210 ) ( 178710 * )
NEW met1 ( 178710 52870 ) ( * 53210 )
NEW met1 ( 178710 52870 ) ( 179630 * )
NEW met1 ( 179630 52530 ) ( * 52870 )
NEW met1 ( 135930 53550 ) M1M2_PR
NEW li1 ( 132250 26010 ) L1M1_PR_MR
NEW met1 ( 133630 26010 ) M1M2_PR
NEW met1 ( 133630 27710 ) M1M2_PR
NEW met1 ( 135010 27710 ) M1M2_PR
NEW met1 ( 135010 30430 ) M1M2_PR
NEW met1 ( 135930 30430 ) M1M2_PR
NEW li1 ( 139610 17510 ) L1M1_PR_MR
NEW met1 ( 131330 18190 ) M1M2_PR
NEW met1 ( 131330 26010 ) M1M2_PR
NEW li1 ( 179630 52530 ) L1M1_PR_MR ;
- net126 ( ANTENNA__757__A DIODE ) ( ANTENNA__629__A DIODE ) ( input126 X ) ( _629_ A ) ( _757_ A ) + USE SIGNAL
+ ROUTED met1 ( 105110 159970 ) ( 108790 * )
NEW met2 ( 105110 159970 ) ( * 172890 )
NEW met1 ( 105110 172890 ) ( 106030 * )
NEW met1 ( 108790 159630 ) ( * 159970 )
NEW met3 ( 171580 26180 ) ( * 26860 )
NEW met3 ( 171580 26860 ) ( 183310 * )
NEW met2 ( 183310 20570 ) ( * 26860 )
NEW met1 ( 183310 20570 ) ( 188370 * )
NEW met1 ( 115690 160990 ) ( 143290 * )
NEW met2 ( 115690 159630 ) ( * 160990 )
NEW met1 ( 142830 166430 ) ( 143750 * )
NEW met2 ( 143750 161670 ) ( * 166430 )
NEW met2 ( 143290 161670 ) ( 143750 * )
NEW met2 ( 143290 160990 ) ( * 161670 )
NEW met1 ( 137310 167450 ) ( 143750 * )
NEW met2 ( 143750 166430 ) ( * 167450 )
NEW met1 ( 108790 159630 ) ( 115690 * )
NEW met3 ( 141910 26180 ) ( 171580 * )
NEW met1 ( 141910 66470 ) ( 143290 * )
NEW met2 ( 141910 26180 ) ( * 66470 )
NEW met2 ( 143290 66470 ) ( * 160990 )
NEW li1 ( 108790 159970 ) L1M1_PR_MR
NEW met1 ( 105110 159970 ) M1M2_PR
NEW met1 ( 105110 172890 ) M1M2_PR
NEW li1 ( 106030 172890 ) L1M1_PR_MR
NEW met2 ( 183310 26860 ) M2M3_PR_M
NEW met1 ( 183310 20570 ) M1M2_PR
NEW li1 ( 188370 20570 ) L1M1_PR_MR
NEW met1 ( 143290 160990 ) M1M2_PR
NEW met1 ( 115690 160990 ) M1M2_PR
NEW met1 ( 115690 159630 ) M1M2_PR
NEW li1 ( 142830 166430 ) L1M1_PR_MR
NEW met1 ( 143750 166430 ) M1M2_PR
NEW li1 ( 137310 167450 ) L1M1_PR_MR
NEW met1 ( 143750 167450 ) M1M2_PR
NEW met2 ( 141910 26180 ) M2M3_PR_M
NEW met1 ( 141910 66470 ) M1M2_PR
NEW met1 ( 143290 66470 ) M1M2_PR ;
- net127 ( input127 X ) ( _630_ A ) ( _758_ A ) + USE SIGNAL
+ ROUTED met1 ( 158010 50830 ) ( 176870 * )
NEW met2 ( 157550 42330 ) ( 158010 * )
NEW met2 ( 158010 42330 ) ( * 50830 )
NEW met3 ( 156170 39780 ) ( 157550 * )
NEW met2 ( 156170 18700 ) ( * 39780 )
NEW met3 ( 156170 18700 ) ( 157550 * )
NEW met2 ( 157550 17510 ) ( * 18700 )
NEW met1 ( 157550 17510 ) ( 158010 * )
NEW met1 ( 157550 39270 ) ( 157590 * )
NEW met1 ( 157550 39250 ) ( * 39270 )
NEW met1 ( 157550 39250 ) ( 158470 * )
NEW met1 ( 158470 39250 ) ( * 39270 )
NEW met2 ( 158470 39270 ) ( * 39780 )
NEW met3 ( 157550 39780 ) ( 158470 * )
NEW met2 ( 157550 39780 ) ( * 42330 )
NEW li1 ( 176870 50830 ) L1M1_PR_MR
NEW met1 ( 158010 50830 ) M1M2_PR
NEW met2 ( 157550 39780 ) M2M3_PR_M
NEW met2 ( 156170 39780 ) M2M3_PR_M
NEW met2 ( 156170 18700 ) M2M3_PR_M
NEW met2 ( 157550 18700 ) M2M3_PR_M
NEW met1 ( 157550 17510 ) M1M2_PR
NEW li1 ( 158010 17510 ) L1M1_PR_MR
NEW li1 ( 157590 39270 ) L1M1_PR_MR
NEW met1 ( 158470 39270 ) M1M2_PR
NEW met2 ( 158470 39780 ) M2M3_PR_M ;
- net128 ( input128 X ) ( _631_ A ) ( _759_ A ) + USE SIGNAL
+ ROUTED met2 ( 47150 53890 ) ( * 55250 )
NEW met1 ( 20930 53890 ) ( 47150 * )
NEW met1 ( 47150 47770 ) ( 50830 * )
NEW met2 ( 47150 47770 ) ( * 53890 )
NEW li1 ( 47150 55250 ) L1M1_PR_MR
NEW met1 ( 47150 55250 ) M1M2_PR
NEW met1 ( 47150 53890 ) M1M2_PR
NEW li1 ( 20930 53890 ) L1M1_PR_MR
NEW li1 ( 50830 47770 ) L1M1_PR_MR
NEW met1 ( 47150 47770 ) M1M2_PR
NEW met1 ( 47150 55250 ) RECT ( -355 -70 0 70 ) ;
- net129 ( input129 X ) ( _541_ A ) ( _669_ A ) + USE SIGNAL
+ ROUTED met1 ( 35190 14790 ) ( * 15130 )
NEW met1 ( 14490 14790 ) ( 35190 * )
NEW met1 ( 14490 14450 ) ( * 14790 )
NEW met1 ( 8510 14450 ) ( 14490 * )
NEW met1 ( 8510 14450 ) ( * 15130 )
NEW met1 ( 27370 35870 ) ( 29210 * )
NEW met2 ( 29210 30430 ) ( * 35870 )
NEW met1 ( 28290 30430 ) ( 29210 * )
NEW met2 ( 28290 14790 ) ( * 30430 )
NEW met2 ( 28290 14790 ) ( 28750 * )
NEW li1 ( 35190 15130 ) L1M1_PR_MR
NEW li1 ( 8510 15130 ) L1M1_PR_MR
NEW li1 ( 27370 35870 ) L1M1_PR_MR
NEW met1 ( 29210 35870 ) M1M2_PR
NEW met1 ( 29210 30430 ) M1M2_PR
NEW met1 ( 28290 30430 ) M1M2_PR
NEW met1 ( 28750 14790 ) M1M2_PR
NEW met1 ( 28750 14790 ) RECT ( -595 -70 0 70 ) ;
- net13 ( input13 X ) ( _542_ A ) ( _670_ A ) + USE SIGNAL
+ ROUTED met1 ( 104190 169830 ) ( * 170170 )
NEW met1 ( 104190 170170 ) ( 107410 * )
NEW met1 ( 107410 170170 ) ( * 170850 )
NEW met2 ( 110630 170850 ) ( * 173060 )
NEW met2 ( 110630 173060 ) ( 111090 * )
NEW met2 ( 111090 173060 ) ( * 180710 )
NEW met1 ( 111090 180710 ) ( 112010 * )
NEW met1 ( 107410 170850 ) ( 110630 * )
NEW li1 ( 107410 170850 ) L1M1_PR_MR
NEW li1 ( 104190 169830 ) L1M1_PR_MR
NEW met1 ( 110630 170850 ) M1M2_PR
NEW met1 ( 111090 180710 ) M1M2_PR
NEW li1 ( 112010 180710 ) L1M1_PR_MR ;
- net130 ( ANTENNA__338__B DIODE ) ( ANTENNA__327__B DIODE ) ( input130 X ) ( _327_ B ) ( _338_ B ) + USE SIGNAL
+ ROUTED met2 ( 159390 174420 ) ( * 175950 )
NEW met1 ( 159390 175950 ) ( 179630 * )
NEW met1 ( 137310 172890 ) ( * 173230 )
NEW met1 ( 137310 173230 ) ( 144670 * )
NEW met1 ( 144670 172890 ) ( * 173230 )
NEW met1 ( 144670 172890 ) ( 149270 * )
NEW met2 ( 149270 172890 ) ( * 174420 )
NEW met3 ( 149270 174420 ) ( 159390 * )
NEW met1 ( 134090 130050 ) ( 137310 * )
NEW met2 ( 137310 130050 ) ( * 172890 )
NEW met1 ( 132710 82110 ) ( 133170 * )
NEW met2 ( 133170 81090 ) ( * 82110 )
NEW met1 ( 133170 81090 ) ( 138230 * )
NEW met1 ( 135470 91290 ) ( 135930 * )
NEW met2 ( 135010 91290 ) ( 135470 * )
NEW met2 ( 135010 81090 ) ( * 91290 )
NEW met1 ( 135010 92990 ) ( 136390 * )
NEW met2 ( 135010 91290 ) ( * 92990 )
NEW met1 ( 134090 94690 ) ( 135930 * )
NEW li1 ( 135930 92990 ) ( * 94690 )
NEW met2 ( 134090 94690 ) ( * 130050 )
NEW met2 ( 159390 174420 ) M2M3_PR_M
NEW met1 ( 159390 175950 ) M1M2_PR
NEW li1 ( 179630 175950 ) L1M1_PR_MR
NEW met1 ( 137310 172890 ) M1M2_PR
NEW met1 ( 149270 172890 ) M1M2_PR
NEW met2 ( 149270 174420 ) M2M3_PR_M
NEW met1 ( 134090 130050 ) M1M2_PR
NEW met1 ( 137310 130050 ) M1M2_PR
NEW li1 ( 132710 82110 ) L1M1_PR_MR
NEW met1 ( 133170 82110 ) M1M2_PR
NEW met1 ( 133170 81090 ) M1M2_PR
NEW li1 ( 138230 81090 ) L1M1_PR_MR
NEW li1 ( 135930 91290 ) L1M1_PR_MR
NEW met1 ( 135470 91290 ) M1M2_PR
NEW met1 ( 135010 81090 ) M1M2_PR
NEW li1 ( 136390 92990 ) L1M1_PR_MR
NEW met1 ( 135010 92990 ) M1M2_PR
NEW met1 ( 134090 94690 ) M1M2_PR
NEW li1 ( 135930 94690 ) L1M1_PR_MR
NEW li1 ( 135930 92990 ) L1M1_PR_MR
NEW met1 ( 135010 81090 ) RECT ( -595 -70 0 70 )
NEW met1 ( 135930 92990 ) RECT ( -595 -70 0 70 ) ;
- net131 ( input131 X ) ( _351_ A ) + USE SIGNAL
+ ROUTED met1 ( 126270 77690 ) ( 130410 * )
NEW met2 ( 126270 29410 ) ( * 77690 )
NEW met1 ( 126270 77690 ) M1M2_PR
NEW li1 ( 130410 77690 ) L1M1_PR_MR
NEW li1 ( 126270 29410 ) L1M1_PR_MR
NEW met1 ( 126270 29410 ) M1M2_PR
NEW met1 ( 126270 29410 ) RECT ( -355 -70 0 70 ) ;
- net132 ( ANTENNA__233__A DIODE ) ( input132 X ) ( _233_ A ) + USE SIGNAL
+ ROUTED met1 ( 91310 12070 ) ( * 12750 )
NEW met1 ( 100510 50150 ) ( 102350 * )
NEW met1 ( 62100 12750 ) ( 91310 * )
NEW met1 ( 62100 12750 ) ( * 13090 )
NEW met1 ( 54510 13090 ) ( 62100 * )
NEW met1 ( 54510 12410 ) ( * 13090 )
NEW met1 ( 34730 12410 ) ( 54510 * )
NEW met2 ( 100510 46580 ) ( * 46750 )
NEW met3 ( 100510 46580 ) ( 100740 * )
NEW met4 ( 100740 12580 ) ( * 46580 )
NEW met3 ( 98670 12580 ) ( 100740 * )
NEW met2 ( 98670 12070 ) ( * 12580 )
NEW met1 ( 91310 12070 ) ( 98670 * )
NEW met2 ( 100510 46750 ) ( * 50150 )
NEW li1 ( 102350 50150 ) L1M1_PR_MR
NEW met1 ( 100510 50150 ) M1M2_PR
NEW li1 ( 34730 12410 ) L1M1_PR_MR
NEW li1 ( 100510 46750 ) L1M1_PR_MR
NEW met1 ( 100510 46750 ) M1M2_PR
NEW met2 ( 100510 46580 ) M2M3_PR_M
NEW met3 ( 100740 46580 ) M3M4_PR_M
NEW met3 ( 100740 12580 ) M3M4_PR_M
NEW met2 ( 98670 12580 ) M2M3_PR_M
NEW met1 ( 98670 12070 ) M1M2_PR
NEW met1 ( 100510 46750 ) RECT ( -355 -70 0 70 )
NEW met3 ( 100510 46580 ) RECT ( -390 -150 0 150 ) ;
- net133 ( input133 X ) ( _235_ A ) + USE SIGNAL
+ ROUTED met2 ( 140530 37570 ) ( * 47770 )
NEW li1 ( 140530 37570 ) L1M1_PR_MR
NEW met1 ( 140530 37570 ) M1M2_PR
NEW li1 ( 140530 47770 ) L1M1_PR_MR
NEW met1 ( 140530 47770 ) M1M2_PR
NEW met1 ( 140530 37570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 140530 47770 ) RECT ( -355 -70 0 70 ) ;
- net134 ( input134 X ) ( _237_ A ) + USE SIGNAL
+ ROUTED met2 ( 110170 33150 ) ( * 71910 )
NEW met1 ( 110170 71910 ) ( 112930 * )
NEW met1 ( 110170 71910 ) M1M2_PR
NEW li1 ( 110170 33150 ) L1M1_PR_MR
NEW met1 ( 110170 33150 ) M1M2_PR
NEW li1 ( 112930 71910 ) L1M1_PR_MR
NEW met1 ( 110170 33150 ) RECT ( -355 -70 0 70 ) ;
- net135 ( ANTENNA__239__A DIODE ) ( input135 X ) ( _239_ A ) + USE SIGNAL
+ ROUTED met1 ( 106950 76670 ) ( 107410 * )
NEW met1 ( 104190 74630 ) ( 107410 * )
NEW met2 ( 107410 47770 ) ( * 76670 )
NEW met1 ( 181930 28730 ) ( 184230 * )
NEW met2 ( 181930 28730 ) ( * 31620 )
NEW met2 ( 115690 32980 ) ( * 47770 )
NEW met3 ( 115690 32980 ) ( 152260 * )
NEW met3 ( 152260 31620 ) ( * 32980 )
NEW met1 ( 107410 47770 ) ( 115690 * )
NEW met3 ( 152260 31620 ) ( 181930 * )
NEW li1 ( 106950 76670 ) L1M1_PR_MR
NEW met1 ( 107410 76670 ) M1M2_PR
NEW li1 ( 104190 74630 ) L1M1_PR_MR
NEW met1 ( 107410 74630 ) M1M2_PR
NEW met1 ( 107410 47770 ) M1M2_PR
NEW li1 ( 184230 28730 ) L1M1_PR_MR
NEW met1 ( 181930 28730 ) M1M2_PR
NEW met2 ( 181930 31620 ) M2M3_PR_M
NEW met1 ( 115690 47770 ) M1M2_PR
NEW met2 ( 115690 32980 ) M2M3_PR_M
NEW met2 ( 107410 74630 ) RECT ( -70 -485 70 0 ) ;
- net136 ( input136 X ) ( _241_ A ) + USE SIGNAL
+ ROUTED met1 ( 104190 12410 ) ( 113850 * )
NEW met2 ( 113850 12410 ) ( * 30430 )
NEW li1 ( 104190 12410 ) L1M1_PR_MR
NEW met1 ( 113850 12410 ) M1M2_PR
NEW li1 ( 113850 30430 ) L1M1_PR_MR
NEW met1 ( 113850 30430 ) M1M2_PR
NEW met1 ( 113850 30430 ) RECT ( -355 -70 0 70 ) ;
- net137 ( ANTENNA__244__A DIODE ) ( input137 X ) ( _244_ A ) + USE SIGNAL
+ ROUTED met1 ( 116610 184110 ) ( * 184450 )
NEW met1 ( 116610 184450 ) ( 117530 * )
NEW met1 ( 117530 184110 ) ( * 184450 )
NEW met2 ( 96370 183940 ) ( * 184110 )
NEW met3 ( 96140 183940 ) ( 96370 * )
NEW met4 ( 96140 164900 ) ( * 183940 )
NEW met3 ( 90850 164900 ) ( 96140 * )
NEW met2 ( 90850 161330 ) ( * 164900 )
NEW met1 ( 90850 161330 ) ( 91770 * )
NEW met1 ( 91770 186150 ) ( 92690 * )
NEW met2 ( 92690 184110 ) ( * 186150 )
NEW met1 ( 92690 184110 ) ( 96370 * )
NEW met1 ( 96370 184110 ) ( 116610 * )
NEW met1 ( 166290 184110 ) ( * 184450 )
NEW met1 ( 166290 184450 ) ( 177790 * )
NEW met2 ( 177790 184450 ) ( * 185470 )
NEW met1 ( 177790 185470 ) ( 187910 * )
NEW met1 ( 187910 185470 ) ( * 186150 )
NEW met1 ( 117530 184110 ) ( 166290 * )
NEW met1 ( 96370 184110 ) M1M2_PR
NEW met2 ( 96370 183940 ) M2M3_PR_M
NEW met3 ( 96140 183940 ) M3M4_PR_M
NEW met3 ( 96140 164900 ) M3M4_PR_M
NEW met2 ( 90850 164900 ) M2M3_PR_M
NEW met1 ( 90850 161330 ) M1M2_PR
NEW li1 ( 91770 161330 ) L1M1_PR_MR
NEW li1 ( 91770 186150 ) L1M1_PR_MR
NEW met1 ( 92690 186150 ) M1M2_PR
NEW met1 ( 92690 184110 ) M1M2_PR
NEW met1 ( 177790 184450 ) M1M2_PR
NEW met1 ( 177790 185470 ) M1M2_PR
NEW li1 ( 187910 186150 ) L1M1_PR_MR
NEW met3 ( 96140 183940 ) RECT ( -390 -150 0 150 ) ;
- net138 ( input138 X ) ( _246_ A ) + USE SIGNAL
+ ROUTED met1 ( 141450 165410 ) ( 156170 * )
NEW met2 ( 141450 162350 ) ( * 165410 )
NEW met1 ( 118910 162350 ) ( 141450 * )
NEW met2 ( 118910 162350 ) ( * 176460 )
NEW met2 ( 89010 176460 ) ( * 180710 )
NEW met3 ( 89010 176460 ) ( 118910 * )
NEW li1 ( 156170 165410 ) L1M1_PR_MR
NEW met1 ( 141450 165410 ) M1M2_PR
NEW met1 ( 141450 162350 ) M1M2_PR
NEW met1 ( 118910 162350 ) M1M2_PR
NEW met2 ( 118910 176460 ) M2M3_PR_M
NEW met2 ( 89010 176460 ) M2M3_PR_M
NEW li1 ( 89010 180710 ) L1M1_PR_MR
NEW met1 ( 89010 180710 ) M1M2_PR
NEW met1 ( 89010 180710 ) RECT ( -355 -70 0 70 ) ;
- net139 ( input139 X ) ( _248_ A ) + USE SIGNAL
+ ROUTED met1 ( 106950 168130 ) ( 109250 * )
NEW met2 ( 106950 168130 ) ( * 186150 )
NEW met1 ( 106030 186150 ) ( 106950 * )
NEW met1 ( 106030 186150 ) ( * 186490 )
NEW met1 ( 104650 186490 ) ( 106030 * )
NEW li1 ( 109250 168130 ) L1M1_PR_MR
NEW met1 ( 106950 168130 ) M1M2_PR
NEW met1 ( 106950 186150 ) M1M2_PR
NEW li1 ( 104650 186490 ) L1M1_PR_MR ;
- net14 ( input14 X ) ( _642_ A ) ( _770_ A ) + USE SIGNAL
+ ROUTED met1 ( 33810 167450 ) ( 35650 * )
NEW met2 ( 33810 167450 ) ( * 180710 )
NEW met1 ( 29670 180710 ) ( 33810 * )
NEW met1 ( 32890 161330 ) ( 33810 * )
NEW met2 ( 33810 161330 ) ( * 167450 )
NEW li1 ( 35650 167450 ) L1M1_PR_MR
NEW met1 ( 33810 167450 ) M1M2_PR
NEW met1 ( 33810 180710 ) M1M2_PR
NEW li1 ( 29670 180710 ) L1M1_PR_MR
NEW li1 ( 32890 161330 ) L1M1_PR_MR
NEW met1 ( 33810 161330 ) M1M2_PR ;
- net140 ( ANTENNA__250__A DIODE ) ( input140 X ) ( _250_ A ) + USE SIGNAL
+ ROUTED met1 ( 81650 143650 ) ( 83490 * )
NEW met1 ( 83490 142970 ) ( 86250 * )
NEW met1 ( 83490 142970 ) ( * 143650 )
NEW met2 ( 20470 175780 ) ( * 177650 )
NEW met3 ( 20470 175780 ) ( 81650 * )
NEW met2 ( 81650 143650 ) ( * 175780 )
NEW li1 ( 83490 143650 ) L1M1_PR_MR
NEW met1 ( 81650 143650 ) M1M2_PR
NEW li1 ( 86250 142970 ) L1M1_PR_MR
NEW met2 ( 20470 175780 ) M2M3_PR_M
NEW li1 ( 20470 177650 ) L1M1_PR_MR
NEW met1 ( 20470 177650 ) M1M2_PR
NEW met2 ( 81650 175780 ) M2M3_PR_M
NEW met1 ( 20470 177650 ) RECT ( -355 -70 0 70 ) ;
- net141 ( input141 X ) ( _252_ A ) + USE SIGNAL
+ ROUTED met2 ( 20010 131580 ) ( * 132430 )
NEW met2 ( 20010 131580 ) ( 20470 * )
NEW met2 ( 20470 129370 ) ( * 131580 )
NEW met1 ( 13570 132430 ) ( 20010 * )
NEW li1 ( 13570 132430 ) L1M1_PR_MR
NEW met1 ( 20010 132430 ) M1M2_PR
NEW li1 ( 20470 129370 ) L1M1_PR_MR
NEW met1 ( 20470 129370 ) M1M2_PR
NEW met1 ( 20470 129370 ) RECT ( -355 -70 0 70 ) ;
- net142 ( ANTENNA__374__A DIODE ) ( input142 X ) ( _374_ A ) + USE SIGNAL
+ ROUTED met2 ( 69230 86020 ) ( 69690 * )
NEW met2 ( 69230 62100 ) ( * 86020 )
NEW met2 ( 69230 62100 ) ( 69690 * )
NEW met1 ( 71070 137870 ) ( 100050 * )
NEW met2 ( 71070 127330 ) ( * 137870 )
NEW met1 ( 69690 127330 ) ( 71070 * )
NEW met2 ( 97290 137870 ) ( * 140250 )
NEW met2 ( 69690 86020 ) ( * 127330 )
NEW met2 ( 43010 14110 ) ( * 15130 )
NEW met1 ( 43010 15130 ) ( 45310 * )
NEW met2 ( 45310 15130 ) ( * 21250 )
NEW met1 ( 50370 20910 ) ( * 21250 )
NEW met1 ( 50370 20910 ) ( 51750 * )
NEW met1 ( 51750 20910 ) ( * 21250 )
NEW met1 ( 51750 21250 ) ( 69230 * )
NEW met2 ( 69230 21250 ) ( * 31450 )
NEW met2 ( 69230 31450 ) ( 69690 * )
NEW met1 ( 45310 21250 ) ( 50370 * )
NEW met2 ( 69690 31450 ) ( * 62100 )
NEW li1 ( 100050 137870 ) L1M1_PR_MR
NEW met1 ( 71070 137870 ) M1M2_PR
NEW met1 ( 71070 127330 ) M1M2_PR
NEW met1 ( 69690 127330 ) M1M2_PR
NEW li1 ( 97290 140250 ) L1M1_PR_MR
NEW met1 ( 97290 140250 ) M1M2_PR
NEW met1 ( 97290 137870 ) M1M2_PR
NEW li1 ( 43010 14110 ) L1M1_PR_MR
NEW met1 ( 43010 14110 ) M1M2_PR
NEW met1 ( 43010 15130 ) M1M2_PR
NEW met1 ( 45310 15130 ) M1M2_PR
NEW met1 ( 45310 21250 ) M1M2_PR
NEW met1 ( 69230 21250 ) M1M2_PR
NEW met1 ( 97290 140250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 97290 137870 ) RECT ( -595 -70 0 70 )
NEW met1 ( 43010 14110 ) RECT ( -355 -70 0 70 ) ;
- net143 ( input143 X ) ( _255_ A ) + USE SIGNAL
+ ROUTED met1 ( 14030 96390 ) ( * 96730 )
NEW met1 ( 14030 96390 ) ( 14950 * )
NEW met1 ( 14950 96390 ) ( * 96730 )
NEW met1 ( 14950 96730 ) ( 16790 * )
NEW met2 ( 16790 96730 ) ( 17250 * )
NEW met2 ( 17250 79900 ) ( * 96730 )
NEW met2 ( 17250 79900 ) ( 18170 * )
NEW met1 ( 18170 56610 ) ( 19090 * )
NEW met2 ( 18170 56610 ) ( * 79900 )
NEW li1 ( 14030 96730 ) L1M1_PR_MR
NEW met1 ( 16790 96730 ) M1M2_PR
NEW li1 ( 19090 56610 ) L1M1_PR_MR
NEW met1 ( 18170 56610 ) M1M2_PR ;
- net144 ( input144 X ) ( _257_ A ) + USE SIGNAL
+ ROUTED met1 ( 108790 165410 ) ( 109250 * )
NEW met2 ( 108790 165410 ) ( * 183770 )
NEW met1 ( 106030 183770 ) ( 108790 * )
NEW li1 ( 109250 165410 ) L1M1_PR_MR
NEW met1 ( 108790 165410 ) M1M2_PR
NEW met1 ( 108790 183770 ) M1M2_PR
NEW li1 ( 106030 183770 ) L1M1_PR_MR ;
- net145 ( input145 X ) ( _259_ A ) + USE SIGNAL
+ ROUTED met1 ( 158470 80070 ) ( 160770 * )
NEW met1 ( 155250 38590 ) ( 157550 * )
NEW met2 ( 157550 38590 ) ( * 39100 )
NEW met2 ( 157550 39100 ) ( 158010 * )
NEW met2 ( 158010 39100 ) ( * 41820 )
NEW met2 ( 158010 41820 ) ( 158470 * )
NEW met2 ( 158470 41820 ) ( * 80070 )
NEW li1 ( 160770 80070 ) L1M1_PR_MR
NEW met1 ( 158470 80070 ) M1M2_PR
NEW li1 ( 155250 38590 ) L1M1_PR_MR
NEW met1 ( 157550 38590 ) M1M2_PR ;
- net146 ( ANTENNA__261__A DIODE ) ( input146 X ) ( _261_ A ) + USE SIGNAL
+ ROUTED met3 ( 101660 159460 ) ( 102810 * )
NEW met2 ( 102810 159460 ) ( * 186150 )
NEW met1 ( 97750 186150 ) ( 102810 * )
NEW met3 ( 101660 158100 ) ( 103270 * )
NEW met4 ( 101660 158100 ) ( * 159460 )
NEW met2 ( 103270 102850 ) ( * 158100 )
NEW met1 ( 83030 102170 ) ( 83950 * )
NEW met2 ( 83030 102170 ) ( * 102850 )
NEW met1 ( 83030 102850 ) ( 88090 * )
NEW met1 ( 88090 102850 ) ( 103270 * )
NEW met1 ( 103270 102850 ) M1M2_PR
NEW met3 ( 101660 159460 ) M3M4_PR_M
NEW met2 ( 102810 159460 ) M2M3_PR_M
NEW met1 ( 102810 186150 ) M1M2_PR
NEW li1 ( 97750 186150 ) L1M1_PR_MR
NEW met3 ( 101660 158100 ) M3M4_PR_M
NEW met2 ( 103270 158100 ) M2M3_PR_M
NEW li1 ( 88090 102850 ) L1M1_PR_MR
NEW li1 ( 83950 102170 ) L1M1_PR_MR
NEW met1 ( 83030 102170 ) M1M2_PR
NEW met1 ( 83030 102850 ) M1M2_PR ;
- net147 ( input147 X ) ( _263_ A ) + USE SIGNAL
+ ROUTED met2 ( 190670 47770 ) ( * 56270 )
NEW met1 ( 183310 56270 ) ( 190670 * )
NEW li1 ( 190670 47770 ) L1M1_PR_MR
NEW met1 ( 190670 47770 ) M1M2_PR
NEW met1 ( 190670 56270 ) M1M2_PR
NEW li1 ( 183310 56270 ) L1M1_PR_MR
NEW met1 ( 190670 47770 ) RECT ( -355 -70 0 70 ) ;
- net148 ( input148 X ) ( _266_ A ) + USE SIGNAL
+ ROUTED met1 ( 80730 26690 ) ( 81190 * )
NEW met2 ( 81190 26690 ) ( * 39270 )
NEW li1 ( 80730 26690 ) L1M1_PR_MR
NEW met1 ( 81190 26690 ) M1M2_PR
NEW li1 ( 81190 39270 ) L1M1_PR_MR
NEW met1 ( 81190 39270 ) M1M2_PR
NEW met1 ( 81190 39270 ) RECT ( 0 -70 355 70 ) ;
- net149 ( input149 X ) ( _268_ A ) + USE SIGNAL
+ ROUTED met1 ( 168130 47090 ) ( 172270 * )
NEW met2 ( 168130 41820 ) ( * 47090 )
NEW met2 ( 168130 41820 ) ( 168590 * )
NEW met2 ( 168590 33150 ) ( * 41820 )
NEW met2 ( 168590 33150 ) ( 169050 * )
NEW met2 ( 169050 12410 ) ( * 33150 )
NEW li1 ( 172270 47090 ) L1M1_PR_MR
NEW met1 ( 168130 47090 ) M1M2_PR
NEW li1 ( 169050 12410 ) L1M1_PR_MR
NEW met1 ( 169050 12410 ) M1M2_PR
NEW met1 ( 169050 12410 ) RECT ( -355 -70 0 70 ) ;
- net15 ( input15 X ) ( _643_ A ) ( _771_ A ) + USE SIGNAL
+ ROUTED met1 ( 25070 38930 ) ( 31510 * )
NEW met2 ( 31510 34850 ) ( * 38930 )
NEW met1 ( 22770 41990 ) ( * 42330 )
NEW met1 ( 22770 41990 ) ( 24610 * )
NEW met2 ( 24610 38590 ) ( * 41990 )
NEW met1 ( 24610 38590 ) ( 25070 * )
NEW met1 ( 25070 38590 ) ( * 38930 )
NEW li1 ( 25070 38930 ) L1M1_PR_MR
NEW met1 ( 31510 38930 ) M1M2_PR
NEW li1 ( 31510 34850 ) L1M1_PR_MR
NEW met1 ( 31510 34850 ) M1M2_PR
NEW li1 ( 22770 42330 ) L1M1_PR_MR
NEW met1 ( 24610 41990 ) M1M2_PR
NEW met1 ( 24610 38590 ) M1M2_PR
NEW met1 ( 31510 34850 ) RECT ( -355 -70 0 70 ) ;
- net150 ( input150 X ) ( _270_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 50490 ) ( * 51170 )
NEW met1 ( 84410 41650 ) ( * 41990 )
NEW met2 ( 17710 49470 ) ( * 51170 )
NEW met1 ( 17710 49470 ) ( 25530 * )
NEW met1 ( 25530 49470 ) ( * 49810 )
NEW met1 ( 25530 49810 ) ( 42090 * )
NEW met1 ( 42090 49470 ) ( * 49810 )
NEW met1 ( 42090 49470 ) ( 48530 * )
NEW met2 ( 48530 48450 ) ( * 49470 )
NEW met1 ( 48530 48450 ) ( 50370 * )
NEW met2 ( 50370 41650 ) ( * 48450 )
NEW met1 ( 9890 51170 ) ( 17710 * )
NEW met1 ( 50370 41650 ) ( 84410 * )
NEW li1 ( 9890 50490 ) L1M1_PR_MR
NEW li1 ( 84410 41990 ) L1M1_PR_MR
NEW met1 ( 17710 51170 ) M1M2_PR
NEW met1 ( 17710 49470 ) M1M2_PR
NEW met1 ( 48530 49470 ) M1M2_PR
NEW met1 ( 48530 48450 ) M1M2_PR
NEW met1 ( 50370 48450 ) M1M2_PR
NEW met1 ( 50370 41650 ) M1M2_PR ;
- net151 ( input151 X ) ( _272_ A ) + USE SIGNAL
+ ROUTED met2 ( 103270 37230 ) ( * 39270 )
NEW met2 ( 144210 31620 ) ( * 37230 )
NEW met3 ( 144210 31620 ) ( 146510 * )
NEW met2 ( 146510 20060 ) ( * 31620 )
NEW met2 ( 146050 20060 ) ( 146510 * )
NEW met2 ( 146050 15130 ) ( * 20060 )
NEW met1 ( 146050 15130 ) ( 150190 * )
NEW met1 ( 150190 14790 ) ( * 15130 )
NEW met1 ( 150190 14790 ) ( 152030 * )
NEW met1 ( 152030 14790 ) ( * 15130 )
NEW met1 ( 103270 37230 ) ( 144210 * )
NEW met1 ( 152030 15130 ) ( 164910 * )
NEW met1 ( 103270 37230 ) M1M2_PR
NEW li1 ( 103270 39270 ) L1M1_PR_MR
NEW met1 ( 103270 39270 ) M1M2_PR
NEW li1 ( 164910 15130 ) L1M1_PR_MR
NEW met1 ( 144210 37230 ) M1M2_PR
NEW met2 ( 144210 31620 ) M2M3_PR_M
NEW met2 ( 146510 31620 ) M2M3_PR_M
NEW met1 ( 146050 15130 ) M1M2_PR
NEW met1 ( 103270 39270 ) RECT ( -355 -70 0 70 ) ;
- net152 ( input152 X ) ( _274_ A ) + USE SIGNAL
+ ROUTED met1 ( 125810 32130 ) ( 126730 * )
NEW met2 ( 125810 32130 ) ( * 39610 )
NEW met1 ( 99130 39610 ) ( 125810 * )
NEW li1 ( 99130 39610 ) L1M1_PR_MR
NEW li1 ( 126730 32130 ) L1M1_PR_MR
NEW met1 ( 125810 32130 ) M1M2_PR
NEW met1 ( 125810 39610 ) M1M2_PR ;
- net153 ( input153 X ) ( _376_ A ) + USE SIGNAL
+ ROUTED met2 ( 71990 142970 ) ( * 146030 )
NEW met1 ( 34270 145690 ) ( * 146030 )
NEW met1 ( 14950 145690 ) ( 34270 * )
NEW met2 ( 14950 145690 ) ( * 147390 )
NEW met1 ( 34270 146030 ) ( 71990 * )
NEW met1 ( 71990 146030 ) M1M2_PR
NEW li1 ( 71990 142970 ) L1M1_PR_MR
NEW met1 ( 71990 142970 ) M1M2_PR
NEW met1 ( 14950 145690 ) M1M2_PR
NEW li1 ( 14950 147390 ) L1M1_PR_MR
NEW met1 ( 14950 147390 ) M1M2_PR
NEW met1 ( 71990 142970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14950 147390 ) RECT ( -355 -70 0 70 ) ;
- net154 ( input154 X ) ( _277_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 9690 ) ( * 12070 )
NEW met1 ( 9890 9690 ) ( 53130 * )
NEW met1 ( 53130 50150 ) ( 54970 * )
NEW met2 ( 53130 9690 ) ( * 50150 )
NEW met1 ( 9890 9690 ) M1M2_PR
NEW li1 ( 9890 12070 ) L1M1_PR_MR
NEW met1 ( 9890 12070 ) M1M2_PR
NEW met1 ( 53130 9690 ) M1M2_PR
NEW met1 ( 53130 50150 ) M1M2_PR
NEW li1 ( 54970 50150 ) L1M1_PR_MR
NEW met1 ( 9890 12070 ) RECT ( -355 -70 0 70 ) ;
- net155 ( ANTENNA__279__A DIODE ) ( input155 X ) ( _279_ A ) + USE SIGNAL
+ ROUTED met1 ( 46690 186490 ) ( 48070 * )
NEW met1 ( 46690 50490 ) ( 47610 * )
NEW met1 ( 47610 50490 ) ( 51750 * )
NEW met2 ( 46690 50490 ) ( * 186490 )
NEW met1 ( 46690 186490 ) M1M2_PR
NEW li1 ( 48070 186490 ) L1M1_PR_MR
NEW li1 ( 47610 50490 ) L1M1_PR_MR
NEW met1 ( 46690 50490 ) M1M2_PR
NEW li1 ( 51750 50490 ) L1M1_PR_MR ;
- net156 ( input156 X ) ( _281_ A ) + USE SIGNAL
+ ROUTED met1 ( 12190 104890 ) ( * 105230 )
NEW met1 ( 7130 47430 ) ( 12190 * )
NEW met2 ( 12190 47430 ) ( * 104890 )
NEW met1 ( 12190 105230 ) ( 19090 * )
NEW met1 ( 12190 104890 ) M1M2_PR
NEW li1 ( 7130 47430 ) L1M1_PR_MR
NEW met1 ( 12190 47430 ) M1M2_PR
NEW li1 ( 19090 105230 ) L1M1_PR_MR ;
- net157 ( input157 X ) ( _283_ A ) + USE SIGNAL
+ ROUTED met2 ( 57730 47770 ) ( * 57630 )
NEW met1 ( 21850 57630 ) ( 57730 * )
NEW li1 ( 57730 47770 ) L1M1_PR_MR
NEW met1 ( 57730 47770 ) M1M2_PR
NEW met1 ( 57730 57630 ) M1M2_PR
NEW li1 ( 21850 57630 ) L1M1_PR_MR
NEW met1 ( 57730 47770 ) RECT ( -355 -70 0 70 ) ;
- net158 ( input158 X ) ( _285_ A ) + USE SIGNAL
+ ROUTED met1 ( 8970 53210 ) ( * 53550 )
NEW met1 ( 8970 53550 ) ( 13800 * )
NEW met2 ( 34730 33150 ) ( * 53550 )
NEW met1 ( 20470 53550 ) ( 34730 * )
NEW met1 ( 20470 53550 ) ( * 53890 )
NEW met1 ( 13800 53890 ) ( 20470 * )
NEW met1 ( 13800 53550 ) ( * 53890 )
NEW li1 ( 8970 53210 ) L1M1_PR_MR
NEW li1 ( 34730 33150 ) L1M1_PR_MR
NEW met1 ( 34730 33150 ) M1M2_PR
NEW met1 ( 34730 53550 ) M1M2_PR
NEW met1 ( 34730 33150 ) RECT ( -355 -70 0 70 ) ;
- net159 ( ANTENNA__288__A DIODE ) ( input159 X ) ( _288_ A ) + USE SIGNAL
+ ROUTED met1 ( 98210 99110 ) ( 100970 * )
NEW met1 ( 100970 98430 ) ( * 99110 )
NEW met1 ( 160770 23970 ) ( 162150 * )
NEW met2 ( 160770 23970 ) ( * 25330 )
NEW met1 ( 145590 24990 ) ( * 25330 )
NEW met1 ( 145590 24990 ) ( 148810 * )
NEW met1 ( 148810 24990 ) ( * 25330 )
NEW met1 ( 148810 25330 ) ( 160770 * )
NEW met1 ( 100970 33150 ) ( 101890 * )
NEW met2 ( 101890 26010 ) ( * 33150 )
NEW met1 ( 101890 25670 ) ( * 26010 )
NEW met1 ( 101890 25670 ) ( 123970 * )
NEW met1 ( 123970 25330 ) ( * 25670 )
NEW met2 ( 100970 33150 ) ( * 98430 )
NEW met1 ( 123970 25330 ) ( 145590 * )
NEW li1 ( 100970 98430 ) L1M1_PR_MR
NEW met1 ( 100970 98430 ) M1M2_PR
NEW li1 ( 98210 99110 ) L1M1_PR_MR
NEW li1 ( 162150 23970 ) L1M1_PR_MR
NEW met1 ( 160770 23970 ) M1M2_PR
NEW met1 ( 160770 25330 ) M1M2_PR
NEW met1 ( 100970 33150 ) M1M2_PR
NEW met1 ( 101890 33150 ) M1M2_PR
NEW met1 ( 101890 26010 ) M1M2_PR
NEW met1 ( 100970 98430 ) RECT ( -355 -70 0 70 ) ;
- net16 ( input16 X ) ( _644_ A ) ( _772_ A ) + USE SIGNAL
+ ROUTED met1 ( 142370 28390 ) ( 142830 * )
NEW met2 ( 142830 28390 ) ( * 31110 )
NEW met1 ( 134550 17170 ) ( 140530 * )
NEW met2 ( 140530 17170 ) ( * 28390 )
NEW met1 ( 140530 28390 ) ( 142370 * )
NEW met1 ( 147430 30430 ) ( * 31110 )
NEW met1 ( 147430 30430 ) ( 148350 * )
NEW met1 ( 148350 30430 ) ( * 30770 )
NEW met1 ( 148350 30770 ) ( 151570 * )
NEW met1 ( 151570 30430 ) ( * 30770 )
NEW met1 ( 151570 30430 ) ( 164450 * )
NEW met1 ( 164450 30430 ) ( * 30770 )
NEW met1 ( 164450 30770 ) ( 187910 * )
NEW met2 ( 187910 26010 ) ( * 30770 )
NEW met1 ( 142830 31110 ) ( 147430 * )
NEW li1 ( 142370 28390 ) L1M1_PR_MR
NEW met1 ( 142830 28390 ) M1M2_PR
NEW met1 ( 142830 31110 ) M1M2_PR
NEW li1 ( 134550 17170 ) L1M1_PR_MR
NEW met1 ( 140530 17170 ) M1M2_PR
NEW met1 ( 140530 28390 ) M1M2_PR
NEW met1 ( 187910 30770 ) M1M2_PR
NEW li1 ( 187910 26010 ) L1M1_PR_MR
NEW met1 ( 187910 26010 ) M1M2_PR
NEW met1 ( 187910 26010 ) RECT ( -355 -70 0 70 ) ;
- net160 ( ANTENNA__290__A DIODE ) ( input160 X ) ( _290_ A ) + USE SIGNAL
+ ROUTED met2 ( 186990 175610 ) ( * 177990 )
NEW met1 ( 186990 177990 ) ( 187910 * )
NEW met2 ( 98210 175610 ) ( * 177140 )
NEW met3 ( 98210 177140 ) ( 138230 * )
NEW met2 ( 138230 176290 ) ( * 177140 )
NEW met1 ( 138230 176290 ) ( 139610 * )
NEW li1 ( 139610 175610 ) ( * 176290 )
NEW met2 ( 99590 162180 ) ( * 162350 )
NEW met3 ( 99590 162180 ) ( 101660 * )
NEW met4 ( 101660 162180 ) ( * 177140 )
NEW met1 ( 139610 175610 ) ( 186990 * )
NEW met1 ( 186990 175610 ) M1M2_PR
NEW met1 ( 186990 177990 ) M1M2_PR
NEW li1 ( 187910 177990 ) L1M1_PR_MR
NEW li1 ( 98210 175610 ) L1M1_PR_MR
NEW met1 ( 98210 175610 ) M1M2_PR
NEW met2 ( 98210 177140 ) M2M3_PR_M
NEW met2 ( 138230 177140 ) M2M3_PR_M
NEW met1 ( 138230 176290 ) M1M2_PR
NEW li1 ( 139610 176290 ) L1M1_PR_MR
NEW li1 ( 139610 175610 ) L1M1_PR_MR
NEW li1 ( 99590 162350 ) L1M1_PR_MR
NEW met1 ( 99590 162350 ) M1M2_PR
NEW met2 ( 99590 162180 ) M2M3_PR_M
NEW met3 ( 101660 162180 ) M3M4_PR_M
NEW met3 ( 101660 177140 ) M3M4_PR_M
NEW met1 ( 98210 175610 ) RECT ( 0 -70 355 70 )
NEW met1 ( 99590 162350 ) RECT ( -355 -70 0 70 )
NEW met3 ( 101660 177140 ) RECT ( -800 -150 0 150 ) ;
- net161 ( ANTENNA__292__A DIODE ) ( input161 X ) ( _292_ A ) + USE SIGNAL
+ ROUTED met1 ( 187910 12410 ) ( 195730 * )
NEW met1 ( 186530 101150 ) ( 196190 * )
NEW met2 ( 196190 75650 ) ( * 101150 )
NEW met1 ( 178710 98430 ) ( * 99110 )
NEW met1 ( 178710 98430 ) ( 185150 * )
NEW met2 ( 185150 98260 ) ( * 98430 )
NEW met2 ( 185150 98260 ) ( 185610 * )
NEW met2 ( 185610 98260 ) ( * 98940 )
NEW met2 ( 185610 98940 ) ( 186530 * )
NEW met2 ( 186530 98940 ) ( * 101150 )
NEW li1 ( 195730 36550 ) ( 196190 * )
NEW li1 ( 195730 12410 ) ( * 36550 )
NEW li1 ( 196190 36550 ) ( * 75650 )
NEW li1 ( 195730 12410 ) L1M1_PR_MR
NEW li1 ( 187910 12410 ) L1M1_PR_MR
NEW li1 ( 186530 101150 ) L1M1_PR_MR
NEW met1 ( 196190 101150 ) M1M2_PR
NEW li1 ( 196190 75650 ) L1M1_PR_MR
NEW met1 ( 196190 75650 ) M1M2_PR
NEW li1 ( 178710 99110 ) L1M1_PR_MR
NEW met1 ( 185150 98430 ) M1M2_PR
NEW met1 ( 186530 101150 ) M1M2_PR
NEW met1 ( 196190 75650 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186530 101150 ) RECT ( -595 -70 0 70 ) ;
- net162 ( input162 X ) ( _378_ A ) + USE SIGNAL
+ ROUTED met1 ( 61410 139910 ) ( 61870 * )
NEW met2 ( 61410 139910 ) ( * 169150 )
NEW li1 ( 61410 169150 ) L1M1_PR_MR
NEW met1 ( 61410 169150 ) M1M2_PR
NEW li1 ( 61870 139910 ) L1M1_PR_MR
NEW met1 ( 61410 139910 ) M1M2_PR
NEW met1 ( 61410 169150 ) RECT ( -355 -70 0 70 ) ;
- net163 ( ANTENNA__380__A DIODE ) ( input163 X ) ( _380_ A ) + USE SIGNAL
+ ROUTED met2 ( 187910 181390 ) ( * 183430 )
NEW met1 ( 98210 180710 ) ( 100510 * )
NEW met1 ( 100510 180030 ) ( * 180710 )
NEW met1 ( 100510 180030 ) ( 105110 * )
NEW met1 ( 105110 180030 ) ( * 180370 )
NEW met1 ( 105110 180370 ) ( 117990 * )
NEW met1 ( 117990 180370 ) ( * 181390 )
NEW met1 ( 100510 159970 ) ( 101430 * )
NEW met2 ( 100510 159970 ) ( * 160140 )
NEW met3 ( 100510 160140 ) ( 100740 * )
NEW met4 ( 100740 160140 ) ( * 178500 )
NEW met3 ( 100740 178500 ) ( 100970 * )
NEW met2 ( 100970 178500 ) ( * 180030 )
NEW met1 ( 117990 181390 ) ( 187910 * )
NEW met1 ( 187910 181390 ) M1M2_PR
NEW li1 ( 187910 183430 ) L1M1_PR_MR
NEW met1 ( 187910 183430 ) M1M2_PR
NEW li1 ( 98210 180710 ) L1M1_PR_MR
NEW li1 ( 101430 159970 ) L1M1_PR_MR
NEW met1 ( 100510 159970 ) M1M2_PR
NEW met2 ( 100510 160140 ) M2M3_PR_M
NEW met3 ( 100740 160140 ) M3M4_PR_M
NEW met3 ( 100740 178500 ) M3M4_PR_M
NEW met2 ( 100970 178500 ) M2M3_PR_M
NEW met1 ( 100970 180030 ) M1M2_PR
NEW met1 ( 187910 183430 ) RECT ( -355 -70 0 70 )
NEW met3 ( 100510 160140 ) RECT ( -390 -150 0 150 )
NEW met3 ( 100740 178500 ) RECT ( -390 -150 0 150 )
NEW met1 ( 100970 180030 ) RECT ( -595 -70 0 70 ) ;
- net164 ( input164 X ) ( _382_ A ) + USE SIGNAL
+ ROUTED met1 ( 100970 163710 ) ( 101890 * )
NEW met2 ( 100970 140250 ) ( * 163710 )
NEW met1 ( 100970 163710 ) M1M2_PR
NEW li1 ( 101890 163710 ) L1M1_PR_MR
NEW li1 ( 100970 140250 ) L1M1_PR_MR
NEW met1 ( 100970 140250 ) M1M2_PR
NEW met1 ( 100970 140250 ) RECT ( -355 -70 0 70 ) ;
- net165 ( ANTENNA__385__A DIODE ) ( input165 X ) ( _385_ A ) + USE SIGNAL
+ ROUTED met2 ( 169970 177650 ) ( * 177820 )
NEW met1 ( 169970 177650 ) ( 175030 * )
NEW met3 ( 120060 172380 ) ( 120290 * )
NEW met4 ( 120060 172380 ) ( * 177820 )
NEW met3 ( 120060 177820 ) ( 169970 * )
NEW met2 ( 120290 131750 ) ( * 172380 )
NEW met1 ( 75210 132090 ) ( 86250 * )
NEW met1 ( 86250 131750 ) ( * 132090 )
NEW met1 ( 72450 132090 ) ( 75210 * )
NEW met1 ( 86250 131750 ) ( 120290 * )
NEW met2 ( 169970 177820 ) M2M3_PR_M
NEW met1 ( 169970 177650 ) M1M2_PR
NEW li1 ( 175030 177650 ) L1M1_PR_MR
NEW met2 ( 120290 172380 ) M2M3_PR_M
NEW met3 ( 120060 172380 ) M3M4_PR_M
NEW met3 ( 120060 177820 ) M3M4_PR_M
NEW met1 ( 120290 131750 ) M1M2_PR
NEW li1 ( 75210 132090 ) L1M1_PR_MR
NEW li1 ( 72450 132090 ) L1M1_PR_MR
NEW met3 ( 120290 172380 ) RECT ( 0 -150 390 150 ) ;
- net166 ( input166 X ) ( _387_ A ) + USE SIGNAL
+ ROUTED met1 ( 62100 150790 ) ( 69690 * )
NEW met1 ( 62100 150450 ) ( * 150790 )
NEW met1 ( 18630 150450 ) ( 62100 * )
NEW li1 ( 69690 150790 ) L1M1_PR_MR
NEW li1 ( 18630 150450 ) L1M1_PR_MR ;
- net167 ( ANTENNA__389__A DIODE ) ( input167 X ) ( _389_ A ) + USE SIGNAL
+ ROUTED met1 ( 75210 33830 ) ( 76130 * )
NEW met2 ( 76130 33830 ) ( * 36380 )
NEW met1 ( 72450 33830 ) ( 75210 * )
NEW met2 ( 189290 36380 ) ( * 36550 )
NEW met3 ( 76130 36380 ) ( 189290 * )
NEW li1 ( 75210 33830 ) L1M1_PR_MR
NEW met1 ( 76130 33830 ) M1M2_PR
NEW met2 ( 76130 36380 ) M2M3_PR_M
NEW li1 ( 72450 33830 ) L1M1_PR_MR
NEW met2 ( 189290 36380 ) M2M3_PR_M
NEW li1 ( 189290 36550 ) L1M1_PR_MR
NEW met1 ( 189290 36550 ) M1M2_PR
NEW met1 ( 189290 36550 ) RECT ( -355 -70 0 70 ) ;
- net168 ( ANTENNA__391__A DIODE ) ( input168 X ) ( _391_ A ) + USE SIGNAL
+ ROUTED met2 ( 61870 102170 ) ( * 102850 )
NEW met1 ( 61870 102170 ) ( 65550 * )
NEW met1 ( 57270 102850 ) ( 62790 * )
NEW met1 ( 53820 185470 ) ( 57270 * )
NEW met2 ( 57270 102850 ) ( * 185470 )
NEW li1 ( 62790 102850 ) L1M1_PR_MR
NEW li1 ( 65550 102170 ) L1M1_PR_MR
NEW met1 ( 57270 102850 ) M1M2_PR
NEW met1 ( 61870 102170 ) M1M2_PR
NEW met1 ( 61870 102850 ) M1M2_PR
NEW met1 ( 57270 185470 ) M1M2_PR
NEW li1 ( 53820 185470 ) L1M1_PR_MR
NEW met1 ( 61870 102850 ) RECT ( -595 -70 0 70 ) ;
- net169 ( input169 X ) ( _393_ A ) + USE SIGNAL
+ ROUTED met3 ( 6670 158780 ) ( 8050 * )
NEW met2 ( 6670 158780 ) ( * 160990 )
NEW met1 ( 6670 160990 ) ( 9890 * )
NEW met2 ( 9890 160990 ) ( * 186150 )
NEW met1 ( 6670 154530 ) ( 8050 * )
NEW met2 ( 6670 148410 ) ( * 154530 )
NEW met1 ( 6670 148410 ) ( 11270 * )
NEW met2 ( 11270 140930 ) ( * 148410 )
NEW met1 ( 11270 140930 ) ( 13110 * )
NEW met2 ( 8050 154530 ) ( * 158780 )
NEW met2 ( 13110 106590 ) ( * 140930 )
NEW met2 ( 15410 104890 ) ( * 106590 )
NEW met1 ( 13110 106590 ) ( 15410 * )
NEW met1 ( 13110 106590 ) M1M2_PR
NEW met2 ( 8050 158780 ) M2M3_PR_M
NEW met2 ( 6670 158780 ) M2M3_PR_M
NEW met1 ( 6670 160990 ) M1M2_PR
NEW met1 ( 9890 160990 ) M1M2_PR
NEW li1 ( 9890 186150 ) L1M1_PR_MR
NEW met1 ( 9890 186150 ) M1M2_PR
NEW met1 ( 8050 154530 ) M1M2_PR
NEW met1 ( 6670 154530 ) M1M2_PR
NEW met1 ( 6670 148410 ) M1M2_PR
NEW met1 ( 11270 148410 ) M1M2_PR
NEW met1 ( 11270 140930 ) M1M2_PR
NEW met1 ( 13110 140930 ) M1M2_PR
NEW li1 ( 15410 104890 ) L1M1_PR_MR
NEW met1 ( 15410 104890 ) M1M2_PR
NEW met1 ( 15410 106590 ) M1M2_PR
NEW met1 ( 9890 186150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 15410 104890 ) RECT ( -355 -70 0 70 ) ;
- net17 ( input17 X ) ( _645_ A ) ( _773_ A ) + USE SIGNAL
+ ROUTED met1 ( 43930 168130 ) ( 44850 * )
NEW met2 ( 44850 168130 ) ( * 178330 )
NEW met1 ( 44850 178330 ) ( 46230 * )
NEW met1 ( 39790 164370 ) ( * 164390 )
NEW met1 ( 39790 164370 ) ( 40250 * )
NEW met1 ( 40250 164370 ) ( * 164390 )
NEW met1 ( 40250 164390 ) ( 42090 * )
NEW met2 ( 42090 164390 ) ( * 168130 )
NEW met1 ( 42090 168130 ) ( 43930 * )
NEW li1 ( 43930 168130 ) L1M1_PR_MR
NEW met1 ( 44850 168130 ) M1M2_PR
NEW met1 ( 44850 178330 ) M1M2_PR
NEW li1 ( 46230 178330 ) L1M1_PR_MR
NEW li1 ( 39790 164390 ) L1M1_PR_MR
NEW met1 ( 42090 164390 ) M1M2_PR
NEW met1 ( 42090 168130 ) M1M2_PR ;
- net170 ( ANTENNA__353__A DIODE ) ( input170 X ) ( _353_ A ) + USE SIGNAL
+ ROUTED met1 ( 7130 169150 ) ( 8050 * )
NEW met2 ( 6670 158270 ) ( 7130 * )
NEW met2 ( 6670 157250 ) ( * 158270 )
NEW met1 ( 4830 157250 ) ( 6670 * )
NEW li1 ( 4830 139910 ) ( * 157250 )
NEW met1 ( 4830 139910 ) ( 7130 * )
NEW met2 ( 7130 116450 ) ( * 139910 )
NEW met2 ( 7130 158270 ) ( * 169150 )
NEW met1 ( 118450 115430 ) ( 122130 * )
NEW li1 ( 118450 115430 ) ( * 116450 )
NEW met1 ( 7130 116450 ) ( 118910 * )
NEW met1 ( 7130 169150 ) M1M2_PR
NEW li1 ( 8050 169150 ) L1M1_PR_MR
NEW met1 ( 6670 157250 ) M1M2_PR
NEW li1 ( 4830 157250 ) L1M1_PR_MR
NEW li1 ( 4830 139910 ) L1M1_PR_MR
NEW met1 ( 7130 139910 ) M1M2_PR
NEW met1 ( 7130 116450 ) M1M2_PR
NEW li1 ( 118910 116450 ) L1M1_PR_MR
NEW li1 ( 122130 115430 ) L1M1_PR_MR
NEW li1 ( 118450 115430 ) L1M1_PR_MR
NEW li1 ( 118450 116450 ) L1M1_PR_MR
NEW met1 ( 118450 116450 ) RECT ( -595 -70 0 70 ) ;
- net171 ( ANTENNA__396__A DIODE ) ( input171 X ) ( _396_ A ) + USE SIGNAL
+ ROUTED met1 ( 79810 104550 ) ( 82110 * )
NEW met2 ( 10350 44540 ) ( * 44710 )
NEW met2 ( 82110 44540 ) ( * 104550 )
NEW met3 ( 10350 44540 ) ( 82110 * )
NEW li1 ( 82110 104550 ) L1M1_PR_MR
NEW met1 ( 82110 104550 ) M1M2_PR
NEW li1 ( 79810 104550 ) L1M1_PR_MR
NEW met2 ( 10350 44540 ) M2M3_PR_M
NEW li1 ( 10350 44710 ) L1M1_PR_MR
NEW met1 ( 10350 44710 ) M1M2_PR
NEW met2 ( 82110 44540 ) M2M3_PR_M
NEW met1 ( 82110 104550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 10350 44710 ) RECT ( -355 -70 0 70 ) ;
- net172 ( ANTENNA__398__A DIODE ) ( input172 X ) ( _398_ A ) + USE SIGNAL
+ ROUTED met1 ( 71990 104550 ) ( 74750 * )
NEW met2 ( 71990 103870 ) ( * 104550 )
NEW met2 ( 71990 12410 ) ( * 103870 )
NEW li1 ( 71990 12410 ) L1M1_PR_MR
NEW met1 ( 71990 12410 ) M1M2_PR
NEW li1 ( 71990 103870 ) L1M1_PR_MR
NEW met1 ( 71990 103870 ) M1M2_PR
NEW li1 ( 74750 104550 ) L1M1_PR_MR
NEW met1 ( 71990 104550 ) M1M2_PR
NEW met1 ( 71990 12410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 71990 103870 ) RECT ( -355 -70 0 70 ) ;
- net173 ( ANTENNA__400__A DIODE ) ( input173 X ) ( _400_ A ) + USE SIGNAL
+ ROUTED met1 ( 89930 83130 ) ( 94070 * )
NEW met1 ( 87170 83130 ) ( 89930 * )
NEW met2 ( 94070 23630 ) ( * 83130 )
NEW met1 ( 118910 20910 ) ( 136850 * )
NEW met2 ( 118910 20910 ) ( * 23970 )
NEW met1 ( 97290 23970 ) ( 118910 * )
NEW met1 ( 97290 23630 ) ( * 23970 )
NEW met1 ( 94070 23630 ) ( 97290 * )
NEW li1 ( 89930 83130 ) L1M1_PR_MR
NEW met1 ( 94070 83130 ) M1M2_PR
NEW li1 ( 87170 83130 ) L1M1_PR_MR
NEW met1 ( 94070 23630 ) M1M2_PR
NEW li1 ( 136850 20910 ) L1M1_PR_MR
NEW met1 ( 118910 20910 ) M1M2_PR
NEW met1 ( 118910 23970 ) M1M2_PR ;
- net174 ( ANTENNA__402__A DIODE ) ( input174 X ) ( _402_ A ) + USE SIGNAL
+ ROUTED met1 ( 89930 171870 ) ( * 172550 )
NEW met1 ( 92690 156230 ) ( 95450 * )
NEW met2 ( 95450 156230 ) ( * 171870 )
NEW met2 ( 98210 170340 ) ( * 171870 )
NEW met3 ( 98210 170340 ) ( 139610 * )
NEW met2 ( 139610 170340 ) ( * 172210 )
NEW met1 ( 89930 171870 ) ( 98210 * )
NEW met2 ( 187910 172210 ) ( * 175270 )
NEW met1 ( 139610 172210 ) ( 187910 * )
NEW li1 ( 89930 172550 ) L1M1_PR_MR
NEW met1 ( 95450 171870 ) M1M2_PR
NEW li1 ( 92690 156230 ) L1M1_PR_MR
NEW met1 ( 95450 156230 ) M1M2_PR
NEW met1 ( 98210 171870 ) M1M2_PR
NEW met2 ( 98210 170340 ) M2M3_PR_M
NEW met2 ( 139610 170340 ) M2M3_PR_M
NEW met1 ( 139610 172210 ) M1M2_PR
NEW met1 ( 187910 172210 ) M1M2_PR
NEW li1 ( 187910 175270 ) L1M1_PR_MR
NEW met1 ( 187910 175270 ) M1M2_PR
NEW met1 ( 95450 171870 ) RECT ( -595 -70 0 70 )
NEW met1 ( 187910 175270 ) RECT ( -355 -70 0 70 ) ;
- net175 ( input175 X ) ( _404_ A ) + USE SIGNAL
+ ROUTED met1 ( 88090 107270 ) ( 101890 * )
NEW met2 ( 101890 134300 ) ( 102810 * )
NEW met2 ( 101890 107270 ) ( * 134300 )
NEW met3 ( 102580 158780 ) ( 102810 * )
NEW met4 ( 102580 158780 ) ( * 180540 )
NEW met3 ( 98670 180540 ) ( 102580 * )
NEW met2 ( 98670 180540 ) ( * 183430 )
NEW met2 ( 102810 134300 ) ( * 158780 )
NEW met1 ( 101890 107270 ) M1M2_PR
NEW li1 ( 88090 107270 ) L1M1_PR_MR
NEW met2 ( 102810 158780 ) M2M3_PR_M
NEW met3 ( 102580 158780 ) M3M4_PR_M
NEW met3 ( 102580 180540 ) M3M4_PR_M
NEW met2 ( 98670 180540 ) M2M3_PR_M
NEW li1 ( 98670 183430 ) L1M1_PR_MR
NEW met1 ( 98670 183430 ) M1M2_PR
NEW met3 ( 102810 158780 ) RECT ( 0 -150 390 150 )
NEW met1 ( 98670 183430 ) RECT ( -355 -70 0 70 ) ;
- net176 ( ANTENNA__407__A DIODE ) ( input176 X ) ( _407_ A ) + USE SIGNAL
+ ROUTED met1 ( 171810 15470 ) ( 174570 * )
NEW met2 ( 171810 15470 ) ( * 25500 )
NEW met3 ( 158700 25500 ) ( 171810 * )
NEW met2 ( 121210 62100 ) ( 121670 * )
NEW met2 ( 121670 26860 ) ( * 62100 )
NEW met3 ( 121670 26860 ) ( 126500 * )
NEW met3 ( 126500 24820 ) ( * 26860 )
NEW met3 ( 126500 24820 ) ( 158700 * )
NEW met3 ( 158700 24820 ) ( * 25500 )
NEW met1 ( 120750 129030 ) ( 121210 * )
NEW met1 ( 118450 129030 ) ( 120750 * )
NEW met2 ( 121210 62100 ) ( * 129030 )
NEW li1 ( 174570 15470 ) L1M1_PR_MR
NEW met1 ( 171810 15470 ) M1M2_PR
NEW met2 ( 171810 25500 ) M2M3_PR_M
NEW met2 ( 121670 26860 ) M2M3_PR_M
NEW li1 ( 120750 129030 ) L1M1_PR_MR
NEW met1 ( 121210 129030 ) M1M2_PR
NEW li1 ( 118450 129030 ) L1M1_PR_MR ;
- net177 ( input177 X ) ( _409_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 113390 ) ( * 115430 )
NEW met2 ( 82570 126310 ) ( * 129030 )
NEW met1 ( 9890 113390 ) ( 13800 * )
NEW met1 ( 13800 113390 ) ( * 113730 )
NEW met1 ( 13800 113730 ) ( 21390 * )
NEW met1 ( 21390 113390 ) ( * 113730 )
NEW met1 ( 21390 113390 ) ( 57730 * )
NEW met2 ( 57730 113390 ) ( * 129030 )
NEW met1 ( 57730 129030 ) ( 82570 * )
NEW met1 ( 9890 113390 ) M1M2_PR
NEW li1 ( 9890 115430 ) L1M1_PR_MR
NEW met1 ( 9890 115430 ) M1M2_PR
NEW met1 ( 82570 129030 ) M1M2_PR
NEW li1 ( 82570 126310 ) L1M1_PR_MR
NEW met1 ( 82570 126310 ) M1M2_PR
NEW met1 ( 57730 113390 ) M1M2_PR
NEW met1 ( 57730 129030 ) M1M2_PR
NEW met1 ( 9890 115430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 82570 126310 ) RECT ( -355 -70 0 70 ) ;
- net178 ( input178 X ) ( _411_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 132090 ) ( * 134470 )
NEW met1 ( 69230 131410 ) ( * 132090 )
NEW met1 ( 69230 131410 ) ( 81650 * )
NEW met1 ( 81650 131070 ) ( * 131410 )
NEW met1 ( 81650 131070 ) ( 83030 * )
NEW met2 ( 83030 123930 ) ( * 131070 )
NEW met1 ( 83030 123930 ) ( 83950 * )
NEW met1 ( 9890 132090 ) ( 69230 * )
NEW met1 ( 9890 132090 ) M1M2_PR
NEW li1 ( 9890 134470 ) L1M1_PR_MR
NEW met1 ( 9890 134470 ) M1M2_PR
NEW met1 ( 83030 131070 ) M1M2_PR
NEW met1 ( 83030 123930 ) M1M2_PR
NEW li1 ( 83950 123930 ) L1M1_PR_MR
NEW met1 ( 9890 134470 ) RECT ( -355 -70 0 70 ) ;
- net179 ( ANTENNA__413__A DIODE ) ( input179 X ) ( _413_ A ) + USE SIGNAL
+ ROUTED met2 ( 79350 158700 ) ( * 173740 )
NEW met2 ( 88090 123930 ) ( * 125630 )
NEW met1 ( 79810 125630 ) ( 88090 * )
NEW met2 ( 79810 125630 ) ( * 158700 )
NEW met2 ( 79350 158700 ) ( 79810 * )
NEW met1 ( 87630 121890 ) ( 88090 * )
NEW met2 ( 88090 121890 ) ( * 123930 )
NEW met2 ( 25990 173740 ) ( * 177650 )
NEW met1 ( 24150 177650 ) ( 25990 * )
NEW met3 ( 25990 173740 ) ( 79350 * )
NEW met2 ( 79350 173740 ) M2M3_PR_M
NEW li1 ( 88090 123930 ) L1M1_PR_MR
NEW met1 ( 88090 123930 ) M1M2_PR
NEW met1 ( 88090 125630 ) M1M2_PR
NEW met1 ( 79810 125630 ) M1M2_PR
NEW li1 ( 87630 121890 ) L1M1_PR_MR
NEW met1 ( 88090 121890 ) M1M2_PR
NEW met2 ( 25990 173740 ) M2M3_PR_M
NEW met1 ( 25990 177650 ) M1M2_PR
NEW li1 ( 24150 177650 ) L1M1_PR_MR
NEW met1 ( 88090 123930 ) RECT ( -355 -70 0 70 ) ;
- net18 ( input18 X ) ( _646_ A ) ( _774_ A ) + USE SIGNAL
+ ROUTED met1 ( 115230 27710 ) ( 115690 * )
NEW met2 ( 115690 17510 ) ( * 27710 )
NEW met2 ( 110630 31450 ) ( * 31620 )
NEW met3 ( 110630 31620 ) ( 115690 * )
NEW met2 ( 115690 27710 ) ( * 31620 )
NEW li1 ( 115230 27710 ) L1M1_PR_MR
NEW met1 ( 115690 27710 ) M1M2_PR
NEW li1 ( 115690 17510 ) L1M1_PR_MR
NEW met1 ( 115690 17510 ) M1M2_PR
NEW li1 ( 110630 31450 ) L1M1_PR_MR
NEW met1 ( 110630 31450 ) M1M2_PR
NEW met2 ( 110630 31620 ) M2M3_PR_M
NEW met2 ( 115690 31620 ) M2M3_PR_M
NEW met1 ( 115690 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 110630 31450 ) RECT ( 0 -70 355 70 ) ;
- net180 ( ANTENNA__415__A DIODE ) ( input180 X ) ( _415_ A ) + USE SIGNAL
+ ROUTED met2 ( 51750 22610 ) ( * 25500 )
NEW met3 ( 51750 25500 ) ( 54510 * )
NEW met2 ( 54510 25500 ) ( * 34510 )
NEW met1 ( 51750 34510 ) ( 54510 * )
NEW met1 ( 51750 126310 ) ( 52670 * )
NEW met2 ( 51750 122910 ) ( * 126310 )
NEW met2 ( 51750 34510 ) ( * 122910 )
NEW met1 ( 24150 17850 ) ( 33350 * )
NEW met2 ( 33350 17850 ) ( * 21250 )
NEW met1 ( 33350 21250 ) ( 37950 * )
NEW met2 ( 37950 21250 ) ( * 22610 )
NEW met1 ( 37950 22610 ) ( 51750 * )
NEW met1 ( 51750 22610 ) M1M2_PR
NEW met2 ( 51750 25500 ) M2M3_PR_M
NEW met2 ( 54510 25500 ) M2M3_PR_M
NEW met1 ( 54510 34510 ) M1M2_PR
NEW met1 ( 51750 34510 ) M1M2_PR
NEW li1 ( 51750 122910 ) L1M1_PR_MR
NEW met1 ( 51750 122910 ) M1M2_PR
NEW li1 ( 52670 126310 ) L1M1_PR_MR
NEW met1 ( 51750 126310 ) M1M2_PR
NEW li1 ( 24150 17850 ) L1M1_PR_MR
NEW met1 ( 33350 17850 ) M1M2_PR
NEW met1 ( 33350 21250 ) M1M2_PR
NEW met1 ( 37950 21250 ) M1M2_PR
NEW met1 ( 37950 22610 ) M1M2_PR
NEW met1 ( 51750 122910 ) RECT ( -355 -70 0 70 ) ;
- net181 ( input181 X ) ( _355_ A ) + USE SIGNAL
+ ROUTED met1 ( 123970 53210 ) ( * 53890 )
NEW met2 ( 172730 53890 ) ( * 56100 )
NEW met3 ( 172730 56100 ) ( 175950 * )
NEW met2 ( 175950 56100 ) ( * 56270 )
NEW met1 ( 175950 56270 ) ( 179170 * )
NEW met1 ( 123970 53890 ) ( 172730 * )
NEW li1 ( 123970 53210 ) L1M1_PR_MR
NEW met1 ( 172730 53890 ) M1M2_PR
NEW met2 ( 172730 56100 ) M2M3_PR_M
NEW met2 ( 175950 56100 ) M2M3_PR_M
NEW met1 ( 175950 56270 ) M1M2_PR
NEW li1 ( 179170 56270 ) L1M1_PR_MR ;
- net182 ( input182 X ) ( _418_ A ) + USE SIGNAL
+ ROUTED met1 ( 181930 67150 ) ( 190210 * )
NEW met2 ( 190210 67150 ) ( * 69700 )
NEW met2 ( 190210 69700 ) ( 190670 * )
NEW met2 ( 190670 69700 ) ( * 99110 )
NEW li1 ( 181930 67150 ) L1M1_PR_MR
NEW met1 ( 190210 67150 ) M1M2_PR
NEW li1 ( 190670 99110 ) L1M1_PR_MR
NEW met1 ( 190670 99110 ) M1M2_PR
NEW met1 ( 190670 99110 ) RECT ( 0 -70 355 70 ) ;
- net183 ( ANTENNA__420__A DIODE ) ( input183 X ) ( _420_ A ) + USE SIGNAL
+ ROUTED met1 ( 102350 71910 ) ( 102810 * )
NEW met2 ( 102810 71910 ) ( * 74290 )
NEW met2 ( 102810 70210 ) ( * 71910 )
NEW met2 ( 187910 75310 ) ( * 77350 )
NEW met1 ( 126270 74290 ) ( * 75310 )
NEW met1 ( 102810 74290 ) ( 126270 * )
NEW met1 ( 126270 75310 ) ( 187910 * )
NEW li1 ( 102350 71910 ) L1M1_PR_MR
NEW met1 ( 102810 71910 ) M1M2_PR
NEW met1 ( 102810 74290 ) M1M2_PR
NEW li1 ( 102810 70210 ) L1M1_PR_MR
NEW met1 ( 102810 70210 ) M1M2_PR
NEW met1 ( 187910 75310 ) M1M2_PR
NEW li1 ( 187910 77350 ) L1M1_PR_MR
NEW met1 ( 187910 77350 ) M1M2_PR
NEW met1 ( 102810 70210 ) RECT ( -355 -70 0 70 )
NEW met1 ( 187910 77350 ) RECT ( -355 -70 0 70 ) ;
- net184 ( ANTENNA__422__A DIODE ) ( input184 X ) ( _422_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 74290 ) ( * 74630 )
NEW met2 ( 93150 72930 ) ( * 74290 )
NEW met1 ( 93150 72250 ) ( 96370 * )
NEW met1 ( 93150 72250 ) ( * 72930 )
NEW met1 ( 9890 74290 ) ( 93150 * )
NEW li1 ( 9890 74630 ) L1M1_PR_MR
NEW li1 ( 93150 72930 ) L1M1_PR_MR
NEW met1 ( 93150 72930 ) M1M2_PR
NEW met1 ( 93150 74290 ) M1M2_PR
NEW li1 ( 96370 72250 ) L1M1_PR_MR
NEW met1 ( 93150 72930 ) RECT ( -355 -70 0 70 ) ;
- net185 ( input185 X ) ( _424_ A ) + USE SIGNAL
+ ROUTED met1 ( 155710 12410 ) ( 156170 * )
NEW met1 ( 152030 38930 ) ( 155710 * )
NEW met1 ( 152030 38590 ) ( * 38930 )
NEW met2 ( 155710 12410 ) ( * 38930 )
NEW met1 ( 155710 12410 ) M1M2_PR
NEW li1 ( 156170 12410 ) L1M1_PR_MR
NEW met1 ( 155710 38930 ) M1M2_PR
NEW li1 ( 152030 38590 ) L1M1_PR_MR ;
- net186 ( input186 X ) ( _426_ A ) + USE SIGNAL
+ ROUTED met2 ( 53590 153510 ) ( * 168130 )
NEW met1 ( 52210 168130 ) ( 53590 * )
NEW li1 ( 53590 153510 ) L1M1_PR_MR
NEW met1 ( 53590 153510 ) M1M2_PR
NEW met1 ( 53590 168130 ) M1M2_PR
NEW li1 ( 52210 168130 ) L1M1_PR_MR
NEW met1 ( 53590 153510 ) RECT ( 0 -70 355 70 ) ;
- net187 ( input187 X ) ( _430_ A ) + USE SIGNAL
+ ROUTED met1 ( 111550 185810 ) ( * 186150 )
NEW met1 ( 111550 186150 ) ( 116610 * )
NEW met1 ( 116610 185810 ) ( * 186150 )
NEW met1 ( 116610 185810 ) ( 123510 * )
NEW met1 ( 123510 185810 ) ( * 186150 )
NEW met2 ( 56810 186150 ) ( * 186660 )
NEW met3 ( 56810 186660 ) ( 93610 * )
NEW met2 ( 93610 185810 ) ( * 186660 )
NEW met1 ( 40710 186150 ) ( 56810 * )
NEW met1 ( 93610 185810 ) ( 111550 * )
NEW li1 ( 40710 186150 ) L1M1_PR_MR
NEW li1 ( 123510 186150 ) L1M1_PR_MR
NEW met1 ( 56810 186150 ) M1M2_PR
NEW met2 ( 56810 186660 ) M2M3_PR_M
NEW met2 ( 93610 186660 ) M2M3_PR_M
NEW met1 ( 93610 185810 ) M1M2_PR ;
- net188 ( ANTENNA__432__A DIODE ) ( input188 X ) ( _432_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 121210 ) ( * 122910 )
NEW met1 ( 97290 124610 ) ( 128570 * )
NEW met2 ( 97290 121210 ) ( * 124610 )
NEW met2 ( 126730 124610 ) ( * 126310 )
NEW met1 ( 9890 121210 ) ( 97290 * )
NEW met1 ( 9890 121210 ) M1M2_PR
NEW li1 ( 9890 122910 ) L1M1_PR_MR
NEW met1 ( 9890 122910 ) M1M2_PR
NEW li1 ( 128570 124610 ) L1M1_PR_MR
NEW met1 ( 97290 124610 ) M1M2_PR
NEW met1 ( 97290 121210 ) M1M2_PR
NEW li1 ( 126730 126310 ) L1M1_PR_MR
NEW met1 ( 126730 126310 ) M1M2_PR
NEW met1 ( 126730 124610 ) M1M2_PR
NEW met1 ( 9890 122910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 126730 126310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 126730 124610 ) RECT ( -595 -70 0 70 ) ;
- net189 ( ANTENNA__434__A DIODE ) ( input189 X ) ( _434_ A ) + USE SIGNAL
+ ROUTED met1 ( 8050 159630 ) ( 10810 * )
NEW met2 ( 9890 153340 ) ( 10810 * )
NEW met2 ( 9890 143820 ) ( * 153340 )
NEW met2 ( 9430 143820 ) ( 9890 * )
NEW met2 ( 9430 131580 ) ( * 143820 )
NEW met2 ( 9430 131580 ) ( 9890 * )
NEW met2 ( 9890 125630 ) ( * 131580 )
NEW met2 ( 9890 125630 ) ( 10350 * )
NEW met2 ( 10350 112370 ) ( * 125630 )
NEW met1 ( 10350 112370 ) ( 12190 * )
NEW met2 ( 10810 153340 ) ( * 159630 )
NEW met2 ( 12190 105570 ) ( * 112370 )
NEW met1 ( 62100 105230 ) ( * 105570 )
NEW met1 ( 12190 105570 ) ( 62100 * )
NEW met1 ( 117530 104550 ) ( 123510 * )
NEW met1 ( 117530 104550 ) ( * 105230 )
NEW met2 ( 122130 104550 ) ( * 106590 )
NEW met1 ( 62100 105230 ) ( 117530 * )
NEW met1 ( 12190 105570 ) M1M2_PR
NEW met1 ( 10810 159630 ) M1M2_PR
NEW li1 ( 8050 159630 ) L1M1_PR_MR
NEW met1 ( 10350 112370 ) M1M2_PR
NEW met1 ( 12190 112370 ) M1M2_PR
NEW li1 ( 123510 104550 ) L1M1_PR_MR
NEW li1 ( 122130 106590 ) L1M1_PR_MR
NEW met1 ( 122130 106590 ) M1M2_PR
NEW met1 ( 122130 104550 ) M1M2_PR
NEW met1 ( 122130 106590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 122130 104550 ) RECT ( -595 -70 0 70 ) ;
- net19 ( ANTENNA__775__A DIODE ) ( ANTENNA__647__A DIODE ) ( input19 X ) ( _647_ A ) ( _775_ A ) + USE SIGNAL
+ ROUTED met2 ( 50370 13090 ) ( * 37570 )
NEW met1 ( 48990 37570 ) ( 50370 * )
NEW met1 ( 45770 13090 ) ( 50370 * )
NEW met2 ( 48990 166940 ) ( * 168130 )
NEW met2 ( 48530 166940 ) ( 48990 * )
NEW met2 ( 48530 165580 ) ( * 166940 )
NEW met2 ( 48530 165580 ) ( 48990 * )
NEW met2 ( 48990 163710 ) ( * 165580 )
NEW met1 ( 50370 169830 ) ( * 170170 )
NEW met1 ( 48990 170170 ) ( 50370 * )
NEW met2 ( 48990 168130 ) ( * 170170 )
NEW met2 ( 50370 170510 ) ( * 178330 )
NEW met1 ( 50370 170170 ) ( * 170510 )
NEW met2 ( 48990 37570 ) ( * 163710 )
NEW li1 ( 45770 13090 ) L1M1_PR_MR
NEW met1 ( 50370 13090 ) M1M2_PR
NEW met1 ( 50370 37570 ) M1M2_PR
NEW met1 ( 48990 37570 ) M1M2_PR
NEW li1 ( 48990 163710 ) L1M1_PR_MR
NEW met1 ( 48990 163710 ) M1M2_PR
NEW li1 ( 48990 168130 ) L1M1_PR_MR
NEW met1 ( 48990 168130 ) M1M2_PR
NEW li1 ( 50370 169830 ) L1M1_PR_MR
NEW met1 ( 48990 170170 ) M1M2_PR
NEW li1 ( 50370 178330 ) L1M1_PR_MR
NEW met1 ( 50370 178330 ) M1M2_PR
NEW met1 ( 50370 170510 ) M1M2_PR
NEW met1 ( 48990 163710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 48990 168130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 50370 178330 ) RECT ( -355 -70 0 70 ) ;
- net190 ( ANTENNA__436__A DIODE ) ( input190 X ) ( _436_ A ) + USE SIGNAL
+ ROUTED met1 ( 65090 70210 ) ( 86710 * )
NEW met1 ( 86710 69530 ) ( * 70210 )
NEW met2 ( 65090 15810 ) ( * 70210 )
NEW met1 ( 125350 74630 ) ( 125810 * )
NEW met2 ( 125350 69530 ) ( * 74630 )
NEW met1 ( 124890 69530 ) ( 125350 * )
NEW met1 ( 86710 69530 ) ( 124890 * )
NEW met1 ( 65090 70210 ) M1M2_PR
NEW li1 ( 65090 15810 ) L1M1_PR_MR
NEW met1 ( 65090 15810 ) M1M2_PR
NEW li1 ( 124890 69530 ) L1M1_PR_MR
NEW li1 ( 125810 74630 ) L1M1_PR_MR
NEW met1 ( 125350 74630 ) M1M2_PR
NEW met1 ( 125350 69530 ) M1M2_PR
NEW met1 ( 65090 15810 ) RECT ( -355 -70 0 70 ) ;
- net191 ( input191 X ) ( _438_ A ) + USE SIGNAL
+ ROUTED met1 ( 178250 90950 ) ( 179630 * )
NEW met2 ( 179630 15130 ) ( * 90950 )
NEW met1 ( 179630 90950 ) M1M2_PR
NEW li1 ( 178250 90950 ) L1M1_PR_MR
NEW li1 ( 179630 15130 ) L1M1_PR_MR
NEW met1 ( 179630 15130 ) M1M2_PR
NEW met1 ( 179630 15130 ) RECT ( -355 -70 0 70 ) ;
- net192 ( input192 X ) ( _357_ A ) + USE SIGNAL
+ ROUTED met2 ( 124890 62100 ) ( * 71910 )
NEW met1 ( 122130 32130 ) ( 125350 * )
NEW met2 ( 125350 32130 ) ( * 62100 )
NEW met2 ( 124890 62100 ) ( 125350 * )
NEW li1 ( 124890 71910 ) L1M1_PR_MR
NEW met1 ( 124890 71910 ) M1M2_PR
NEW li1 ( 122130 32130 ) L1M1_PR_MR
NEW met1 ( 125350 32130 ) M1M2_PR
NEW met1 ( 124890 71910 ) RECT ( -355 -70 0 70 ) ;
- net193 ( input193 X ) ( _441_ A ) + USE SIGNAL
+ ROUTED met1 ( 112470 23290 ) ( 118450 * )
NEW met2 ( 118450 23290 ) ( * 27710 )
NEW li1 ( 112470 23290 ) L1M1_PR_MR
NEW met1 ( 118450 23290 ) M1M2_PR
NEW li1 ( 118450 27710 ) L1M1_PR_MR
NEW met1 ( 118450 27710 ) M1M2_PR
NEW met1 ( 118450 27710 ) RECT ( -355 -70 0 70 ) ;
- net194 ( input194 X ) ( _443_ A ) + USE SIGNAL
+ ROUTED met1 ( 118910 180030 ) ( * 181050 )
NEW met1 ( 117070 180030 ) ( 118910 * )
NEW met2 ( 117070 180030 ) ( * 181050 )
NEW met1 ( 109710 181050 ) ( 117070 * )
NEW met1 ( 149730 163710 ) ( 151570 * )
NEW met1 ( 149730 163710 ) ( * 164050 )
NEW met1 ( 147430 164050 ) ( 149730 * )
NEW met2 ( 147430 164050 ) ( * 175100 )
NEW met3 ( 147430 175100 ) ( 149270 * )
NEW met2 ( 149270 175100 ) ( * 181050 )
NEW met1 ( 118910 181050 ) ( 149270 * )
NEW met1 ( 117070 180030 ) M1M2_PR
NEW met1 ( 117070 181050 ) M1M2_PR
NEW li1 ( 109710 181050 ) L1M1_PR_MR
NEW li1 ( 151570 163710 ) L1M1_PR_MR
NEW met1 ( 147430 164050 ) M1M2_PR
NEW met2 ( 147430 175100 ) M2M3_PR_M
NEW met2 ( 149270 175100 ) M2M3_PR_M
NEW met1 ( 149270 181050 ) M1M2_PR ;
- net195 ( input195 X ) ( _445_ A ) + USE SIGNAL
+ ROUTED met2 ( 66010 80070 ) ( * 82790 )
NEW met1 ( 14490 80070 ) ( * 81090 )
NEW met1 ( 14490 80070 ) ( 66010 * )
NEW met1 ( 66010 80070 ) M1M2_PR
NEW li1 ( 66010 82790 ) L1M1_PR_MR
NEW met1 ( 66010 82790 ) M1M2_PR
NEW li1 ( 14490 81090 ) L1M1_PR_MR
NEW met1 ( 66010 82790 ) RECT ( -355 -70 0 70 ) ;
- net196 ( input196 X ) ( _447_ A ) + USE SIGNAL
+ ROUTED met1 ( 66930 41990 ) ( * 42330 )
NEW met1 ( 66930 41990 ) ( 71530 * )
NEW met1 ( 62100 42330 ) ( 66930 * )
NEW met1 ( 62100 42330 ) ( * 42670 )
NEW met1 ( 24150 42670 ) ( 62100 * )
NEW met2 ( 24150 42670 ) ( * 46750 )
NEW met1 ( 22310 46750 ) ( 24150 * )
NEW li1 ( 71530 41990 ) L1M1_PR_MR
NEW met1 ( 24150 42670 ) M1M2_PR
NEW met1 ( 24150 46750 ) M1M2_PR
NEW li1 ( 22310 46750 ) L1M1_PR_MR ;
- net197 ( input197 X ) ( _449_ A ) + USE SIGNAL
+ ROUTED met2 ( 135470 124610 ) ( * 129030 )
NEW met1 ( 127190 129030 ) ( 135470 * )
NEW met1 ( 135470 124610 ) ( 179170 * )
NEW li1 ( 179170 124610 ) L1M1_PR_MR
NEW met1 ( 135470 124610 ) M1M2_PR
NEW met1 ( 135470 129030 ) M1M2_PR
NEW li1 ( 127190 129030 ) L1M1_PR_MR ;
- net198 ( ANTENNA__452__A DIODE ) ( input198 X ) ( _452_ A ) + USE SIGNAL
+ ROUTED li1 ( 199410 180710 ) ( 199870 * )
NEW met1 ( 187910 180710 ) ( 199410 * )
NEW met1 ( 180090 131410 ) ( * 132090 )
NEW met1 ( 180090 131410 ) ( 195730 * )
NEW li1 ( 195730 131410 ) ( * 135830 )
NEW met1 ( 195730 135830 ) ( 199410 * )
NEW li1 ( 199410 135830 ) ( 199870 * )
NEW li1 ( 199870 135830 ) ( * 180710 )
NEW met2 ( 138230 132090 ) ( * 134470 )
NEW met1 ( 138230 132090 ) ( 139150 * )
NEW met1 ( 139150 132090 ) ( 180090 * )
NEW li1 ( 199410 180710 ) L1M1_PR_MR
NEW li1 ( 187910 180710 ) L1M1_PR_MR
NEW li1 ( 195730 131410 ) L1M1_PR_MR
NEW li1 ( 195730 135830 ) L1M1_PR_MR
NEW li1 ( 199410 135830 ) L1M1_PR_MR
NEW li1 ( 139150 132090 ) L1M1_PR_MR
NEW li1 ( 138230 134470 ) L1M1_PR_MR
NEW met1 ( 138230 134470 ) M1M2_PR
NEW met1 ( 138230 132090 ) M1M2_PR
NEW met1 ( 138230 134470 ) RECT ( -355 -70 0 70 ) ;
- net199 ( input199 X ) ( _454_ A ) + USE SIGNAL
+ ROUTED met2 ( 131330 168130 ) ( * 185810 )
NEW met1 ( 130410 185810 ) ( 131330 * )
NEW met1 ( 130410 185810 ) ( * 186150 )
NEW li1 ( 131330 168130 ) L1M1_PR_MR
NEW met1 ( 131330 168130 ) M1M2_PR
NEW met1 ( 131330 185810 ) M1M2_PR
NEW li1 ( 130410 186150 ) L1M1_PR_MR
NEW met1 ( 131330 168130 ) RECT ( -355 -70 0 70 ) ;
- net2 ( input2 X ) ( _532_ A ) ( _660_ A ) + USE SIGNAL
+ ROUTED met1 ( 175950 44710 ) ( 176410 * )
NEW met2 ( 176410 43860 ) ( * 44710 )
NEW met3 ( 158700 43860 ) ( 176410 * )
NEW met4 ( 158700 15980 ) ( * 43860 )
NEW met3 ( 154330 15980 ) ( 158700 * )
NEW met2 ( 154330 12410 ) ( * 15980 )
NEW met1 ( 150190 12410 ) ( 154330 * )
NEW met1 ( 190210 31450 ) ( 190670 * )
NEW met2 ( 190670 31450 ) ( * 43180 )
NEW met3 ( 182620 43180 ) ( 190670 * )
NEW met3 ( 182620 43180 ) ( * 43860 )
NEW met3 ( 176410 43860 ) ( 182620 * )
NEW li1 ( 175950 44710 ) L1M1_PR_MR
NEW met1 ( 176410 44710 ) M1M2_PR
NEW met2 ( 176410 43860 ) M2M3_PR_M
NEW met3 ( 158700 43860 ) M3M4_PR_M
NEW met3 ( 158700 15980 ) M3M4_PR_M
NEW met2 ( 154330 15980 ) M2M3_PR_M
NEW met1 ( 154330 12410 ) M1M2_PR
NEW li1 ( 150190 12410 ) L1M1_PR_MR
NEW li1 ( 190210 31450 ) L1M1_PR_MR
NEW met1 ( 190670 31450 ) M1M2_PR
NEW met2 ( 190670 43180 ) M2M3_PR_M ;
- net20 ( input20 X ) ( _648_ A ) ( _776_ A ) + USE SIGNAL
+ ROUTED met1 ( 91770 180370 ) ( 92690 * )
NEW met2 ( 91770 178500 ) ( * 180370 )
NEW met3 ( 89700 178500 ) ( 91770 * )
NEW met4 ( 89700 171700 ) ( * 178500 )
NEW met3 ( 89700 171700 ) ( 92690 * )
NEW met2 ( 92690 165410 ) ( * 171700 )
NEW met1 ( 85330 175270 ) ( 86250 * )
NEW met2 ( 85330 175270 ) ( * 175780 )
NEW met3 ( 85330 175780 ) ( 89700 * )
NEW li1 ( 92690 180370 ) L1M1_PR_MR
NEW met1 ( 91770 180370 ) M1M2_PR
NEW met2 ( 91770 178500 ) M2M3_PR_M
NEW met3 ( 89700 178500 ) M3M4_PR_M
NEW met3 ( 89700 171700 ) M3M4_PR_M
NEW met2 ( 92690 171700 ) M2M3_PR_M
NEW li1 ( 92690 165410 ) L1M1_PR_MR
NEW met1 ( 92690 165410 ) M1M2_PR
NEW li1 ( 86250 175270 ) L1M1_PR_MR
NEW met1 ( 85330 175270 ) M1M2_PR
NEW met2 ( 85330 175780 ) M2M3_PR_M
NEW met3 ( 89700 175780 ) M3M4_PR_M
NEW met1 ( 92690 165410 ) RECT ( -355 -70 0 70 )
NEW met4 ( 89700 175780 ) RECT ( -150 -800 150 0 ) ;
- net200 ( ANTENNA__456__A DIODE ) ( input200 X ) ( _456_ A ) + USE SIGNAL
+ ROUTED met1 ( 135930 129370 ) ( * 129710 )
NEW met1 ( 135930 129710 ) ( 140070 * )
NEW met2 ( 22770 128690 ) ( * 129710 )
NEW met1 ( 10350 128690 ) ( 22770 * )
NEW met1 ( 22770 129710 ) ( 135930 * )
NEW li1 ( 135930 129370 ) L1M1_PR_MR
NEW li1 ( 140070 129710 ) L1M1_PR_MR
NEW met1 ( 22770 129710 ) M1M2_PR
NEW met1 ( 22770 128690 ) M1M2_PR
NEW li1 ( 10350 128690 ) L1M1_PR_MR ;
- net201 ( input201 X ) ( _458_ A ) + USE SIGNAL
+ ROUTED met1 ( 180090 106930 ) ( 197570 * )
NEW met1 ( 190670 134470 ) ( 197570 * )
NEW li1 ( 197570 106930 ) ( * 134470 )
NEW li1 ( 180090 106930 ) L1M1_PR_MR
NEW li1 ( 197570 106930 ) L1M1_PR_MR
NEW li1 ( 197570 134470 ) L1M1_PR_MR
NEW li1 ( 190670 134470 ) L1M1_PR_MR ;
- net202 ( ANTENNA__460__A DIODE ) ( input202 X ) ( _460_ A ) + USE SIGNAL
+ ROUTED met1 ( 77970 13090 ) ( 81650 * )
NEW met2 ( 81650 37740 ) ( 82570 * )
NEW met2 ( 81650 13090 ) ( * 37740 )
NEW met2 ( 82570 37740 ) ( * 110400 )
NEW met2 ( 82110 110400 ) ( 82570 * )
NEW met2 ( 82110 110400 ) ( * 134470 )
NEW met1 ( 140530 134130 ) ( * 134470 )
NEW met1 ( 128690 134130 ) ( 140530 * )
NEW met1 ( 128690 133790 ) ( * 134130 )
NEW met1 ( 125810 133790 ) ( 128690 * )
NEW met1 ( 125810 133790 ) ( * 134470 )
NEW met1 ( 139150 136510 ) ( 140530 * )
NEW met2 ( 140530 134470 ) ( * 136510 )
NEW met1 ( 82110 134470 ) ( 125810 * )
NEW li1 ( 77970 13090 ) L1M1_PR_MR
NEW met1 ( 81650 13090 ) M1M2_PR
NEW met1 ( 82110 134470 ) M1M2_PR
NEW li1 ( 140530 134470 ) L1M1_PR_MR
NEW li1 ( 139150 136510 ) L1M1_PR_MR
NEW met1 ( 140530 136510 ) M1M2_PR
NEW met1 ( 140530 134470 ) M1M2_PR
NEW met1 ( 140530 134470 ) RECT ( -595 -70 0 70 ) ;
- net203 ( input203 X ) ( _359_ A ) + USE SIGNAL
+ ROUTED met1 ( 190670 115770 ) ( 195270 * )
NEW li1 ( 195270 115770 ) ( * 132090 )
NEW li1 ( 194810 132090 ) ( 195270 * )
NEW li1 ( 194810 132090 ) ( * 149090 )
NEW met1 ( 183310 149090 ) ( 194810 * )
NEW li1 ( 190670 115770 ) L1M1_PR_MR
NEW li1 ( 195270 115770 ) L1M1_PR_MR
NEW li1 ( 194810 149090 ) L1M1_PR_MR
NEW li1 ( 183310 149090 ) L1M1_PR_MR ;
- net204 ( input204 X ) ( _463_ A ) + USE SIGNAL
+ ROUTED met1 ( 71990 15130 ) ( 75670 * )
NEW met2 ( 75670 15130 ) ( * 26010 )
NEW met2 ( 75210 26010 ) ( 75670 * )
NEW met2 ( 75210 26010 ) ( * 29070 )
NEW met2 ( 74750 29070 ) ( 75210 * )
NEW li1 ( 71990 15130 ) L1M1_PR_MR
NEW met1 ( 75670 15130 ) M1M2_PR
NEW li1 ( 74750 29070 ) L1M1_PR_MR
NEW met1 ( 74750 29070 ) M1M2_PR
NEW met1 ( 74750 29070 ) RECT ( 0 -70 355 70 ) ;
- net205 ( ANTENNA__465__A DIODE ) ( input205 X ) ( _465_ A ) + USE SIGNAL
+ ROUTED met1 ( 105570 83130 ) ( 107870 * )
NEW met2 ( 107870 83130 ) ( * 83810 )
NEW met1 ( 166290 181730 ) ( 169970 * )
NEW met2 ( 166290 181730 ) ( * 183090 )
NEW met2 ( 107870 139740 ) ( 109710 * )
NEW met2 ( 107870 83810 ) ( * 139740 )
NEW met2 ( 109710 139740 ) ( * 168130 )
NEW met2 ( 111550 168130 ) ( * 169150 )
NEW met1 ( 111550 169150 ) ( 121210 * )
NEW met2 ( 121210 169150 ) ( * 171870 )
NEW met1 ( 121210 171870 ) ( 135470 * )
NEW li1 ( 135470 171870 ) ( * 173230 )
NEW met2 ( 135470 173230 ) ( * 175780 )
NEW met2 ( 135010 175780 ) ( 135470 * )
NEW met2 ( 135010 175780 ) ( * 180370 )
NEW met1 ( 135010 180370 ) ( 144210 * )
NEW met2 ( 144210 180370 ) ( * 183430 )
NEW met1 ( 144210 183430 ) ( 151570 * )
NEW met1 ( 151570 183090 ) ( * 183430 )
NEW met1 ( 109710 168130 ) ( 111550 * )
NEW met1 ( 151570 183090 ) ( 166290 * )
NEW li1 ( 107870 83810 ) L1M1_PR_MR
NEW met1 ( 107870 83810 ) M1M2_PR
NEW li1 ( 105570 83130 ) L1M1_PR_MR
NEW met1 ( 107870 83130 ) M1M2_PR
NEW met1 ( 109710 168130 ) M1M2_PR
NEW li1 ( 169970 181730 ) L1M1_PR_MR
NEW met1 ( 166290 181730 ) M1M2_PR
NEW met1 ( 166290 183090 ) M1M2_PR
NEW met1 ( 111550 168130 ) M1M2_PR
NEW met1 ( 111550 169150 ) M1M2_PR
NEW met1 ( 121210 169150 ) M1M2_PR
NEW met1 ( 121210 171870 ) M1M2_PR
NEW li1 ( 135470 171870 ) L1M1_PR_MR
NEW li1 ( 135470 173230 ) L1M1_PR_MR
NEW met1 ( 135470 173230 ) M1M2_PR
NEW met1 ( 135010 180370 ) M1M2_PR
NEW met1 ( 144210 180370 ) M1M2_PR
NEW met1 ( 144210 183430 ) M1M2_PR
NEW met1 ( 107870 83810 ) RECT ( -355 -70 0 70 )
NEW met1 ( 135470 173230 ) RECT ( -355 -70 0 70 ) ;
- net206 ( input206 X ) ( _467_ A ) + USE SIGNAL
+ ROUTED met1 ( 113390 165410 ) ( 115230 * )
NEW met2 ( 115230 165410 ) ( * 167110 )
NEW li1 ( 113390 165410 ) L1M1_PR_MR
NEW met1 ( 115230 165410 ) M1M2_PR
NEW li1 ( 115230 167110 ) L1M1_PR_MR
NEW met1 ( 115230 167110 ) M1M2_PR
NEW met1 ( 115230 167110 ) RECT ( -355 -70 0 70 ) ;
- net207 ( ANTENNA__469__A DIODE ) ( input207 X ) ( _469_ A ) + USE SIGNAL
+ ROUTED met2 ( 96370 13260 ) ( 96830 * )
NEW met2 ( 96830 12410 ) ( * 13260 )
NEW met1 ( 91770 12410 ) ( 96830 * )
NEW met1 ( 96830 23290 ) ( 107410 * )
NEW met1 ( 107410 22610 ) ( * 23290 )
NEW met1 ( 96370 23290 ) ( 96830 * )
NEW met2 ( 96370 13260 ) ( * 23290 )
NEW met1 ( 171350 22270 ) ( * 23290 )
NEW met1 ( 171350 22270 ) ( 187450 * )
NEW met2 ( 187450 15130 ) ( * 22270 )
NEW met1 ( 187450 15130 ) ( 187910 * )
NEW met1 ( 158700 23290 ) ( 171350 * )
NEW met1 ( 158700 22610 ) ( * 23290 )
NEW met1 ( 107410 22610 ) ( 158700 * )
NEW met1 ( 96830 12410 ) M1M2_PR
NEW li1 ( 91770 12410 ) L1M1_PR_MR
NEW li1 ( 96830 23290 ) L1M1_PR_MR
NEW met1 ( 96370 23290 ) M1M2_PR
NEW met1 ( 187450 22270 ) M1M2_PR
NEW met1 ( 187450 15130 ) M1M2_PR
NEW li1 ( 187910 15130 ) L1M1_PR_MR ;
- net208 ( input208 X ) ( _471_ A ) + USE SIGNAL
+ ROUTED met2 ( 13570 68850 ) ( * 71570 )
NEW met1 ( 62330 58650 ) ( 72450 * )
NEW met2 ( 62330 58650 ) ( * 71570 )
NEW met1 ( 13570 71570 ) ( 62330 * )
NEW li1 ( 13570 68850 ) L1M1_PR_MR
NEW met1 ( 13570 68850 ) M1M2_PR
NEW met1 ( 13570 71570 ) M1M2_PR
NEW met1 ( 62330 71570 ) M1M2_PR
NEW met1 ( 62330 58650 ) M1M2_PR
NEW li1 ( 72450 58650 ) L1M1_PR_MR
NEW met1 ( 13570 68850 ) RECT ( -355 -70 0 70 ) ;
- net209 ( input209 X ) ( _474_ A ) + USE SIGNAL
+ ROUTED met2 ( 171350 15130 ) ( * 46750 )
NEW met1 ( 167670 46750 ) ( 171350 * )
NEW li1 ( 171350 15130 ) L1M1_PR_MR
NEW met1 ( 171350 15130 ) M1M2_PR
NEW met1 ( 171350 46750 ) M1M2_PR
NEW li1 ( 167670 46750 ) L1M1_PR_MR
NEW met1 ( 171350 15130 ) RECT ( 0 -70 355 70 ) ;
- net21 ( input21 X ) ( _649_ A ) ( _777_ A ) + USE SIGNAL
+ ROUTED met1 ( 37030 30430 ) ( 37490 * )
NEW met2 ( 37490 12070 ) ( * 30430 )
NEW met1 ( 37490 12070 ) ( 38870 * )
NEW met1 ( 34730 31450 ) ( 37030 * )
NEW met1 ( 37030 30430 ) ( * 31450 )
NEW li1 ( 37030 30430 ) L1M1_PR_MR
NEW met1 ( 37490 30430 ) M1M2_PR
NEW met1 ( 37490 12070 ) M1M2_PR
NEW li1 ( 38870 12070 ) L1M1_PR_MR
NEW li1 ( 34730 31450 ) L1M1_PR_MR ;
- net210 ( ANTENNA__476__A DIODE ) ( input210 X ) ( _476_ A ) + USE SIGNAL
+ ROUTED met1 ( 19550 12070 ) ( * 12410 )
NEW met1 ( 19550 12070 ) ( 20010 * )
NEW met2 ( 20010 9350 ) ( * 12070 )
NEW met1 ( 20010 9350 ) ( 53590 * )
NEW met1 ( 53590 9350 ) ( * 9690 )
NEW met1 ( 53590 9690 ) ( 57270 * )
NEW li1 ( 57270 9690 ) ( * 10370 )
NEW met1 ( 57270 10370 ) ( 131330 * )
NEW met1 ( 14030 19890 ) ( 19550 * )
NEW met2 ( 19550 12410 ) ( * 19890 )
NEW met1 ( 131330 16830 ) ( 135470 * )
NEW met2 ( 135470 14790 ) ( * 16830 )
NEW met1 ( 135470 14790 ) ( 149730 * )
NEW met2 ( 131330 10370 ) ( * 16830 )
NEW met1 ( 19550 12410 ) M1M2_PR
NEW met1 ( 20010 12070 ) M1M2_PR
NEW met1 ( 20010 9350 ) M1M2_PR
NEW li1 ( 57270 9690 ) L1M1_PR_MR
NEW li1 ( 57270 10370 ) L1M1_PR_MR
NEW met1 ( 131330 10370 ) M1M2_PR
NEW met1 ( 19550 19890 ) M1M2_PR
NEW li1 ( 14030 19890 ) L1M1_PR_MR
NEW li1 ( 131330 16830 ) L1M1_PR_MR
NEW met1 ( 135470 16830 ) M1M2_PR
NEW met1 ( 135470 14790 ) M1M2_PR
NEW li1 ( 149730 14790 ) L1M1_PR_MR
NEW met1 ( 131330 16830 ) M1M2_PR
NEW met1 ( 131330 16830 ) RECT ( -595 -70 0 70 ) ;
- net211 ( input211 X ) ( _478_ A ) + USE SIGNAL
+ ROUTED met2 ( 179170 149090 ) ( * 186150 )
NEW li1 ( 179170 186150 ) L1M1_PR_MR
NEW met1 ( 179170 186150 ) M1M2_PR
NEW li1 ( 179170 149090 ) L1M1_PR_MR
NEW met1 ( 179170 149090 ) M1M2_PR
NEW met1 ( 179170 186150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 179170 149090 ) RECT ( -355 -70 0 70 ) ;
- net212 ( ANTENNA__480__A DIODE ) ( input212 X ) ( _480_ A ) + USE SIGNAL
+ ROUTED met3 ( 67850 83300 ) ( 68770 * )
NEW met2 ( 68770 83300 ) ( * 94010 )
NEW met1 ( 68770 94010 ) ( 86250 * )
NEW met1 ( 86250 94010 ) ( * 94350 )
NEW met2 ( 67850 62100 ) ( * 83300 )
NEW met1 ( 62790 17170 ) ( 67390 * )
NEW met2 ( 67390 17170 ) ( * 62100 )
NEW met2 ( 67390 62100 ) ( 67850 * )
NEW met1 ( 126315 91970 ) ( 140990 * )
NEW met1 ( 126315 91630 ) ( * 91970 )
NEW met1 ( 112930 91630 ) ( 126315 * )
NEW met1 ( 112930 91630 ) ( * 91970 )
NEW met1 ( 105110 91970 ) ( 112930 * )
NEW met2 ( 105110 91970 ) ( * 94350 )
NEW met1 ( 140530 93670 ) ( 140990 * )
NEW met2 ( 140530 91970 ) ( * 93670 )
NEW met1 ( 86250 94350 ) ( 105110 * )
NEW met2 ( 67850 83300 ) M2M3_PR_M
NEW met2 ( 68770 83300 ) M2M3_PR_M
NEW met1 ( 68770 94010 ) M1M2_PR
NEW li1 ( 62790 17170 ) L1M1_PR_MR
NEW met1 ( 67390 17170 ) M1M2_PR
NEW li1 ( 140990 91970 ) L1M1_PR_MR
NEW met1 ( 105110 91970 ) M1M2_PR
NEW met1 ( 105110 94350 ) M1M2_PR
NEW li1 ( 140990 93670 ) L1M1_PR_MR
NEW met1 ( 140530 93670 ) M1M2_PR
NEW met1 ( 140530 91970 ) M1M2_PR
NEW met1 ( 140530 91970 ) RECT ( -595 -70 0 70 ) ;
- net213 ( ANTENNA__482__A DIODE ) ( input213 X ) ( _482_ A ) + USE SIGNAL
+ ROUTED met2 ( 14950 98940 ) ( * 99110 )
NEW met2 ( 138230 98940 ) ( * 99110 )
NEW met1 ( 138230 101830 ) ( 138690 * )
NEW met2 ( 138230 99110 ) ( * 101830 )
NEW met3 ( 14950 98940 ) ( 138230 * )
NEW met2 ( 14950 98940 ) M2M3_PR_M
NEW li1 ( 14950 99110 ) L1M1_PR_MR
NEW met1 ( 14950 99110 ) M1M2_PR
NEW li1 ( 138230 99110 ) L1M1_PR_MR
NEW met1 ( 138230 99110 ) M1M2_PR
NEW met2 ( 138230 98940 ) M2M3_PR_M
NEW li1 ( 138690 101830 ) L1M1_PR_MR
NEW met1 ( 138230 101830 ) M1M2_PR
NEW met1 ( 14950 99110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 138230 99110 ) RECT ( -355 -70 0 70 ) ;
- net214 ( ANTENNA__362__A DIODE ) ( input214 X ) ( _362_ A ) + USE SIGNAL
+ ROUTED met1 ( 52210 105230 ) ( 59110 * )
NEW met1 ( 49450 104550 ) ( 52210 * )
NEW met1 ( 52210 104550 ) ( * 105230 )
NEW met2 ( 59110 105230 ) ( * 110400 )
NEW met2 ( 58650 110400 ) ( 59110 * )
NEW met1 ( 58650 183090 ) ( 60490 * )
NEW met2 ( 60490 183090 ) ( * 186150 )
NEW met1 ( 59110 186150 ) ( 60490 * )
NEW met2 ( 58650 110400 ) ( * 183090 )
NEW li1 ( 52210 105230 ) L1M1_PR_MR
NEW met1 ( 59110 105230 ) M1M2_PR
NEW li1 ( 49450 104550 ) L1M1_PR_MR
NEW met1 ( 58650 183090 ) M1M2_PR
NEW met1 ( 60490 183090 ) M1M2_PR
NEW met1 ( 60490 186150 ) M1M2_PR
NEW li1 ( 59110 186150 ) L1M1_PR_MR ;
- net215 ( input215 X ) ( _486_ A ) + USE SIGNAL
+ ROUTED met2 ( 164910 153850 ) ( * 158270 )
NEW met1 ( 164910 158270 ) ( 187910 * )
NEW met2 ( 187910 158270 ) ( * 164390 )
NEW met1 ( 117990 153850 ) ( 164910 * )
NEW li1 ( 117990 153850 ) L1M1_PR_MR
NEW met1 ( 164910 153850 ) M1M2_PR
NEW met1 ( 164910 158270 ) M1M2_PR
NEW met1 ( 187910 158270 ) M1M2_PR
NEW li1 ( 187910 164390 ) L1M1_PR_MR
NEW met1 ( 187910 164390 ) M1M2_PR
NEW met1 ( 187910 164390 ) RECT ( -355 -70 0 70 ) ;
- net216 ( input216 X ) ( _488_ A ) + USE SIGNAL
+ ROUTED met2 ( 70610 30940 ) ( * 44370 )
NEW met2 ( 69690 30940 ) ( 70610 * )
NEW met2 ( 69690 28730 ) ( * 30940 )
NEW met1 ( 69690 28730 ) ( 70610 * )
NEW met2 ( 25990 41650 ) ( * 44370 )
NEW met1 ( 25990 44370 ) ( 70610 * )
NEW met1 ( 70610 44370 ) M1M2_PR
NEW met1 ( 69690 28730 ) M1M2_PR
NEW li1 ( 70610 28730 ) L1M1_PR_MR
NEW li1 ( 25990 41650 ) L1M1_PR_MR
NEW met1 ( 25990 41650 ) M1M2_PR
NEW met1 ( 25990 44370 ) M1M2_PR
NEW met1 ( 25990 41650 ) RECT ( -355 -70 0 70 ) ;
- net217 ( input217 X ) ( _490_ A ) + USE SIGNAL
+ ROUTED met1 ( 119830 161330 ) ( 121210 * )
NEW met2 ( 119830 161330 ) ( * 183430 )
NEW met1 ( 117530 183430 ) ( 119830 * )
NEW li1 ( 121210 161330 ) L1M1_PR_MR
NEW met1 ( 119830 161330 ) M1M2_PR
NEW met1 ( 119830 183430 ) M1M2_PR
NEW li1 ( 117530 183430 ) L1M1_PR_MR ;
- net218 ( ANTENNA__492__A DIODE ) ( input218 X ) ( _492_ A ) + USE SIGNAL
+ ROUTED met1 ( 93610 107950 ) ( 106030 * )
NEW met1 ( 93610 107950 ) ( * 108290 )
NEW met1 ( 65090 108290 ) ( 93610 * )
NEW met1 ( 109250 107610 ) ( * 107950 )
NEW met1 ( 106030 107950 ) ( 109250 * )
NEW met2 ( 65090 108290 ) ( * 144900 )
NEW met2 ( 64630 144900 ) ( 65090 * )
NEW met2 ( 64630 144900 ) ( * 178670 )
NEW met1 ( 63250 178670 ) ( 64630 * )
NEW met2 ( 63250 178670 ) ( * 183430 )
NEW met1 ( 63250 183430 ) ( 65090 * )
NEW met1 ( 65090 183090 ) ( * 183430 )
NEW li1 ( 106030 107950 ) L1M1_PR_MR
NEW met1 ( 65090 108290 ) M1M2_PR
NEW li1 ( 109250 107610 ) L1M1_PR_MR
NEW met1 ( 64630 178670 ) M1M2_PR
NEW met1 ( 63250 178670 ) M1M2_PR
NEW met1 ( 63250 183430 ) M1M2_PR
NEW li1 ( 65090 183090 ) L1M1_PR_MR ;
- net219 ( ANTENNA__494__A DIODE ) ( input219 X ) ( _494_ A ) + USE SIGNAL
+ ROUTED met1 ( 163990 18530 ) ( 175950 * )
NEW met2 ( 163990 18530 ) ( * 18700 )
NEW met3 ( 161460 18700 ) ( 163990 * )
NEW met4 ( 161460 18700 ) ( * 33660 )
NEW met1 ( 135470 106590 ) ( 136850 * )
NEW met2 ( 136850 86700 ) ( * 106590 )
NEW met3 ( 136620 86700 ) ( 136850 * )
NEW met3 ( 136620 83300 ) ( * 86700 )
NEW met3 ( 136390 83300 ) ( 136620 * )
NEW met2 ( 136390 76670 ) ( * 83300 )
NEW met1 ( 136390 76670 ) ( 137770 * )
NEW met1 ( 129490 107270 ) ( 136850 * )
NEW met2 ( 136850 106590 ) ( * 107270 )
NEW met2 ( 137770 33660 ) ( * 76670 )
NEW met3 ( 137770 33660 ) ( 161460 * )
NEW li1 ( 175950 18530 ) L1M1_PR_MR
NEW met1 ( 163990 18530 ) M1M2_PR
NEW met2 ( 163990 18700 ) M2M3_PR_M
NEW met3 ( 161460 18700 ) M3M4_PR_M
NEW met3 ( 161460 33660 ) M3M4_PR_M
NEW li1 ( 135470 106590 ) L1M1_PR_MR
NEW met1 ( 136850 106590 ) M1M2_PR
NEW met2 ( 136850 86700 ) M2M3_PR_M
NEW met2 ( 136390 83300 ) M2M3_PR_M
NEW met1 ( 136390 76670 ) M1M2_PR
NEW met1 ( 137770 76670 ) M1M2_PR
NEW li1 ( 129490 107270 ) L1M1_PR_MR
NEW met1 ( 136850 107270 ) M1M2_PR
NEW met2 ( 137770 33660 ) M2M3_PR_M ;
- net22 ( input22 X ) ( _650_ A ) ( _778_ A ) + USE SIGNAL
+ ROUTED met1 ( 84410 12410 ) ( 84870 * )
NEW met1 ( 77050 64090 ) ( 83490 * )
NEW met2 ( 83490 62100 ) ( * 64090 )
NEW met2 ( 83490 62100 ) ( 84410 * )
NEW met1 ( 83490 60690 ) ( 84410 * )
NEW met2 ( 84410 12410 ) ( * 62100 )
NEW met1 ( 84410 12410 ) M1M2_PR
NEW li1 ( 84870 12410 ) L1M1_PR_MR
NEW met1 ( 83490 64090 ) M1M2_PR
NEW li1 ( 77050 64090 ) L1M1_PR_MR
NEW li1 ( 83490 60690 ) L1M1_PR_MR
NEW met1 ( 84410 60690 ) M1M2_PR
NEW met2 ( 84410 60690 ) RECT ( -70 -485 70 0 ) ;
- net220 ( ANTENNA__497__A DIODE ) ( input220 X ) ( _497_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 79730 ) ( * 80070 )
NEW met1 ( 75670 75650 ) ( 95450 * )
NEW met2 ( 75670 75650 ) ( * 79730 )
NEW met1 ( 98210 74970 ) ( * 75650 )
NEW met1 ( 95450 75650 ) ( 98210 * )
NEW met1 ( 9890 79730 ) ( 75670 * )
NEW li1 ( 9890 80070 ) L1M1_PR_MR
NEW li1 ( 95450 75650 ) L1M1_PR_MR
NEW met1 ( 75670 75650 ) M1M2_PR
NEW met1 ( 75670 79730 ) M1M2_PR
NEW li1 ( 98210 74970 ) L1M1_PR_MR ;
- net221 ( input221 X ) ( _499_ A ) + USE SIGNAL
+ ROUTED met2 ( 8510 167110 ) ( 8970 * )
NEW met2 ( 8970 167110 ) ( * 180710 )
NEW met1 ( 8970 180710 ) ( 9890 * )
NEW met1 ( 7590 134470 ) ( 8510 * )
NEW met1 ( 7590 134470 ) ( * 135490 )
NEW met2 ( 7590 135490 ) ( * 136340 )
NEW met2 ( 7590 136340 ) ( 8050 * )
NEW met2 ( 8050 136340 ) ( * 154020 )
NEW met2 ( 8050 154020 ) ( 8510 * )
NEW met2 ( 8510 101830 ) ( * 134470 )
NEW met2 ( 8510 154020 ) ( * 167110 )
NEW met1 ( 8510 101830 ) ( 15410 * )
NEW met1 ( 8510 101830 ) M1M2_PR
NEW met1 ( 8970 180710 ) M1M2_PR
NEW li1 ( 9890 180710 ) L1M1_PR_MR
NEW met1 ( 8510 134470 ) M1M2_PR
NEW met1 ( 7590 135490 ) M1M2_PR
NEW li1 ( 15410 101830 ) L1M1_PR_MR ;
- net222 ( ANTENNA__501__A DIODE ) ( input222 X ) ( _501_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 135150 ) ( * 137190 )
NEW met1 ( 54970 96390 ) ( 57730 * )
NEW met1 ( 57730 96390 ) ( * 96730 )
NEW met2 ( 59570 112710 ) ( * 135150 )
NEW met1 ( 57730 112710 ) ( 59570 * )
NEW met1 ( 9890 135150 ) ( 59570 * )
NEW met2 ( 57730 96730 ) ( * 112710 )
NEW met1 ( 9890 135150 ) M1M2_PR
NEW li1 ( 9890 137190 ) L1M1_PR_MR
NEW met1 ( 9890 137190 ) M1M2_PR
NEW li1 ( 57730 96730 ) L1M1_PR_MR
NEW met1 ( 57730 96730 ) M1M2_PR
NEW li1 ( 54970 96390 ) L1M1_PR_MR
NEW met1 ( 59570 135150 ) M1M2_PR
NEW met1 ( 59570 112710 ) M1M2_PR
NEW met1 ( 57730 112710 ) M1M2_PR
NEW met1 ( 9890 137190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 57730 96730 ) RECT ( -355 -70 0 70 ) ;
- net223 ( ANTENNA__503__A DIODE ) ( input223 X ) ( _503_ A ) + USE SIGNAL
+ ROUTED met1 ( 105110 96730 ) ( 106490 * )
NEW met2 ( 106490 96730 ) ( * 99450 )
NEW met2 ( 106490 89250 ) ( * 96730 )
NEW met1 ( 189750 155550 ) ( 198030 * )
NEW li1 ( 198030 99450 ) ( * 155550 )
NEW met1 ( 106490 99450 ) ( 198030 * )
NEW li1 ( 105110 96730 ) L1M1_PR_MR
NEW met1 ( 106490 96730 ) M1M2_PR
NEW met1 ( 106490 99450 ) M1M2_PR
NEW li1 ( 106490 89250 ) L1M1_PR_MR
NEW met1 ( 106490 89250 ) M1M2_PR
NEW li1 ( 198030 99450 ) L1M1_PR_MR
NEW li1 ( 198030 155550 ) L1M1_PR_MR
NEW li1 ( 189750 155550 ) L1M1_PR_MR
NEW met1 ( 106490 89250 ) RECT ( -355 -70 0 70 ) ;
- net224 ( input224 X ) ( _505_ A ) + USE SIGNAL
+ ROUTED met1 ( 190670 63750 ) ( 191130 * )
NEW met1 ( 177330 53890 ) ( 191130 * )
NEW met2 ( 191130 53890 ) ( * 63750 )
NEW met1 ( 191130 63750 ) M1M2_PR
NEW li1 ( 190670 63750 ) L1M1_PR_MR
NEW li1 ( 177330 53890 ) L1M1_PR_MR
NEW met1 ( 191130 53890 ) M1M2_PR ;
- net225 ( input225 X ) ( _364_ A ) + USE SIGNAL
+ ROUTED met2 ( 106490 168130 ) ( * 183430 )
NEW met1 ( 106490 183430 ) ( 109250 * )
NEW li1 ( 106490 168130 ) L1M1_PR_MR
NEW met1 ( 106490 168130 ) M1M2_PR
NEW met1 ( 106490 183430 ) M1M2_PR
NEW li1 ( 109250 183430 ) L1M1_PR_MR
NEW met1 ( 106490 168130 ) RECT ( -355 -70 0 70 ) ;
- net226 ( ANTENNA__508__A DIODE ) ( input226 X ) ( _508_ A ) + USE SIGNAL
+ ROUTED met1 ( 99130 104550 ) ( 107410 * )
NEW met1 ( 99130 104550 ) ( * 104890 )
NEW met2 ( 106490 104550 ) ( * 109310 )
NEW met1 ( 43930 106590 ) ( 52670 * )
NEW met2 ( 52670 104890 ) ( * 106590 )
NEW met1 ( 52670 104890 ) ( 99130 * )
NEW met1 ( 42550 183090 ) ( 43930 * )
NEW met2 ( 43930 106590 ) ( * 183090 )
NEW li1 ( 107410 104550 ) L1M1_PR_MR
NEW li1 ( 106490 109310 ) L1M1_PR_MR
NEW met1 ( 106490 109310 ) M1M2_PR
NEW met1 ( 106490 104550 ) M1M2_PR
NEW met1 ( 43930 106590 ) M1M2_PR
NEW met1 ( 52670 106590 ) M1M2_PR
NEW met1 ( 52670 104890 ) M1M2_PR
NEW met1 ( 43930 183090 ) M1M2_PR
NEW li1 ( 42550 183090 ) L1M1_PR_MR
NEW met1 ( 106490 109310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 106490 104550 ) RECT ( -595 -70 0 70 ) ;
- net227 ( input227 X ) ( _510_ A ) + USE SIGNAL
+ ROUTED met2 ( 11730 69870 ) ( * 71230 )
NEW met1 ( 41630 69530 ) ( * 69870 )
NEW met1 ( 11730 69870 ) ( 41630 * )
NEW met1 ( 11730 69870 ) M1M2_PR
NEW li1 ( 11730 71230 ) L1M1_PR_MR
NEW met1 ( 11730 71230 ) M1M2_PR
NEW li1 ( 41630 69530 ) L1M1_PR_MR
NEW met1 ( 11730 71230 ) RECT ( -355 -70 0 70 ) ;
- net228 ( input228 X ) ( _512_ A ) + USE SIGNAL
+ ROUTED met1 ( 190670 118150 ) ( 194350 * )
NEW li1 ( 194350 118150 ) ( * 119170 )
NEW met1 ( 194350 119170 ) ( 196650 * )
NEW met1 ( 184230 148410 ) ( 196650 * )
NEW met1 ( 184230 148410 ) ( * 148750 )
NEW met1 ( 181930 148750 ) ( 184230 * )
NEW met2 ( 181930 148750 ) ( * 150110 )
NEW met1 ( 176870 150110 ) ( 181930 * )
NEW li1 ( 196650 119170 ) ( * 148410 )
NEW li1 ( 190670 118150 ) L1M1_PR_MR
NEW li1 ( 194350 118150 ) L1M1_PR_MR
NEW li1 ( 194350 119170 ) L1M1_PR_MR
NEW li1 ( 196650 119170 ) L1M1_PR_MR
NEW li1 ( 196650 148410 ) L1M1_PR_MR
NEW met1 ( 181930 148750 ) M1M2_PR
NEW met1 ( 181930 150110 ) M1M2_PR
NEW li1 ( 176870 150110 ) L1M1_PR_MR ;
- net229 ( input229 X ) ( _514_ A ) + USE SIGNAL
+ ROUTED met1 ( 190670 93670 ) ( 195270 * )
NEW met1 ( 189290 17850 ) ( 195270 * )
NEW li1 ( 195270 17850 ) ( * 93670 )
NEW li1 ( 195270 93670 ) L1M1_PR_MR
NEW li1 ( 190670 93670 ) L1M1_PR_MR
NEW li1 ( 195270 17850 ) L1M1_PR_MR
NEW li1 ( 189290 17850 ) L1M1_PR_MR ;
- net23 ( ANTENNA__779__A DIODE ) ( ANTENNA__651__A DIODE ) ( input23 X ) ( _651_ A ) ( _779_ A ) + USE SIGNAL
+ ROUTED met2 ( 175490 10030 ) ( * 11390 )
NEW met1 ( 175490 11390 ) ( 181930 * )
NEW met1 ( 129490 10030 ) ( 175490 * )
NEW met1 ( 118910 169830 ) ( 122130 * )
NEW met1 ( 123050 164050 ) ( 128110 * )
NEW met2 ( 123050 164050 ) ( * 169830 )
NEW met1 ( 122130 169830 ) ( 123050 * )
NEW met1 ( 123050 159970 ) ( 123510 * )
NEW met2 ( 123050 159970 ) ( * 164050 )
NEW met2 ( 129490 10030 ) ( * 13800 )
NEW met2 ( 127650 37740 ) ( 128570 * )
NEW met2 ( 128570 13800 ) ( * 37740 )
NEW met2 ( 128570 13800 ) ( 129490 * )
NEW met2 ( 127650 37740 ) ( * 110400 )
NEW met2 ( 127190 110400 ) ( 127650 * )
NEW met2 ( 127190 110400 ) ( * 164050 )
NEW met1 ( 175490 10030 ) M1M2_PR
NEW met1 ( 175490 11390 ) M1M2_PR
NEW li1 ( 181930 11390 ) L1M1_PR_MR
NEW met1 ( 129490 10030 ) M1M2_PR
NEW li1 ( 122130 169830 ) L1M1_PR_MR
NEW li1 ( 118910 169830 ) L1M1_PR_MR
NEW li1 ( 128110 164050 ) L1M1_PR_MR
NEW met1 ( 123050 164050 ) M1M2_PR
NEW met1 ( 123050 169830 ) M1M2_PR
NEW li1 ( 123510 159970 ) L1M1_PR_MR
NEW met1 ( 123050 159970 ) M1M2_PR
NEW met1 ( 127190 164050 ) M1M2_PR
NEW met1 ( 127190 164050 ) RECT ( -595 -70 0 70 ) ;
- net230 ( ANTENNA__516__A DIODE ) ( input230 X ) ( _516_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 91630 ) ( * 96390 )
NEW met2 ( 103730 89250 ) ( * 91970 )
NEW met1 ( 103730 91630 ) ( * 91970 )
NEW met1 ( 14950 91630 ) ( * 91970 )
NEW met1 ( 9890 91630 ) ( 14950 * )
NEW met1 ( 14950 91970 ) ( 103730 * )
NEW met1 ( 111550 91290 ) ( * 91630 )
NEW met1 ( 103730 91630 ) ( 111550 * )
NEW met1 ( 9890 91630 ) M1M2_PR
NEW li1 ( 9890 96390 ) L1M1_PR_MR
NEW met1 ( 9890 96390 ) M1M2_PR
NEW li1 ( 103730 89250 ) L1M1_PR_MR
NEW met1 ( 103730 89250 ) M1M2_PR
NEW met1 ( 103730 91970 ) M1M2_PR
NEW li1 ( 111550 91290 ) L1M1_PR_MR
NEW met1 ( 9890 96390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 103730 89250 ) RECT ( -355 -70 0 70 ) ;
- net231 ( ANTENNA__519__A DIODE ) ( input231 X ) ( _519_ A ) + USE SIGNAL
+ ROUTED met1 ( 172730 16830 ) ( 173650 * )
NEW met2 ( 173650 16830 ) ( * 19550 )
NEW met1 ( 173650 19550 ) ( 175490 * )
NEW met2 ( 172730 9350 ) ( * 16830 )
NEW met1 ( 116150 141950 ) ( 117530 * )
NEW met1 ( 113390 141950 ) ( * 142630 )
NEW met1 ( 113390 141950 ) ( 116150 * )
NEW met1 ( 117530 39950 ) ( 120290 * )
NEW met2 ( 120290 23290 ) ( * 39950 )
NEW met1 ( 120290 23290 ) ( 121210 * )
NEW met2 ( 121210 9350 ) ( * 23290 )
NEW met2 ( 117530 39950 ) ( * 141950 )
NEW met1 ( 121210 9350 ) ( 172730 * )
NEW met1 ( 172730 9350 ) M1M2_PR
NEW met1 ( 172730 16830 ) M1M2_PR
NEW met1 ( 173650 16830 ) M1M2_PR
NEW met1 ( 173650 19550 ) M1M2_PR
NEW li1 ( 175490 19550 ) L1M1_PR_MR
NEW li1 ( 116150 141950 ) L1M1_PR_MR
NEW met1 ( 117530 141950 ) M1M2_PR
NEW li1 ( 113390 142630 ) L1M1_PR_MR
NEW met1 ( 117530 39950 ) M1M2_PR
NEW met1 ( 120290 39950 ) M1M2_PR
NEW met1 ( 120290 23290 ) M1M2_PR
NEW met1 ( 121210 23290 ) M1M2_PR
NEW met1 ( 121210 9350 ) M1M2_PR ;
- net232 ( input232 X ) ( _521_ A ) + USE SIGNAL
+ ROUTED met1 ( 117530 162690 ) ( 120750 * )
NEW met2 ( 120750 162690 ) ( * 183430 )
NEW li1 ( 117530 162690 ) L1M1_PR_MR
NEW met1 ( 120750 162690 ) M1M2_PR
NEW li1 ( 120750 183430 ) L1M1_PR_MR
NEW met1 ( 120750 183430 ) M1M2_PR
NEW met1 ( 120750 183430 ) RECT ( -355 -70 0 70 ) ;
- net233 ( input233 X ) ( _523_ A ) + USE SIGNAL
+ ROUTED met1 ( 82110 165070 ) ( 89930 * )
NEW met1 ( 89930 142970 ) ( 90390 * )
NEW met2 ( 89930 142970 ) ( * 165070 )
NEW met1 ( 89930 165070 ) M1M2_PR
NEW li1 ( 82110 165070 ) L1M1_PR_MR
NEW met1 ( 89930 142970 ) M1M2_PR
NEW li1 ( 90390 142970 ) L1M1_PR_MR ;
- net234 ( input234 X ) ( _525_ A ) + USE SIGNAL
+ ROUTED met1 ( 169970 155890 ) ( 190670 * )
NEW met2 ( 190670 155890 ) ( * 169830 )
NEW li1 ( 169970 155890 ) L1M1_PR_MR
NEW met1 ( 190670 155890 ) M1M2_PR
NEW li1 ( 190670 169830 ) L1M1_PR_MR
NEW met1 ( 190670 169830 ) M1M2_PR
NEW met1 ( 190670 169830 ) RECT ( -355 -70 0 70 ) ;
- net235 ( input235 X ) ( _527_ A ) + USE SIGNAL
+ ROUTED met1 ( 26910 159970 ) ( 27370 * )
NEW met2 ( 27370 159970 ) ( * 186150 )
NEW li1 ( 26910 159970 ) L1M1_PR_MR
NEW met1 ( 27370 159970 ) M1M2_PR
NEW li1 ( 27370 186150 ) L1M1_PR_MR
NEW met1 ( 27370 186150 ) M1M2_PR
NEW met1 ( 27370 186150 ) RECT ( -355 -70 0 70 ) ;
- net236 ( input236 X ) ( _366_ A ) + USE SIGNAL
+ ROUTED met2 ( 30130 99450 ) ( * 106930 )
NEW met1 ( 22310 106930 ) ( 30130 * )
NEW met1 ( 22310 106590 ) ( * 106930 )
NEW met1 ( 17710 106590 ) ( 22310 * )
NEW li1 ( 30130 99450 ) L1M1_PR_MR
NEW met1 ( 30130 99450 ) M1M2_PR
NEW met1 ( 30130 106930 ) M1M2_PR
NEW li1 ( 17710 106590 ) L1M1_PR_MR
NEW met1 ( 30130 99450 ) RECT ( -355 -70 0 70 ) ;
- net237 ( ANTENNA__530__A DIODE ) ( input237 X ) ( _530_ A ) + USE SIGNAL
+ ROUTED met2 ( 132250 104550 ) ( * 107610 )
NEW met1 ( 132250 107610 ) ( 141450 * )
NEW met2 ( 131790 107780 ) ( 132250 * )
NEW met2 ( 132250 107610 ) ( * 107780 )
NEW met2 ( 14490 111010 ) ( * 112030 )
NEW met1 ( 14490 111010 ) ( 131790 * )
NEW met2 ( 131790 107780 ) ( * 111010 )
NEW li1 ( 132250 104550 ) L1M1_PR_MR
NEW met1 ( 132250 104550 ) M1M2_PR
NEW met1 ( 132250 107610 ) M1M2_PR
NEW li1 ( 141450 107610 ) L1M1_PR_MR
NEW met1 ( 14490 111010 ) M1M2_PR
NEW li1 ( 14490 112030 ) L1M1_PR_MR
NEW met1 ( 14490 112030 ) M1M2_PR
NEW met1 ( 131790 111010 ) M1M2_PR
NEW met1 ( 132250 104550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14490 112030 ) RECT ( -355 -70 0 70 ) ;
- net238 ( ANTENNA__190__A DIODE ) ( input238 X ) ( _190_ A ) + USE SIGNAL
+ ROUTED met1 ( 134550 101150 ) ( 135010 * )
NEW met1 ( 131790 101830 ) ( 134550 * )
NEW met1 ( 134550 101150 ) ( * 101830 )
NEW met3 ( 136390 39780 ) ( 136620 * )
NEW met4 ( 136620 26860 ) ( * 39780 )
NEW met3 ( 136620 26860 ) ( 142830 * )
NEW met2 ( 142830 21250 ) ( * 26860 )
NEW met2 ( 134090 77860 ) ( 134550 * )
NEW met2 ( 134090 72590 ) ( * 77860 )
NEW met1 ( 134090 72590 ) ( 136390 * )
NEW met2 ( 134550 77860 ) ( * 101150 )
NEW met2 ( 136390 39780 ) ( * 72590 )
NEW met1 ( 167210 18190 ) ( 169510 * )
NEW met2 ( 167210 18020 ) ( * 18190 )
NEW met3 ( 157780 18020 ) ( 167210 * )
NEW met4 ( 157780 18020 ) ( * 20740 )
NEW met3 ( 145130 20740 ) ( 157780 * )
NEW met3 ( 145130 20740 ) ( * 21420 )
NEW met2 ( 145130 21250 ) ( * 21420 )
NEW met1 ( 142830 21250 ) ( 145130 * )
NEW li1 ( 135010 101150 ) L1M1_PR_MR
NEW met1 ( 134550 101150 ) M1M2_PR
NEW li1 ( 131790 101830 ) L1M1_PR_MR
NEW met2 ( 136390 39780 ) M2M3_PR_M
NEW met3 ( 136620 39780 ) M3M4_PR_M
NEW met3 ( 136620 26860 ) M3M4_PR_M
NEW met2 ( 142830 26860 ) M2M3_PR_M
NEW met1 ( 142830 21250 ) M1M2_PR
NEW met1 ( 134090 72590 ) M1M2_PR
NEW met1 ( 136390 72590 ) M1M2_PR
NEW li1 ( 169510 18190 ) L1M1_PR_MR
NEW met1 ( 167210 18190 ) M1M2_PR
NEW met2 ( 167210 18020 ) M2M3_PR_M
NEW met3 ( 157780 18020 ) M3M4_PR_M
NEW met3 ( 157780 20740 ) M3M4_PR_M
NEW met2 ( 145130 21420 ) M2M3_PR_M
NEW met1 ( 145130 21250 ) M1M2_PR
NEW met3 ( 136390 39780 ) RECT ( -390 -150 0 150 ) ;
- net239 ( input239 X ) ( _192_ A ) + USE SIGNAL
+ ROUTED met2 ( 175490 97070 ) ( * 98430 )
NEW met1 ( 129950 96730 ) ( * 97070 )
NEW met1 ( 129950 97070 ) ( 175490 * )
NEW met1 ( 175490 97070 ) M1M2_PR
NEW li1 ( 175490 98430 ) L1M1_PR_MR
NEW met1 ( 175490 98430 ) M1M2_PR
NEW li1 ( 129950 96730 ) L1M1_PR_MR
NEW met1 ( 175490 98430 ) RECT ( -355 -70 0 70 ) ;
- net24 ( ANTENNA__671__A DIODE ) ( ANTENNA__543__A DIODE ) ( input24 X ) ( _543_ A ) ( _671_ A ) + USE SIGNAL
+ ROUTED met2 ( 105570 168130 ) ( * 169490 )
NEW met1 ( 105570 167790 ) ( * 168130 )
NEW met2 ( 27830 174590 ) ( * 177650 )
NEW met2 ( 133630 167790 ) ( * 169830 )
NEW met1 ( 133630 169830 ) ( 138230 * )
NEW met1 ( 133630 165410 ) ( 137310 * )
NEW met2 ( 133630 165410 ) ( * 167790 )
NEW met1 ( 138230 169830 ) ( 141450 * )
NEW met1 ( 105570 167790 ) ( 133630 * )
NEW met2 ( 68770 171700 ) ( * 174590 )
NEW met3 ( 68770 171700 ) ( 81190 * )
NEW met2 ( 81190 169490 ) ( * 171700 )
NEW met1 ( 27830 174590 ) ( 68770 * )
NEW met1 ( 81190 169490 ) ( 105570 * )
NEW met1 ( 105570 169490 ) M1M2_PR
NEW met1 ( 105570 168130 ) M1M2_PR
NEW met1 ( 27830 174590 ) M1M2_PR
NEW li1 ( 27830 177650 ) L1M1_PR_MR
NEW met1 ( 27830 177650 ) M1M2_PR
NEW li1 ( 133630 169830 ) L1M1_PR_MR
NEW met1 ( 133630 169830 ) M1M2_PR
NEW met1 ( 133630 167790 ) M1M2_PR
NEW li1 ( 138230 169830 ) L1M1_PR_MR
NEW li1 ( 137310 165410 ) L1M1_PR_MR
NEW met1 ( 133630 165410 ) M1M2_PR
NEW li1 ( 141450 169830 ) L1M1_PR_MR
NEW met1 ( 68770 174590 ) M1M2_PR
NEW met2 ( 68770 171700 ) M2M3_PR_M
NEW met2 ( 81190 171700 ) M2M3_PR_M
NEW met1 ( 81190 169490 ) M1M2_PR
NEW met1 ( 27830 177650 ) RECT ( -355 -70 0 70 )
NEW met1 ( 133630 169830 ) RECT ( -355 -70 0 70 ) ;
- net240 ( input240 X ) ( _194_ A ) + USE SIGNAL
+ ROUTED met2 ( 130410 72420 ) ( 130870 * )
NEW met2 ( 130410 72420 ) ( * 99110 )
NEW met2 ( 130870 15130 ) ( * 72420 )
NEW li1 ( 130410 99110 ) L1M1_PR_MR
NEW met1 ( 130410 99110 ) M1M2_PR
NEW li1 ( 130870 15130 ) L1M1_PR_MR
NEW met1 ( 130870 15130 ) M1M2_PR
NEW met1 ( 130410 99110 ) RECT ( 0 -70 355 70 )
NEW met1 ( 130870 15130 ) RECT ( -355 -70 0 70 ) ;
- net241 ( input241 X ) ( _196_ A ) + USE SIGNAL
+ ROUTED met2 ( 175950 102850 ) ( * 104890 )
NEW met1 ( 144900 104890 ) ( 175950 * )
NEW met1 ( 144900 104890 ) ( * 105230 )
NEW met1 ( 143750 105230 ) ( 144900 * )
NEW met2 ( 143750 104380 ) ( * 105230 )
NEW met3 ( 131330 104380 ) ( 143750 * )
NEW met2 ( 131330 104380 ) ( * 104550 )
NEW met1 ( 129490 104550 ) ( 131330 * )
NEW li1 ( 175950 102850 ) L1M1_PR_MR
NEW met1 ( 175950 102850 ) M1M2_PR
NEW met1 ( 175950 104890 ) M1M2_PR
NEW met1 ( 143750 105230 ) M1M2_PR
NEW met2 ( 143750 104380 ) M2M3_PR_M
NEW met2 ( 131330 104380 ) M2M3_PR_M
NEW met1 ( 131330 104550 ) M1M2_PR
NEW li1 ( 129490 104550 ) L1M1_PR_MR
NEW met1 ( 175950 102850 ) RECT ( -355 -70 0 70 ) ;
- net242 ( input242 X ) ( _200_ A ) + USE SIGNAL
+ ROUTED met1 ( 67850 170510 ) ( 73370 * )
NEW met2 ( 73370 170510 ) ( * 186150 )
NEW met1 ( 73370 186150 ) ( 73830 * )
NEW li1 ( 67850 170510 ) L1M1_PR_MR
NEW met1 ( 73370 170510 ) M1M2_PR
NEW met1 ( 73370 186150 ) M1M2_PR
NEW li1 ( 73830 186150 ) L1M1_PR_MR ;
- net243 ( input243 X ) ( _202_ A ) + USE SIGNAL
+ ROUTED met1 ( 25070 153850 ) ( 28290 * )
NEW met2 ( 28290 153850 ) ( * 157420 )
NEW met2 ( 27370 157420 ) ( 28290 * )
NEW met2 ( 27370 157420 ) ( * 158270 )
NEW met1 ( 27370 158270 ) ( 29210 * )
NEW li1 ( 25070 153850 ) L1M1_PR_MR
NEW met1 ( 28290 153850 ) M1M2_PR
NEW met1 ( 27370 158270 ) M1M2_PR
NEW li1 ( 29210 158270 ) L1M1_PR_MR ;
- net244 ( ANTENNA__204__A DIODE ) ( input244 X ) ( _204_ A ) + USE SIGNAL
+ ROUTED met1 ( 51750 151130 ) ( 53590 * )
NEW met2 ( 49910 151130 ) ( * 153510 )
NEW met1 ( 49910 151130 ) ( 51750 * )
NEW met2 ( 53590 15810 ) ( * 151130 )
NEW li1 ( 53590 15810 ) L1M1_PR_MR
NEW met1 ( 53590 15810 ) M1M2_PR
NEW li1 ( 51750 151130 ) L1M1_PR_MR
NEW met1 ( 53590 151130 ) M1M2_PR
NEW li1 ( 49910 153510 ) L1M1_PR_MR
NEW met1 ( 49910 153510 ) M1M2_PR
NEW met1 ( 49910 151130 ) M1M2_PR
NEW met1 ( 53590 15810 ) RECT ( -355 -70 0 70 )
NEW met1 ( 49910 153510 ) RECT ( -355 -70 0 70 ) ;
- net245 ( input245 X ) ( _206_ A ) + USE SIGNAL
+ ROUTED met2 ( 24610 157250 ) ( * 183430 )
NEW li1 ( 24610 183430 ) L1M1_PR_MR
NEW met1 ( 24610 183430 ) M1M2_PR
NEW li1 ( 24610 157250 ) L1M1_PR_MR
NEW met1 ( 24610 157250 ) M1M2_PR
NEW met1 ( 24610 183430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 24610 157250 ) RECT ( -355 -70 0 70 ) ;
- net246 ( input246 X ) ( _208_ A ) + USE SIGNAL
+ ROUTED met1 ( 106030 164730 ) ( * 165070 )
NEW met1 ( 100510 165070 ) ( 106030 * )
NEW met1 ( 100510 164730 ) ( * 165070 )
NEW li1 ( 131790 164730 ) ( * 165410 )
NEW met1 ( 131790 165410 ) ( 132250 * )
NEW met1 ( 106030 164730 ) ( 131790 * )
NEW met2 ( 83030 164730 ) ( * 170850 )
NEW met2 ( 82570 170850 ) ( 83030 * )
NEW met2 ( 82570 170850 ) ( * 186830 )
NEW met1 ( 78890 186830 ) ( 82570 * )
NEW met1 ( 78890 186490 ) ( * 186830 )
NEW met1 ( 83030 164730 ) ( 100510 * )
NEW li1 ( 131790 164730 ) L1M1_PR_MR
NEW li1 ( 131790 165410 ) L1M1_PR_MR
NEW li1 ( 132250 165410 ) L1M1_PR_MR
NEW met1 ( 83030 164730 ) M1M2_PR
NEW met1 ( 82570 186830 ) M1M2_PR
NEW li1 ( 78890 186490 ) L1M1_PR_MR ;
- net247 ( ANTENNA__368__A DIODE ) ( input247 X ) ( _368_ A ) + USE SIGNAL
+ ROUTED met1 ( 102350 104890 ) ( 102810 * )
NEW met2 ( 102810 104890 ) ( * 105060 )
NEW met1 ( 99590 104890 ) ( 102350 * )
NEW met3 ( 177100 105060 ) ( * 105740 )
NEW met3 ( 177100 105740 ) ( 183540 * )
NEW met3 ( 182850 173060 ) ( 183540 * )
NEW met2 ( 182850 173060 ) ( * 173570 )
NEW met4 ( 183540 105740 ) ( * 173060 )
NEW met3 ( 102810 105060 ) ( 177100 * )
NEW li1 ( 102350 104890 ) L1M1_PR_MR
NEW met1 ( 102810 104890 ) M1M2_PR
NEW met2 ( 102810 105060 ) M2M3_PR_M
NEW li1 ( 99590 104890 ) L1M1_PR_MR
NEW met3 ( 183540 105740 ) M3M4_PR_M
NEW met3 ( 183540 173060 ) M3M4_PR_M
NEW met2 ( 182850 173060 ) M2M3_PR_M
NEW li1 ( 182850 173570 ) L1M1_PR_MR
NEW met1 ( 182850 173570 ) M1M2_PR
NEW met1 ( 182850 173570 ) RECT ( -355 -70 0 70 ) ;
- net248 ( ANTENNA__211__A DIODE ) ( input248 X ) ( _211_ A ) + USE SIGNAL
+ ROUTED met3 ( 156630 20060 ) ( 156860 * )
NEW met2 ( 156630 15810 ) ( * 20060 )
NEW met1 ( 156630 15810 ) ( 156860 * )
NEW met1 ( 158700 118150 ) ( 160770 * )
NEW met2 ( 157550 117300 ) ( * 117470 )
NEW met3 ( 156860 117300 ) ( 157550 * )
NEW met1 ( 158700 117470 ) ( * 118150 )
NEW met1 ( 157550 117470 ) ( 158700 * )
NEW met4 ( 156860 20060 ) ( * 117300 )
NEW li1 ( 160770 118150 ) L1M1_PR_MR
NEW met3 ( 156860 20060 ) M3M4_PR_M
NEW met2 ( 156630 20060 ) M2M3_PR_M
NEW met1 ( 156630 15810 ) M1M2_PR
NEW li1 ( 156860 15810 ) L1M1_PR_MR
NEW li1 ( 157550 117470 ) L1M1_PR_MR
NEW met1 ( 157550 117470 ) M1M2_PR
NEW met2 ( 157550 117300 ) M2M3_PR_M
NEW met3 ( 156860 117300 ) M3M4_PR_M
NEW met3 ( 156860 20060 ) RECT ( 0 -150 390 150 )
NEW met1 ( 157550 117470 ) RECT ( -355 -70 0 70 ) ;
- net249 ( input249 X ) ( _213_ A ) + USE SIGNAL
+ ROUTED met2 ( 140990 162010 ) ( * 166260 )
NEW met2 ( 140990 166260 ) ( 141450 * )
NEW met2 ( 141450 166260 ) ( * 184450 )
NEW met1 ( 136850 184450 ) ( 141450 * )
NEW met2 ( 136850 184450 ) ( * 186150 )
NEW met1 ( 163530 158270 ) ( * 158610 )
NEW met1 ( 149270 158610 ) ( 163530 * )
NEW met2 ( 149270 158610 ) ( * 162010 )
NEW met1 ( 140990 162010 ) ( 149270 * )
NEW met1 ( 140990 162010 ) M1M2_PR
NEW met1 ( 141450 184450 ) M1M2_PR
NEW met1 ( 136850 184450 ) M1M2_PR
NEW li1 ( 136850 186150 ) L1M1_PR_MR
NEW met1 ( 136850 186150 ) M1M2_PR
NEW li1 ( 163530 158270 ) L1M1_PR_MR
NEW met1 ( 149270 158610 ) M1M2_PR
NEW met1 ( 149270 162010 ) M1M2_PR
NEW met1 ( 136850 186150 ) RECT ( -355 -70 0 70 ) ;
- net25 ( input25 X ) ( _652_ A ) ( _780_ A ) + USE SIGNAL
+ ROUTED met2 ( 175030 184620 ) ( * 186150 )
NEW met3 ( 175030 184620 ) ( 180780 * )
NEW met4 ( 180780 158780 ) ( * 184620 )
NEW met3 ( 174570 158780 ) ( 180780 * )
NEW met2 ( 174570 156910 ) ( * 158780 )
NEW met1 ( 173190 156910 ) ( 174570 * )
NEW met1 ( 173190 156910 ) ( * 157250 )
NEW met2 ( 183310 177820 ) ( * 178330 )
NEW met3 ( 180780 177820 ) ( 183310 * )
NEW li1 ( 175030 186150 ) L1M1_PR_MR
NEW met1 ( 175030 186150 ) M1M2_PR
NEW met2 ( 175030 184620 ) M2M3_PR_M
NEW met3 ( 180780 184620 ) M3M4_PR_M
NEW met3 ( 180780 158780 ) M3M4_PR_M
NEW met2 ( 174570 158780 ) M2M3_PR_M
NEW met1 ( 174570 156910 ) M1M2_PR
NEW li1 ( 173190 157250 ) L1M1_PR_MR
NEW li1 ( 183310 178330 ) L1M1_PR_MR
NEW met1 ( 183310 178330 ) M1M2_PR
NEW met2 ( 183310 177820 ) M2M3_PR_M
NEW met3 ( 180780 177820 ) M3M4_PR_M
NEW met1 ( 175030 186150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 183310 178330 ) RECT ( -355 -70 0 70 )
NEW met4 ( 180780 177820 ) RECT ( -150 -800 150 0 ) ;
- net250 ( input250 X ) ( _215_ A ) + USE SIGNAL
+ ROUTED met1 ( 178710 67490 ) ( 190670 * )
NEW met2 ( 190670 67490 ) ( * 69190 )
NEW li1 ( 178710 67490 ) L1M1_PR_MR
NEW met1 ( 190670 67490 ) M1M2_PR
NEW li1 ( 190670 69190 ) L1M1_PR_MR
NEW met1 ( 190670 69190 ) M1M2_PR
NEW met1 ( 190670 69190 ) RECT ( -355 -70 0 70 ) ;
- net251 ( input251 X ) ( _217_ A ) + USE SIGNAL
+ ROUTED met1 ( 28750 155550 ) ( 31050 * )
NEW li1 ( 31050 155550 ) ( * 156910 )
NEW met1 ( 31050 156910 ) ( 59570 * )
NEW met2 ( 59570 156910 ) ( * 183430 )
NEW li1 ( 59570 183430 ) L1M1_PR_MR
NEW met1 ( 59570 183430 ) M1M2_PR
NEW li1 ( 28750 155550 ) L1M1_PR_MR
NEW li1 ( 31050 155550 ) L1M1_PR_MR
NEW li1 ( 31050 156910 ) L1M1_PR_MR
NEW met1 ( 59570 156910 ) M1M2_PR
NEW met1 ( 59570 183430 ) RECT ( -355 -70 0 70 ) ;
- net252 ( ANTENNA__219__A DIODE ) ( input252 X ) ( _219_ A ) + USE SIGNAL
+ ROUTED met1 ( 85790 15810 ) ( 89010 * )
NEW met2 ( 89010 15810 ) ( * 23290 )
NEW met1 ( 79810 23290 ) ( 89010 * )
NEW met2 ( 79810 23290 ) ( * 39100 )
NEW met2 ( 79350 39100 ) ( 79810 * )
NEW met2 ( 79350 39100 ) ( * 62100 )
NEW met2 ( 78890 62100 ) ( 79350 * )
NEW met1 ( 78890 128350 ) ( 80270 * )
NEW met2 ( 78890 126310 ) ( * 128350 )
NEW met2 ( 78890 62100 ) ( * 126310 )
NEW li1 ( 85790 15810 ) L1M1_PR_MR
NEW met1 ( 89010 15810 ) M1M2_PR
NEW met1 ( 89010 23290 ) M1M2_PR
NEW met1 ( 79810 23290 ) M1M2_PR
NEW li1 ( 78890 126310 ) L1M1_PR_MR
NEW met1 ( 78890 126310 ) M1M2_PR
NEW li1 ( 80270 128350 ) L1M1_PR_MR
NEW met1 ( 78890 128350 ) M1M2_PR
NEW met1 ( 78890 126310 ) RECT ( -355 -70 0 70 ) ;
- net253 ( input253 X ) ( _222_ A ) + USE SIGNAL
+ ROUTED met1 ( 111550 137530 ) ( * 137870 )
NEW met1 ( 173650 137870 ) ( * 138210 )
NEW met1 ( 173650 138210 ) ( 187910 * )
NEW met2 ( 187910 138210 ) ( * 139910 )
NEW met1 ( 111550 137870 ) ( 173650 * )
NEW li1 ( 111550 137530 ) L1M1_PR_MR
NEW met1 ( 187910 138210 ) M1M2_PR
NEW li1 ( 187910 139910 ) L1M1_PR_MR
NEW met1 ( 187910 139910 ) M1M2_PR
NEW met1 ( 187910 139910 ) RECT ( -355 -70 0 70 ) ;
- net254 ( input254 X ) ( _224_ A ) + USE SIGNAL
+ ROUTED met1 ( 56810 170850 ) ( 61870 * )
NEW met2 ( 61870 170850 ) ( * 178330 )
NEW met2 ( 61870 178330 ) ( 62330 * )
NEW met2 ( 62330 178330 ) ( * 182750 )
NEW met1 ( 62330 182750 ) ( 65550 * )
NEW met1 ( 65550 182750 ) ( * 183090 )
NEW met1 ( 65550 183090 ) ( 83490 * )
NEW met1 ( 83490 183090 ) ( * 183430 )
NEW li1 ( 56810 170850 ) L1M1_PR_MR
NEW met1 ( 61870 170850 ) M1M2_PR
NEW met1 ( 62330 182750 ) M1M2_PR
NEW li1 ( 83490 183430 ) L1M1_PR_MR ;
- net255 ( ANTENNA__226__A DIODE ) ( input255 X ) ( _226_ A ) + USE SIGNAL
+ ROUTED met1 ( 83030 136510 ) ( 83950 * )
NEW met2 ( 83950 136510 ) ( * 140250 )
NEW met2 ( 83950 88570 ) ( * 136510 )
NEW met1 ( 10350 88570 ) ( 83950 * )
NEW li1 ( 10350 88570 ) L1M1_PR_MR
NEW met1 ( 83950 88570 ) M1M2_PR
NEW li1 ( 83030 136510 ) L1M1_PR_MR
NEW met1 ( 83950 136510 ) M1M2_PR
NEW li1 ( 83950 140250 ) L1M1_PR_MR
NEW met1 ( 83950 140250 ) M1M2_PR
NEW met1 ( 83950 140250 ) RECT ( -355 -70 0 70 ) ;
- net256 ( input256 X ) ( _228_ A ) + USE SIGNAL
+ ROUTED met2 ( 75670 165410 ) ( * 183430 )
NEW li1 ( 75670 165410 ) L1M1_PR_MR
NEW met1 ( 75670 165410 ) M1M2_PR
NEW li1 ( 75670 183430 ) L1M1_PR_MR
NEW met1 ( 75670 183430 ) M1M2_PR
NEW met1 ( 75670 165410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 75670 183430 ) RECT ( -355 -70 0 70 ) ;
- net257 ( input257 X ) ( _230_ A ) + USE SIGNAL
+ ROUTED met1 ( 25530 159630 ) ( 32430 * )
NEW met1 ( 25530 159630 ) ( * 159970 )
NEW met1 ( 18630 159970 ) ( 25530 * )
NEW met2 ( 18630 159970 ) ( * 172890 )
NEW li1 ( 32430 159630 ) L1M1_PR_MR
NEW met1 ( 18630 159970 ) M1M2_PR
NEW li1 ( 18630 172890 ) L1M1_PR_MR
NEW met1 ( 18630 172890 ) M1M2_PR
NEW met1 ( 18630 172890 ) RECT ( -355 -70 0 70 ) ;
- net258 ( input258 X ) ( _370_ A ) + USE SIGNAL
+ ROUTED met1 ( 119830 101490 ) ( * 101830 )
NEW met1 ( 117070 101830 ) ( 119830 * )
NEW met2 ( 119830 15130 ) ( * 101490 )
NEW met1 ( 119830 101490 ) M1M2_PR
NEW li1 ( 117070 101830 ) L1M1_PR_MR
NEW li1 ( 119830 15130 ) L1M1_PR_MR
NEW met1 ( 119830 15130 ) M1M2_PR
NEW met1 ( 119830 15130 ) RECT ( -355 -70 0 70 ) ;
- net259 ( input259 X ) ( _324_ A ) + USE SIGNAL
+ ROUTED met1 ( 22310 45730 ) ( 23230 * )
NEW met3 ( 13570 49300 ) ( 23230 * )
NEW met2 ( 13570 49300 ) ( * 50490 )
NEW met2 ( 23230 45730 ) ( * 49300 )
NEW li1 ( 22310 45730 ) L1M1_PR_MR
NEW met1 ( 23230 45730 ) M1M2_PR
NEW met2 ( 23230 49300 ) M2M3_PR_M
NEW met2 ( 13570 49300 ) M2M3_PR_M
NEW li1 ( 13570 50490 ) L1M1_PR_MR
NEW met1 ( 13570 50490 ) M1M2_PR
NEW met1 ( 13570 50490 ) RECT ( -355 -70 0 70 ) ;
- net26 ( input26 X ) ( _653_ A ) ( _781_ A ) + USE SIGNAL
+ ROUTED met1 ( 96830 167110 ) ( * 167790 )
NEW met1 ( 96830 167790 ) ( 99130 * )
NEW met1 ( 99130 167790 ) ( * 168130 )
NEW met2 ( 78890 164390 ) ( * 167110 )
NEW met1 ( 80730 180370 ) ( 82570 * )
NEW met2 ( 80730 175100 ) ( * 180370 )
NEW met2 ( 80730 175100 ) ( 81190 * )
NEW met2 ( 81190 173230 ) ( * 175100 )
NEW met2 ( 80730 173230 ) ( 81190 * )
NEW met2 ( 80730 167110 ) ( * 173230 )
NEW met1 ( 78890 167110 ) ( 96830 * )
NEW li1 ( 99130 168130 ) L1M1_PR_MR
NEW li1 ( 78890 164390 ) L1M1_PR_MR
NEW met1 ( 78890 164390 ) M1M2_PR
NEW met1 ( 78890 167110 ) M1M2_PR
NEW li1 ( 82570 180370 ) L1M1_PR_MR
NEW met1 ( 80730 180370 ) M1M2_PR
NEW met1 ( 80730 167110 ) M1M2_PR
NEW met1 ( 78890 164390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 80730 167110 ) RECT ( -595 -70 0 70 ) ;
- net260 ( input260 X ) ( _298_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 9430 66130 ) ( * 66810 )
NEW met1 ( 8510 66130 ) ( 9430 * )
NEW met2 ( 15870 66810 ) ( * 68510 )
NEW met1 ( 9430 66810 ) ( 15870 * )
NEW li1 ( 8510 66130 ) L1M1_PR_MR
NEW met1 ( 15870 66810 ) M1M2_PR
NEW li1 ( 15870 68510 ) L1M1_PR_MR
NEW met1 ( 15870 68510 ) M1M2_PR
NEW met1 ( 15870 68510 ) RECT ( -355 -70 0 70 ) ;
- net261 ( ANTENNA__312__B1 DIODE ) ( input261 X ) ( _312_ B1 ) + USE SIGNAL
+ ROUTED met3 ( 123740 168980 ) ( 123970 * )
NEW met4 ( 123740 168980 ) ( * 176460 )
NEW met3 ( 122130 176460 ) ( 123740 * )
NEW met2 ( 122130 176460 ) ( * 177310 )
NEW met1 ( 122130 177310 ) ( 143750 * )
NEW met1 ( 143750 177310 ) ( * 177650 )
NEW met1 ( 143750 177650 ) ( 169050 * )
NEW met2 ( 115230 23630 ) ( * 41310 )
NEW met1 ( 115230 41310 ) ( 123970 * )
NEW met1 ( 108790 28050 ) ( 114310 * )
NEW met1 ( 114310 28050 ) ( * 28390 )
NEW met1 ( 114310 28390 ) ( 115230 * )
NEW met2 ( 123970 41310 ) ( * 168980 )
NEW li1 ( 169050 177650 ) L1M1_PR_MR
NEW met2 ( 123970 168980 ) M2M3_PR_M
NEW met3 ( 123740 168980 ) M3M4_PR_M
NEW met3 ( 123740 176460 ) M3M4_PR_M
NEW met2 ( 122130 176460 ) M2M3_PR_M
NEW met1 ( 122130 177310 ) M1M2_PR
NEW li1 ( 115230 23630 ) L1M1_PR_MR
NEW met1 ( 115230 23630 ) M1M2_PR
NEW met1 ( 115230 41310 ) M1M2_PR
NEW met1 ( 123970 41310 ) M1M2_PR
NEW li1 ( 108790 28050 ) L1M1_PR_MR
NEW met1 ( 115230 28390 ) M1M2_PR
NEW met3 ( 123970 168980 ) RECT ( 0 -150 390 150 )
NEW met1 ( 115230 23630 ) RECT ( -355 -70 0 70 )
NEW met2 ( 115230 28390 ) RECT ( -70 -485 70 0 ) ;
- net262 ( ANTENNA__314__B1 DIODE ) ( input262 X ) ( _314_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 106030 97410 ) ( * 98770 )
NEW met2 ( 175490 173740 ) ( * 174930 )
NEW met1 ( 122590 99790 ) ( 129490 * )
NEW met2 ( 124430 97410 ) ( * 99790 )
NEW met1 ( 106030 97410 ) ( 124430 * )
NEW met3 ( 128110 173740 ) ( 175490 * )
NEW met2 ( 128110 126820 ) ( 129490 * )
NEW met2 ( 128110 126820 ) ( * 173740 )
NEW met2 ( 129490 99790 ) ( * 126820 )
NEW met1 ( 106030 97410 ) M1M2_PR
NEW li1 ( 106030 98770 ) L1M1_PR_MR
NEW met1 ( 106030 98770 ) M1M2_PR
NEW met2 ( 175490 173740 ) M2M3_PR_M
NEW li1 ( 175490 174930 ) L1M1_PR_MR
NEW met1 ( 175490 174930 ) M1M2_PR
NEW li1 ( 122590 99790 ) L1M1_PR_MR
NEW met1 ( 129490 99790 ) M1M2_PR
NEW met1 ( 124430 97410 ) M1M2_PR
NEW met1 ( 124430 99790 ) M1M2_PR
NEW met2 ( 128110 173740 ) M2M3_PR_M
NEW met1 ( 106030 98770 ) RECT ( 0 -70 355 70 )
NEW met1 ( 175490 174930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 124430 99790 ) RECT ( -595 -70 0 70 ) ;
- net263 ( ANTENNA__315__B1 DIODE ) ( input263 X ) ( _315_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 100510 101150 ) ( 101430 * )
NEW met2 ( 101430 98430 ) ( * 101150 )
NEW met1 ( 101430 98430 ) ( 110170 * )
NEW met1 ( 110170 98430 ) ( * 98770 )
NEW met2 ( 63710 167620 ) ( * 174420 )
NEW met2 ( 63710 167620 ) ( 64170 * )
NEW met1 ( 64170 151810 ) ( 101430 * )
NEW met2 ( 101430 149260 ) ( * 151810 )
NEW met2 ( 101430 149260 ) ( 101890 * )
NEW met2 ( 101890 140420 ) ( * 149260 )
NEW met2 ( 101430 140420 ) ( 101890 * )
NEW met2 ( 64170 151810 ) ( * 167620 )
NEW met2 ( 101430 101150 ) ( * 140420 )
NEW met2 ( 20010 174420 ) ( * 174590 )
NEW met3 ( 20010 174420 ) ( 63710 * )
NEW li1 ( 100510 101150 ) L1M1_PR_MR
NEW met1 ( 101430 101150 ) M1M2_PR
NEW met1 ( 101430 98430 ) M1M2_PR
NEW li1 ( 110170 98770 ) L1M1_PR_MR
NEW met2 ( 63710 174420 ) M2M3_PR_M
NEW met1 ( 64170 151810 ) M1M2_PR
NEW met1 ( 101430 151810 ) M1M2_PR
NEW met2 ( 20010 174420 ) M2M3_PR_M
NEW li1 ( 20010 174590 ) L1M1_PR_MR
NEW met1 ( 20010 174590 ) M1M2_PR
NEW met1 ( 20010 174590 ) RECT ( -355 -70 0 70 ) ;
- net264 ( input264 X ) ( _316_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 173190 49470 ) ( 173650 * )
NEW met2 ( 164450 28390 ) ( * 34170 )
NEW met1 ( 164450 34170 ) ( 173190 * )
NEW met2 ( 173190 34170 ) ( * 49470 )
NEW met1 ( 173190 49470 ) M1M2_PR
NEW li1 ( 173650 49470 ) L1M1_PR_MR
NEW li1 ( 164450 28390 ) L1M1_PR_MR
NEW met1 ( 164450 28390 ) M1M2_PR
NEW met1 ( 164450 34170 ) M1M2_PR
NEW met1 ( 173190 34170 ) M1M2_PR
NEW met1 ( 164450 28390 ) RECT ( -355 -70 0 70 ) ;
- net265 ( input265 X ) ( _317_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 141910 15130 ) ( * 18190 )
NEW met1 ( 136390 15130 ) ( 141910 * )
NEW met1 ( 146970 17850 ) ( * 18190 )
NEW met1 ( 146970 17850 ) ( 188370 * )
NEW met2 ( 188370 17850 ) ( * 20740 )
NEW met2 ( 188370 20740 ) ( 188830 * )
NEW met2 ( 188830 20740 ) ( * 28050 )
NEW met1 ( 141910 18190 ) ( 146970 * )
NEW met1 ( 141910 18190 ) M1M2_PR
NEW met1 ( 141910 15130 ) M1M2_PR
NEW li1 ( 136390 15130 ) L1M1_PR_MR
NEW met1 ( 188370 17850 ) M1M2_PR
NEW li1 ( 188830 28050 ) L1M1_PR_MR
NEW met1 ( 188830 28050 ) M1M2_PR
NEW met1 ( 188830 28050 ) RECT ( -355 -70 0 70 ) ;
- net266 ( input266 X ) ( _319_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 184230 82450 ) ( 188830 * )
NEW met1 ( 184230 82110 ) ( * 82450 )
NEW met2 ( 183770 127330 ) ( 184230 * )
NEW met2 ( 184230 108290 ) ( * 127330 )
NEW met1 ( 182850 108290 ) ( 184230 * )
NEW met2 ( 182850 103870 ) ( * 108290 )
NEW met1 ( 182850 103870 ) ( 184230 * )
NEW met2 ( 183770 127330 ) ( * 145010 )
NEW met2 ( 184230 82110 ) ( * 103870 )
NEW li1 ( 188830 82450 ) L1M1_PR_MR
NEW met1 ( 184230 82110 ) M1M2_PR
NEW li1 ( 183770 145010 ) L1M1_PR_MR
NEW met1 ( 183770 145010 ) M1M2_PR
NEW met1 ( 184230 108290 ) M1M2_PR
NEW met1 ( 182850 108290 ) M1M2_PR
NEW met1 ( 182850 103870 ) M1M2_PR
NEW met1 ( 184230 103870 ) M1M2_PR
NEW met1 ( 183770 145010 ) RECT ( -355 -70 0 70 ) ;
- net267 ( input267 X ) ( _320_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 188830 66470 ) ( * 66810 )
NEW met1 ( 188830 66810 ) ( 191130 * )
NEW met2 ( 191130 66810 ) ( * 107270 )
NEW met1 ( 176870 107270 ) ( 191130 * )
NEW met1 ( 176870 106930 ) ( * 107270 )
NEW li1 ( 188830 66470 ) L1M1_PR_MR
NEW met1 ( 191130 66810 ) M1M2_PR
NEW met1 ( 191130 107270 ) M1M2_PR
NEW li1 ( 176870 106930 ) L1M1_PR_MR ;
- net268 ( ANTENNA__321__B1 DIODE ) ( input268 X ) ( _321_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 93610 101490 ) ( 106490 * )
NEW met1 ( 106490 101150 ) ( * 101490 )
NEW met1 ( 88550 99110 ) ( 89010 * )
NEW met2 ( 89010 99110 ) ( * 101490 )
NEW met1 ( 89010 101490 ) ( 93610 * )
NEW met1 ( 113850 101150 ) ( * 101490 )
NEW met1 ( 113850 101490 ) ( 114770 * )
NEW met1 ( 114770 101150 ) ( * 101490 )
NEW met1 ( 114770 101150 ) ( 119370 * )
NEW met1 ( 106490 101150 ) ( 113850 * )
NEW met1 ( 119370 180370 ) ( 122130 * )
NEW met2 ( 119370 101150 ) ( * 180370 )
NEW li1 ( 93610 101490 ) L1M1_PR_MR
NEW li1 ( 88550 99110 ) L1M1_PR_MR
NEW met1 ( 89010 99110 ) M1M2_PR
NEW met1 ( 89010 101490 ) M1M2_PR
NEW met1 ( 119370 101150 ) M1M2_PR
NEW met1 ( 119370 180370 ) M1M2_PR
NEW li1 ( 122130 180370 ) L1M1_PR_MR ;
- net269 ( input269 X ) ( _322_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 88090 32130 ) ( 89010 * )
NEW met1 ( 78430 67150 ) ( 89010 * )
NEW met1 ( 78430 66470 ) ( * 67150 )
NEW met2 ( 89010 32130 ) ( * 67150 )
NEW li1 ( 88090 32130 ) L1M1_PR_MR
NEW met1 ( 89010 32130 ) M1M2_PR
NEW met1 ( 89010 67150 ) M1M2_PR
NEW li1 ( 78430 66470 ) L1M1_PR_MR ;
- net27 ( ANTENNA__782__A DIODE ) ( ANTENNA__654__A DIODE ) ( input27 X ) ( _654_ A ) ( _782_ A ) + USE SIGNAL
+ ROUTED met1 ( 85330 33150 ) ( 88090 * )
NEW met2 ( 85330 25670 ) ( * 33150 )
NEW met1 ( 91310 33150 ) ( * 33830 )
NEW met1 ( 88090 33150 ) ( 91310 * )
NEW met1 ( 10350 23630 ) ( 31510 * )
NEW met2 ( 31510 23630 ) ( * 24140 )
NEW met3 ( 31510 24140 ) ( 46230 * )
NEW met2 ( 46230 24140 ) ( * 25670 )
NEW met1 ( 46230 25670 ) ( 85330 * )
NEW met1 ( 102810 31450 ) ( 103730 * )
NEW met2 ( 103730 31450 ) ( * 38590 )
NEW met1 ( 103730 38590 ) ( 105570 * )
NEW met1 ( 97750 33830 ) ( 100970 * )
NEW li1 ( 100970 33830 ) ( * 34850 )
NEW met1 ( 100970 34850 ) ( 103730 * )
NEW met1 ( 91310 33830 ) ( 97750 * )
NEW li1 ( 88090 33150 ) L1M1_PR_MR
NEW met1 ( 85330 33150 ) M1M2_PR
NEW met1 ( 85330 25670 ) M1M2_PR
NEW li1 ( 10350 23630 ) L1M1_PR_MR
NEW met1 ( 31510 23630 ) M1M2_PR
NEW met2 ( 31510 24140 ) M2M3_PR_M
NEW met2 ( 46230 24140 ) M2M3_PR_M
NEW met1 ( 46230 25670 ) M1M2_PR
NEW li1 ( 102810 31450 ) L1M1_PR_MR
NEW met1 ( 103730 31450 ) M1M2_PR
NEW met1 ( 103730 38590 ) M1M2_PR
NEW li1 ( 105570 38590 ) L1M1_PR_MR
NEW li1 ( 97750 33830 ) L1M1_PR_MR
NEW li1 ( 100970 33830 ) L1M1_PR_MR
NEW li1 ( 100970 34850 ) L1M1_PR_MR
NEW met1 ( 103730 34850 ) M1M2_PR
NEW met2 ( 103730 34850 ) RECT ( -70 -485 70 0 ) ;
- net270 ( input270 X ) ( _323_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 11730 107270 ) ( * 107610 )
NEW met1 ( 9890 107610 ) ( 11730 * )
NEW met2 ( 55890 98770 ) ( * 107270 )
NEW met1 ( 11730 107270 ) ( 55890 * )
NEW met1 ( 55890 98770 ) ( 72450 * )
NEW li1 ( 9890 107610 ) L1M1_PR_MR
NEW li1 ( 72450 98770 ) L1M1_PR_MR
NEW met1 ( 55890 107270 ) M1M2_PR
NEW met1 ( 55890 98770 ) M1M2_PR ;
- net271 ( ANTENNA__300__B1 DIODE ) ( input271 X ) ( _300_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 11270 152830 ) ( 13110 * )
NEW met2 ( 11270 149260 ) ( * 152830 )
NEW met2 ( 11270 149260 ) ( 11730 * )
NEW met2 ( 11730 126990 ) ( * 149260 )
NEW met2 ( 13110 152830 ) ( * 166770 )
NEW met1 ( 90850 127330 ) ( 106490 * )
NEW met2 ( 90850 127330 ) ( * 128690 )
NEW met1 ( 68770 128690 ) ( 90850 * )
NEW met1 ( 68770 128350 ) ( * 128690 )
NEW met1 ( 109710 126310 ) ( 110170 * )
NEW met2 ( 109710 126310 ) ( * 127330 )
NEW met1 ( 106490 127330 ) ( 109710 * )
NEW met2 ( 37490 126990 ) ( * 128350 )
NEW met1 ( 11730 126990 ) ( 37490 * )
NEW met1 ( 37490 128350 ) ( 68770 * )
NEW li1 ( 13110 166770 ) L1M1_PR_MR
NEW met1 ( 13110 166770 ) M1M2_PR
NEW met1 ( 13110 152830 ) M1M2_PR
NEW met1 ( 11270 152830 ) M1M2_PR
NEW met1 ( 11730 126990 ) M1M2_PR
NEW li1 ( 106490 127330 ) L1M1_PR_MR
NEW met1 ( 90850 127330 ) M1M2_PR
NEW met1 ( 90850 128690 ) M1M2_PR
NEW li1 ( 110170 126310 ) L1M1_PR_MR
NEW met1 ( 109710 126310 ) M1M2_PR
NEW met1 ( 109710 127330 ) M1M2_PR
NEW met1 ( 37490 126990 ) M1M2_PR
NEW met1 ( 37490 128350 ) M1M2_PR
NEW met1 ( 13110 166770 ) RECT ( -355 -70 0 70 ) ;
- net272 ( ANTENNA__301__B1 DIODE ) ( input272 X ) ( _301_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 33810 117470 ) ( * 118490 )
NEW met1 ( 28750 117470 ) ( 33810 * )
NEW met1 ( 28750 18190 ) ( 30130 * )
NEW met2 ( 28750 18190 ) ( * 37230 )
NEW met2 ( 28290 37230 ) ( 28750 * )
NEW met2 ( 28290 37230 ) ( * 40290 )
NEW met2 ( 28290 40290 ) ( 28750 * )
NEW met2 ( 28750 40290 ) ( * 117470 )
NEW li1 ( 28750 117470 ) L1M1_PR_MR
NEW met1 ( 28750 117470 ) M1M2_PR
NEW li1 ( 33810 118490 ) L1M1_PR_MR
NEW met1 ( 33810 118490 ) M1M2_PR
NEW met1 ( 33810 117470 ) M1M2_PR
NEW li1 ( 30130 18190 ) L1M1_PR_MR
NEW met1 ( 28750 18190 ) M1M2_PR
NEW met1 ( 28750 117470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 33810 118490 ) RECT ( 0 -70 355 70 ) ;
- net273 ( input273 X ) ( _302_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 64630 169150 ) ( 65550 * )
NEW met2 ( 65550 126310 ) ( * 169150 )
NEW met1 ( 65550 169150 ) M1M2_PR
NEW li1 ( 64630 169150 ) L1M1_PR_MR
NEW li1 ( 65550 126310 ) L1M1_PR_MR
NEW met1 ( 65550 126310 ) M1M2_PR
NEW met1 ( 65550 126310 ) RECT ( -355 -70 0 70 ) ;
- net274 ( ANTENNA__303__B1 DIODE ) ( input274 X ) ( _303_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 110170 54910 ) ( * 55250 )
NEW met1 ( 109250 54910 ) ( 110170 * )
NEW met1 ( 109250 54910 ) ( * 55250 )
NEW met1 ( 189290 40290 ) ( 189750 * )
NEW met2 ( 189290 40290 ) ( * 45900 )
NEW met2 ( 189290 45900 ) ( 189750 * )
NEW met2 ( 189750 45900 ) ( * 55930 )
NEW met1 ( 182850 55930 ) ( 189750 * )
NEW met1 ( 182850 55930 ) ( * 56270 )
NEW met1 ( 180550 56270 ) ( 182850 * )
NEW met2 ( 180550 56270 ) ( * 62050 )
NEW met2 ( 116610 56270 ) ( * 62050 )
NEW met1 ( 116610 55250 ) ( * 56270 )
NEW met1 ( 110170 55250 ) ( 116610 * )
NEW met1 ( 116610 62050 ) ( 180550 * )
NEW li1 ( 109250 55250 ) L1M1_PR_MR
NEW li1 ( 189750 40290 ) L1M1_PR_MR
NEW met1 ( 189290 40290 ) M1M2_PR
NEW met1 ( 189750 55930 ) M1M2_PR
NEW met1 ( 180550 56270 ) M1M2_PR
NEW met1 ( 180550 62050 ) M1M2_PR
NEW li1 ( 116610 56270 ) L1M1_PR_MR
NEW met1 ( 116610 56270 ) M1M2_PR
NEW met1 ( 116610 62050 ) M1M2_PR
NEW met1 ( 116610 56270 ) RECT ( -355 -70 0 70 ) ;
- net275 ( input275 X ) ( _305_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 91770 33150 ) ( 93610 * )
NEW met2 ( 93610 33150 ) ( * 49810 )
NEW met2 ( 96830 49810 ) ( * 50660 )
NEW met2 ( 96830 50660 ) ( 98670 * )
NEW met2 ( 98670 49810 ) ( * 50660 )
NEW met1 ( 93610 49810 ) ( 96830 * )
NEW li1 ( 91770 33150 ) L1M1_PR_MR
NEW met1 ( 93610 33150 ) M1M2_PR
NEW met1 ( 93610 49810 ) M1M2_PR
NEW met1 ( 96830 49810 ) M1M2_PR
NEW li1 ( 98670 49810 ) L1M1_PR_MR
NEW met1 ( 98670 49810 ) M1M2_PR
NEW met1 ( 98670 49810 ) RECT ( -355 -70 0 70 ) ;
- net276 ( ANTENNA__307__B1 DIODE ) ( input276 X ) ( _307_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 167670 103870 ) ( 170430 * )
NEW met1 ( 163990 104210 ) ( 167670 * )
NEW met1 ( 167670 103870 ) ( * 104210 )
NEW met1 ( 172730 23630 ) ( 177330 * )
NEW met2 ( 172730 23630 ) ( * 25500 )
NEW met2 ( 172270 25500 ) ( 172730 * )
NEW met2 ( 172270 25500 ) ( * 60690 )
NEW met1 ( 170430 60690 ) ( 172270 * )
NEW met2 ( 170430 60690 ) ( * 103870 )
NEW li1 ( 167670 103870 ) L1M1_PR_MR
NEW met1 ( 170430 103870 ) M1M2_PR
NEW li1 ( 163990 104210 ) L1M1_PR_MR
NEW li1 ( 177330 23630 ) L1M1_PR_MR
NEW met1 ( 172730 23630 ) M1M2_PR
NEW met1 ( 172270 60690 ) M1M2_PR
NEW met1 ( 170430 60690 ) M1M2_PR ;
- net277 ( ANTENNA__308__B1 DIODE ) ( input277 X ) ( _308_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 76130 174590 ) ( * 188190 )
NEW met1 ( 76130 174590 ) ( 80270 * )
NEW met2 ( 80270 165410 ) ( * 174590 )
NEW met1 ( 76130 165410 ) ( 80270 * )
NEW met1 ( 80730 37570 ) ( 92690 * )
NEW met2 ( 80730 37570 ) ( * 62100 )
NEW met2 ( 80730 62100 ) ( 81650 * )
NEW met1 ( 92690 37230 ) ( * 37570 )
NEW met1 ( 76130 135150 ) ( 81650 * )
NEW met2 ( 76130 135150 ) ( * 165410 )
NEW met2 ( 81650 62100 ) ( * 135150 )
NEW met2 ( 15410 187170 ) ( * 188190 )
NEW met1 ( 15410 188190 ) ( 76130 * )
NEW met2 ( 100050 32130 ) ( * 37230 )
NEW met1 ( 98210 32130 ) ( 100050 * )
NEW met1 ( 98210 31790 ) ( * 32130 )
NEW met1 ( 92690 37230 ) ( 100050 * )
NEW met1 ( 76130 188190 ) M1M2_PR
NEW met1 ( 76130 174590 ) M1M2_PR
NEW met1 ( 80270 174590 ) M1M2_PR
NEW met1 ( 80270 165410 ) M1M2_PR
NEW met1 ( 76130 165410 ) M1M2_PR
NEW li1 ( 92690 37570 ) L1M1_PR_MR
NEW met1 ( 80730 37570 ) M1M2_PR
NEW met1 ( 76130 135150 ) M1M2_PR
NEW met1 ( 81650 135150 ) M1M2_PR
NEW li1 ( 15410 187170 ) L1M1_PR_MR
NEW met1 ( 15410 187170 ) M1M2_PR
NEW met1 ( 15410 188190 ) M1M2_PR
NEW met1 ( 100050 37230 ) M1M2_PR
NEW met1 ( 100050 32130 ) M1M2_PR
NEW li1 ( 98210 31790 ) L1M1_PR_MR
NEW met1 ( 15410 187170 ) RECT ( -355 -70 0 70 ) ;
- net278 ( input278 X ) ( _309_ B1 ) + USE SIGNAL
+ ROUTED met1 ( 166750 49470 ) ( 169050 * )
NEW met1 ( 164910 31450 ) ( 166750 * )
NEW met1 ( 164910 31110 ) ( * 31450 )
NEW met1 ( 163070 31110 ) ( 164910 * )
NEW met1 ( 163070 31110 ) ( * 31450 )
NEW met2 ( 166750 31450 ) ( * 49470 )
NEW met1 ( 166750 49470 ) M1M2_PR
NEW li1 ( 169050 49470 ) L1M1_PR_MR
NEW met1 ( 166750 31450 ) M1M2_PR
NEW li1 ( 163070 31450 ) L1M1_PR_MR ;
- net279 ( ANTENNA__310__B1 DIODE ) ( input279 X ) ( _310_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 24150 107100 ) ( * 110400 )
NEW met2 ( 23690 110400 ) ( 24150 * )
NEW met2 ( 23690 110400 ) ( * 174590 )
NEW met2 ( 138690 107100 ) ( * 107270 )
NEW met1 ( 142370 104550 ) ( * 104890 )
NEW met1 ( 138690 104890 ) ( 142370 * )
NEW met2 ( 138690 104890 ) ( * 107100 )
NEW met3 ( 24150 107100 ) ( 138690 * )
NEW met2 ( 24150 107100 ) M2M3_PR_M
NEW li1 ( 23690 174590 ) L1M1_PR_MR
NEW met1 ( 23690 174590 ) M1M2_PR
NEW li1 ( 138690 107270 ) L1M1_PR_MR
NEW met1 ( 138690 107270 ) M1M2_PR
NEW met2 ( 138690 107100 ) M2M3_PR_M
NEW li1 ( 142370 104550 ) L1M1_PR_MR
NEW met1 ( 138690 104890 ) M1M2_PR
NEW met1 ( 23690 174590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 138690 107270 ) RECT ( -355 -70 0 70 ) ;
- net28 ( ANTENNA__783__A DIODE ) ( ANTENNA__655__A DIODE ) ( input28 X ) ( _655_ A ) ( _783_ A ) + USE SIGNAL
+ ROUTED met1 ( 168130 72590 ) ( 189290 * )
NEW met1 ( 165830 179010 ) ( 168130 * )
NEW met2 ( 168130 179010 ) ( * 183770 )
NEW met1 ( 166750 162010 ) ( 168130 * )
NEW met2 ( 168130 162010 ) ( * 179010 )
NEW met2 ( 168130 72590 ) ( * 153510 )
NEW met2 ( 168130 153510 ) ( * 162010 )
NEW li1 ( 189290 72590 ) L1M1_PR_MR
NEW met1 ( 168130 72590 ) M1M2_PR
NEW li1 ( 165830 179010 ) L1M1_PR_MR
NEW met1 ( 168130 179010 ) M1M2_PR
NEW li1 ( 168130 183770 ) L1M1_PR_MR
NEW met1 ( 168130 183770 ) M1M2_PR
NEW li1 ( 166750 162010 ) L1M1_PR_MR
NEW met1 ( 168130 162010 ) M1M2_PR
NEW li1 ( 168130 153510 ) L1M1_PR_MR
NEW met1 ( 168130 153510 ) M1M2_PR
NEW met1 ( 168130 183770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 168130 153510 ) RECT ( -355 -70 0 70 ) ;
- net280 ( ANTENNA__338__A DIODE ) ( ANTENNA__327__D DIODE ) ( input280 X ) ( _327_ D ) ( _338_ A ) + USE SIGNAL
+ ROUTED met2 ( 153870 52700 ) ( 156630 * )
NEW met2 ( 153870 38420 ) ( * 52700 )
NEW met2 ( 153870 38420 ) ( 154330 * )
NEW met2 ( 154330 26350 ) ( * 38420 )
NEW met2 ( 156630 52700 ) ( * 82110 )
NEW met1 ( 154330 26350 ) ( 178710 * )
NEW met1 ( 133755 82110 ) ( * 82450 )
NEW met1 ( 133755 82110 ) ( 144670 * )
NEW met2 ( 138690 89250 ) ( * 90270 )
NEW met1 ( 138690 89250 ) ( 142370 * )
NEW met2 ( 142370 82110 ) ( * 89250 )
NEW met1 ( 135010 90610 ) ( * 91290 )
NEW met1 ( 135010 90610 ) ( 138690 * )
NEW met1 ( 138690 90270 ) ( * 90610 )
NEW met1 ( 144670 82110 ) ( 156630 * )
NEW li1 ( 178710 26350 ) L1M1_PR_MR
NEW met1 ( 156630 82110 ) M1M2_PR
NEW met1 ( 154330 26350 ) M1M2_PR
NEW li1 ( 144670 82110 ) L1M1_PR_MR
NEW li1 ( 133755 82450 ) L1M1_PR_MR
NEW li1 ( 138690 90270 ) L1M1_PR_MR
NEW met1 ( 138690 90270 ) M1M2_PR
NEW met1 ( 138690 89250 ) M1M2_PR
NEW met1 ( 142370 89250 ) M1M2_PR
NEW met1 ( 142370 82110 ) M1M2_PR
NEW li1 ( 135010 91290 ) L1M1_PR_MR
NEW met1 ( 138690 90270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 142370 82110 ) RECT ( -595 -70 0 70 ) ;
- net281 ( input281 X ) ( _337_ A ) + USE SIGNAL
+ ROUTED met1 ( 52210 11730 ) ( 59110 * )
NEW met1 ( 59110 11730 ) ( * 12070 )
NEW met1 ( 49450 85510 ) ( 54970 * )
NEW met1 ( 54970 85510 ) ( * 85850 )
NEW met1 ( 49450 38590 ) ( 52210 * )
NEW met2 ( 49450 38590 ) ( * 85510 )
NEW met2 ( 52210 11730 ) ( * 38590 )
NEW met1 ( 52210 11730 ) M1M2_PR
NEW li1 ( 59110 12070 ) L1M1_PR_MR
NEW met1 ( 49450 85510 ) M1M2_PR
NEW li1 ( 54970 85850 ) L1M1_PR_MR
NEW met1 ( 49450 38590 ) M1M2_PR
NEW met1 ( 52210 38590 ) M1M2_PR ;
- net282 ( input282 X ) ( _337_ B ) + USE SIGNAL
+ ROUTED met2 ( 15870 92140 ) ( * 110330 )
NEW met2 ( 15410 92140 ) ( 15870 * )
NEW met2 ( 15410 85510 ) ( * 92140 )
NEW met1 ( 15410 85510 ) ( 16790 * )
NEW met1 ( 16790 85170 ) ( * 85510 )
NEW met1 ( 16790 85170 ) ( 27370 * )
NEW met1 ( 27370 85170 ) ( * 85850 )
NEW met1 ( 27370 85850 ) ( 54050 * )
NEW met1 ( 9890 110330 ) ( 15870 * )
NEW li1 ( 9890 110330 ) L1M1_PR_MR
NEW met1 ( 15870 110330 ) M1M2_PR
NEW met1 ( 15410 85510 ) M1M2_PR
NEW li1 ( 54050 85850 ) L1M1_PR_MR ;
- net283 ( ANTENNA__298__B1 DIODE ) ( input283 X ) ( _298_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 8510 72930 ) ( * 86700 )
NEW met2 ( 8510 86700 ) ( 8970 * )
NEW met1 ( 8050 66470 ) ( * 67150 )
NEW met1 ( 8050 67150 ) ( 8510 * )
NEW met2 ( 8510 67150 ) ( * 72930 )
NEW met1 ( 8970 164390 ) ( 9890 * )
NEW met2 ( 8970 86700 ) ( * 164390 )
NEW met1 ( 8510 72930 ) ( 14030 * )
NEW met1 ( 8510 72930 ) M1M2_PR
NEW li1 ( 8050 66470 ) L1M1_PR_MR
NEW met1 ( 8510 67150 ) M1M2_PR
NEW met1 ( 8970 164390 ) M1M2_PR
NEW li1 ( 9890 164390 ) L1M1_PR_MR
NEW li1 ( 14030 72930 ) L1M1_PR_MR ;
- net284 ( input284 X ) ( _312_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 108330 28050 ) ( 108790 * )
NEW met2 ( 108790 28050 ) ( * 28730 )
NEW met1 ( 108790 28730 ) ( 112470 * )
NEW met2 ( 112470 28730 ) ( * 33150 )
NEW li1 ( 108330 28050 ) L1M1_PR_MR
NEW met1 ( 108330 28050 ) M1M2_PR
NEW met1 ( 108790 28730 ) M1M2_PR
NEW met1 ( 112470 28730 ) M1M2_PR
NEW li1 ( 112470 33150 ) L1M1_PR_MR
NEW met1 ( 112470 33150 ) M1M2_PR
NEW met1 ( 108330 28050 ) RECT ( -355 -70 0 70 )
NEW met1 ( 112470 33150 ) RECT ( -355 -70 0 70 ) ;
- net285 ( ANTENNA__314__A1 DIODE ) ( input285 X ) ( _314_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 105570 99110 ) ( * 99450 )
NEW met1 ( 102810 99450 ) ( 105570 * )
NEW met2 ( 102810 99450 ) ( * 101830 )
NEW met1 ( 37490 180030 ) ( 37950 * )
NEW met2 ( 37950 101150 ) ( * 180030 )
NEW met1 ( 66930 101150 ) ( * 101490 )
NEW met1 ( 66930 101490 ) ( 86710 * )
NEW met1 ( 86710 101490 ) ( * 101830 )
NEW met1 ( 37950 101150 ) ( 66930 * )
NEW met1 ( 86710 101830 ) ( 102810 * )
NEW li1 ( 102810 101830 ) L1M1_PR_MR
NEW li1 ( 105570 99110 ) L1M1_PR_MR
NEW met1 ( 102810 99450 ) M1M2_PR
NEW met1 ( 102810 101830 ) M1M2_PR
NEW met1 ( 37950 101150 ) M1M2_PR
NEW met1 ( 37950 180030 ) M1M2_PR
NEW li1 ( 37490 180030 ) L1M1_PR_MR
NEW met1 ( 102810 101830 ) RECT ( -595 -70 0 70 ) ;
- net286 ( ANTENNA__315__A1 DIODE ) ( input286 X ) ( _315_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 104650 105570 ) ( * 109990 )
NEW met1 ( 77050 109990 ) ( 104650 * )
NEW met1 ( 77050 109990 ) ( * 110330 )
NEW met1 ( 45770 110330 ) ( 77050 * )
NEW met2 ( 110630 98770 ) ( * 105570 )
NEW met1 ( 104650 105570 ) ( 110630 * )
NEW met2 ( 45770 110330 ) ( * 182750 )
NEW li1 ( 104650 105570 ) L1M1_PR_MR
NEW met1 ( 104650 105570 ) M1M2_PR
NEW met1 ( 104650 109990 ) M1M2_PR
NEW met1 ( 45770 110330 ) M1M2_PR
NEW li1 ( 45770 182750 ) L1M1_PR_MR
NEW met1 ( 45770 182750 ) M1M2_PR
NEW met1 ( 110630 105570 ) M1M2_PR
NEW li1 ( 110630 98770 ) L1M1_PR_MR
NEW met1 ( 110630 98770 ) M1M2_PR
NEW met1 ( 104650 105570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 45770 182750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 110630 98770 ) RECT ( 0 -70 355 70 ) ;
- net287 ( ANTENNA__316__A1 DIODE ) ( input287 X ) ( _316_ A1 ) + USE SIGNAL
+ ROUTED met4 ( 65780 168300 ) ( * 183940 )
NEW met3 ( 65780 168300 ) ( 66470 * )
NEW met2 ( 66470 159290 ) ( * 168300 )
NEW met1 ( 66470 159290 ) ( 67850 * )
NEW met3 ( 66930 34340 ) ( 68310 * )
NEW met2 ( 66930 29580 ) ( * 34340 )
NEW met3 ( 66930 29580 ) ( 76820 * )
NEW met3 ( 76820 28900 ) ( * 29580 )
NEW met2 ( 68310 34340 ) ( * 110400 )
NEW met2 ( 67850 110400 ) ( 68310 * )
NEW met2 ( 67850 110400 ) ( * 159290 )
NEW met1 ( 14950 183770 ) ( * 184110 )
NEW met1 ( 14950 184110 ) ( 19550 * )
NEW met2 ( 19550 183940 ) ( * 184110 )
NEW met3 ( 19550 183940 ) ( 65780 * )
NEW met1 ( 170890 28390 ) ( 173650 * )
NEW met2 ( 170890 28390 ) ( * 28900 )
NEW met1 ( 164910 27710 ) ( * 28050 )
NEW met1 ( 164910 27710 ) ( 165600 * )
NEW met1 ( 165600 27710 ) ( * 28050 )
NEW met1 ( 165600 28050 ) ( 170890 * )
NEW met1 ( 170890 28050 ) ( * 28390 )
NEW met3 ( 76820 28900 ) ( 170890 * )
NEW met3 ( 65780 183940 ) M3M4_PR_M
NEW met3 ( 65780 168300 ) M3M4_PR_M
NEW met2 ( 66470 168300 ) M2M3_PR_M
NEW met1 ( 66470 159290 ) M1M2_PR
NEW met1 ( 67850 159290 ) M1M2_PR
NEW met2 ( 68310 34340 ) M2M3_PR_M
NEW met2 ( 66930 34340 ) M2M3_PR_M
NEW met2 ( 66930 29580 ) M2M3_PR_M
NEW li1 ( 14950 183770 ) L1M1_PR_MR
NEW met1 ( 19550 184110 ) M1M2_PR
NEW met2 ( 19550 183940 ) M2M3_PR_M
NEW li1 ( 173650 28390 ) L1M1_PR_MR
NEW met1 ( 170890 28390 ) M1M2_PR
NEW met2 ( 170890 28900 ) M2M3_PR_M
NEW li1 ( 164910 28050 ) L1M1_PR_MR ;
- net288 ( input288 X ) ( _317_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 189290 28050 ) ( 197570 * )
NEW met1 ( 180090 52530 ) ( 197570 * )
NEW met1 ( 180090 52190 ) ( * 52530 )
NEW met1 ( 177790 52190 ) ( 180090 * )
NEW met1 ( 177790 52190 ) ( * 52530 )
NEW met1 ( 170890 52530 ) ( 177790 * )
NEW li1 ( 197570 28050 ) ( * 52530 )
NEW li1 ( 189290 28050 ) L1M1_PR_MR
NEW li1 ( 197570 28050 ) L1M1_PR_MR
NEW li1 ( 197570 52530 ) L1M1_PR_MR
NEW li1 ( 170890 52530 ) L1M1_PR_MR ;
- net289 ( ANTENNA__319__A1 DIODE ) ( input289 X ) ( _319_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 13570 82620 ) ( * 84830 )
NEW met2 ( 186530 81090 ) ( * 82620 )
NEW met1 ( 189290 82110 ) ( * 82450 )
NEW met1 ( 186530 82110 ) ( 189290 * )
NEW met3 ( 13570 82620 ) ( 186530 * )
NEW met2 ( 13570 82620 ) M2M3_PR_M
NEW li1 ( 13570 84830 ) L1M1_PR_MR
NEW met1 ( 13570 84830 ) M1M2_PR
NEW li1 ( 186530 81090 ) L1M1_PR_MR
NEW met1 ( 186530 81090 ) M1M2_PR
NEW met2 ( 186530 82620 ) M2M3_PR_M
NEW li1 ( 189290 82450 ) L1M1_PR_MR
NEW met1 ( 186530 82110 ) M1M2_PR
NEW met1 ( 13570 84830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186530 81090 ) RECT ( -355 -70 0 70 )
NEW met2 ( 186530 82110 ) RECT ( -70 -485 70 0 ) ;
- net29 ( input29 X ) ( _656_ A ) ( _784_ A ) + USE SIGNAL
+ ROUTED met1 ( 183310 26350 ) ( 183770 * )
NEW met2 ( 183770 26350 ) ( * 53210 )
NEW met2 ( 183770 9690 ) ( * 26350 )
NEW met2 ( 147890 9690 ) ( * 12070 )
NEW met1 ( 136390 12070 ) ( 147890 * )
NEW met1 ( 147890 9690 ) ( 183770 * )
NEW met1 ( 183770 9690 ) M1M2_PR
NEW li1 ( 183310 26350 ) L1M1_PR_MR
NEW met1 ( 183770 26350 ) M1M2_PR
NEW li1 ( 183770 53210 ) L1M1_PR_MR
NEW met1 ( 183770 53210 ) M1M2_PR
NEW met1 ( 147890 9690 ) M1M2_PR
NEW met1 ( 147890 12070 ) M1M2_PR
NEW li1 ( 136390 12070 ) L1M1_PR_MR
NEW met1 ( 183770 53210 ) RECT ( -355 -70 0 70 ) ;
- net290 ( input290 X ) ( _320_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 180090 61710 ) ( 189290 * )
NEW met2 ( 189290 61710 ) ( * 66130 )
NEW li1 ( 189290 66130 ) L1M1_PR_MR
NEW met1 ( 189290 66130 ) M1M2_PR
NEW li1 ( 180090 61710 ) L1M1_PR_MR
NEW met1 ( 189290 61710 ) M1M2_PR
NEW met1 ( 189290 66130 ) RECT ( -355 -70 0 70 ) ;
- net291 ( ANTENNA__321__A1 DIODE ) ( input291 X ) ( _321_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 84870 98770 ) ( 88090 * )
NEW met1 ( 84870 94350 ) ( 85790 * )
NEW met2 ( 84870 94350 ) ( * 98770 )
NEW met2 ( 34270 169490 ) ( * 173060 )
NEW met3 ( 14950 173060 ) ( 34270 * )
NEW met2 ( 14950 172890 ) ( * 173060 )
NEW met2 ( 84870 98770 ) ( * 144900 )
NEW met1 ( 50830 169490 ) ( * 170170 )
NEW met1 ( 50830 170170 ) ( 61870 * )
NEW met2 ( 61870 170170 ) ( * 170340 )
NEW met3 ( 61870 170340 ) ( 84410 * )
NEW met2 ( 84410 144900 ) ( * 170340 )
NEW met2 ( 84410 144900 ) ( 84870 * )
NEW met1 ( 34270 169490 ) ( 50830 * )
NEW li1 ( 88090 98770 ) L1M1_PR_MR
NEW met1 ( 84870 98770 ) M1M2_PR
NEW li1 ( 85790 94350 ) L1M1_PR_MR
NEW met1 ( 84870 94350 ) M1M2_PR
NEW met1 ( 34270 169490 ) M1M2_PR
NEW met2 ( 34270 173060 ) M2M3_PR_M
NEW met2 ( 14950 173060 ) M2M3_PR_M
NEW li1 ( 14950 172890 ) L1M1_PR_MR
NEW met1 ( 14950 172890 ) M1M2_PR
NEW met1 ( 61870 170170 ) M1M2_PR
NEW met2 ( 61870 170340 ) M2M3_PR_M
NEW met2 ( 84410 170340 ) M2M3_PR_M
NEW met1 ( 14950 172890 ) RECT ( -355 -70 0 70 ) ;
- net292 ( ANTENNA__322__A1 DIODE ) ( input292 X ) ( _322_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 72910 65790 ) ( 73370 * )
NEW met2 ( 72910 63410 ) ( * 65790 )
NEW met1 ( 77970 65790 ) ( * 66130 )
NEW met1 ( 73370 65790 ) ( 77970 * )
NEW met2 ( 9430 62100 ) ( * 63410 )
NEW met2 ( 9430 62100 ) ( 9890 * )
NEW met2 ( 9890 16830 ) ( * 62100 )
NEW met1 ( 9890 16830 ) ( 11730 * )
NEW met1 ( 9430 63410 ) ( 72910 * )
NEW met1 ( 9430 63410 ) M1M2_PR
NEW li1 ( 73370 65790 ) L1M1_PR_MR
NEW met1 ( 72910 65790 ) M1M2_PR
NEW met1 ( 72910 63410 ) M1M2_PR
NEW li1 ( 77970 66130 ) L1M1_PR_MR
NEW met1 ( 9890 16830 ) M1M2_PR
NEW li1 ( 11730 16830 ) L1M1_PR_MR ;
- net293 ( ANTENNA__323__A1 DIODE ) ( input293 X ) ( _323_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 77050 99450 ) ( * 99620 )
NEW met1 ( 72910 99110 ) ( * 99450 )
NEW met1 ( 72910 99450 ) ( 77050 * )
NEW met3 ( 77050 99620 ) ( 140070 * )
NEW met2 ( 139150 134300 ) ( 140070 * )
NEW met2 ( 139150 134300 ) ( * 180030 )
NEW met2 ( 140070 99620 ) ( * 134300 )
NEW li1 ( 77050 99450 ) L1M1_PR_MR
NEW met1 ( 77050 99450 ) M1M2_PR
NEW met2 ( 77050 99620 ) M2M3_PR_M
NEW li1 ( 72910 99110 ) L1M1_PR_MR
NEW met2 ( 140070 99620 ) M2M3_PR_M
NEW li1 ( 139150 180030 ) L1M1_PR_MR
NEW met1 ( 139150 180030 ) M1M2_PR
NEW met1 ( 77050 99450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 139150 180030 ) RECT ( -355 -70 0 70 ) ;
- net294 ( ANTENNA__300__A1 DIODE ) ( input294 X ) ( _300_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 102350 62100 ) ( 102810 * )
NEW met1 ( 102350 125970 ) ( 106950 * )
NEW met1 ( 106950 125630 ) ( * 125970 )
NEW met2 ( 102350 62100 ) ( * 125970 )
NEW met1 ( 110630 125970 ) ( 114310 * )
NEW met1 ( 110630 125630 ) ( * 125970 )
NEW met1 ( 106950 125630 ) ( 110630 * )
NEW met1 ( 111090 12070 ) ( 112010 * )
NEW met2 ( 111090 12070 ) ( * 14450 )
NEW met1 ( 102810 14450 ) ( 111090 * )
NEW met2 ( 102810 14450 ) ( * 62100 )
NEW met1 ( 102350 125970 ) M1M2_PR
NEW li1 ( 110630 125970 ) L1M1_PR_MR
NEW li1 ( 114310 125970 ) L1M1_PR_MR
NEW li1 ( 112010 12070 ) L1M1_PR_MR
NEW met1 ( 111090 12070 ) M1M2_PR
NEW met1 ( 111090 14450 ) M1M2_PR
NEW met1 ( 102810 14450 ) M1M2_PR ;
- net295 ( input295 X ) ( _301_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 33350 119170 ) ( 36110 * )
NEW met1 ( 33350 118490 ) ( * 119170 )
NEW met2 ( 36110 119170 ) ( * 183430 )
NEW li1 ( 36110 183430 ) L1M1_PR_MR
NEW met1 ( 36110 183430 ) M1M2_PR
NEW met1 ( 36110 119170 ) M1M2_PR
NEW li1 ( 33350 118490 ) L1M1_PR_MR
NEW met1 ( 36110 183430 ) RECT ( -355 -70 0 70 ) ;
- net296 ( input296 X ) ( _302_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 64170 125970 ) ( * 131410 )
NEW met1 ( 64170 125970 ) ( 66010 * )
NEW met1 ( 20010 131070 ) ( * 131410 )
NEW met1 ( 20010 131410 ) ( 64170 * )
NEW met1 ( 64170 131410 ) M1M2_PR
NEW met1 ( 64170 125970 ) M1M2_PR
NEW li1 ( 66010 125970 ) L1M1_PR_MR
NEW li1 ( 20010 131070 ) L1M1_PR_MR ;
- net297 ( ANTENNA__303__A1 DIODE ) ( input297 X ) ( _303_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 11270 71740 ) ( 11730 * )
NEW met1 ( 11730 169150 ) ( 12190 * )
NEW met2 ( 11270 61370 ) ( * 71740 )
NEW met2 ( 11730 113220 ) ( 12190 * )
NEW met2 ( 11730 71740 ) ( * 113220 )
NEW met2 ( 12190 113220 ) ( * 169150 )
NEW met1 ( 108790 55590 ) ( 109710 * )
NEW met1 ( 108790 55590 ) ( * 55930 )
NEW met1 ( 105570 55930 ) ( 108790 * )
NEW met2 ( 37950 55930 ) ( * 61370 )
NEW met1 ( 11270 61370 ) ( 37950 * )
NEW met1 ( 37950 55930 ) ( 105570 * )
NEW met1 ( 12190 169150 ) M1M2_PR
NEW li1 ( 11730 169150 ) L1M1_PR_MR
NEW met1 ( 11270 61370 ) M1M2_PR
NEW li1 ( 105570 55930 ) L1M1_PR_MR
NEW li1 ( 109710 55590 ) L1M1_PR_MR
NEW met1 ( 37950 61370 ) M1M2_PR
NEW met1 ( 37950 55930 ) M1M2_PR ;
- net298 ( ANTENNA__305__A1 DIODE ) ( input298 X ) ( _305_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 103730 46750 ) ( 109710 * )
NEW met2 ( 109710 36890 ) ( * 46750 )
NEW met1 ( 98210 50150 ) ( 99130 * )
NEW met1 ( 99130 49810 ) ( * 50150 )
NEW met1 ( 99130 49810 ) ( 103730 * )
NEW met2 ( 103730 46750 ) ( * 49810 )
NEW met2 ( 121210 30430 ) ( * 36890 )
NEW met1 ( 121210 30430 ) ( 130410 * )
NEW met2 ( 130410 19550 ) ( * 30430 )
NEW met1 ( 109710 36890 ) ( 121210 * )
NEW met2 ( 139610 18530 ) ( * 19550 )
NEW met1 ( 139610 18530 ) ( 147430 * )
NEW met1 ( 147430 18190 ) ( * 18530 )
NEW met1 ( 147430 18190 ) ( 164910 * )
NEW met2 ( 164910 18190 ) ( * 20230 )
NEW met1 ( 164910 20230 ) ( 171350 * )
NEW met1 ( 130410 19550 ) ( 139610 * )
NEW li1 ( 103730 46750 ) L1M1_PR_MR
NEW met1 ( 109710 46750 ) M1M2_PR
NEW met1 ( 109710 36890 ) M1M2_PR
NEW li1 ( 98210 50150 ) L1M1_PR_MR
NEW met1 ( 103730 49810 ) M1M2_PR
NEW met1 ( 103730 46750 ) M1M2_PR
NEW met1 ( 121210 36890 ) M1M2_PR
NEW met1 ( 121210 30430 ) M1M2_PR
NEW met1 ( 130410 30430 ) M1M2_PR
NEW met1 ( 130410 19550 ) M1M2_PR
NEW met1 ( 139610 19550 ) M1M2_PR
NEW met1 ( 139610 18530 ) M1M2_PR
NEW met1 ( 164910 18190 ) M1M2_PR
NEW met1 ( 164910 20230 ) M1M2_PR
NEW li1 ( 171350 20230 ) L1M1_PR_MR
NEW met1 ( 103730 46750 ) RECT ( -595 -70 0 70 ) ;
- net299 ( input299 X ) ( _307_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 163530 121550 ) ( 179170 * )
NEW met2 ( 163530 104550 ) ( * 121550 )
NEW li1 ( 163530 104550 ) L1M1_PR_MR
NEW met1 ( 163530 104550 ) M1M2_PR
NEW met1 ( 163530 121550 ) M1M2_PR
NEW li1 ( 179170 121550 ) L1M1_PR_MR
NEW met1 ( 163530 104550 ) RECT ( 0 -70 355 70 ) ;
- net3 ( input3 X ) ( _632_ A ) ( _760_ A ) + USE SIGNAL
+ ROUTED met1 ( 150650 22950 ) ( 154330 * )
NEW met2 ( 150650 22950 ) ( * 25500 )
NEW met2 ( 150190 25500 ) ( 150650 * )
NEW met2 ( 150190 25500 ) ( * 33150 )
NEW met1 ( 145130 33150 ) ( 150190 * )
NEW met2 ( 150650 11730 ) ( * 22950 )
NEW met1 ( 143750 11730 ) ( 150650 * )
NEW li1 ( 143750 11730 ) L1M1_PR_MR
NEW li1 ( 154330 22950 ) L1M1_PR_MR
NEW met1 ( 150650 22950 ) M1M2_PR
NEW met1 ( 150190 33150 ) M1M2_PR
NEW li1 ( 145130 33150 ) L1M1_PR_MR
NEW met1 ( 150650 11730 ) M1M2_PR ;
- net30 ( ANTENNA__785__A DIODE ) ( ANTENNA__657__A DIODE ) ( input30 X ) ( _657_ A ) ( _785_ A ) + USE SIGNAL
+ ROUTED met1 ( 85330 178330 ) ( 87170 * )
NEW met2 ( 87170 178330 ) ( * 178500 )
NEW met3 ( 87170 178500 ) ( 88780 * )
NEW met3 ( 88780 177820 ) ( * 178500 )
NEW met1 ( 88090 164390 ) ( 88550 * )
NEW met2 ( 88090 164390 ) ( * 169660 )
NEW met3 ( 88090 169660 ) ( 88780 * )
NEW met4 ( 88780 169660 ) ( * 177820 )
NEW met1 ( 86250 162690 ) ( 88090 * )
NEW met2 ( 88090 162690 ) ( * 164390 )
NEW met1 ( 162150 185470 ) ( * 186150 )
NEW met1 ( 88090 157250 ) ( 89470 * )
NEW met2 ( 88090 157250 ) ( * 162690 )
NEW met3 ( 119140 177820 ) ( * 178500 )
NEW met3 ( 119140 178500 ) ( 122130 * )
NEW met2 ( 122130 178500 ) ( * 183090 )
NEW met1 ( 122130 183090 ) ( 135930 * )
NEW met2 ( 135930 183090 ) ( * 185470 )
NEW met3 ( 88780 177820 ) ( 119140 * )
NEW met1 ( 135930 185470 ) ( 162150 * )
NEW li1 ( 85330 178330 ) L1M1_PR_MR
NEW met1 ( 87170 178330 ) M1M2_PR
NEW met2 ( 87170 178500 ) M2M3_PR_M
NEW li1 ( 88550 164390 ) L1M1_PR_MR
NEW met1 ( 88090 164390 ) M1M2_PR
NEW met2 ( 88090 169660 ) M2M3_PR_M
NEW met3 ( 88780 169660 ) M3M4_PR_M
NEW met3 ( 88780 177820 ) M3M4_PR_M
NEW li1 ( 86250 162690 ) L1M1_PR_MR
NEW met1 ( 88090 162690 ) M1M2_PR
NEW li1 ( 162150 186150 ) L1M1_PR_MR
NEW met1 ( 88090 157250 ) M1M2_PR
NEW li1 ( 89470 157250 ) L1M1_PR_MR
NEW met2 ( 122130 178500 ) M2M3_PR_M
NEW met1 ( 122130 183090 ) M1M2_PR
NEW met1 ( 135930 183090 ) M1M2_PR
NEW met1 ( 135930 185470 ) M1M2_PR
NEW met3 ( 88780 177820 ) RECT ( 0 -150 800 150 ) ;
- net300 ( input300 X ) ( _308_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 96370 31790 ) ( * 34510 )
NEW met2 ( 101890 34510 ) ( * 35870 )
NEW met1 ( 96370 31790 ) ( 97750 * )
NEW met1 ( 96370 34510 ) ( 101890 * )
NEW met1 ( 96370 31790 ) M1M2_PR
NEW met1 ( 96370 34510 ) M1M2_PR
NEW met1 ( 101890 34510 ) M1M2_PR
NEW li1 ( 101890 35870 ) L1M1_PR_MR
NEW met1 ( 101890 35870 ) M1M2_PR
NEW li1 ( 97750 31790 ) L1M1_PR_MR
NEW met1 ( 101890 35870 ) RECT ( -355 -70 0 70 ) ;
- net301 ( ANTENNA__309__A1 DIODE ) ( input301 X ) ( _309_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 11270 164220 ) ( * 177650 )
NEW met1 ( 8510 177650 ) ( 11270 * )
NEW met1 ( 8510 177650 ) ( * 177990 )
NEW met3 ( 38180 162860 ) ( * 164220 )
NEW met3 ( 38180 162860 ) ( 61870 * )
NEW met3 ( 11270 164220 ) ( 38180 * )
NEW met2 ( 60950 56100 ) ( 61410 * )
NEW met2 ( 60950 28220 ) ( * 56100 )
NEW met2 ( 61410 134300 ) ( 61870 * )
NEW met2 ( 61410 56100 ) ( * 134300 )
NEW met2 ( 61870 134300 ) ( * 162860 )
NEW met2 ( 160770 28050 ) ( * 28220 )
NEW met1 ( 162610 31790 ) ( 163490 * )
NEW met1 ( 162610 30770 ) ( * 31790 )
NEW met1 ( 162610 30770 ) ( 163530 * )
NEW met2 ( 163530 29070 ) ( * 30770 )
NEW met1 ( 160770 29070 ) ( 163530 * )
NEW met2 ( 160770 28220 ) ( * 29070 )
NEW met3 ( 60950 28220 ) ( 160770 * )
NEW met2 ( 11270 164220 ) M2M3_PR_M
NEW met1 ( 11270 177650 ) M1M2_PR
NEW li1 ( 8510 177990 ) L1M1_PR_MR
NEW met2 ( 61870 162860 ) M2M3_PR_M
NEW met2 ( 60950 28220 ) M2M3_PR_M
NEW li1 ( 160770 28050 ) L1M1_PR_MR
NEW met1 ( 160770 28050 ) M1M2_PR
NEW met2 ( 160770 28220 ) M2M3_PR_M
NEW li1 ( 163490 31790 ) L1M1_PR_MR
NEW met1 ( 163530 30770 ) M1M2_PR
NEW met1 ( 163530 29070 ) M1M2_PR
NEW met1 ( 160770 29070 ) M1M2_PR
NEW met1 ( 160770 28050 ) RECT ( -355 -70 0 70 ) ;
- net302 ( input302 X ) ( _310_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 138690 186150 ) ( 143750 * )
NEW met1 ( 138690 124270 ) ( 142830 * )
NEW met2 ( 142830 104210 ) ( * 124270 )
NEW met2 ( 138690 124270 ) ( * 186150 )
NEW met1 ( 138690 186150 ) M1M2_PR
NEW li1 ( 143750 186150 ) L1M1_PR_MR
NEW met1 ( 138690 124270 ) M1M2_PR
NEW met1 ( 142830 124270 ) M1M2_PR
NEW li1 ( 142830 104210 ) L1M1_PR_MR
NEW met1 ( 142830 104210 ) M1M2_PR
NEW met1 ( 142830 104210 ) RECT ( -355 -70 0 70 ) ;
- net303 ( ANTENNA__345__A DIODE ) ( ANTENNA__339__A DIODE ) ( ANTENNA__334__A DIODE ) ( ANTENNA__294__A1 DIODE ) ( input303 X ) ( _294_ A1 ) ( _334_ A )
( _339_ A ) ( _345_ A ) + USE SIGNAL
+ ROUTED met1 ( 21850 33830 ) ( * 34170 )
NEW met1 ( 21850 34170 ) ( 25530 * )
NEW met1 ( 25530 33490 ) ( * 34170 )
NEW met1 ( 11270 33830 ) ( 21850 * )
NEW met2 ( 96830 32130 ) ( * 33660 )
NEW met3 ( 96830 33660 ) ( 117530 * )
NEW met2 ( 117530 33660 ) ( * 39100 )
NEW met2 ( 117530 39100 ) ( 117990 * )
NEW met1 ( 117990 73950 ) ( 118910 * )
NEW met1 ( 117990 74970 ) ( 122590 * )
NEW met2 ( 117990 73950 ) ( * 74970 )
NEW met1 ( 122590 72590 ) ( 129030 * )
NEW met2 ( 122590 72590 ) ( * 74970 )
NEW met2 ( 127190 72590 ) ( * 77010 )
NEW met1 ( 113850 80410 ) ( 115230 * )
NEW met2 ( 115230 74970 ) ( * 80410 )
NEW met1 ( 115230 74970 ) ( 117990 * )
NEW met1 ( 111550 80410 ) ( 113850 * )
NEW met2 ( 119370 80410 ) ( * 87550 )
NEW met1 ( 115230 80410 ) ( 119370 * )
NEW met1 ( 123970 85510 ) ( * 85850 )
NEW met1 ( 119370 85510 ) ( 123970 * )
NEW met2 ( 117990 39100 ) ( * 73950 )
NEW met1 ( 53590 33150 ) ( * 33490 )
NEW met1 ( 53590 33150 ) ( 60490 * )
NEW met1 ( 60490 33150 ) ( * 33490 )
NEW met1 ( 60490 33490 ) ( 89470 * )
NEW met2 ( 89470 32130 ) ( * 33490 )
NEW met1 ( 25530 33490 ) ( 53590 * )
NEW met1 ( 89470 32130 ) ( 96830 * )
NEW li1 ( 11270 33830 ) L1M1_PR_MR
NEW met1 ( 96830 32130 ) M1M2_PR
NEW met2 ( 96830 33660 ) M2M3_PR_M
NEW met2 ( 117530 33660 ) M2M3_PR_M
NEW li1 ( 118910 73950 ) L1M1_PR_MR
NEW met1 ( 117990 73950 ) M1M2_PR
NEW li1 ( 122590 74970 ) L1M1_PR_MR
NEW met1 ( 117990 74970 ) M1M2_PR
NEW li1 ( 129030 72590 ) L1M1_PR_MR
NEW met1 ( 122590 72590 ) M1M2_PR
NEW met1 ( 122590 74970 ) M1M2_PR
NEW li1 ( 127190 77010 ) L1M1_PR_MR
NEW met1 ( 127190 77010 ) M1M2_PR
NEW met1 ( 127190 72590 ) M1M2_PR
NEW li1 ( 113850 80410 ) L1M1_PR_MR
NEW met1 ( 115230 80410 ) M1M2_PR
NEW met1 ( 115230 74970 ) M1M2_PR
NEW li1 ( 111550 80410 ) L1M1_PR_MR
NEW li1 ( 119370 87550 ) L1M1_PR_MR
NEW met1 ( 119370 87550 ) M1M2_PR
NEW met1 ( 119370 80410 ) M1M2_PR
NEW li1 ( 123970 85850 ) L1M1_PR_MR
NEW met1 ( 119370 85510 ) M1M2_PR
NEW met1 ( 89470 33490 ) M1M2_PR
NEW met1 ( 89470 32130 ) M1M2_PR
NEW met1 ( 122590 74970 ) RECT ( -595 -70 0 70 )
NEW met1 ( 127190 77010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 127190 72590 ) RECT ( -595 -70 0 70 )
NEW met1 ( 119370 87550 ) RECT ( -355 -70 0 70 )
NEW met2 ( 119370 85510 ) RECT ( -70 -485 70 0 ) ;
- net304 ( ANTENNA__335__B DIODE ) ( input304 X ) ( _335_ B ) + USE SIGNAL
+ ROUTED met1 ( 86250 80750 ) ( * 81090 )
NEW met2 ( 14950 104380 ) ( 15410 * )
NEW met2 ( 15410 95540 ) ( * 104380 )
NEW met2 ( 14950 95540 ) ( 15410 * )
NEW met2 ( 14950 87550 ) ( * 95540 )
NEW met1 ( 14950 87550 ) ( 16330 * )
NEW met2 ( 16330 86020 ) ( * 87550 )
NEW met2 ( 16330 86020 ) ( 16790 * )
NEW met2 ( 16790 81090 ) ( * 86020 )
NEW met1 ( 16790 81090 ) ( 86250 * )
NEW met1 ( 86250 80750 ) ( 110400 * )
NEW met2 ( 137770 78030 ) ( * 79390 )
NEW met1 ( 123510 79390 ) ( 137770 * )
NEW met1 ( 123510 79390 ) ( * 80070 )
NEW met1 ( 110400 80070 ) ( 123510 * )
NEW met1 ( 110400 80070 ) ( * 80750 )
NEW met1 ( 138230 82450 ) ( 138690 * )
NEW met2 ( 138230 81940 ) ( * 82450 )
NEW met2 ( 137770 81940 ) ( 138230 * )
NEW met2 ( 137770 79390 ) ( * 81940 )
NEW met2 ( 14490 118660 ) ( 14950 * )
NEW met2 ( 14490 118660 ) ( * 124780 )
NEW met2 ( 14490 124780 ) ( 15410 * )
NEW met2 ( 14950 104380 ) ( * 118660 )
NEW met2 ( 15410 124780 ) ( * 169150 )
NEW met1 ( 14950 87550 ) M1M2_PR
NEW met1 ( 16330 87550 ) M1M2_PR
NEW met1 ( 16790 81090 ) M1M2_PR
NEW li1 ( 15410 169150 ) L1M1_PR_MR
NEW met1 ( 15410 169150 ) M1M2_PR
NEW li1 ( 137770 78030 ) L1M1_PR_MR
NEW met1 ( 137770 78030 ) M1M2_PR
NEW met1 ( 137770 79390 ) M1M2_PR
NEW li1 ( 138690 82450 ) L1M1_PR_MR
NEW met1 ( 138230 82450 ) M1M2_PR
NEW met1 ( 15410 169150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 137770 78030 ) RECT ( -355 -70 0 70 ) ;
- net305 ( input305 X ) ( _335_ A ) + USE SIGNAL
+ ROUTED met2 ( 173650 77010 ) ( * 82450 )
NEW met1 ( 173650 77010 ) ( 187450 * )
NEW met2 ( 187450 74630 ) ( * 77010 )
NEW met1 ( 187450 74630 ) ( 187910 * )
NEW met1 ( 140530 82450 ) ( * 82790 )
NEW met1 ( 137770 82790 ) ( 140530 * )
NEW met1 ( 140530 82450 ) ( 173650 * )
NEW met1 ( 173650 82450 ) M1M2_PR
NEW met1 ( 173650 77010 ) M1M2_PR
NEW met1 ( 187450 77010 ) M1M2_PR
NEW met1 ( 187450 74630 ) M1M2_PR
NEW li1 ( 187910 74630 ) L1M1_PR_MR
NEW li1 ( 137770 82790 ) L1M1_PR_MR ;
- net306 ( output306 A ) ( _352_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 85850 ) ( * 86190 )
NEW met2 ( 139610 86190 ) ( * 87550 )
NEW met1 ( 139610 86190 ) ( 189290 * )
NEW li1 ( 189290 85850 ) L1M1_PR_MR
NEW met1 ( 139610 86190 ) M1M2_PR
NEW li1 ( 139610 87550 ) L1M1_PR_MR
NEW met1 ( 139610 87550 ) M1M2_PR
NEW met1 ( 139610 87550 ) RECT ( -355 -70 0 70 ) ;
- net307 ( output307 A ) ( _234_ X ) + USE SIGNAL
+ ROUTED li1 ( 151570 52870 ) ( * 53550 )
NEW met1 ( 151570 53550 ) ( 188830 * )
NEW met2 ( 188830 53550 ) ( * 60690 )
NEW met1 ( 188830 60690 ) ( 189290 * )
NEW met1 ( 189290 60690 ) ( * 61030 )
NEW met1 ( 110630 52870 ) ( 151570 * )
NEW li1 ( 110630 52870 ) L1M1_PR_MR
NEW li1 ( 151570 52870 ) L1M1_PR_MR
NEW li1 ( 151570 53550 ) L1M1_PR_MR
NEW met1 ( 188830 53550 ) M1M2_PR
NEW met1 ( 188830 60690 ) M1M2_PR
NEW li1 ( 189290 61030 ) L1M1_PR_MR ;
- net308 ( output308 A ) ( _236_ X ) + USE SIGNAL
+ ROUTED met2 ( 174110 48450 ) ( * 49810 )
NEW met1 ( 174110 49810 ) ( 189290 * )
NEW met2 ( 189290 49810 ) ( * 58650 )
NEW met2 ( 148810 48450 ) ( * 49470 )
NEW met1 ( 148810 48450 ) ( 174110 * )
NEW met1 ( 174110 48450 ) M1M2_PR
NEW met1 ( 174110 49810 ) M1M2_PR
NEW met1 ( 189290 49810 ) M1M2_PR
NEW li1 ( 189290 58650 ) L1M1_PR_MR
NEW met1 ( 189290 58650 ) M1M2_PR
NEW met1 ( 148810 48450 ) M1M2_PR
NEW li1 ( 148810 49470 ) L1M1_PR_MR
NEW met1 ( 148810 49470 ) M1M2_PR
NEW met1 ( 189290 58650 ) RECT ( -355 -70 0 70 )
NEW met1 ( 148810 49470 ) RECT ( -355 -70 0 70 ) ;
- net309 ( ANTENNA_output309_A DIODE ) ( output309 A ) ( _238_ X ) + USE SIGNAL
+ ROUTED met2 ( 165830 162180 ) ( 166290 * )
NEW met2 ( 166290 162180 ) ( * 175270 )
NEW met1 ( 166290 175270 ) ( 169510 * )
NEW met1 ( 165830 145010 ) ( 167210 * )
NEW met2 ( 165830 145010 ) ( * 162180 )
NEW met2 ( 167210 78030 ) ( * 145010 )
NEW met1 ( 158700 78030 ) ( 167210 * )
NEW met1 ( 158700 78030 ) ( * 78370 )
NEW met1 ( 135010 78370 ) ( 158700 * )
NEW met1 ( 135010 78030 ) ( * 78370 )
NEW met1 ( 119370 78030 ) ( 135010 * )
NEW met1 ( 167210 78030 ) M1M2_PR
NEW met1 ( 166290 175270 ) M1M2_PR
NEW li1 ( 169510 175270 ) L1M1_PR_MR
NEW li1 ( 165830 145010 ) L1M1_PR_MR
NEW met1 ( 167210 145010 ) M1M2_PR
NEW met1 ( 165830 145010 ) M1M2_PR
NEW li1 ( 119370 78030 ) L1M1_PR_MR
NEW met1 ( 165830 145010 ) RECT ( -595 -70 0 70 ) ;
- net31 ( ANTENNA__786__A DIODE ) ( ANTENNA__658__A DIODE ) ( input31 X ) ( _658_ A ) ( _786_ A ) + USE SIGNAL
+ ROUTED met2 ( 44850 180710 ) ( * 181220 )
NEW met3 ( 44850 181220 ) ( 48530 * )
NEW met2 ( 48530 181220 ) ( * 185980 )
NEW met1 ( 44850 180710 ) ( 47610 * )
NEW met1 ( 52670 169830 ) ( 53130 * )
NEW met2 ( 53130 169830 ) ( * 175780 )
NEW met2 ( 53130 175780 ) ( 53590 * )
NEW met2 ( 53590 175780 ) ( * 177820 )
NEW met2 ( 53590 177820 ) ( 54050 * )
NEW met2 ( 54050 177820 ) ( * 185980 )
NEW met1 ( 53130 165410 ) ( 54050 * )
NEW met2 ( 53130 165410 ) ( * 169830 )
NEW met2 ( 179630 180030 ) ( * 180540 )
NEW met3 ( 169050 180540 ) ( 179630 * )
NEW met2 ( 169050 180540 ) ( * 185980 )
NEW met3 ( 48530 185980 ) ( 169050 * )
NEW li1 ( 44850 180710 ) L1M1_PR_MR
NEW met1 ( 44850 180710 ) M1M2_PR
NEW met2 ( 44850 181220 ) M2M3_PR_M
NEW met2 ( 48530 181220 ) M2M3_PR_M
NEW met2 ( 48530 185980 ) M2M3_PR_M
NEW li1 ( 47610 180710 ) L1M1_PR_MR
NEW li1 ( 52670 169830 ) L1M1_PR_MR
NEW met1 ( 53130 169830 ) M1M2_PR
NEW met2 ( 54050 185980 ) M2M3_PR_M
NEW li1 ( 54050 165410 ) L1M1_PR_MR
NEW met1 ( 53130 165410 ) M1M2_PR
NEW li1 ( 179630 180030 ) L1M1_PR_MR
NEW met1 ( 179630 180030 ) M1M2_PR
NEW met2 ( 179630 180540 ) M2M3_PR_M
NEW met2 ( 169050 180540 ) M2M3_PR_M
NEW met2 ( 169050 185980 ) M2M3_PR_M
NEW met1 ( 44850 180710 ) RECT ( -355 -70 0 70 )
NEW met3 ( 54050 185980 ) RECT ( -800 -150 0 150 )
NEW met1 ( 179630 180030 ) RECT ( -355 -70 0 70 ) ;
- net310 ( ANTENNA_output310_A DIODE ) ( output310 A ) ( _240_ X ) + USE SIGNAL
+ ROUTED met1 ( 63250 78370 ) ( 99590 * )
NEW met1 ( 62790 159970 ) ( 63250 * )
NEW met2 ( 62790 159970 ) ( * 180710 )
NEW met2 ( 62790 158700 ) ( * 159970 )
NEW met2 ( 62790 158700 ) ( 63250 * )
NEW met2 ( 63250 78370 ) ( * 158700 )
NEW li1 ( 99590 78370 ) L1M1_PR_MR
NEW met1 ( 63250 78370 ) M1M2_PR
NEW li1 ( 63250 159970 ) L1M1_PR_MR
NEW met1 ( 62790 159970 ) M1M2_PR
NEW li1 ( 62790 180710 ) L1M1_PR_MR
NEW met1 ( 62790 180710 ) M1M2_PR
NEW met1 ( 62790 180710 ) RECT ( -355 -70 0 70 ) ;
- net311 ( output311 A ) ( _242_ X ) + USE SIGNAL
+ ROUTED met1 ( 66435 17510 ) ( 66470 * )
NEW met1 ( 66435 17510 ) ( * 17850 )
NEW met1 ( 66435 17850 ) ( 66930 * )
NEW met2 ( 66930 16660 ) ( * 17850 )
NEW met3 ( 66930 16660 ) ( 87630 * )
NEW met2 ( 87630 16660 ) ( * 16830 )
NEW met1 ( 87630 16830 ) ( 92690 * )
NEW li1 ( 66470 17510 ) L1M1_PR_MR
NEW met1 ( 66930 17850 ) M1M2_PR
NEW met2 ( 66930 16660 ) M2M3_PR_M
NEW met2 ( 87630 16660 ) M2M3_PR_M
NEW met1 ( 87630 16830 ) M1M2_PR
NEW li1 ( 92690 16830 ) L1M1_PR_MR ;
- net312 ( output312 A ) ( _245_ X ) + USE SIGNAL
+ ROUTED met1 ( 43930 180710 ) ( * 181050 )
NEW met1 ( 41630 180710 ) ( 43930 * )
NEW met1 ( 83030 170850 ) ( 83490 * )
NEW met2 ( 83490 170850 ) ( * 180540 )
NEW met3 ( 51290 180540 ) ( 83490 * )
NEW met2 ( 51290 180540 ) ( * 181050 )
NEW met1 ( 43930 181050 ) ( 51290 * )
NEW li1 ( 41630 180710 ) L1M1_PR_MR
NEW li1 ( 83030 170850 ) L1M1_PR_MR
NEW met1 ( 83490 170850 ) M1M2_PR
NEW met2 ( 83490 180540 ) M2M3_PR_M
NEW met2 ( 51290 180540 ) M2M3_PR_M
NEW met1 ( 51290 181050 ) M1M2_PR ;
- net313 ( output313 A ) ( _247_ X ) + USE SIGNAL
+ ROUTED met2 ( 41170 166770 ) ( * 174930 )
NEW met1 ( 27830 174930 ) ( 41170 * )
NEW met1 ( 27830 174930 ) ( * 175270 )
NEW met1 ( 41170 166770 ) ( 83950 * )
NEW met1 ( 41170 166770 ) M1M2_PR
NEW met1 ( 41170 174930 ) M1M2_PR
NEW li1 ( 27830 175270 ) L1M1_PR_MR
NEW li1 ( 83950 166770 ) L1M1_PR_MR ;
- net314 ( output314 A ) ( _249_ X ) + USE SIGNAL
+ ROUTED met1 ( 115690 173570 ) ( 117530 * )
NEW met2 ( 117530 173570 ) ( * 180710 )
NEW li1 ( 115690 173570 ) L1M1_PR_MR
NEW met1 ( 117530 173570 ) M1M2_PR
NEW li1 ( 117530 180710 ) L1M1_PR_MR
NEW met1 ( 117530 180710 ) M1M2_PR
NEW met1 ( 117530 180710 ) RECT ( -355 -70 0 70 ) ;
- net315 ( ANTENNA_output315_A DIODE ) ( output315 A ) ( _251_ X ) + USE SIGNAL
+ ROUTED met2 ( 89930 41990 ) ( * 140590 )
NEW met2 ( 126730 36380 ) ( * 41650 )
NEW met2 ( 126730 36380 ) ( 127190 * )
NEW met2 ( 127190 35700 ) ( * 36380 )
NEW met2 ( 127190 35700 ) ( 127650 * )
NEW met2 ( 127650 25500 ) ( * 35700 )
NEW met2 ( 127650 25500 ) ( 128110 * )
NEW met2 ( 128110 20570 ) ( * 25500 )
NEW met1 ( 127650 20570 ) ( 128110 * )
NEW met1 ( 126730 41650 ) ( * 41990 )
NEW met1 ( 89930 41990 ) ( 126730 * )
NEW met1 ( 89930 41990 ) M1M2_PR
NEW li1 ( 89930 140590 ) L1M1_PR_MR
NEW met1 ( 89930 140590 ) M1M2_PR
NEW li1 ( 126730 41650 ) L1M1_PR_MR
NEW met1 ( 126730 41650 ) M1M2_PR
NEW met1 ( 128110 20570 ) M1M2_PR
NEW li1 ( 127650 20570 ) L1M1_PR_MR
NEW met1 ( 89930 140590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 126730 41650 ) RECT ( -355 -70 0 70 ) ;
- net316 ( ANTENNA_output316_A DIODE ) ( output316 A ) ( _253_ X ) + USE SIGNAL
+ ROUTED met1 ( 23690 125970 ) ( 43010 * )
NEW met1 ( 41630 41310 ) ( 43010 * )
NEW met2 ( 41630 31110 ) ( * 41310 )
NEW met2 ( 41170 31110 ) ( 41630 * )
NEW met2 ( 41170 17340 ) ( * 31110 )
NEW met3 ( 28750 17340 ) ( 41170 * )
NEW met2 ( 28750 17340 ) ( * 17510 )
NEW met1 ( 27830 17510 ) ( 28750 * )
NEW met2 ( 43010 41310 ) ( * 125970 )
NEW met1 ( 43010 125970 ) M1M2_PR
NEW li1 ( 23690 125970 ) L1M1_PR_MR
NEW li1 ( 43010 41310 ) L1M1_PR_MR
NEW met1 ( 41630 41310 ) M1M2_PR
NEW met2 ( 41170 17340 ) M2M3_PR_M
NEW met2 ( 28750 17340 ) M2M3_PR_M
NEW met1 ( 28750 17510 ) M1M2_PR
NEW li1 ( 27830 17510 ) L1M1_PR_MR
NEW met1 ( 43010 41310 ) M1M2_PR
NEW met1 ( 43010 41310 ) RECT ( -595 -70 0 70 ) ;
- net317 ( ANTENNA_output317_A DIODE ) ( output317 A ) ( _375_ X ) + USE SIGNAL
+ ROUTED met1 ( 160770 178330 ) ( 161690 * )
NEW met2 ( 160770 158700 ) ( * 178330 )
NEW met2 ( 161230 149090 ) ( * 158700 )
NEW met2 ( 160770 158700 ) ( 161230 * )
NEW met2 ( 161230 145350 ) ( * 149090 )
NEW met1 ( 102810 145690 ) ( 110400 * )
NEW met1 ( 110400 145350 ) ( * 145690 )
NEW met1 ( 110400 145350 ) ( 161230 * )
NEW met1 ( 160770 178330 ) M1M2_PR
NEW li1 ( 161690 178330 ) L1M1_PR_MR
NEW li1 ( 102810 145690 ) L1M1_PR_MR
NEW li1 ( 161230 149090 ) L1M1_PR_MR
NEW met1 ( 161230 149090 ) M1M2_PR
NEW met1 ( 161230 145350 ) M1M2_PR
NEW met1 ( 161230 149090 ) RECT ( -355 -70 0 70 ) ;
- net318 ( output318 A ) ( _256_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 142290 ) ( * 142630 )
NEW met1 ( 20470 102850 ) ( 21390 * )
NEW met2 ( 20470 102850 ) ( * 110400 )
NEW met2 ( 19550 110400 ) ( * 142290 )
NEW met2 ( 19550 110400 ) ( 20470 * )
NEW met1 ( 8510 142290 ) ( 19550 * )
NEW li1 ( 8510 142630 ) L1M1_PR_MR
NEW li1 ( 21390 102850 ) L1M1_PR_MR
NEW met1 ( 20470 102850 ) M1M2_PR
NEW met1 ( 19550 142290 ) M1M2_PR ;
- net319 ( output319 A ) ( _258_ X ) + USE SIGNAL
+ ROUTED met1 ( 104190 174590 ) ( 105110 * )
NEW met2 ( 105110 174420 ) ( * 174590 )
NEW met3 ( 103500 174420 ) ( 105110 * )
NEW met4 ( 103500 174420 ) ( * 179860 )
NEW met3 ( 101890 179860 ) ( 103500 * )
NEW met2 ( 101890 179860 ) ( * 181730 )
NEW li1 ( 81650 180030 ) ( * 181730 )
NEW met1 ( 77510 180030 ) ( 81650 * )
NEW met2 ( 77510 180030 ) ( * 180710 )
NEW met1 ( 75670 180710 ) ( 77510 * )
NEW met1 ( 81650 181730 ) ( 101890 * )
NEW li1 ( 104190 174590 ) L1M1_PR_MR
NEW met1 ( 105110 174590 ) M1M2_PR
NEW met2 ( 105110 174420 ) M2M3_PR_M
NEW met3 ( 103500 174420 ) M3M4_PR_M
NEW met3 ( 103500 179860 ) M3M4_PR_M
NEW met2 ( 101890 179860 ) M2M3_PR_M
NEW met1 ( 101890 181730 ) M1M2_PR
NEW li1 ( 81650 181730 ) L1M1_PR_MR
NEW li1 ( 81650 180030 ) L1M1_PR_MR
NEW met1 ( 77510 180030 ) M1M2_PR
NEW met1 ( 77510 180710 ) M1M2_PR
NEW li1 ( 75670 180710 ) L1M1_PR_MR ;
- net32 ( input32 X ) ( _659_ A ) ( _787_ A ) + USE SIGNAL
+ ROUTED met2 ( 103270 158950 ) ( * 166430 )
NEW met1 ( 103270 158950 ) ( 105570 * )
NEW met1 ( 99130 164050 ) ( 103270 * )
NEW li1 ( 103270 166430 ) L1M1_PR_MR
NEW met1 ( 103270 166430 ) M1M2_PR
NEW met1 ( 103270 158950 ) M1M2_PR
NEW li1 ( 105570 158950 ) L1M1_PR_MR
NEW li1 ( 99130 164050 ) L1M1_PR_MR
NEW met1 ( 103270 164050 ) M1M2_PR
NEW met1 ( 103270 166430 ) RECT ( -355 -70 0 70 )
NEW met2 ( 103270 164050 ) RECT ( -70 -485 70 0 ) ;
- net320 ( output320 A ) ( _260_ X ) + USE SIGNAL
+ ROUTED met2 ( 166290 82110 ) ( * 93330 )
NEW met1 ( 166290 93330 ) ( 185150 * )
NEW met1 ( 185150 93330 ) ( * 93670 )
NEW li1 ( 166290 82110 ) L1M1_PR_MR
NEW met1 ( 166290 82110 ) M1M2_PR
NEW met1 ( 166290 93330 ) M1M2_PR
NEW li1 ( 185150 93670 ) L1M1_PR_MR
NEW met1 ( 166290 82110 ) RECT ( -355 -70 0 70 ) ;
- net321 ( ANTENNA_output321_A DIODE ) ( output321 A ) ( _262_ X ) + USE SIGNAL
+ ROUTED met2 ( 85790 52530 ) ( * 95710 )
NEW met1 ( 24150 52190 ) ( 25990 * )
NEW met2 ( 24150 48620 ) ( * 52190 )
NEW met3 ( 23460 48620 ) ( 24150 * )
NEW met2 ( 50370 51170 ) ( * 52530 )
NEW met1 ( 41170 51170 ) ( 50370 * )
NEW met1 ( 41170 50830 ) ( * 51170 )
NEW met1 ( 24150 50830 ) ( 41170 * )
NEW met1 ( 50370 52530 ) ( 85790 * )
NEW met3 ( 21850 16660 ) ( 23460 * )
NEW met2 ( 21850 15130 ) ( * 16660 )
NEW met1 ( 17710 15130 ) ( 21850 * )
NEW met4 ( 23460 16660 ) ( * 48620 )
NEW li1 ( 85790 95710 ) L1M1_PR_MR
NEW met1 ( 85790 95710 ) M1M2_PR
NEW met1 ( 85790 52530 ) M1M2_PR
NEW li1 ( 25990 52190 ) L1M1_PR_MR
NEW met1 ( 24150 52190 ) M1M2_PR
NEW met2 ( 24150 48620 ) M2M3_PR_M
NEW met3 ( 23460 48620 ) M3M4_PR_M
NEW met1 ( 50370 52530 ) M1M2_PR
NEW met1 ( 50370 51170 ) M1M2_PR
NEW met1 ( 24150 50830 ) M1M2_PR
NEW met3 ( 23460 16660 ) M3M4_PR_M
NEW met2 ( 21850 16660 ) M2M3_PR_M
NEW met1 ( 21850 15130 ) M1M2_PR
NEW li1 ( 17710 15130 ) L1M1_PR_MR
NEW met1 ( 85790 95710 ) RECT ( -355 -70 0 70 )
NEW met2 ( 24150 50830 ) RECT ( -70 -485 70 0 ) ;
- net322 ( output322 A ) ( _264_ X ) + USE SIGNAL
+ ROUTED met2 ( 185610 33830 ) ( * 49470 )
NEW met1 ( 184230 49470 ) ( 185610 * )
NEW li1 ( 185610 33830 ) L1M1_PR_MR
NEW met1 ( 185610 33830 ) M1M2_PR
NEW met1 ( 185610 49470 ) M1M2_PR
NEW li1 ( 184230 49470 ) L1M1_PR_MR
NEW met1 ( 185610 33830 ) RECT ( -355 -70 0 70 ) ;
- net323 ( ANTENNA_output323_A DIODE ) ( output323 A ) ( _267_ X ) + USE SIGNAL
+ ROUTED met2 ( 67390 159630 ) ( * 162180 )
NEW met2 ( 66930 162180 ) ( 67390 * )
NEW met2 ( 66930 162180 ) ( * 180710 )
NEW met1 ( 66470 180710 ) ( 66930 * )
NEW met1 ( 67390 159630 ) ( 74750 * )
NEW met2 ( 74750 45730 ) ( * 159630 )
NEW li1 ( 67390 159630 ) L1M1_PR_MR
NEW met1 ( 67390 159630 ) M1M2_PR
NEW met1 ( 66930 180710 ) M1M2_PR
NEW li1 ( 66470 180710 ) L1M1_PR_MR
NEW met1 ( 74750 159630 ) M1M2_PR
NEW li1 ( 74750 45730 ) L1M1_PR_MR
NEW met1 ( 74750 45730 ) M1M2_PR
NEW met1 ( 67390 159630 ) RECT ( 0 -70 355 70 )
NEW met1 ( 74750 45730 ) RECT ( -355 -70 0 70 ) ;
- net324 ( output324 A ) ( _269_ X ) + USE SIGNAL
+ ROUTED met1 ( 161690 18530 ) ( 163530 * )
NEW met2 ( 163530 18530 ) ( * 20740 )
NEW met3 ( 163530 20740 ) ( 165370 * )
NEW met2 ( 165370 20570 ) ( * 20740 )
NEW met1 ( 165370 20570 ) ( 167670 * )
NEW li1 ( 161690 18530 ) L1M1_PR_MR
NEW met1 ( 163530 18530 ) M1M2_PR
NEW met2 ( 163530 20740 ) M2M3_PR_M
NEW met2 ( 165370 20740 ) M2M3_PR_M
NEW met1 ( 165370 20570 ) M1M2_PR
NEW li1 ( 167670 20570 ) L1M1_PR_MR ;
- net325 ( output325 A ) ( _271_ X ) + USE SIGNAL
+ ROUTED met2 ( 96830 38420 ) ( * 38590 )
NEW met3 ( 96830 38420 ) ( 97060 * )
NEW met4 ( 97060 13940 ) ( * 38420 )
NEW met3 ( 97060 13940 ) ( 98210 * )
NEW met2 ( 98210 13940 ) ( * 15130 )
NEW met1 ( 98210 15130 ) ( 99130 * )
NEW met1 ( 91310 38590 ) ( 96830 * )
NEW li1 ( 91310 38590 ) L1M1_PR_MR
NEW met1 ( 96830 38590 ) M1M2_PR
NEW met2 ( 96830 38420 ) M2M3_PR_M
NEW met3 ( 97060 38420 ) M3M4_PR_M
NEW met3 ( 97060 13940 ) M3M4_PR_M
NEW met2 ( 98210 13940 ) M2M3_PR_M
NEW met1 ( 98210 15130 ) M1M2_PR
NEW li1 ( 99130 15130 ) L1M1_PR_MR
NEW met3 ( 97060 38420 ) RECT ( 0 -150 390 150 ) ;
- net326 ( ANTENNA_output326_A DIODE ) ( output326 A ) ( _273_ X ) + USE SIGNAL
+ ROUTED met2 ( 96830 43860 ) ( * 44030 )
NEW met1 ( 96830 44030 ) ( 99130 * )
NEW met3 ( 20930 166940 ) ( 26450 * )
NEW met2 ( 20930 166940 ) ( * 169830 )
NEW met1 ( 20470 169830 ) ( 20930 * )
NEW met3 ( 26910 43860 ) ( 96830 * )
NEW met2 ( 26450 139740 ) ( 26910 * )
NEW met2 ( 26910 139230 ) ( * 139740 )
NEW met2 ( 26450 139740 ) ( * 166940 )
NEW met2 ( 26910 43860 ) ( * 139230 )
NEW met2 ( 96830 43860 ) M2M3_PR_M
NEW met1 ( 96830 44030 ) M1M2_PR
NEW li1 ( 99130 44030 ) L1M1_PR_MR
NEW met2 ( 26450 166940 ) M2M3_PR_M
NEW met2 ( 20930 166940 ) M2M3_PR_M
NEW met1 ( 20930 169830 ) M1M2_PR
NEW li1 ( 20470 169830 ) L1M1_PR_MR
NEW met2 ( 26910 43860 ) M2M3_PR_M
NEW li1 ( 26910 139230 ) L1M1_PR_MR
NEW met1 ( 26910 139230 ) M1M2_PR
NEW met1 ( 26910 139230 ) RECT ( -355 -70 0 70 ) ;
- net327 ( ANTENNA_output327_A DIODE ) ( output327 A ) ( _275_ X ) + USE SIGNAL
+ ROUTED met1 ( 82110 43010 ) ( 96830 * )
NEW met2 ( 82110 43010 ) ( * 44030 )
NEW met1 ( 56350 159970 ) ( 58650 * )
NEW met2 ( 56350 159970 ) ( * 183770 )
NEW met1 ( 54970 183770 ) ( 56350 * )
NEW met1 ( 56350 44030 ) ( 82110 * )
NEW met2 ( 56350 44030 ) ( * 159970 )
NEW li1 ( 96830 43010 ) L1M1_PR_MR
NEW met1 ( 82110 43010 ) M1M2_PR
NEW met1 ( 82110 44030 ) M1M2_PR
NEW li1 ( 58650 159970 ) L1M1_PR_MR
NEW met1 ( 56350 159970 ) M1M2_PR
NEW met1 ( 56350 183770 ) M1M2_PR
NEW li1 ( 54970 183770 ) L1M1_PR_MR
NEW met1 ( 56350 44030 ) M1M2_PR ;
- net328 ( ANTENNA_output328_A DIODE ) ( output328 A ) ( _377_ X ) + USE SIGNAL
+ ROUTED met1 ( 79810 139230 ) ( 80270 * )
NEW met1 ( 80730 42330 ) ( 84870 * )
NEW met2 ( 84870 17510 ) ( * 42330 )
NEW met2 ( 84870 17510 ) ( 85330 * )
NEW met1 ( 80270 42330 ) ( 80730 * )
NEW met2 ( 80270 42330 ) ( * 139230 )
NEW met1 ( 80270 139230 ) M1M2_PR
NEW li1 ( 79810 139230 ) L1M1_PR_MR
NEW li1 ( 80730 42330 ) L1M1_PR_MR
NEW met1 ( 84870 42330 ) M1M2_PR
NEW li1 ( 85330 17510 ) L1M1_PR_MR
NEW met1 ( 85330 17510 ) M1M2_PR
NEW met1 ( 80270 42330 ) M1M2_PR
NEW met1 ( 85330 17510 ) RECT ( 0 -70 355 70 ) ;
- net329 ( ANTENNA_output329_A DIODE ) ( output329 A ) ( _278_ X ) + USE SIGNAL
+ ROUTED met1 ( 109710 55930 ) ( * 56270 )
NEW met1 ( 62790 56270 ) ( 109710 * )
NEW met2 ( 113390 55930 ) ( * 58310 )
NEW met1 ( 113390 58310 ) ( 129950 * )
NEW met1 ( 129950 58310 ) ( * 58650 )
NEW met1 ( 129950 58650 ) ( 149730 * )
NEW met1 ( 149730 58310 ) ( * 58650 )
NEW met1 ( 149730 58310 ) ( 157090 * )
NEW met1 ( 109710 55930 ) ( 113390 * )
NEW met1 ( 158010 151810 ) ( 159390 * )
NEW met2 ( 159390 151810 ) ( * 156060 )
NEW met3 ( 159390 156060 ) ( 191590 * )
NEW met2 ( 191590 156060 ) ( * 167450 )
NEW met1 ( 189290 167450 ) ( 191590 * )
NEW met1 ( 157090 151810 ) ( 158010 * )
NEW met2 ( 157090 58310 ) ( * 151810 )
NEW li1 ( 62790 56270 ) L1M1_PR_MR
NEW met1 ( 113390 55930 ) M1M2_PR
NEW met1 ( 113390 58310 ) M1M2_PR
NEW met1 ( 157090 58310 ) M1M2_PR
NEW li1 ( 158010 151810 ) L1M1_PR_MR
NEW met1 ( 159390 151810 ) M1M2_PR
NEW met2 ( 159390 156060 ) M2M3_PR_M
NEW met2 ( 191590 156060 ) M2M3_PR_M
NEW met1 ( 191590 167450 ) M1M2_PR
NEW li1 ( 189290 167450 ) L1M1_PR_MR
NEW met1 ( 157090 151810 ) M1M2_PR ;
- net33 ( ANTENNA__672__A DIODE ) ( ANTENNA__544__A DIODE ) ( input33 X ) ( _544_ A ) ( _672_ A ) + USE SIGNAL
+ ROUTED met1 ( 74750 162010 ) ( 93610 * )
NEW met1 ( 93610 162010 ) ( * 162350 )
NEW met1 ( 93610 162350 ) ( 94990 * )
NEW met1 ( 94990 162010 ) ( * 162350 )
NEW met1 ( 94990 162010 ) ( 106950 * )
NEW met2 ( 106950 162010 ) ( * 165070 )
NEW met1 ( 71070 162010 ) ( 74750 * )
NEW met1 ( 71070 159290 ) ( 71990 * )
NEW met2 ( 71990 159290 ) ( * 162010 )
NEW met1 ( 67850 153510 ) ( 71070 * )
NEW met2 ( 71070 153510 ) ( * 154530 )
NEW met2 ( 71070 154530 ) ( * 159290 )
NEW met2 ( 189750 159970 ) ( * 161670 )
NEW met1 ( 159850 161670 ) ( 189750 * )
NEW met1 ( 159850 161670 ) ( * 162030 )
NEW met1 ( 159390 162030 ) ( 159850 * )
NEW met1 ( 159390 162010 ) ( * 162030 )
NEW met1 ( 157550 162010 ) ( 159390 * )
NEW met2 ( 157550 162010 ) ( * 165070 )
NEW met1 ( 106950 165070 ) ( 157550 * )
NEW li1 ( 74750 162010 ) L1M1_PR_MR
NEW met1 ( 106950 162010 ) M1M2_PR
NEW met1 ( 106950 165070 ) M1M2_PR
NEW li1 ( 71070 162010 ) L1M1_PR_MR
NEW met1 ( 71070 159290 ) M1M2_PR
NEW met1 ( 71990 159290 ) M1M2_PR
NEW met1 ( 71990 162010 ) M1M2_PR
NEW li1 ( 71070 154530 ) L1M1_PR_MR
NEW met1 ( 71070 154530 ) M1M2_PR
NEW li1 ( 67850 153510 ) L1M1_PR_MR
NEW met1 ( 71070 153510 ) M1M2_PR
NEW li1 ( 189750 159970 ) L1M1_PR_MR
NEW met1 ( 189750 159970 ) M1M2_PR
NEW met1 ( 189750 161670 ) M1M2_PR
NEW met1 ( 157550 162010 ) M1M2_PR
NEW met1 ( 157550 165070 ) M1M2_PR
NEW met1 ( 71990 162010 ) RECT ( -595 -70 0 70 )
NEW met1 ( 71070 154530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 189750 159970 ) RECT ( 0 -70 355 70 ) ;
- net330 ( output330 A ) ( _280_ X ) + USE SIGNAL
+ ROUTED met2 ( 61870 20570 ) ( * 41990 )
NEW met1 ( 58650 41990 ) ( 61870 * )
NEW met2 ( 58650 41990 ) ( * 46750 )
NEW met1 ( 54970 46750 ) ( 58650 * )
NEW met1 ( 61870 20570 ) ( 63250 * )
NEW li1 ( 63250 20570 ) L1M1_PR_MR
NEW met1 ( 61870 20570 ) M1M2_PR
NEW met1 ( 61870 41990 ) M1M2_PR
NEW met1 ( 58650 41990 ) M1M2_PR
NEW met1 ( 58650 46750 ) M1M2_PR
NEW li1 ( 54970 46750 ) L1M1_PR_MR ;
- net331 ( output331 A ) ( _282_ X ) + USE SIGNAL
+ ROUTED met2 ( 15870 17510 ) ( * 46750 )
NEW li1 ( 15870 17510 ) L1M1_PR_MR
NEW met1 ( 15870 17510 ) M1M2_PR
NEW li1 ( 15870 46750 ) L1M1_PR_MR
NEW met1 ( 15870 46750 ) M1M2_PR
NEW met1 ( 15870 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 15870 46750 ) RECT ( -355 -70 0 70 ) ;
- net332 ( ANTENNA_output332_A DIODE ) ( output332 A ) ( _284_ X ) + USE SIGNAL
+ ROUTED met1 ( 173190 70210 ) ( 187450 * )
NEW met1 ( 173650 22950 ) ( 186530 * )
NEW met2 ( 186530 22950 ) ( * 25330 )
NEW met1 ( 186530 25330 ) ( 191590 * )
NEW met2 ( 191590 25330 ) ( * 58310 )
NEW met1 ( 187450 58310 ) ( 191590 * )
NEW met3 ( 164220 23460 ) ( * 24140 )
NEW met3 ( 164220 24140 ) ( 166980 * )
NEW met3 ( 166980 23460 ) ( * 24140 )
NEW met3 ( 166980 23460 ) ( 174570 * )
NEW met2 ( 174570 22950 ) ( * 23460 )
NEW met2 ( 187450 58310 ) ( * 70210 )
NEW met2 ( 62790 23460 ) ( * 46750 )
NEW met3 ( 62790 23460 ) ( 164220 * )
NEW met1 ( 187450 70210 ) M1M2_PR
NEW li1 ( 173190 70210 ) L1M1_PR_MR
NEW li1 ( 173650 22950 ) L1M1_PR_MR
NEW met1 ( 186530 22950 ) M1M2_PR
NEW met1 ( 186530 25330 ) M1M2_PR
NEW met1 ( 191590 25330 ) M1M2_PR
NEW met1 ( 191590 58310 ) M1M2_PR
NEW met1 ( 187450 58310 ) M1M2_PR
NEW met2 ( 174570 23460 ) M2M3_PR_M
NEW met1 ( 174570 22950 ) M1M2_PR
NEW met2 ( 62790 23460 ) M2M3_PR_M
NEW li1 ( 62790 46750 ) L1M1_PR_MR
NEW met1 ( 62790 46750 ) M1M2_PR
NEW met1 ( 174570 22950 ) RECT ( -595 -70 0 70 )
NEW met1 ( 62790 46750 ) RECT ( -355 -70 0 70 ) ;
- net333 ( ANTENNA_output333_A DIODE ) ( output333 A ) ( _286_ X ) + USE SIGNAL
+ ROUTED met2 ( 8510 151810 ) ( * 153510 )
NEW met1 ( 24150 136510 ) ( 24610 * )
NEW met2 ( 24150 113900 ) ( * 136510 )
NEW met2 ( 24150 113900 ) ( 24610 * )
NEW met2 ( 14950 147900 ) ( * 151810 )
NEW met3 ( 14950 147900 ) ( 22770 * )
NEW met2 ( 22770 147220 ) ( * 147900 )
NEW met2 ( 22770 147220 ) ( 23230 * )
NEW met2 ( 23230 142630 ) ( * 147220 )
NEW met1 ( 23230 142630 ) ( 24150 * )
NEW met2 ( 24150 136510 ) ( * 142630 )
NEW met1 ( 8510 151810 ) ( 14950 * )
NEW met1 ( 11730 66470 ) ( 24610 * )
NEW met2 ( 11730 55930 ) ( * 66470 )
NEW met1 ( 9890 55930 ) ( 11730 * )
NEW met2 ( 24610 66470 ) ( * 113900 )
NEW met1 ( 8510 151810 ) M1M2_PR
NEW li1 ( 8510 153510 ) L1M1_PR_MR
NEW met1 ( 8510 153510 ) M1M2_PR
NEW li1 ( 24610 136510 ) L1M1_PR_MR
NEW met1 ( 24150 136510 ) M1M2_PR
NEW met1 ( 14950 151810 ) M1M2_PR
NEW met2 ( 14950 147900 ) M2M3_PR_M
NEW met2 ( 22770 147900 ) M2M3_PR_M
NEW met1 ( 23230 142630 ) M1M2_PR
NEW met1 ( 24150 142630 ) M1M2_PR
NEW met1 ( 24610 66470 ) M1M2_PR
NEW met1 ( 11730 66470 ) M1M2_PR
NEW met1 ( 11730 55930 ) M1M2_PR
NEW li1 ( 9890 55930 ) L1M1_PR_MR
NEW met1 ( 8510 153510 ) RECT ( -355 -70 0 70 ) ;
- net334 ( ANTENNA_output334_A DIODE ) ( output334 A ) ( _289_ X ) + USE SIGNAL
+ ROUTED met1 ( 62100 104210 ) ( 92230 * )
NEW met1 ( 33350 104550 ) ( 48070 * )
NEW met1 ( 48070 103870 ) ( * 104550 )
NEW met1 ( 48070 103870 ) ( 62100 * )
NEW met1 ( 62100 103870 ) ( * 104210 )
NEW met1 ( 31510 141950 ) ( 33350 * )
NEW met2 ( 33350 104550 ) ( * 141950 )
NEW met2 ( 31050 158950 ) ( 31510 * )
NEW met2 ( 31050 158950 ) ( * 173230 )
NEW met1 ( 23690 173230 ) ( 31050 * )
NEW met1 ( 23690 172890 ) ( * 173230 )
NEW met2 ( 31510 141950 ) ( * 158950 )
NEW li1 ( 92230 104210 ) L1M1_PR_MR
NEW met1 ( 33350 104550 ) M1M2_PR
NEW li1 ( 33350 141950 ) L1M1_PR_MR
NEW met1 ( 33350 141950 ) M1M2_PR
NEW met1 ( 31510 141950 ) M1M2_PR
NEW met1 ( 31050 173230 ) M1M2_PR
NEW li1 ( 23690 172890 ) L1M1_PR_MR
NEW met1 ( 33350 141950 ) RECT ( -355 -70 0 70 ) ;
- net335 ( output335 A ) ( _291_ X ) + USE SIGNAL
+ ROUTED met1 ( 89930 168130 ) ( 92230 * )
NEW met2 ( 89930 168130 ) ( * 169150 )
NEW met1 ( 78890 169150 ) ( 89930 * )
NEW met2 ( 78890 169150 ) ( * 176290 )
NEW met1 ( 76590 176290 ) ( 78890 * )
NEW met1 ( 76590 175950 ) ( * 176290 )
NEW met2 ( 33350 175950 ) ( * 178330 )
NEW met1 ( 33350 175950 ) ( 76590 * )
NEW li1 ( 92230 168130 ) L1M1_PR_MR
NEW met1 ( 89930 168130 ) M1M2_PR
NEW met1 ( 89930 169150 ) M1M2_PR
NEW met1 ( 78890 169150 ) M1M2_PR
NEW met1 ( 78890 176290 ) M1M2_PR
NEW met1 ( 33350 175950 ) M1M2_PR
NEW li1 ( 33350 178330 ) L1M1_PR_MR
NEW met1 ( 33350 178330 ) M1M2_PR
NEW met1 ( 33350 178330 ) RECT ( -355 -70 0 70 ) ;
- net336 ( output336 A ) ( _293_ X ) + USE SIGNAL
+ ROUTED met2 ( 185150 104890 ) ( * 169830 )
NEW li1 ( 185150 104890 ) L1M1_PR_MR
NEW met1 ( 185150 104890 ) M1M2_PR
NEW li1 ( 185150 169830 ) L1M1_PR_MR
NEW met1 ( 185150 169830 ) M1M2_PR
NEW met1 ( 185150 104890 ) RECT ( -355 -70 0 70 )
NEW met1 ( 185150 169830 ) RECT ( -355 -70 0 70 ) ;
- net337 ( ANTENNA_output337_A DIODE ) ( output337 A ) ( _379_ X ) + USE SIGNAL
+ ROUTED met2 ( 31050 51170 ) ( * 54910 )
NEW met1 ( 31050 54910 ) ( 58190 * )
NEW met2 ( 17710 18700 ) ( * 20570 )
NEW met3 ( 17710 18700 ) ( 17940 * )
NEW met3 ( 17940 18020 ) ( * 18700 )
NEW met3 ( 17940 18020 ) ( 31740 * )
NEW met4 ( 31740 18020 ) ( * 40460 )
NEW met3 ( 31510 40460 ) ( 31740 * )
NEW met2 ( 31510 40460 ) ( * 42330 )
NEW met2 ( 31050 42330 ) ( 31510 * )
NEW met2 ( 31050 42330 ) ( * 51170 )
NEW met2 ( 58190 54910 ) ( * 133790 )
NEW li1 ( 31050 51170 ) L1M1_PR_MR
NEW met1 ( 31050 51170 ) M1M2_PR
NEW met1 ( 31050 54910 ) M1M2_PR
NEW met1 ( 58190 54910 ) M1M2_PR
NEW li1 ( 17710 20570 ) L1M1_PR_MR
NEW met1 ( 17710 20570 ) M1M2_PR
NEW met2 ( 17710 18700 ) M2M3_PR_M
NEW met3 ( 31740 18020 ) M3M4_PR_M
NEW met3 ( 31740 40460 ) M3M4_PR_M
NEW met2 ( 31510 40460 ) M2M3_PR_M
NEW li1 ( 58190 133790 ) L1M1_PR_MR
NEW met1 ( 58190 133790 ) M1M2_PR
NEW met1 ( 31050 51170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 17710 20570 ) RECT ( 0 -70 355 70 )
NEW met3 ( 31740 40460 ) RECT ( 0 -150 390 150 )
NEW met1 ( 58190 133790 ) RECT ( -355 -70 0 70 ) ;
- net338 ( output338 A ) ( _381_ X ) + USE SIGNAL
+ ROUTED met2 ( 32430 167790 ) ( * 170340 )
NEW met2 ( 32430 170340 ) ( 32890 * )
NEW met2 ( 32890 170340 ) ( * 170510 )
NEW met1 ( 28290 170510 ) ( 32890 * )
NEW met2 ( 28290 170510 ) ( * 172890 )
NEW met1 ( 27370 172890 ) ( 28290 * )
NEW met1 ( 49450 167790 ) ( * 168130 )
NEW met1 ( 49450 168130 ) ( 49910 * )
NEW met2 ( 49910 168130 ) ( * 168980 )
NEW met3 ( 49910 168980 ) ( 58190 * )
NEW met2 ( 58190 167790 ) ( * 168980 )
NEW met1 ( 58190 167790 ) ( 95450 * )
NEW met1 ( 95450 167790 ) ( * 168130 )
NEW met1 ( 32430 167790 ) ( 49450 * )
NEW met1 ( 32430 167790 ) M1M2_PR
NEW met1 ( 32890 170510 ) M1M2_PR
NEW met1 ( 28290 170510 ) M1M2_PR
NEW met1 ( 28290 172890 ) M1M2_PR
NEW li1 ( 27370 172890 ) L1M1_PR_MR
NEW met1 ( 49910 168130 ) M1M2_PR
NEW met2 ( 49910 168980 ) M2M3_PR_M
NEW met2 ( 58190 168980 ) M2M3_PR_M
NEW met1 ( 58190 167790 ) M1M2_PR
NEW li1 ( 95450 168130 ) L1M1_PR_MR ;
- net339 ( ANTENNA_output339_A DIODE ) ( output339 A ) ( _383_ X ) + USE SIGNAL
+ ROUTED met1 ( 112470 45050 ) ( 132250 * )
NEW met1 ( 132710 22950 ) ( 133170 * )
NEW met2 ( 132710 22950 ) ( * 45050 )
NEW met1 ( 132250 45050 ) ( 132710 * )
NEW met2 ( 111550 107610 ) ( 112470 * )
NEW met2 ( 111550 107610 ) ( * 134130 )
NEW met1 ( 110630 134130 ) ( 111550 * )
NEW met2 ( 112470 45050 ) ( * 107610 )
NEW li1 ( 132250 45050 ) L1M1_PR_MR
NEW met1 ( 112470 45050 ) M1M2_PR
NEW li1 ( 133170 22950 ) L1M1_PR_MR
NEW met1 ( 132710 22950 ) M1M2_PR
NEW met1 ( 132710 45050 ) M1M2_PR
NEW met1 ( 111550 134130 ) M1M2_PR
NEW li1 ( 110630 134130 ) L1M1_PR_MR ;
- net34 ( input34 X ) ( _545_ A ) ( _673_ A ) + USE SIGNAL
+ ROUTED met2 ( 11730 153510 ) ( * 169660 )
NEW met2 ( 11730 169660 ) ( 12190 * )
NEW met2 ( 12190 169660 ) ( * 173230 )
NEW met1 ( 10810 173230 ) ( 12190 * )
NEW met1 ( 10810 173230 ) ( * 173570 )
NEW met2 ( 10810 173570 ) ( * 180370 )
NEW met1 ( 10810 180370 ) ( 14030 * )
NEW met1 ( 22770 158270 ) ( * 158610 )
NEW met1 ( 11730 158610 ) ( 22770 * )
NEW li1 ( 11730 153510 ) L1M1_PR_MR
NEW met1 ( 11730 153510 ) M1M2_PR
NEW met1 ( 12190 173230 ) M1M2_PR
NEW met1 ( 10810 173570 ) M1M2_PR
NEW met1 ( 10810 180370 ) M1M2_PR
NEW li1 ( 14030 180370 ) L1M1_PR_MR
NEW li1 ( 22770 158270 ) L1M1_PR_MR
NEW met1 ( 11730 158610 ) M1M2_PR
NEW met1 ( 11730 153510 ) RECT ( -355 -70 0 70 )
NEW met2 ( 11730 158610 ) RECT ( -70 -485 70 0 ) ;
- net340 ( output340 A ) ( _386_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 126310 ) ( * 126650 )
NEW met1 ( 62100 125630 ) ( 70610 * )
NEW met1 ( 47610 125970 ) ( * 126650 )
NEW met1 ( 47610 125970 ) ( 62100 * )
NEW met1 ( 62100 125630 ) ( * 125970 )
NEW met1 ( 8510 126650 ) ( 47610 * )
NEW li1 ( 8510 126310 ) L1M1_PR_MR
NEW li1 ( 70610 125630 ) L1M1_PR_MR ;
- net341 ( ANTENNA_output341_A DIODE ) ( output341 A ) ( _388_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 153170 ) ( * 153510 )
NEW met1 ( 77510 153170 ) ( 152030 * )
NEW met1 ( 152030 153170 ) ( 189290 * )
NEW li1 ( 77510 153170 ) L1M1_PR_MR
NEW li1 ( 189290 153510 ) L1M1_PR_MR
NEW li1 ( 152030 153170 ) L1M1_PR_MR ;
- net342 ( output342 A ) ( _390_ X ) + USE SIGNAL
+ ROUTED met1 ( 66930 27710 ) ( * 28050 )
NEW met1 ( 53590 28050 ) ( * 28390 )
NEW met1 ( 53590 28050 ) ( 66930 * )
NEW met1 ( 47610 28050 ) ( * 28390 )
NEW met1 ( 47150 28050 ) ( 47610 * )
NEW met2 ( 47150 20570 ) ( * 28050 )
NEW met1 ( 45310 20570 ) ( 47150 * )
NEW met1 ( 47610 28390 ) ( 53590 * )
NEW li1 ( 66930 27710 ) L1M1_PR_MR
NEW met1 ( 47150 28050 ) M1M2_PR
NEW met1 ( 47150 20570 ) M1M2_PR
NEW li1 ( 45310 20570 ) L1M1_PR_MR ;
- net343 ( output343 A ) ( _392_ X ) + USE SIGNAL
+ ROUTED met1 ( 73830 96730 ) ( 78430 * )
NEW met3 ( 78430 39100 ) ( 78660 * )
NEW met3 ( 78660 38420 ) ( * 39100 )
NEW met3 ( 78660 38420 ) ( 79350 * )
NEW met2 ( 79350 15130 ) ( * 38420 )
NEW met1 ( 78890 15130 ) ( 79350 * )
NEW met2 ( 78430 39100 ) ( * 96730 )
NEW met1 ( 78430 96730 ) M1M2_PR
NEW li1 ( 73830 96730 ) L1M1_PR_MR
NEW met2 ( 78430 39100 ) M2M3_PR_M
NEW met2 ( 79350 38420 ) M2M3_PR_M
NEW met1 ( 79350 15130 ) M1M2_PR
NEW li1 ( 78890 15130 ) L1M1_PR_MR ;
- net344 ( ANTENNA_output344_A DIODE ) ( output344 A ) ( _394_ X ) + USE SIGNAL
+ ROUTED met1 ( 9890 98430 ) ( * 99110 )
NEW met2 ( 18170 88910 ) ( * 98430 )
NEW met1 ( 18170 88910 ) ( 20470 * )
NEW met1 ( 9890 98430 ) ( 18170 * )
NEW met1 ( 25530 45730 ) ( 39330 * )
NEW met2 ( 25530 39100 ) ( * 45730 )
NEW met2 ( 25070 39100 ) ( 25530 * )
NEW met2 ( 25070 30940 ) ( * 39100 )
NEW met3 ( 19550 30940 ) ( 25070 * )
NEW met2 ( 19550 22270 ) ( * 30940 )
NEW met2 ( 19090 22270 ) ( 19550 * )
NEW met2 ( 19090 17510 ) ( * 22270 )
NEW met1 ( 19090 17510 ) ( 20470 * )
NEW met1 ( 19090 61030 ) ( 20470 * )
NEW met2 ( 19090 56780 ) ( * 61030 )
NEW met2 ( 18630 56780 ) ( 19090 * )
NEW met2 ( 18630 48110 ) ( * 56780 )
NEW met1 ( 18630 48110 ) ( 25530 * )
NEW met2 ( 25530 45730 ) ( * 48110 )
NEW met2 ( 20470 61030 ) ( * 88910 )
NEW li1 ( 9890 99110 ) L1M1_PR_MR
NEW met1 ( 18170 98430 ) M1M2_PR
NEW met1 ( 18170 88910 ) M1M2_PR
NEW met1 ( 20470 88910 ) M1M2_PR
NEW li1 ( 39330 45730 ) L1M1_PR_MR
NEW met1 ( 25530 45730 ) M1M2_PR
NEW met2 ( 25070 30940 ) M2M3_PR_M
NEW met2 ( 19550 30940 ) M2M3_PR_M
NEW met1 ( 19090 17510 ) M1M2_PR
NEW li1 ( 20470 17510 ) L1M1_PR_MR
NEW met1 ( 20470 61030 ) M1M2_PR
NEW met1 ( 19090 61030 ) M1M2_PR
NEW met1 ( 18630 48110 ) M1M2_PR
NEW met1 ( 25530 48110 ) M1M2_PR ;
- net345 ( output345 A ) ( _354_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 109650 ) ( * 109990 )
NEW met1 ( 146050 109650 ) ( * 109990 )
NEW met1 ( 146050 109650 ) ( 189290 * )
NEW met1 ( 142830 109990 ) ( * 110330 )
NEW met1 ( 130870 110330 ) ( 142830 * )
NEW met1 ( 130870 110330 ) ( * 110670 )
NEW met1 ( 142830 109990 ) ( 146050 * )
NEW li1 ( 189290 109990 ) L1M1_PR_MR
NEW li1 ( 130870 110670 ) L1M1_PR_MR ;
- net346 ( ANTENNA_output346_A DIODE ) ( output346 A ) ( _397_ X ) + USE SIGNAL
+ ROUTED met1 ( 102350 107270 ) ( * 107610 )
NEW met1 ( 91770 107610 ) ( 102350 * )
NEW met2 ( 110630 107100 ) ( * 107270 )
NEW met2 ( 110630 107100 ) ( 111090 * )
NEW met2 ( 111090 105570 ) ( * 107100 )
NEW met1 ( 111090 105570 ) ( 118910 * )
NEW met1 ( 118910 105230 ) ( * 105570 )
NEW met1 ( 118910 105230 ) ( 128570 * )
NEW met2 ( 128570 105230 ) ( * 107950 )
NEW met1 ( 128570 107950 ) ( 147430 * )
NEW met1 ( 102350 107270 ) ( 110630 * )
NEW met1 ( 151570 180710 ) ( 153410 * )
NEW met1 ( 147430 155550 ) ( 149270 * )
NEW met1 ( 149270 155550 ) ( 151570 * )
NEW met2 ( 147430 107950 ) ( * 155550 )
NEW met2 ( 151570 155550 ) ( * 180710 )
NEW li1 ( 91770 107610 ) L1M1_PR_MR
NEW met1 ( 110630 107270 ) M1M2_PR
NEW met1 ( 111090 105570 ) M1M2_PR
NEW met1 ( 128570 105230 ) M1M2_PR
NEW met1 ( 128570 107950 ) M1M2_PR
NEW met1 ( 147430 107950 ) M1M2_PR
NEW met1 ( 151570 180710 ) M1M2_PR
NEW li1 ( 153410 180710 ) L1M1_PR_MR
NEW li1 ( 149270 155550 ) L1M1_PR_MR
NEW met1 ( 147430 155550 ) M1M2_PR
NEW met1 ( 151570 155550 ) M1M2_PR ;
- net347 ( output347 A ) ( _399_ X ) + USE SIGNAL
+ ROUTED met1 ( 77970 180710 ) ( 78430 * )
NEW met2 ( 77970 110330 ) ( * 110400 )
NEW met2 ( 77970 110400 ) ( 78430 * )
NEW met2 ( 78430 110400 ) ( * 180710 )
NEW li1 ( 77970 110330 ) L1M1_PR_MR
NEW met1 ( 77970 110330 ) M1M2_PR
NEW met1 ( 78430 180710 ) M1M2_PR
NEW li1 ( 77970 180710 ) L1M1_PR_MR
NEW met1 ( 77970 110330 ) RECT ( -355 -70 0 70 ) ;
- net348 ( output348 A ) ( _401_ X ) + USE SIGNAL
+ ROUTED met2 ( 68770 80750 ) ( * 82790 )
NEW met1 ( 68770 82790 ) ( * 83130 )
NEW met1 ( 68770 83130 ) ( 81650 * )
NEW met2 ( 18170 80750 ) ( * 82790 )
NEW met1 ( 14030 82790 ) ( 18170 * )
NEW met1 ( 18170 80750 ) ( 68770 * )
NEW met1 ( 68770 80750 ) M1M2_PR
NEW met1 ( 68770 82790 ) M1M2_PR
NEW li1 ( 81650 83130 ) L1M1_PR_MR
NEW met1 ( 18170 80750 ) M1M2_PR
NEW met1 ( 18170 82790 ) M1M2_PR
NEW li1 ( 14030 82790 ) L1M1_PR_MR ;
- net349 ( output349 A ) ( _403_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 173570 ) ( 101890 * )
NEW met2 ( 100970 173570 ) ( * 177990 )
NEW met1 ( 98670 177990 ) ( 100970 * )
NEW met1 ( 98670 177990 ) ( * 178330 )
NEW met1 ( 95450 178330 ) ( 98670 * )
NEW li1 ( 101890 173570 ) L1M1_PR_MR
NEW met1 ( 100970 173570 ) M1M2_PR
NEW met1 ( 100970 177990 ) M1M2_PR
NEW li1 ( 95450 178330 ) L1M1_PR_MR ;
- net35 ( ANTENNA__674__A DIODE ) ( ANTENNA__546__A DIODE ) ( input35 X ) ( _546_ A ) ( _674_ A ) + USE SIGNAL
+ ROUTED met2 ( 10810 29410 ) ( * 41820 )
NEW met2 ( 70150 130050 ) ( * 131070 )
NEW met1 ( 70150 130050 ) ( 89470 * )
NEW met2 ( 89470 130050 ) ( * 131070 )
NEW met2 ( 176870 130050 ) ( * 131070 )
NEW met1 ( 175950 131070 ) ( 176870 * )
NEW met1 ( 179630 131410 ) ( * 131750 )
NEW met1 ( 176870 131410 ) ( 179630 * )
NEW met1 ( 176870 131070 ) ( * 131410 )
NEW met1 ( 182850 129370 ) ( * 129710 )
NEW met1 ( 176870 129710 ) ( 182850 * )
NEW met1 ( 176870 129710 ) ( * 130050 )
NEW met3 ( 10810 41820 ) ( 48070 * )
NEW met2 ( 48070 41820 ) ( * 131070 )
NEW met1 ( 48070 131070 ) ( 70150 * )
NEW met1 ( 132710 131070 ) ( * 131410 )
NEW met1 ( 132710 131410 ) ( 134090 * )
NEW met1 ( 134090 131070 ) ( * 131410 )
NEW met1 ( 134090 131070 ) ( 138230 * )
NEW met1 ( 138230 131070 ) ( * 131410 )
NEW met1 ( 138230 131410 ) ( 141910 * )
NEW met1 ( 141910 131070 ) ( * 131410 )
NEW met1 ( 89470 131070 ) ( 132710 * )
NEW met1 ( 141910 131070 ) ( 175950 * )
NEW li1 ( 10810 29410 ) L1M1_PR_MR
NEW met1 ( 10810 29410 ) M1M2_PR
NEW met2 ( 10810 41820 ) M2M3_PR_M
NEW met1 ( 70150 131070 ) M1M2_PR
NEW met1 ( 70150 130050 ) M1M2_PR
NEW met1 ( 89470 130050 ) M1M2_PR
NEW met1 ( 89470 131070 ) M1M2_PR
NEW li1 ( 175950 131070 ) L1M1_PR_MR
NEW li1 ( 176870 130050 ) L1M1_PR_MR
NEW met1 ( 176870 130050 ) M1M2_PR
NEW met1 ( 176870 131070 ) M1M2_PR
NEW li1 ( 179630 131750 ) L1M1_PR_MR
NEW li1 ( 182850 129370 ) L1M1_PR_MR
NEW met2 ( 48070 41820 ) M2M3_PR_M
NEW met1 ( 48070 131070 ) M1M2_PR
NEW met1 ( 10810 29410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 176870 130050 ) RECT ( -355 -70 0 70 ) ;
- net350 ( ANTENNA_output350_A DIODE ) ( output350 A ) ( _405_ X ) + USE SIGNAL
+ ROUTED met2 ( 86710 39610 ) ( * 103870 )
NEW met1 ( 48300 39610 ) ( 86710 * )
NEW met1 ( 27830 39950 ) ( 47610 * )
NEW met2 ( 27830 39780 ) ( * 39950 )
NEW met3 ( 20470 39780 ) ( 27830 * )
NEW met3 ( 20470 39780 ) ( * 40460 )
NEW met3 ( 13110 40460 ) ( 20470 * )
NEW met2 ( 13110 22950 ) ( * 40460 )
NEW met1 ( 13110 22950 ) ( 14030 * )
NEW met1 ( 48300 39610 ) ( * 39950 )
NEW met1 ( 47610 39950 ) ( 48300 * )
NEW li1 ( 86710 103870 ) L1M1_PR_MR
NEW met1 ( 86710 103870 ) M1M2_PR
NEW met1 ( 86710 39610 ) M1M2_PR
NEW li1 ( 47610 39950 ) L1M1_PR_MR
NEW met1 ( 27830 39950 ) M1M2_PR
NEW met2 ( 27830 39780 ) M2M3_PR_M
NEW met2 ( 13110 40460 ) M2M3_PR_M
NEW met1 ( 13110 22950 ) M1M2_PR
NEW li1 ( 14030 22950 ) L1M1_PR_MR
NEW met1 ( 86710 103870 ) RECT ( -355 -70 0 70 ) ;
- net351 ( output351 A ) ( _408_ X ) + USE SIGNAL
+ ROUTED met1 ( 123510 183770 ) ( 124890 * )
NEW met2 ( 123510 132430 ) ( * 183770 )
NEW met1 ( 123510 183770 ) M1M2_PR
NEW li1 ( 124890 183770 ) L1M1_PR_MR
NEW li1 ( 123510 132430 ) L1M1_PR_MR
NEW met1 ( 123510 132430 ) M1M2_PR
NEW met1 ( 123510 132430 ) RECT ( -355 -70 0 70 ) ;
- net352 ( ANTENNA_output352_A DIODE ) ( output352 A ) ( _410_ X ) + USE SIGNAL
+ ROUTED met1 ( 172730 139230 ) ( 174110 * )
NEW met2 ( 172730 128690 ) ( * 139230 )
NEW met2 ( 172270 141780 ) ( 172730 * )
NEW met2 ( 172730 139230 ) ( * 141780 )
NEW met1 ( 91310 128690 ) ( 172730 * )
NEW met1 ( 172270 158610 ) ( 173190 * )
NEW met2 ( 173190 158610 ) ( * 173230 )
NEW met1 ( 173190 173230 ) ( 176870 * )
NEW met1 ( 176870 172890 ) ( * 173230 )
NEW met1 ( 176870 172890 ) ( 178650 * )
NEW met2 ( 172270 141780 ) ( * 158610 )
NEW li1 ( 91310 128690 ) L1M1_PR_MR
NEW li1 ( 174110 139230 ) L1M1_PR_MR
NEW met1 ( 172730 139230 ) M1M2_PR
NEW met1 ( 172730 128690 ) M1M2_PR
NEW met1 ( 172270 158610 ) M1M2_PR
NEW met1 ( 173190 158610 ) M1M2_PR
NEW met1 ( 173190 173230 ) M1M2_PR
NEW li1 ( 178650 172890 ) L1M1_PR_MR ;
- net353 ( ANTENNA_output353_A DIODE ) ( output353 A ) ( _412_ X ) + USE SIGNAL
+ ROUTED met2 ( 93150 121890 ) ( * 122910 )
NEW met1 ( 162610 60350 ) ( 163990 * )
NEW met1 ( 161690 60350 ) ( 162610 * )
NEW met2 ( 161690 60350 ) ( * 121550 )
NEW met1 ( 93150 121890 ) ( 110400 * )
NEW met1 ( 110400 121550 ) ( * 121890 )
NEW met1 ( 110400 121550 ) ( 161690 * )
NEW met2 ( 163530 42330 ) ( 163990 * )
NEW met2 ( 163530 34510 ) ( * 42330 )
NEW met1 ( 163530 34510 ) ( 176870 * )
NEW met2 ( 176870 28730 ) ( * 34510 )
NEW met1 ( 176870 28730 ) ( 180550 * )
NEW met1 ( 180550 28390 ) ( * 28730 )
NEW met2 ( 163990 42330 ) ( * 60350 )
NEW met1 ( 93150 121890 ) M1M2_PR
NEW li1 ( 93150 122910 ) L1M1_PR_MR
NEW met1 ( 93150 122910 ) M1M2_PR
NEW li1 ( 162610 60350 ) L1M1_PR_MR
NEW met1 ( 163990 60350 ) M1M2_PR
NEW met1 ( 161690 60350 ) M1M2_PR
NEW met1 ( 161690 121550 ) M1M2_PR
NEW met1 ( 163530 34510 ) M1M2_PR
NEW met1 ( 176870 34510 ) M1M2_PR
NEW met1 ( 176870 28730 ) M1M2_PR
NEW li1 ( 180550 28390 ) L1M1_PR_MR
NEW met1 ( 93150 122910 ) RECT ( -355 -70 0 70 ) ;
- net354 ( ANTENNA_output354_A DIODE ) ( output354 A ) ( _414_ X ) + USE SIGNAL
+ ROUTED met1 ( 177790 89250 ) ( 178710 * )
NEW met1 ( 178710 88230 ) ( 182850 * )
NEW met2 ( 178710 88230 ) ( * 89250 )
NEW met2 ( 91310 119170 ) ( * 120190 )
NEW met2 ( 178710 89250 ) ( * 118830 )
NEW met1 ( 91310 119170 ) ( 110400 * )
NEW met1 ( 110400 118830 ) ( * 119170 )
NEW met1 ( 110400 118830 ) ( 178710 * )
NEW li1 ( 177790 89250 ) L1M1_PR_MR
NEW met1 ( 178710 89250 ) M1M2_PR
NEW li1 ( 182850 88230 ) L1M1_PR_MR
NEW met1 ( 178710 88230 ) M1M2_PR
NEW met1 ( 91310 119170 ) M1M2_PR
NEW li1 ( 91310 120190 ) L1M1_PR_MR
NEW met1 ( 91310 120190 ) M1M2_PR
NEW met1 ( 178710 118830 ) M1M2_PR
NEW met1 ( 91310 120190 ) RECT ( -355 -70 0 70 ) ;
- net355 ( output355 A ) ( _416_ X ) + USE SIGNAL
+ ROUTED met1 ( 51290 183770 ) ( 52670 * )
NEW met1 ( 52670 132770 ) ( 54510 * )
NEW met2 ( 52670 132770 ) ( * 183770 )
NEW met1 ( 52670 183770 ) M1M2_PR
NEW li1 ( 51290 183770 ) L1M1_PR_MR
NEW li1 ( 54510 132770 ) L1M1_PR_MR
NEW met1 ( 52670 132770 ) M1M2_PR ;
- net356 ( ANTENNA_output356_A DIODE ) ( output356 A ) ( _356_ X ) + USE SIGNAL
+ ROUTED met2 ( 55890 34170 ) ( * 47430 )
NEW met1 ( 52670 17510 ) ( * 18190 )
NEW met1 ( 52670 18190 ) ( 57270 * )
NEW met2 ( 57270 18190 ) ( * 22270 )
NEW met1 ( 57270 22270 ) ( 58650 * )
NEW met2 ( 58650 22270 ) ( * 34170 )
NEW met1 ( 55890 34170 ) ( 58650 * )
NEW met1 ( 55890 47430 ) ( 121670 * )
NEW li1 ( 55890 34170 ) L1M1_PR_MR
NEW met1 ( 55890 34170 ) M1M2_PR
NEW met1 ( 55890 47430 ) M1M2_PR
NEW li1 ( 52670 17510 ) L1M1_PR_MR
NEW met1 ( 57270 18190 ) M1M2_PR
NEW met1 ( 57270 22270 ) M1M2_PR
NEW met1 ( 58650 22270 ) M1M2_PR
NEW met1 ( 58650 34170 ) M1M2_PR
NEW li1 ( 121670 47430 ) L1M1_PR_MR
NEW met1 ( 55890 34170 ) RECT ( -355 -70 0 70 ) ;
- net357 ( output357 A ) ( _419_ X ) + USE SIGNAL
+ ROUTED met1 ( 180090 102850 ) ( 180550 * )
NEW met1 ( 183770 158950 ) ( 185610 * )
NEW met1 ( 180550 132430 ) ( 182850 * )
NEW met2 ( 182850 132430 ) ( * 139740 )
NEW met2 ( 182850 139740 ) ( 183310 * )
NEW met2 ( 183310 139740 ) ( * 146540 )
NEW met2 ( 182850 146540 ) ( 183310 * )
NEW met2 ( 182850 146540 ) ( * 150620 )
NEW met2 ( 182850 150620 ) ( 183310 * )
NEW met2 ( 183310 150620 ) ( * 154020 )
NEW met2 ( 183310 154020 ) ( 183770 * )
NEW met2 ( 180550 102850 ) ( * 132430 )
NEW met2 ( 183770 154020 ) ( * 158950 )
NEW li1 ( 180090 102850 ) L1M1_PR_MR
NEW met1 ( 180550 102850 ) M1M2_PR
NEW met1 ( 183770 158950 ) M1M2_PR
NEW li1 ( 185610 158950 ) L1M1_PR_MR
NEW met1 ( 180550 132430 ) M1M2_PR
NEW met1 ( 182850 132430 ) M1M2_PR ;
- net358 ( ANTENNA_output358_A DIODE ) ( output358 A ) ( _421_ X ) + USE SIGNAL
+ ROUTED met1 ( 96830 66130 ) ( 98210 * )
NEW met2 ( 96830 52190 ) ( * 66130 )
NEW met1 ( 26450 52190 ) ( 28750 * )
NEW met2 ( 26450 40460 ) ( * 52190 )
NEW met3 ( 25300 40460 ) ( 26450 * )
NEW met4 ( 25300 24140 ) ( * 40460 )
NEW met3 ( 24150 24140 ) ( 25300 * )
NEW met2 ( 24150 20570 ) ( * 24140 )
NEW met1 ( 24150 20570 ) ( 25990 * )
NEW met1 ( 28750 52190 ) ( 96830 * )
NEW met1 ( 96830 66130 ) M1M2_PR
NEW li1 ( 98210 66130 ) L1M1_PR_MR
NEW met1 ( 96830 52190 ) M1M2_PR
NEW li1 ( 28750 52190 ) L1M1_PR_MR
NEW met1 ( 26450 52190 ) M1M2_PR
NEW met2 ( 26450 40460 ) M2M3_PR_M
NEW met3 ( 25300 40460 ) M3M4_PR_M
NEW met3 ( 25300 24140 ) M3M4_PR_M
NEW met2 ( 24150 24140 ) M2M3_PR_M
NEW met1 ( 24150 20570 ) M1M2_PR
NEW li1 ( 25990 20570 ) L1M1_PR_MR ;
- net359 ( output359 A ) ( _423_ X ) + USE SIGNAL
+ ROUTED met1 ( 106030 71570 ) ( * 71910 )
NEW met1 ( 185610 71570 ) ( * 71910 )
NEW met1 ( 106030 71570 ) ( 185610 * )
NEW li1 ( 106030 71910 ) L1M1_PR_MR
NEW li1 ( 185610 71910 ) L1M1_PR_MR ;
- net36 ( ANTENNA__675__A DIODE ) ( ANTENNA__547__A DIODE ) ( input36 X ) ( _547_ A ) ( _675_ A ) + USE SIGNAL
+ ROUTED met2 ( 172730 86020 ) ( 173190 * )
NEW met1 ( 179170 19890 ) ( * 20230 )
NEW met1 ( 173650 20230 ) ( 179170 * )
NEW met2 ( 173650 20230 ) ( * 31450 )
NEW met1 ( 172730 31450 ) ( 173650 * )
NEW met2 ( 172730 31450 ) ( * 49980 )
NEW met2 ( 172730 49980 ) ( 173190 * )
NEW met2 ( 173190 49980 ) ( * 60860 )
NEW met2 ( 172730 60860 ) ( 173190 * )
NEW met2 ( 172730 60860 ) ( * 86020 )
NEW met3 ( 173190 120700 ) ( 173420 * )
NEW met4 ( 173420 120700 ) ( * 143820 )
NEW met3 ( 173420 143820 ) ( 173650 * )
NEW met2 ( 173190 86020 ) ( * 120700 )
NEW met1 ( 149270 167450 ) ( 150190 * )
NEW met2 ( 150190 167450 ) ( * 169830 )
NEW met1 ( 149730 169830 ) ( 150190 * )
NEW met1 ( 177330 153510 ) ( 178710 * )
NEW met2 ( 177330 153340 ) ( * 153510 )
NEW met3 ( 150190 153340 ) ( 177330 * )
NEW met2 ( 150190 153340 ) ( * 167450 )
NEW met3 ( 172500 145180 ) ( 173650 * )
NEW met4 ( 172500 145180 ) ( * 153340 )
NEW met2 ( 186530 150450 ) ( * 153340 )
NEW met3 ( 177330 153340 ) ( 186530 * )
NEW met3 ( 173650 143820 ) ( * 145180 )
NEW li1 ( 179170 19890 ) L1M1_PR_MR
NEW met1 ( 173650 20230 ) M1M2_PR
NEW met1 ( 173650 31450 ) M1M2_PR
NEW met1 ( 172730 31450 ) M1M2_PR
NEW met2 ( 173190 120700 ) M2M3_PR_M
NEW met3 ( 173420 120700 ) M3M4_PR_M
NEW met3 ( 173420 143820 ) M3M4_PR_M
NEW li1 ( 149270 167450 ) L1M1_PR_MR
NEW met1 ( 150190 167450 ) M1M2_PR
NEW met1 ( 150190 169830 ) M1M2_PR
NEW li1 ( 149730 169830 ) L1M1_PR_MR
NEW li1 ( 178710 153510 ) L1M1_PR_MR
NEW met1 ( 177330 153510 ) M1M2_PR
NEW met2 ( 177330 153340 ) M2M3_PR_M
NEW met2 ( 150190 153340 ) M2M3_PR_M
NEW met3 ( 172500 145180 ) M3M4_PR_M
NEW met3 ( 172500 153340 ) M3M4_PR_M
NEW li1 ( 186530 150450 ) L1M1_PR_MR
NEW met1 ( 186530 150450 ) M1M2_PR
NEW met2 ( 186530 153340 ) M2M3_PR_M
NEW met3 ( 173190 120700 ) RECT ( -390 -150 0 150 )
NEW met3 ( 172500 153340 ) RECT ( -800 -150 0 150 )
NEW met1 ( 186530 150450 ) RECT ( -355 -70 0 70 ) ;
- net360 ( output360 A ) ( _425_ X ) + USE SIGNAL
+ ROUTED met1 ( 174110 26010 ) ( 175030 * )
NEW met2 ( 174110 26010 ) ( * 36210 )
NEW met1 ( 163530 36210 ) ( 174110 * )
NEW li1 ( 175030 26010 ) L1M1_PR_MR
NEW met1 ( 174110 26010 ) M1M2_PR
NEW met1 ( 174110 36210 ) M1M2_PR
NEW li1 ( 163530 36210 ) L1M1_PR_MR ;
- net361 ( output361 A ) ( _427_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 156230 ) ( * 156570 )
NEW met1 ( 47610 151130 ) ( * 151810 )
NEW met1 ( 38410 151130 ) ( 47610 * )
NEW met2 ( 38410 151130 ) ( * 155550 )
NEW met1 ( 33390 155550 ) ( 38410 * )
NEW met1 ( 33390 155550 ) ( * 155890 )
NEW met1 ( 22310 155890 ) ( 33390 * )
NEW met1 ( 22310 155890 ) ( * 156230 )
NEW met1 ( 8510 156230 ) ( 22310 * )
NEW li1 ( 8510 156570 ) L1M1_PR_MR
NEW li1 ( 47610 151810 ) L1M1_PR_MR
NEW met1 ( 38410 151130 ) M1M2_PR
NEW met1 ( 38410 155550 ) M1M2_PR ;
- net362 ( output362 A ) ( _431_ X ) + USE SIGNAL
+ ROUTED met2 ( 121210 173570 ) ( * 177990 )
NEW met1 ( 121210 177990 ) ( 122130 * )
NEW met1 ( 122130 177990 ) ( * 178330 )
NEW li1 ( 121210 173570 ) L1M1_PR_MR
NEW met1 ( 121210 173570 ) M1M2_PR
NEW met1 ( 121210 177990 ) M1M2_PR
NEW li1 ( 122130 178330 ) L1M1_PR_MR
NEW met1 ( 121210 173570 ) RECT ( -355 -70 0 70 ) ;
- net363 ( output363 A ) ( _433_ X ) + USE SIGNAL
+ ROUTED met2 ( 129030 162180 ) ( 129490 * )
NEW met2 ( 129030 162180 ) ( * 175780 )
NEW met2 ( 128570 175780 ) ( 129030 * )
NEW met2 ( 128570 175780 ) ( * 180370 )
NEW met1 ( 128570 180370 ) ( 134090 * )
NEW met1 ( 134090 180370 ) ( * 180710 )
NEW met2 ( 129490 135490 ) ( * 162180 )
NEW met1 ( 128570 180370 ) M1M2_PR
NEW li1 ( 134090 180710 ) L1M1_PR_MR
NEW li1 ( 129490 135490 ) L1M1_PR_MR
NEW met1 ( 129490 135490 ) M1M2_PR
NEW met1 ( 129490 135490 ) RECT ( -355 -70 0 70 ) ;
- net364 ( output364 A ) ( _435_ X ) + USE SIGNAL
+ ROUTED met2 ( 185150 99110 ) ( * 101490 )
NEW met1 ( 135470 99790 ) ( 135930 * )
NEW met2 ( 135930 99790 ) ( * 101490 )
NEW met1 ( 135930 101490 ) ( 185150 * )
NEW met1 ( 185150 101490 ) M1M2_PR
NEW li1 ( 185150 99110 ) L1M1_PR_MR
NEW met1 ( 185150 99110 ) M1M2_PR
NEW li1 ( 135470 99790 ) L1M1_PR_MR
NEW met1 ( 135930 99790 ) M1M2_PR
NEW met1 ( 135930 101490 ) M1M2_PR
NEW met1 ( 185150 99110 ) RECT ( -355 -70 0 70 ) ;
- net365 ( output365 A ) ( _437_ X ) + USE SIGNAL
+ ROUTED met2 ( 180550 77350 ) ( * 85510 )
NEW met1 ( 180550 85510 ) ( 182390 * )
NEW met1 ( 182390 85510 ) ( * 85850 )
NEW met1 ( 135930 76670 ) ( * 77350 )
NEW met1 ( 135470 76670 ) ( 135930 * )
NEW met1 ( 135930 77350 ) ( 180550 * )
NEW met1 ( 180550 77350 ) M1M2_PR
NEW met1 ( 180550 85510 ) M1M2_PR
NEW li1 ( 182390 85850 ) L1M1_PR_MR
NEW li1 ( 135470 76670 ) L1M1_PR_MR ;
- net366 ( output366 A ) ( _439_ X ) + USE SIGNAL
+ ROUTED met1 ( 183770 97410 ) ( 189290 * )
NEW met2 ( 189290 97410 ) ( * 107610 )
NEW li1 ( 183770 97410 ) L1M1_PR_MR
NEW met1 ( 189290 97410 ) M1M2_PR
NEW li1 ( 189290 107610 ) L1M1_PR_MR
NEW met1 ( 189290 107610 ) M1M2_PR
NEW met1 ( 189290 107610 ) RECT ( -355 -70 0 70 ) ;
- net367 ( output367 A ) ( _358_ X ) + USE SIGNAL
+ ROUTED met2 ( 183770 74290 ) ( * 82790 )
NEW met1 ( 183770 82790 ) ( 184230 * )
NEW met1 ( 131790 74290 ) ( 183770 * )
NEW met1 ( 183770 74290 ) M1M2_PR
NEW met1 ( 183770 82790 ) M1M2_PR
NEW li1 ( 184230 82790 ) L1M1_PR_MR
NEW li1 ( 131790 74290 ) L1M1_PR_MR ;
- net368 ( ANTENNA_output368_A DIODE ) ( output368 A ) ( _442_ X ) + USE SIGNAL
+ ROUTED met1 ( 106950 22610 ) ( * 22950 )
NEW met1 ( 15870 26010 ) ( 16790 * )
NEW met2 ( 16790 22780 ) ( * 26010 )
NEW met3 ( 16790 22780 ) ( 20930 * )
NEW met2 ( 20930 20910 ) ( * 22780 )
NEW met1 ( 25530 55590 ) ( 25990 * )
NEW met2 ( 25990 45220 ) ( * 55590 )
NEW met3 ( 24380 45220 ) ( 25990 * )
NEW met4 ( 24380 26860 ) ( * 45220 )
NEW met3 ( 16790 26860 ) ( 24380 * )
NEW met2 ( 16790 26010 ) ( * 26860 )
NEW met2 ( 48990 20230 ) ( * 20910 )
NEW met2 ( 48990 20230 ) ( 49450 * )
NEW met2 ( 49450 19380 ) ( * 20230 )
NEW met3 ( 49450 19380 ) ( 61410 * )
NEW met2 ( 61410 19380 ) ( * 22610 )
NEW met1 ( 20930 20910 ) ( 48990 * )
NEW met1 ( 61410 22610 ) ( 106950 * )
NEW li1 ( 106950 22950 ) L1M1_PR_MR
NEW li1 ( 15870 26010 ) L1M1_PR_MR
NEW met1 ( 16790 26010 ) M1M2_PR
NEW met2 ( 16790 22780 ) M2M3_PR_M
NEW met2 ( 20930 22780 ) M2M3_PR_M
NEW met1 ( 20930 20910 ) M1M2_PR
NEW li1 ( 25530 55590 ) L1M1_PR_MR
NEW met1 ( 25990 55590 ) M1M2_PR
NEW met2 ( 25990 45220 ) M2M3_PR_M
NEW met3 ( 24380 45220 ) M3M4_PR_M
NEW met3 ( 24380 26860 ) M3M4_PR_M
NEW met2 ( 16790 26860 ) M2M3_PR_M
NEW met1 ( 48990 20910 ) M1M2_PR
NEW met2 ( 49450 19380 ) M2M3_PR_M
NEW met2 ( 61410 19380 ) M2M3_PR_M
NEW met1 ( 61410 22610 ) M1M2_PR ;
- net369 ( ANTENNA_output369_A DIODE ) ( output369 A ) ( _444_ X ) + USE SIGNAL
+ ROUTED met2 ( 110170 181390 ) ( * 181900 )
NEW met2 ( 111090 181390 ) ( * 186150 )
NEW met1 ( 110630 186150 ) ( 111090 * )
NEW met1 ( 110170 181390 ) ( 111090 * )
NEW met2 ( 45310 166940 ) ( * 169150 )
NEW met3 ( 30590 166940 ) ( 45310 * )
NEW met2 ( 30590 166940 ) ( * 167110 )
NEW met1 ( 23690 167110 ) ( 30590 * )
NEW met1 ( 23690 167110 ) ( * 167790 )
NEW met1 ( 16790 167790 ) ( 23690 * )
NEW met1 ( 16790 167450 ) ( * 167790 )
NEW met1 ( 38410 146370 ) ( 41170 * )
NEW met2 ( 41170 146370 ) ( * 158100 )
NEW met2 ( 41170 158100 ) ( 41630 * )
NEW met2 ( 41630 158100 ) ( * 166940 )
NEW met1 ( 60490 169150 ) ( * 169490 )
NEW met1 ( 60490 169490 ) ( 74290 * )
NEW met2 ( 74290 169490 ) ( * 181900 )
NEW met1 ( 45310 169150 ) ( 60490 * )
NEW met3 ( 74290 181900 ) ( 110170 * )
NEW met2 ( 110170 181900 ) M2M3_PR_M
NEW met1 ( 110170 181390 ) M1M2_PR
NEW met1 ( 111090 181390 ) M1M2_PR
NEW met1 ( 111090 186150 ) M1M2_PR
NEW li1 ( 110630 186150 ) L1M1_PR_MR
NEW met1 ( 45310 169150 ) M1M2_PR
NEW met2 ( 45310 166940 ) M2M3_PR_M
NEW met2 ( 30590 166940 ) M2M3_PR_M
NEW met1 ( 30590 167110 ) M1M2_PR
NEW li1 ( 16790 167450 ) L1M1_PR_MR
NEW li1 ( 38410 146370 ) L1M1_PR_MR
NEW met1 ( 41170 146370 ) M1M2_PR
NEW met2 ( 41630 166940 ) M2M3_PR_M
NEW met1 ( 74290 169490 ) M1M2_PR
NEW met2 ( 74290 181900 ) M2M3_PR_M
NEW met3 ( 41630 166940 ) RECT ( -800 -150 0 150 ) ;
- net37 ( input37 X ) ( _548_ A ) ( _676_ A ) + USE SIGNAL
+ ROUTED met1 ( 119370 17510 ) ( 123510 * )
NEW met2 ( 119370 13940 ) ( * 17510 )
NEW met3 ( 99590 13940 ) ( 119370 * )
NEW met2 ( 99590 13940 ) ( * 15470 )
NEW met1 ( 123050 28390 ) ( 123510 * )
NEW met2 ( 123510 20570 ) ( * 28390 )
NEW met1 ( 122590 20570 ) ( 123510 * )
NEW met2 ( 122590 17510 ) ( * 20570 )
NEW met2 ( 62330 15470 ) ( * 16660 )
NEW met3 ( 48990 16660 ) ( 62330 * )
NEW met2 ( 48990 15810 ) ( * 16660 )
NEW met1 ( 48760 15810 ) ( 48990 * )
NEW met1 ( 62330 15470 ) ( 99590 * )
NEW li1 ( 123510 17510 ) L1M1_PR_MR
NEW met1 ( 119370 17510 ) M1M2_PR
NEW met2 ( 119370 13940 ) M2M3_PR_M
NEW met2 ( 99590 13940 ) M2M3_PR_M
NEW met1 ( 99590 15470 ) M1M2_PR
NEW li1 ( 123050 28390 ) L1M1_PR_MR
NEW met1 ( 123510 28390 ) M1M2_PR
NEW met1 ( 123510 20570 ) M1M2_PR
NEW met1 ( 122590 20570 ) M1M2_PR
NEW met1 ( 122590 17510 ) M1M2_PR
NEW met1 ( 62330 15470 ) M1M2_PR
NEW met2 ( 62330 16660 ) M2M3_PR_M
NEW met2 ( 48990 16660 ) M2M3_PR_M
NEW met1 ( 48990 15810 ) M1M2_PR
NEW li1 ( 48760 15810 ) L1M1_PR_MR
NEW met1 ( 122590 17510 ) RECT ( -595 -70 0 70 ) ;
- net370 ( ANTENNA_output370_A DIODE ) ( output370 A ) ( _446_ X ) + USE SIGNAL
+ ROUTED met1 ( 68770 85850 ) ( 75670 * )
NEW met2 ( 71070 159970 ) ( * 178330 )
NEW met1 ( 71070 159970 ) ( 75670 * )
NEW met2 ( 75670 85850 ) ( * 159970 )
NEW met1 ( 75670 85850 ) M1M2_PR
NEW li1 ( 68770 85850 ) L1M1_PR_MR
NEW li1 ( 71070 159970 ) L1M1_PR_MR
NEW met1 ( 71070 159970 ) M1M2_PR
NEW li1 ( 71070 178330 ) L1M1_PR_MR
NEW met1 ( 71070 178330 ) M1M2_PR
NEW met1 ( 75670 159970 ) M1M2_PR
NEW met1 ( 71070 159970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 71070 178330 ) RECT ( -355 -70 0 70 ) ;
- net371 ( output371 A ) ( _448_ X ) + USE SIGNAL
+ ROUTED met1 ( 83950 20570 ) ( 84410 * )
NEW met2 ( 83950 20570 ) ( * 35870 )
NEW met1 ( 78890 35870 ) ( 83950 * )
NEW li1 ( 84410 20570 ) L1M1_PR_MR
NEW met1 ( 83950 20570 ) M1M2_PR
NEW met1 ( 83950 35870 ) M1M2_PR
NEW li1 ( 78890 35870 ) L1M1_PR_MR ;
- net372 ( output372 A ) ( _450_ X ) + USE SIGNAL
+ ROUTED met1 ( 129490 180710 ) ( 130870 * )
NEW met2 ( 126270 134130 ) ( * 139230 )
NEW met1 ( 126270 139230 ) ( 130870 * )
NEW met2 ( 130870 139230 ) ( * 180710 )
NEW met1 ( 130870 180710 ) M1M2_PR
NEW li1 ( 129490 180710 ) L1M1_PR_MR
NEW li1 ( 126270 134130 ) L1M1_PR_MR
NEW met1 ( 126270 134130 ) M1M2_PR
NEW met1 ( 126270 139230 ) M1M2_PR
NEW met1 ( 130870 139230 ) M1M2_PR
NEW met1 ( 126270 134130 ) RECT ( 0 -70 355 70 ) ;
- net373 ( ANTENNA_output373_A DIODE ) ( output373 A ) ( _453_ X ) + USE SIGNAL
+ ROUTED met1 ( 55430 33830 ) ( 59570 * )
NEW met2 ( 55430 17510 ) ( * 33830 )
NEW met1 ( 55430 17510 ) ( 59110 * )
NEW met1 ( 59570 33830 ) ( * 34170 )
NEW met1 ( 115230 33830 ) ( * 34170 )
NEW met1 ( 115230 33830 ) ( 133630 * )
NEW met1 ( 59570 34170 ) ( 115230 * )
NEW met2 ( 133630 33830 ) ( * 131070 )
NEW li1 ( 59570 33830 ) L1M1_PR_MR
NEW met1 ( 55430 33830 ) M1M2_PR
NEW met1 ( 55430 17510 ) M1M2_PR
NEW li1 ( 59110 17510 ) L1M1_PR_MR
NEW li1 ( 133630 131070 ) L1M1_PR_MR
NEW met1 ( 133630 131070 ) M1M2_PR
NEW met1 ( 133630 33830 ) M1M2_PR
NEW met1 ( 133630 131070 ) RECT ( -355 -70 0 70 ) ;
- net374 ( output374 A ) ( _455_ X ) + USE SIGNAL
+ ROUTED met1 ( 80730 177650 ) ( * 178330 )
NEW met2 ( 127190 169150 ) ( * 177650 )
NEW met1 ( 80730 177650 ) ( 127190 * )
NEW met1 ( 127190 169150 ) ( 144210 * )
NEW li1 ( 144210 169150 ) L1M1_PR_MR
NEW li1 ( 80730 178330 ) L1M1_PR_MR
NEW met1 ( 127190 177650 ) M1M2_PR
NEW met1 ( 127190 169150 ) M1M2_PR ;
- net375 ( output375 A ) ( _457_ X ) + USE SIGNAL
+ ROUTED met1 ( 185610 109990 ) ( * 110330 )
NEW met1 ( 143290 110330 ) ( 185610 * )
NEW met1 ( 143290 110330 ) ( * 110670 )
NEW li1 ( 185610 109990 ) L1M1_PR_MR
NEW li1 ( 143290 110670 ) L1M1_PR_MR
NEW met1 ( 143290 110670 ) RECT ( 0 -70 255 70 ) ;
- net376 ( output376 A ) ( _459_ X ) + USE SIGNAL
+ ROUTED met1 ( 182390 164390 ) ( 182850 * )
NEW met2 ( 182390 137870 ) ( * 164390 )
NEW met1 ( 182390 164390 ) M1M2_PR
NEW li1 ( 182850 164390 ) L1M1_PR_MR
NEW li1 ( 182390 137870 ) L1M1_PR_MR
NEW met1 ( 182390 137870 ) M1M2_PR
NEW met1 ( 182390 137870 ) RECT ( -355 -70 0 70 ) ;
- net377 ( output377 A ) ( _461_ X ) + USE SIGNAL
+ ROUTED met1 ( 163530 175270 ) ( 165830 * )
NEW met2 ( 163530 140930 ) ( * 175270 )
NEW met1 ( 146510 140930 ) ( 163530 * )
NEW met1 ( 163530 175270 ) M1M2_PR
NEW li1 ( 165830 175270 ) L1M1_PR_MR
NEW met1 ( 163530 140930 ) M1M2_PR
NEW li1 ( 146510 140930 ) L1M1_PR_MR ;
- net378 ( output378 A ) ( _360_ X ) + USE SIGNAL
+ ROUTED met1 ( 183770 119170 ) ( 189290 * )
NEW met2 ( 189290 119170 ) ( * 120870 )
NEW li1 ( 183770 119170 ) L1M1_PR_MR
NEW met1 ( 189290 119170 ) M1M2_PR
NEW li1 ( 189290 120870 ) L1M1_PR_MR
NEW met1 ( 189290 120870 ) M1M2_PR
NEW met1 ( 189290 120870 ) RECT ( -355 -70 0 70 ) ;
- net379 ( output379 A ) ( _464_ X ) + USE SIGNAL
+ ROUTED met1 ( 21390 20230 ) ( * 20570 )
NEW met1 ( 21390 20230 ) ( 48300 * )
NEW met1 ( 48300 20230 ) ( * 20570 )
NEW met1 ( 48300 20570 ) ( 48530 * )
NEW met2 ( 48530 20570 ) ( * 21420 )
NEW met3 ( 48530 21420 ) ( 66930 * )
NEW met2 ( 66930 21420 ) ( * 22270 )
NEW li1 ( 21390 20570 ) L1M1_PR_MR
NEW met1 ( 48530 20570 ) M1M2_PR
NEW met2 ( 48530 21420 ) M2M3_PR_M
NEW met2 ( 66930 21420 ) M2M3_PR_M
NEW li1 ( 66930 22270 ) L1M1_PR_MR
NEW met1 ( 66930 22270 ) M1M2_PR
NEW met1 ( 66930 22270 ) RECT ( -355 -70 0 70 ) ;
- net38 ( input38 X ) ( _549_ A ) ( _677_ A ) + USE SIGNAL
+ ROUTED met1 ( 77970 17510 ) ( 82110 * )
NEW met2 ( 82110 17510 ) ( * 26010 )
NEW met1 ( 82110 26010 ) ( 84410 * )
NEW met1 ( 76130 17510 ) ( 77970 * )
NEW met2 ( 76130 8330 ) ( * 17510 )
NEW met2 ( 21850 8330 ) ( * 12070 )
NEW met1 ( 21850 8330 ) ( 76130 * )
NEW met1 ( 76130 8330 ) M1M2_PR
NEW li1 ( 77970 17510 ) L1M1_PR_MR
NEW met1 ( 82110 17510 ) M1M2_PR
NEW met1 ( 82110 26010 ) M1M2_PR
NEW li1 ( 84410 26010 ) L1M1_PR_MR
NEW met1 ( 76130 17510 ) M1M2_PR
NEW met1 ( 21850 8330 ) M1M2_PR
NEW li1 ( 21850 12070 ) L1M1_PR_MR
NEW met1 ( 21850 12070 ) M1M2_PR
NEW met1 ( 21850 12070 ) RECT ( -355 -70 0 70 ) ;
- net380 ( ANTENNA_output380_A DIODE ) ( output380 A ) ( _466_ X ) + USE SIGNAL
+ ROUTED met1 ( 89470 77350 ) ( 102810 * )
NEW met2 ( 89470 59330 ) ( * 77350 )
NEW met1 ( 8510 58650 ) ( 10350 * )
NEW met2 ( 10350 58650 ) ( * 59500 )
NEW met3 ( 10350 59500 ) ( 27370 * )
NEW met2 ( 27370 59330 ) ( * 59500 )
NEW met1 ( 27370 59330 ) ( 89470 * )
NEW met1 ( 89470 77350 ) M1M2_PR
NEW li1 ( 102810 77350 ) L1M1_PR_MR
NEW met1 ( 89470 59330 ) M1M2_PR
NEW li1 ( 27370 59330 ) L1M1_PR_MR
NEW li1 ( 8510 58650 ) L1M1_PR_MR
NEW met1 ( 10350 58650 ) M1M2_PR
NEW met2 ( 10350 59500 ) M2M3_PR_M
NEW met2 ( 27370 59500 ) M2M3_PR_M
NEW met1 ( 27370 59330 ) M1M2_PR
NEW met1 ( 27370 59330 ) RECT ( -595 -70 0 70 ) ;
- net381 ( output381 A ) ( _468_ X ) + USE SIGNAL
+ ROUTED met1 ( 170430 167110 ) ( * 167790 )
NEW met1 ( 170430 167790 ) ( 182390 * )
NEW met1 ( 182390 167450 ) ( * 167790 )
NEW met1 ( 122130 166770 ) ( * 167110 )
NEW met1 ( 122130 167110 ) ( 170430 * )
NEW li1 ( 182390 167450 ) L1M1_PR_MR
NEW li1 ( 122130 166770 ) L1M1_PR_MR ;
- net382 ( output382 A ) ( _470_ X ) + USE SIGNAL
+ ROUTED met1 ( 76590 15130 ) ( 78430 * )
NEW met2 ( 78430 15130 ) ( 78890 * )
NEW met2 ( 78890 15130 ) ( * 16830 )
NEW met1 ( 78890 16830 ) ( 82110 * )
NEW li1 ( 76590 15130 ) L1M1_PR_MR
NEW met1 ( 78430 15130 ) M1M2_PR
NEW met1 ( 78890 16830 ) M1M2_PR
NEW li1 ( 82110 16830 ) L1M1_PR_MR ;
- net383 ( output383 A ) ( _472_ X ) + USE SIGNAL
+ ROUTED met1 ( 77510 56610 ) ( 77970 * )
NEW met2 ( 77050 20060 ) ( 77510 * )
NEW met2 ( 77050 17850 ) ( * 20060 )
NEW met1 ( 77050 17850 ) ( 83950 * )
NEW met1 ( 83950 17170 ) ( * 17850 )
NEW met1 ( 83950 17170 ) ( 89010 * )
NEW met1 ( 89010 17170 ) ( * 17510 )
NEW met2 ( 77510 20060 ) ( * 56610 )
NEW met1 ( 77510 56610 ) M1M2_PR
NEW li1 ( 77970 56610 ) L1M1_PR_MR
NEW met1 ( 77050 17850 ) M1M2_PR
NEW li1 ( 89010 17510 ) L1M1_PR_MR ;
- net384 ( output384 A ) ( _475_ X ) + USE SIGNAL
+ ROUTED met2 ( 168130 22950 ) ( * 35870 )
NEW met1 ( 157550 35870 ) ( 168130 * )
NEW met2 ( 157550 34510 ) ( * 35870 )
NEW met1 ( 157550 34510 ) ( 159390 * )
NEW li1 ( 168130 22950 ) L1M1_PR_MR
NEW met1 ( 168130 22950 ) M1M2_PR
NEW met1 ( 168130 35870 ) M1M2_PR
NEW met1 ( 157550 35870 ) M1M2_PR
NEW met1 ( 157550 34510 ) M1M2_PR
NEW li1 ( 159390 34510 ) L1M1_PR_MR
NEW met1 ( 168130 22950 ) RECT ( -355 -70 0 70 ) ;
- net385 ( output385 A ) ( _477_ X ) + USE SIGNAL
+ ROUTED met2 ( 158930 25670 ) ( * 30770 )
NEW met1 ( 158930 25670 ) ( 161230 * )
NEW met1 ( 161230 25670 ) ( * 26010 )
NEW met1 ( 161230 26010 ) ( 164450 * )
NEW met1 ( 154330 30770 ) ( 158930 * )
NEW met1 ( 158930 30770 ) M1M2_PR
NEW met1 ( 158930 25670 ) M1M2_PR
NEW li1 ( 164450 26010 ) L1M1_PR_MR
NEW li1 ( 154330 30770 ) L1M1_PR_MR ;
- net386 ( output386 A ) ( _479_ X ) + USE SIGNAL
+ ROUTED met1 ( 181470 169830 ) ( 181930 * )
NEW met2 ( 181930 169830 ) ( * 182750 )
NEW met1 ( 181930 182750 ) ( * 183090 )
NEW met1 ( 171810 183090 ) ( 181930 * )
NEW li1 ( 181470 169830 ) L1M1_PR_MR
NEW met1 ( 181930 169830 ) M1M2_PR
NEW met1 ( 181930 182750 ) M1M2_PR
NEW li1 ( 171810 183090 ) L1M1_PR_MR ;
- net387 ( ANTENNA_output387_A DIODE ) ( output387 A ) ( _481_ X ) + USE SIGNAL
+ ROUTED met3 ( 173420 159460 ) ( 174570 * )
NEW met2 ( 174570 159460 ) ( * 159630 )
NEW met1 ( 173650 159630 ) ( 174570 * )
NEW met1 ( 173650 159630 ) ( * 159970 )
NEW met2 ( 173650 159970 ) ( * 169490 )
NEW met1 ( 173650 169490 ) ( 177790 * )
NEW met1 ( 177790 169490 ) ( * 169830 )
NEW met3 ( 172730 148580 ) ( 173420 * )
NEW met4 ( 173420 148580 ) ( * 159460 )
NEW met1 ( 166290 141950 ) ( 167670 * )
NEW met2 ( 166290 99790 ) ( * 141950 )
NEW met1 ( 151110 99790 ) ( 166290 * )
NEW met1 ( 172730 141950 ) ( * 142290 )
NEW met1 ( 167670 141950 ) ( 172730 * )
NEW met2 ( 172730 142290 ) ( * 148580 )
NEW met3 ( 173420 159460 ) M3M4_PR_M
NEW met2 ( 174570 159460 ) M2M3_PR_M
NEW met1 ( 174570 159630 ) M1M2_PR
NEW met1 ( 173650 159970 ) M1M2_PR
NEW met1 ( 173650 169490 ) M1M2_PR
NEW li1 ( 177790 169830 ) L1M1_PR_MR
NEW met3 ( 173420 148580 ) M3M4_PR_M
NEW met2 ( 172730 148580 ) M2M3_PR_M
NEW li1 ( 167670 141950 ) L1M1_PR_MR
NEW met1 ( 166290 141950 ) M1M2_PR
NEW met1 ( 166290 99790 ) M1M2_PR
NEW li1 ( 151110 99790 ) L1M1_PR_MR
NEW met1 ( 172730 142290 ) M1M2_PR ;
- net388 ( output388 A ) ( _483_ X ) + USE SIGNAL
+ ROUTED met1 ( 146050 107610 ) ( 146510 * )
NEW met1 ( 146970 183770 ) ( 149730 * )
NEW met2 ( 146510 107610 ) ( * 110400 )
NEW met2 ( 146510 110400 ) ( 146970 * )
NEW met2 ( 146970 110400 ) ( * 183770 )
NEW met1 ( 146510 107610 ) M1M2_PR
NEW li1 ( 146050 107610 ) L1M1_PR_MR
NEW met1 ( 146970 183770 ) M1M2_PR
NEW li1 ( 149730 183770 ) L1M1_PR_MR ;
- net389 ( output389 A ) ( _363_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 71910 ) ( * 72590 )
NEW met2 ( 44850 72590 ) ( * 98430 )
NEW met1 ( 8510 72590 ) ( 44850 * )
NEW li1 ( 8510 71910 ) L1M1_PR_MR
NEW met1 ( 44850 72590 ) M1M2_PR
NEW li1 ( 44850 98430 ) L1M1_PR_MR
NEW met1 ( 44850 98430 ) M1M2_PR
NEW met1 ( 44850 98430 ) RECT ( -355 -70 0 70 ) ;
- net39 ( input39 X ) ( _550_ A ) ( _678_ A ) + USE SIGNAL
+ ROUTED met1 ( 183770 91290 ) ( * 91630 )
NEW met1 ( 183770 91630 ) ( 188830 * )
NEW met2 ( 188830 91630 ) ( * 96730 )
NEW met1 ( 179170 94350 ) ( 188830 * )
NEW li1 ( 183770 91290 ) L1M1_PR_MR
NEW met1 ( 188830 91630 ) M1M2_PR
NEW li1 ( 188830 96730 ) L1M1_PR_MR
NEW met1 ( 188830 96730 ) M1M2_PR
NEW li1 ( 179170 94350 ) L1M1_PR_MR
NEW met1 ( 188830 94350 ) M1M2_PR
NEW met1 ( 188830 96730 ) RECT ( -355 -70 0 70 )
NEW met2 ( 188830 94350 ) RECT ( -70 -485 70 0 ) ;
- net390 ( ANTENNA_output390_A DIODE ) ( output390 A ) ( _487_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 139910 ) ( * 140250 )
NEW met1 ( 86250 133790 ) ( * 134130 )
NEW met2 ( 23230 134130 ) ( * 139910 )
NEW met1 ( 8510 139910 ) ( 23230 * )
NEW met1 ( 23230 134130 ) ( 86250 * )
NEW met2 ( 112010 133790 ) ( * 147730 )
NEW met1 ( 112010 147730 ) ( 113390 * )
NEW met1 ( 86250 133790 ) ( 112010 * )
NEW li1 ( 8510 140250 ) L1M1_PR_MR
NEW li1 ( 23230 134130 ) L1M1_PR_MR
NEW met1 ( 23230 139910 ) M1M2_PR
NEW met1 ( 23230 134130 ) M1M2_PR
NEW met1 ( 112010 133790 ) M1M2_PR
NEW met1 ( 112010 147730 ) M1M2_PR
NEW li1 ( 113390 147730 ) L1M1_PR_MR
NEW met1 ( 23230 134130 ) RECT ( -595 -70 0 70 ) ;
- net391 ( output391 A ) ( _489_ X ) + USE SIGNAL
+ ROUTED met2 ( 74750 17510 ) ( * 24990 )
NEW met1 ( 74750 24990 ) ( 76130 * )
NEW li1 ( 74750 17510 ) L1M1_PR_MR
NEW met1 ( 74750 17510 ) M1M2_PR
NEW met1 ( 74750 24990 ) M1M2_PR
NEW li1 ( 76130 24990 ) L1M1_PR_MR
NEW met1 ( 74750 17510 ) RECT ( -355 -70 0 70 ) ;
- net392 ( output392 A ) ( _491_ X ) + USE SIGNAL
+ ROUTED met1 ( 109710 170510 ) ( 114770 * )
NEW met2 ( 109710 170510 ) ( * 175950 )
NEW met1 ( 109250 175950 ) ( 109710 * )
NEW met1 ( 109250 175270 ) ( * 175950 )
NEW met1 ( 106490 175270 ) ( 109250 * )
NEW met1 ( 106490 175270 ) ( * 175950 )
NEW met1 ( 95910 175950 ) ( * 176290 )
NEW met1 ( 94070 176290 ) ( 95910 * )
NEW met1 ( 94070 175270 ) ( * 176290 )
NEW met1 ( 93610 175270 ) ( 94070 * )
NEW met1 ( 93610 174590 ) ( * 175270 )
NEW met1 ( 93150 174590 ) ( 93610 * )
NEW met2 ( 93150 173740 ) ( * 174590 )
NEW met2 ( 91770 173740 ) ( 93150 * )
NEW met2 ( 91770 173740 ) ( * 174420 )
NEW met2 ( 91310 174420 ) ( 91770 * )
NEW met2 ( 91310 174420 ) ( * 174930 )
NEW met1 ( 89930 174930 ) ( 91310 * )
NEW met1 ( 89930 174930 ) ( * 175270 )
NEW met1 ( 95910 175950 ) ( 106490 * )
NEW li1 ( 114770 170510 ) L1M1_PR_MR
NEW met1 ( 109710 170510 ) M1M2_PR
NEW met1 ( 109710 175950 ) M1M2_PR
NEW met1 ( 93150 174590 ) M1M2_PR
NEW met1 ( 91310 174930 ) M1M2_PR
NEW li1 ( 89930 175270 ) L1M1_PR_MR ;
- net393 ( ANTENNA_output393_A DIODE ) ( output393 A ) ( _493_ X ) + USE SIGNAL
+ ROUTED met2 ( 174570 58650 ) ( * 61030 )
NEW met1 ( 174570 58650 ) ( 188370 * )
NEW met2 ( 188370 42330 ) ( * 58650 )
NEW met1 ( 188370 42330 ) ( 189290 * )
NEW met1 ( 125350 97410 ) ( 158010 * )
NEW met2 ( 125350 97410 ) ( * 99110 )
NEW met1 ( 112010 99110 ) ( 125350 * )
NEW met2 ( 112010 99110 ) ( * 101830 )
NEW met1 ( 112010 101830 ) ( 114770 * )
NEW met2 ( 158010 59330 ) ( * 61030 )
NEW met2 ( 158010 61030 ) ( * 97410 )
NEW met1 ( 158010 61030 ) ( 174570 * )
NEW met1 ( 174570 61030 ) M1M2_PR
NEW met1 ( 174570 58650 ) M1M2_PR
NEW met1 ( 188370 58650 ) M1M2_PR
NEW met1 ( 188370 42330 ) M1M2_PR
NEW li1 ( 189290 42330 ) L1M1_PR_MR
NEW met1 ( 158010 97410 ) M1M2_PR
NEW met1 ( 125350 97410 ) M1M2_PR
NEW met1 ( 125350 99110 ) M1M2_PR
NEW met1 ( 112010 99110 ) M1M2_PR
NEW met1 ( 112010 101830 ) M1M2_PR
NEW li1 ( 114770 101830 ) L1M1_PR_MR
NEW met1 ( 158010 61030 ) M1M2_PR
NEW li1 ( 158010 59330 ) L1M1_PR_MR
NEW met1 ( 158010 59330 ) M1M2_PR
NEW met1 ( 158010 59330 ) RECT ( -355 -70 0 70 ) ;
- net394 ( output394 A ) ( _495_ X ) + USE SIGNAL
+ ROUTED met2 ( 136390 113050 ) ( * 178330 )
NEW li1 ( 136390 178330 ) L1M1_PR_MR
NEW met1 ( 136390 178330 ) M1M2_PR
NEW li1 ( 136390 113050 ) L1M1_PR_MR
NEW met1 ( 136390 113050 ) M1M2_PR
NEW met1 ( 136390 178330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 136390 113050 ) RECT ( -355 -70 0 70 ) ;
- net395 ( ANTENNA_output395_A DIODE ) ( output395 A ) ( _498_ X ) + USE SIGNAL
+ ROUTED met1 ( 181470 28050 ) ( * 28390 )
NEW met1 ( 176410 28050 ) ( 181470 * )
NEW met1 ( 176410 28050 ) ( * 28390 )
NEW met1 ( 154330 54910 ) ( 159390 * )
NEW met2 ( 159390 45220 ) ( * 54910 )
NEW met3 ( 159390 45220 ) ( 174340 * )
NEW met3 ( 174340 44540 ) ( * 45220 )
NEW met3 ( 174340 44540 ) ( 181470 * )
NEW met2 ( 153870 54910 ) ( * 69190 )
NEW met1 ( 153870 54910 ) ( 154330 * )
NEW met1 ( 112470 69190 ) ( 153870 * )
NEW met2 ( 181470 28390 ) ( * 44540 )
NEW li1 ( 112470 69190 ) L1M1_PR_MR
NEW met1 ( 181470 28390 ) M1M2_PR
NEW li1 ( 176410 28390 ) L1M1_PR_MR
NEW li1 ( 154330 54910 ) L1M1_PR_MR
NEW met1 ( 159390 54910 ) M1M2_PR
NEW met2 ( 159390 45220 ) M2M3_PR_M
NEW met2 ( 181470 44540 ) M2M3_PR_M
NEW met1 ( 153870 69190 ) M1M2_PR
NEW met1 ( 153870 54910 ) M1M2_PR ;
- net396 ( output396 A ) ( _500_ X ) + USE SIGNAL
+ ROUTED met2 ( 17710 94350 ) ( * 96730 )
NEW met1 ( 8050 94350 ) ( 17710 * )
NEW li1 ( 8050 94350 ) L1M1_PR_MR
NEW met1 ( 17710 94350 ) M1M2_PR
NEW li1 ( 17710 96730 ) L1M1_PR_MR
NEW met1 ( 17710 96730 ) M1M2_PR
NEW met1 ( 17710 96730 ) RECT ( -355 -70 0 70 ) ;
- net397 ( output397 A ) ( _502_ X ) + USE SIGNAL
+ ROUTED met1 ( 67390 78030 ) ( 68770 * )
NEW met2 ( 67390 78030 ) ( * 93670 )
NEW met1 ( 64170 93670 ) ( 67390 * )
NEW met2 ( 68770 20570 ) ( * 78030 )
NEW met1 ( 68770 78030 ) M1M2_PR
NEW met1 ( 67390 78030 ) M1M2_PR
NEW met1 ( 67390 93670 ) M1M2_PR
NEW li1 ( 64170 93670 ) L1M1_PR_MR
NEW li1 ( 68770 20570 ) L1M1_PR_MR
NEW met1 ( 68770 20570 ) M1M2_PR
NEW met1 ( 68770 20570 ) RECT ( -355 -70 0 70 ) ;
- net398 ( ANTENNA_output398_A DIODE ) ( output398 A ) ( _504_ X ) + USE SIGNAL
+ ROUTED met2 ( 100050 75140 ) ( 100510 * )
NEW met2 ( 100510 75140 ) ( * 92990 )
NEW met2 ( 100050 48450 ) ( * 75140 )
NEW met1 ( 32890 49470 ) ( 33350 * )
NEW met2 ( 32890 39100 ) ( * 49470 )
NEW met3 ( 32660 39100 ) ( 32890 * )
NEW met3 ( 32660 38420 ) ( * 39100 )
NEW met3 ( 17250 38420 ) ( 32660 * )
NEW met2 ( 17250 31450 ) ( * 38420 )
NEW met1 ( 14950 31450 ) ( 17250 * )
NEW met1 ( 50830 48110 ) ( * 48450 )
NEW met1 ( 48070 48110 ) ( 50830 * )
NEW met1 ( 48070 48110 ) ( * 48450 )
NEW met1 ( 36570 48450 ) ( 48070 * )
NEW met1 ( 36570 48110 ) ( * 48450 )
NEW met1 ( 32890 48110 ) ( 36570 * )
NEW met1 ( 50830 48450 ) ( 100050 * )
NEW li1 ( 100510 92990 ) L1M1_PR_MR
NEW met1 ( 100510 92990 ) M1M2_PR
NEW met1 ( 100050 48450 ) M1M2_PR
NEW li1 ( 33350 49470 ) L1M1_PR_MR
NEW met1 ( 32890 49470 ) M1M2_PR
NEW met2 ( 32890 39100 ) M2M3_PR_M
NEW met2 ( 17250 38420 ) M2M3_PR_M
NEW met1 ( 17250 31450 ) M1M2_PR
NEW li1 ( 14950 31450 ) L1M1_PR_MR
NEW met1 ( 32890 48110 ) M1M2_PR
NEW met1 ( 100510 92990 ) RECT ( -355 -70 0 70 )
NEW met2 ( 32890 48110 ) RECT ( -70 -485 70 0 ) ;
- net399 ( output399 A ) ( _506_ X ) + USE SIGNAL
+ ROUTED met1 ( 183310 62050 ) ( 184230 * )
NEW met2 ( 184230 62050 ) ( * 66470 )
NEW li1 ( 184230 66470 ) L1M1_PR_MR
NEW met1 ( 184230 66470 ) M1M2_PR
NEW li1 ( 183310 62050 ) L1M1_PR_MR
NEW met1 ( 184230 62050 ) M1M2_PR
NEW met1 ( 184230 66470 ) RECT ( -355 -70 0 70 ) ;
- net4 ( input4 X ) ( _633_ A ) ( _761_ A ) + USE SIGNAL
+ ROUTED met1 ( 146510 35870 ) ( 146970 * )
NEW met2 ( 146970 17510 ) ( * 35870 )
NEW met1 ( 144670 17510 ) ( 146970 * )
NEW met1 ( 149730 36550 ) ( * 36890 )
NEW met1 ( 146970 36550 ) ( 149730 * )
NEW met1 ( 146970 35870 ) ( * 36550 )
NEW li1 ( 146510 35870 ) L1M1_PR_MR
NEW met1 ( 146970 35870 ) M1M2_PR
NEW met1 ( 146970 17510 ) M1M2_PR
NEW li1 ( 144670 17510 ) L1M1_PR_MR
NEW li1 ( 149730 36890 ) L1M1_PR_MR ;
- net40 ( ANTENNA__679__A DIODE ) ( ANTENNA__551__A DIODE ) ( input40 X ) ( _551_ A ) ( _679_ A ) + USE SIGNAL
+ ROUTED met2 ( 13110 75820 ) ( 13570 * )
NEW met2 ( 13570 75820 ) ( * 77180 )
NEW met2 ( 13110 77180 ) ( 13570 * )
NEW met2 ( 13110 77180 ) ( * 104550 )
NEW met1 ( 11270 104550 ) ( 13110 * )
NEW met1 ( 11730 49810 ) ( 13110 * )
NEW met2 ( 11730 48620 ) ( * 49810 )
NEW met2 ( 11270 48620 ) ( 11730 * )
NEW met2 ( 11270 32300 ) ( * 48620 )
NEW met2 ( 13110 49810 ) ( * 75820 )
NEW met3 ( 86020 30940 ) ( * 32300 )
NEW met3 ( 11270 32300 ) ( 86020 * )
NEW met2 ( 130410 30940 ) ( * 31110 )
NEW met1 ( 130410 33150 ) ( 133630 * )
NEW met2 ( 130410 31110 ) ( * 33150 )
NEW met1 ( 133630 28390 ) ( 134550 * )
NEW met2 ( 133630 28390 ) ( * 33150 )
NEW met1 ( 131330 20570 ) ( 131790 * )
NEW met2 ( 131790 20570 ) ( * 28050 )
NEW met1 ( 131790 28050 ) ( * 28390 )
NEW met1 ( 131790 28390 ) ( 133630 * )
NEW met3 ( 86020 30940 ) ( 130410 * )
NEW met1 ( 13110 104550 ) M1M2_PR
NEW li1 ( 11270 104550 ) L1M1_PR_MR
NEW met1 ( 13110 49810 ) M1M2_PR
NEW met1 ( 11730 49810 ) M1M2_PR
NEW met2 ( 11270 32300 ) M2M3_PR_M
NEW li1 ( 130410 31110 ) L1M1_PR_MR
NEW met1 ( 130410 31110 ) M1M2_PR
NEW met2 ( 130410 30940 ) M2M3_PR_M
NEW li1 ( 133630 33150 ) L1M1_PR_MR
NEW met1 ( 130410 33150 ) M1M2_PR
NEW li1 ( 134550 28390 ) L1M1_PR_MR
NEW met1 ( 133630 28390 ) M1M2_PR
NEW met1 ( 133630 33150 ) M1M2_PR
NEW li1 ( 131330 20570 ) L1M1_PR_MR
NEW met1 ( 131790 20570 ) M1M2_PR
NEW met1 ( 131790 28050 ) M1M2_PR
NEW met1 ( 130410 31110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 133630 33150 ) RECT ( -595 -70 0 70 ) ;
- net400 ( output400 A ) ( _365_ X ) + USE SIGNAL
+ ROUTED met1 ( 112470 170850 ) ( 113850 * )
NEW met2 ( 113850 170850 ) ( * 178330 )
NEW met1 ( 113850 178330 ) ( 114770 * )
NEW li1 ( 112470 170850 ) L1M1_PR_MR
NEW met1 ( 113850 170850 ) M1M2_PR
NEW met1 ( 113850 178330 ) M1M2_PR
NEW li1 ( 114770 178330 ) L1M1_PR_MR ;
- net401 ( ANTENNA_output401_A DIODE ) ( output401 A ) ( _509_ X ) + USE SIGNAL
+ ROUTED met2 ( 184230 39270 ) ( * 49300 )
NEW met1 ( 184230 39270 ) ( 185610 * )
NEW met1 ( 110630 101490 ) ( 111550 * )
NEW met1 ( 111550 50830 ) ( 145130 * )
NEW met2 ( 145130 49300 ) ( * 50830 )
NEW met2 ( 111550 50830 ) ( * 101490 )
NEW met3 ( 145130 49300 ) ( 184230 * )
NEW met2 ( 184230 49300 ) M2M3_PR_M
NEW met1 ( 184230 39270 ) M1M2_PR
NEW li1 ( 185610 39270 ) L1M1_PR_MR
NEW met1 ( 111550 101490 ) M1M2_PR
NEW li1 ( 110630 101490 ) L1M1_PR_MR
NEW li1 ( 145130 50830 ) L1M1_PR_MR
NEW met1 ( 111550 50830 ) M1M2_PR
NEW met2 ( 145130 49300 ) M2M3_PR_M
NEW met1 ( 145130 50830 ) M1M2_PR
NEW met1 ( 145130 50830 ) RECT ( -595 -70 0 70 ) ;
- net402 ( output402 A ) ( _511_ X ) + USE SIGNAL
+ ROUTED met1 ( 42090 65790 ) ( 44850 * )
NEW met2 ( 42090 17510 ) ( * 65790 )
NEW met1 ( 42090 65790 ) M1M2_PR
NEW li1 ( 44850 65790 ) L1M1_PR_MR
NEW li1 ( 42090 17510 ) L1M1_PR_MR
NEW met1 ( 42090 17510 ) M1M2_PR
NEW met1 ( 42090 17510 ) RECT ( -355 -70 0 70 ) ;
- net403 ( output403 A ) ( _513_ X ) + USE SIGNAL
+ ROUTED met1 ( 183310 121890 ) ( 189290 * )
NEW met2 ( 189290 121890 ) ( * 123930 )
NEW li1 ( 183310 121890 ) L1M1_PR_MR
NEW met1 ( 189290 121890 ) M1M2_PR
NEW li1 ( 189290 123930 ) L1M1_PR_MR
NEW met1 ( 189290 123930 ) M1M2_PR
NEW met1 ( 189290 123930 ) RECT ( -355 -70 0 70 ) ;
- net404 ( output404 A ) ( _515_ X ) + USE SIGNAL
+ ROUTED met1 ( 180550 105570 ) ( 184690 * )
NEW met1 ( 180090 167110 ) ( 184230 * )
NEW met1 ( 180090 167110 ) ( * 167450 )
NEW met2 ( 184230 134300 ) ( 184690 * )
NEW met2 ( 184230 134300 ) ( * 167110 )
NEW met2 ( 184690 105570 ) ( * 134300 )
NEW li1 ( 180550 105570 ) L1M1_PR_MR
NEW met1 ( 184690 105570 ) M1M2_PR
NEW met1 ( 184230 167110 ) M1M2_PR
NEW li1 ( 180090 167450 ) L1M1_PR_MR ;
- net405 ( ANTENNA_output405_A DIODE ) ( output405 A ) ( _517_ X ) + USE SIGNAL
+ ROUTED met2 ( 167670 67490 ) ( * 91290 )
NEW met1 ( 167670 67490 ) ( 171810 * )
NEW met1 ( 171350 26010 ) ( 171810 * )
NEW met2 ( 171810 26010 ) ( * 67490 )
NEW met1 ( 138690 91290 ) ( * 91630 )
NEW met1 ( 129490 91630 ) ( 138690 * )
NEW met2 ( 129490 91630 ) ( * 92990 )
NEW met1 ( 118910 92990 ) ( 129490 * )
NEW met1 ( 138690 91290 ) ( 167670 * )
NEW li1 ( 167670 67490 ) L1M1_PR_MR
NEW met1 ( 167670 67490 ) M1M2_PR
NEW met1 ( 167670 91290 ) M1M2_PR
NEW met1 ( 171810 67490 ) M1M2_PR
NEW met1 ( 171810 26010 ) M1M2_PR
NEW li1 ( 171350 26010 ) L1M1_PR_MR
NEW met1 ( 129490 91630 ) M1M2_PR
NEW met1 ( 129490 92990 ) M1M2_PR
NEW li1 ( 118910 92990 ) L1M1_PR_MR
NEW met1 ( 167670 67490 ) RECT ( -355 -70 0 70 ) ;
- net406 ( output406 A ) ( _520_ X ) + USE SIGNAL
+ ROUTED met1 ( 107410 163710 ) ( 108330 * )
NEW met2 ( 107410 163710 ) ( * 180710 )
NEW met1 ( 105570 180710 ) ( 107410 * )
NEW met1 ( 108330 149090 ) ( 108790 * )
NEW met2 ( 108330 149090 ) ( * 163710 )
NEW met1 ( 108330 163710 ) M1M2_PR
NEW met1 ( 107410 163710 ) M1M2_PR
NEW met1 ( 107410 180710 ) M1M2_PR
NEW li1 ( 105570 180710 ) L1M1_PR_MR
NEW li1 ( 108790 149090 ) L1M1_PR_MR
NEW met1 ( 108330 149090 ) M1M2_PR ;
- net407 ( output407 A ) ( _522_ X ) + USE SIGNAL
+ ROUTED met1 ( 128570 170850 ) ( 130870 * )
NEW met1 ( 130870 170510 ) ( * 170850 )
NEW met1 ( 130870 170510 ) ( 137310 * )
NEW met1 ( 137310 170510 ) ( * 170850 )
NEW met1 ( 137310 170850 ) ( 146050 * )
NEW met2 ( 146050 170850 ) ( * 183770 )
NEW li1 ( 128570 170850 ) L1M1_PR_MR
NEW met1 ( 146050 170850 ) M1M2_PR
NEW li1 ( 146050 183770 ) L1M1_PR_MR
NEW met1 ( 146050 183770 ) M1M2_PR
NEW met1 ( 146050 183770 ) RECT ( -355 -70 0 70 ) ;
- net408 ( ANTENNA_output408_A DIODE ) ( output408 A ) ( _524_ X ) + USE SIGNAL
+ ROUTED met1 ( 179170 69190 ) ( 187910 * )
NEW met1 ( 179170 68850 ) ( * 69190 )
NEW met1 ( 177790 68850 ) ( 179170 * )
NEW met1 ( 177790 68850 ) ( * 69530 )
NEW met1 ( 169970 69530 ) ( 177790 * )
NEW met1 ( 169970 69530 ) ( * 70210 )
NEW met2 ( 97290 135490 ) ( * 136510 )
NEW met1 ( 187910 53210 ) ( 189290 * )
NEW met2 ( 187910 53210 ) ( * 69190 )
NEW met2 ( 169970 70210 ) ( * 135150 )
NEW met1 ( 128570 135150 ) ( * 135490 )
NEW met1 ( 97290 135490 ) ( 128570 * )
NEW met1 ( 128570 135150 ) ( 169970 * )
NEW li1 ( 169970 70210 ) L1M1_PR_MR
NEW met1 ( 169970 70210 ) M1M2_PR
NEW met1 ( 187910 69190 ) M1M2_PR
NEW met1 ( 97290 135490 ) M1M2_PR
NEW li1 ( 97290 136510 ) L1M1_PR_MR
NEW met1 ( 97290 136510 ) M1M2_PR
NEW met1 ( 187910 53210 ) M1M2_PR
NEW li1 ( 189290 53210 ) L1M1_PR_MR
NEW met1 ( 169970 135150 ) M1M2_PR
NEW met1 ( 169970 70210 ) RECT ( -355 -70 0 70 )
NEW met1 ( 97290 136510 ) RECT ( -355 -70 0 70 ) ;
- net409 ( output409 A ) ( _526_ X ) + USE SIGNAL
+ ROUTED met2 ( 182850 162690 ) ( * 172550 )
NEW met1 ( 176410 172550 ) ( 182850 * )
NEW met1 ( 176410 172550 ) ( * 172890 )
NEW li1 ( 182850 162690 ) L1M1_PR_MR
NEW met1 ( 182850 162690 ) M1M2_PR
NEW met1 ( 182850 172550 ) M1M2_PR
NEW li1 ( 176410 172890 ) L1M1_PR_MR
NEW met1 ( 182850 162690 ) RECT ( -355 -70 0 70 ) ;
- net41 ( input41 X ) ( _533_ A ) ( _661_ A ) + USE SIGNAL
+ ROUTED met1 ( 39330 167450 ) ( 40710 * )
NEW met2 ( 39330 164900 ) ( * 167450 )
NEW met3 ( 21850 164900 ) ( 39330 * )
NEW met2 ( 21850 162350 ) ( * 164900 )
NEW met1 ( 9890 162350 ) ( 21850 * )
NEW met1 ( 9890 162010 ) ( * 162350 )
NEW met2 ( 40710 167450 ) ( * 172210 )
NEW met1 ( 40710 172210 ) ( 48300 * )
NEW met1 ( 48300 171870 ) ( * 172210 )
NEW met1 ( 48300 171870 ) ( 54510 * )
NEW met1 ( 54510 171870 ) ( * 172210 )
NEW met1 ( 54510 172210 ) ( 60490 * )
NEW met2 ( 60490 172210 ) ( * 172890 )
NEW met1 ( 60490 172890 ) ( 61410 * )
NEW li1 ( 40710 167450 ) L1M1_PR_MR
NEW met1 ( 39330 167450 ) M1M2_PR
NEW met2 ( 39330 164900 ) M2M3_PR_M
NEW met2 ( 21850 164900 ) M2M3_PR_M
NEW met1 ( 21850 162350 ) M1M2_PR
NEW li1 ( 9890 162010 ) L1M1_PR_MR
NEW met1 ( 40710 172210 ) M1M2_PR
NEW met1 ( 40710 167450 ) M1M2_PR
NEW met1 ( 60490 172210 ) M1M2_PR
NEW met1 ( 60490 172890 ) M1M2_PR
NEW li1 ( 61410 172890 ) L1M1_PR_MR
NEW met1 ( 40710 167450 ) RECT ( -595 -70 0 70 ) ;
- net410 ( output410 A ) ( _528_ X ) + USE SIGNAL
+ ROUTED met1 ( 35650 170850 ) ( 40710 * )
NEW met2 ( 35650 170850 ) ( * 175270 )
NEW met1 ( 32430 175270 ) ( 35650 * )
NEW li1 ( 40710 170850 ) L1M1_PR_MR
NEW met1 ( 35650 170850 ) M1M2_PR
NEW met1 ( 35650 175270 ) M1M2_PR
NEW li1 ( 32430 175270 ) L1M1_PR_MR ;
- net411 ( output411 A ) ( _367_ X ) + USE SIGNAL
+ ROUTED met1 ( 33350 96730 ) ( 34270 * )
NEW met2 ( 34270 20570 ) ( * 96730 )
NEW met1 ( 34270 96730 ) M1M2_PR
NEW li1 ( 33350 96730 ) L1M1_PR_MR
NEW li1 ( 34270 20570 ) L1M1_PR_MR
NEW met1 ( 34270 20570 ) M1M2_PR
NEW met1 ( 34270 20570 ) RECT ( -355 -70 0 70 ) ;
- net412 ( ANTENNA_output412_A DIODE ) ( output412 A ) ( _531_ X ) + USE SIGNAL
+ ROUTED met1 ( 159850 60690 ) ( 164910 * )
NEW met2 ( 164910 34850 ) ( * 60690 )
NEW met1 ( 164910 34850 ) ( 181930 * )
NEW met1 ( 181930 33830 ) ( * 34850 )
NEW met1 ( 159390 60690 ) ( 159850 * )
NEW met2 ( 159390 60690 ) ( * 96390 )
NEW met1 ( 138230 96390 ) ( 159390 * )
NEW met1 ( 159390 96390 ) M1M2_PR
NEW li1 ( 159850 60690 ) L1M1_PR_MR
NEW met1 ( 164910 60690 ) M1M2_PR
NEW met1 ( 164910 34850 ) M1M2_PR
NEW li1 ( 181930 33830 ) L1M1_PR_MR
NEW met1 ( 159390 60690 ) M1M2_PR
NEW li1 ( 138230 96390 ) L1M1_PR_MR ;
- net413 ( ANTENNA_output413_A DIODE ) ( output413 A ) ( _191_ X ) + USE SIGNAL
+ ROUTED met2 ( 101890 168300 ) ( 102350 * )
NEW met2 ( 102350 168300 ) ( * 178330 )
NEW met1 ( 99130 178330 ) ( 102350 * )
NEW met2 ( 100970 100130 ) ( * 110400 )
NEW met1 ( 100050 150110 ) ( 100510 * )
NEW met2 ( 100510 110400 ) ( * 150110 )
NEW met2 ( 100510 110400 ) ( 100970 * )
NEW met1 ( 100510 150110 ) ( 101890 * )
NEW met2 ( 101890 150110 ) ( * 168300 )
NEW met1 ( 100970 100130 ) ( 125350 * )
NEW met1 ( 100970 100130 ) M1M2_PR
NEW met1 ( 102350 178330 ) M1M2_PR
NEW li1 ( 99130 178330 ) L1M1_PR_MR
NEW li1 ( 100050 150110 ) L1M1_PR_MR
NEW met1 ( 100510 150110 ) M1M2_PR
NEW met1 ( 101890 150110 ) M1M2_PR
NEW li1 ( 125350 100130 ) L1M1_PR_MR ;
- net414 ( ANTENNA_output414_A DIODE ) ( output414 A ) ( _193_ X ) + USE SIGNAL
+ ROUTED met1 ( 77050 20570 ) ( 79350 * )
NEW met2 ( 77050 20570 ) ( * 25500 )
NEW met2 ( 76590 25500 ) ( 77050 * )
NEW met2 ( 76590 25500 ) ( * 38930 )
NEW met1 ( 76590 38930 ) ( 77510 * )
NEW met1 ( 128110 93670 ) ( 128570 * )
NEW met1 ( 77510 38930 ) ( 128570 * )
NEW met2 ( 128570 38930 ) ( * 93670 )
NEW li1 ( 77510 38930 ) L1M1_PR_MR
NEW li1 ( 79350 20570 ) L1M1_PR_MR
NEW met1 ( 77050 20570 ) M1M2_PR
NEW met1 ( 76590 38930 ) M1M2_PR
NEW met1 ( 128570 93670 ) M1M2_PR
NEW li1 ( 128110 93670 ) L1M1_PR_MR
NEW met1 ( 128570 38930 ) M1M2_PR ;
- net415 ( output415 A ) ( _195_ X ) + USE SIGNAL
+ ROUTED met1 ( 166750 120530 ) ( 185610 * )
NEW met1 ( 185610 120530 ) ( * 120870 )
NEW met2 ( 166750 109310 ) ( * 120530 )
NEW met1 ( 137310 109310 ) ( 166750 * )
NEW met1 ( 166750 109310 ) M1M2_PR
NEW met1 ( 166750 120530 ) M1M2_PR
NEW li1 ( 185610 120870 ) L1M1_PR_MR
NEW li1 ( 137310 109310 ) L1M1_PR_MR ;
- net416 ( output416 A ) ( _197_ X ) + USE SIGNAL
+ ROUTED met1 ( 116150 104890 ) ( 116610 * )
NEW met1 ( 111550 177990 ) ( 117070 * )
NEW met1 ( 111550 177990 ) ( * 178330 )
NEW met2 ( 117070 158700 ) ( * 177990 )
NEW met2 ( 116150 134300 ) ( 116610 * )
NEW met2 ( 116610 134300 ) ( * 158700 )
NEW met2 ( 116610 158700 ) ( 117070 * )
NEW met2 ( 116150 104890 ) ( * 134300 )
NEW met1 ( 116150 104890 ) M1M2_PR
NEW li1 ( 116610 104890 ) L1M1_PR_MR
NEW met1 ( 117070 177990 ) M1M2_PR
NEW li1 ( 111550 178330 ) L1M1_PR_MR ;
- net417 ( ANTENNA_output417_A DIODE ) ( output417 A ) ( _201_ X ) + USE SIGNAL
+ ROUTED met1 ( 97750 160990 ) ( * 161670 )
NEW met1 ( 89470 160990 ) ( 97750 * )
NEW met2 ( 89470 160990 ) ( * 172380 )
NEW met3 ( 87860 172380 ) ( 89470 * )
NEW met4 ( 87860 172380 ) ( * 177820 )
NEW met3 ( 87630 177820 ) ( 87860 * )
NEW met2 ( 87630 177820 ) ( * 185470 )
NEW met1 ( 84640 185470 ) ( 87630 * )
NEW met1 ( 97750 161670 ) ( 138690 * )
NEW met2 ( 146050 161670 ) ( * 167620 )
NEW met3 ( 146050 167620 ) ( 170890 * )
NEW met2 ( 170890 167620 ) ( * 172890 )
NEW met1 ( 170890 172890 ) ( 171350 * )
NEW met1 ( 138690 161670 ) ( 146050 * )
NEW met1 ( 89470 160990 ) M1M2_PR
NEW met2 ( 89470 172380 ) M2M3_PR_M
NEW met3 ( 87860 172380 ) M3M4_PR_M
NEW met3 ( 87860 177820 ) M3M4_PR_M
NEW met2 ( 87630 177820 ) M2M3_PR_M
NEW met1 ( 87630 185470 ) M1M2_PR
NEW li1 ( 84640 185470 ) L1M1_PR_MR
NEW li1 ( 138690 161670 ) L1M1_PR_MR
NEW met1 ( 146050 161670 ) M1M2_PR
NEW met2 ( 146050 167620 ) M2M3_PR_M
NEW met2 ( 170890 167620 ) M2M3_PR_M
NEW met1 ( 170890 172890 ) M1M2_PR
NEW li1 ( 171350 172890 ) L1M1_PR_MR
NEW met3 ( 87860 177820 ) RECT ( 0 -150 390 150 ) ;
- net418 ( ANTENNA_output418_A DIODE ) ( output418 A ) ( _203_ X ) + USE SIGNAL
+ ROUTED met2 ( 37950 31620 ) ( * 46750 )
NEW met3 ( 16790 31620 ) ( 37950 * )
NEW met2 ( 16790 28390 ) ( * 31620 )
NEW met1 ( 14950 28390 ) ( 16790 * )
NEW met2 ( 37030 62100 ) ( 37490 * )
NEW met2 ( 37490 55420 ) ( * 62100 )
NEW met2 ( 37490 55420 ) ( 37950 * )
NEW met2 ( 37950 46750 ) ( * 55420 )
NEW met1 ( 21390 147390 ) ( 37030 * )
NEW met2 ( 37030 62100 ) ( * 147390 )
NEW li1 ( 37950 46750 ) L1M1_PR_MR
NEW met1 ( 37950 46750 ) M1M2_PR
NEW met2 ( 37950 31620 ) M2M3_PR_M
NEW met2 ( 16790 31620 ) M2M3_PR_M
NEW met1 ( 16790 28390 ) M1M2_PR
NEW li1 ( 14950 28390 ) L1M1_PR_MR
NEW met1 ( 37030 147390 ) M1M2_PR
NEW li1 ( 21390 147390 ) L1M1_PR_MR
NEW met1 ( 37950 46750 ) RECT ( -355 -70 0 70 ) ;
- net419 ( output419 A ) ( _205_ X ) + USE SIGNAL
+ ROUTED met1 ( 41630 157250 ) ( 44850 * )
NEW met2 ( 41630 157250 ) ( * 157420 )
NEW met3 ( 30590 157420 ) ( 41630 * )
NEW met2 ( 30590 157420 ) ( * 163540 )
NEW met3 ( 20930 163540 ) ( 30590 * )
NEW met2 ( 20930 163540 ) ( * 165410 )
NEW met2 ( 20470 165410 ) ( 20930 * )
NEW met2 ( 20470 165410 ) ( * 165580 )
NEW met3 ( 17710 165580 ) ( 20470 * )
NEW met2 ( 17710 164390 ) ( * 165580 )
NEW met1 ( 14950 164390 ) ( 17710 * )
NEW li1 ( 44850 157250 ) L1M1_PR_MR
NEW met1 ( 41630 157250 ) M1M2_PR
NEW met2 ( 41630 157420 ) M2M3_PR_M
NEW met2 ( 30590 157420 ) M2M3_PR_M
NEW met2 ( 30590 163540 ) M2M3_PR_M
NEW met2 ( 20930 163540 ) M2M3_PR_M
NEW met2 ( 20470 165580 ) M2M3_PR_M
NEW met2 ( 17710 165580 ) M2M3_PR_M
NEW met1 ( 17710 164390 ) M1M2_PR
NEW li1 ( 14950 164390 ) L1M1_PR_MR ;
- net42 ( input42 X ) ( _552_ A ) ( _680_ A ) + USE SIGNAL
+ ROUTED met1 ( 34730 145690 ) ( 35190 * )
NEW met2 ( 35190 145690 ) ( * 151130 )
NEW met1 ( 29670 151130 ) ( 35190 * )
NEW met1 ( 29670 151130 ) ( * 151470 )
NEW met1 ( 15410 151470 ) ( 29670 * )
NEW met1 ( 15410 151470 ) ( * 151810 )
NEW met1 ( 36570 153170 ) ( 39330 * )
NEW met2 ( 36570 151130 ) ( * 153170 )
NEW met1 ( 35190 151130 ) ( 36570 * )
NEW li1 ( 34730 145690 ) L1M1_PR_MR
NEW met1 ( 35190 145690 ) M1M2_PR
NEW met1 ( 35190 151130 ) M1M2_PR
NEW li1 ( 15410 151810 ) L1M1_PR_MR
NEW li1 ( 39330 153170 ) L1M1_PR_MR
NEW met1 ( 36570 153170 ) M1M2_PR
NEW met1 ( 36570 151130 ) M1M2_PR ;
- net420 ( output420 A ) ( _207_ X ) + USE SIGNAL
+ ROUTED met1 ( 37030 178330 ) ( * 178670 )
NEW met1 ( 37030 178670 ) ( 43010 * )
NEW met1 ( 43010 178670 ) ( * 179010 )
NEW li1 ( 37030 178330 ) L1M1_PR_MR
NEW li1 ( 43010 179010 ) L1M1_PR_MR ;
- net421 ( output421 A ) ( _209_ X ) + USE SIGNAL
+ ROUTED met1 ( 40710 177990 ) ( * 178330 )
NEW met1 ( 55430 177650 ) ( * 177990 )
NEW met1 ( 55430 177650 ) ( 60950 * )
NEW met2 ( 60950 177650 ) ( * 181050 )
NEW met1 ( 60950 181050 ) ( 61410 * )
NEW met1 ( 61410 181050 ) ( * 181390 )
NEW met1 ( 61410 181390 ) ( 79810 * )
NEW met2 ( 79810 181390 ) ( * 182750 )
NEW met1 ( 40710 177990 ) ( 55430 * )
NEW li1 ( 40710 178330 ) L1M1_PR_MR
NEW met1 ( 60950 177650 ) M1M2_PR
NEW met1 ( 60950 181050 ) M1M2_PR
NEW met1 ( 79810 181390 ) M1M2_PR
NEW li1 ( 79810 182750 ) L1M1_PR_MR
NEW met1 ( 79810 182750 ) M1M2_PR
NEW met1 ( 79810 182750 ) RECT ( -355 -70 0 70 ) ;
- net422 ( ANTENNA_output422_A DIODE ) ( output422 A ) ( _369_ X ) + USE SIGNAL
+ ROUTED met1 ( 92690 98430 ) ( 93610 * )
NEW met2 ( 93610 62100 ) ( * 98430 )
NEW met2 ( 93150 45050 ) ( * 62100 )
NEW met2 ( 93150 62100 ) ( 93610 * )
NEW met1 ( 44850 41310 ) ( 45770 * )
NEW met2 ( 44850 41140 ) ( * 41310 )
NEW met3 ( 42780 41140 ) ( 44850 * )
NEW met4 ( 42780 26180 ) ( * 41140 )
NEW met3 ( 22310 26180 ) ( 42780 * )
NEW met2 ( 22310 26010 ) ( * 26180 )
NEW met1 ( 19550 26010 ) ( 22310 * )
NEW met2 ( 44850 41310 ) ( * 45050 )
NEW met1 ( 44850 45050 ) ( 93150 * )
NEW met1 ( 93610 98430 ) M1M2_PR
NEW li1 ( 92690 98430 ) L1M1_PR_MR
NEW met1 ( 93150 45050 ) M1M2_PR
NEW li1 ( 45770 41310 ) L1M1_PR_MR
NEW met1 ( 44850 41310 ) M1M2_PR
NEW met2 ( 44850 41140 ) M2M3_PR_M
NEW met3 ( 42780 41140 ) M3M4_PR_M
NEW met3 ( 42780 26180 ) M3M4_PR_M
NEW met2 ( 22310 26180 ) M2M3_PR_M
NEW met1 ( 22310 26010 ) M1M2_PR
NEW li1 ( 19550 26010 ) L1M1_PR_MR
NEW met1 ( 44850 45050 ) M1M2_PR ;
- net423 ( output423 A ) ( _212_ X ) + USE SIGNAL
+ ROUTED met1 ( 167210 121890 ) ( 179630 * )
NEW met1 ( 179630 121550 ) ( * 121890 )
NEW met1 ( 179630 121550 ) ( 188370 * )
NEW met2 ( 188370 121550 ) ( * 151130 )
NEW met1 ( 188370 151130 ) ( 189290 * )
NEW li1 ( 167210 121890 ) L1M1_PR_MR
NEW met1 ( 188370 121550 ) M1M2_PR
NEW met1 ( 188370 151130 ) M1M2_PR
NEW li1 ( 189290 151130 ) L1M1_PR_MR ;
- net424 ( output424 A ) ( _214_ X ) + USE SIGNAL
+ ROUTED met2 ( 129950 173570 ) ( * 178330 )
NEW met1 ( 128110 178330 ) ( 129950 * )
NEW li1 ( 129950 173570 ) L1M1_PR_MR
NEW met1 ( 129950 173570 ) M1M2_PR
NEW met1 ( 129950 178330 ) M1M2_PR
NEW li1 ( 128110 178330 ) L1M1_PR_MR
NEW met1 ( 129950 173570 ) RECT ( -355 -70 0 70 ) ;
- net425 ( output425 A ) ( _216_ X ) + USE SIGNAL
+ ROUTED met2 ( 180090 68510 ) ( * 71910 )
NEW met1 ( 180090 71910 ) ( 181930 * )
NEW li1 ( 180090 68510 ) L1M1_PR_MR
NEW met1 ( 180090 68510 ) M1M2_PR
NEW met1 ( 180090 71910 ) M1M2_PR
NEW li1 ( 181930 71910 ) L1M1_PR_MR
NEW met1 ( 180090 68510 ) RECT ( -355 -70 0 70 ) ;
- net426 ( output426 A ) ( _218_ X ) + USE SIGNAL
+ ROUTED met1 ( 63710 178330 ) ( 65550 * )
NEW met2 ( 65550 178330 ) ( * 185470 )
NEW met1 ( 65550 185470 ) ( 70610 * )
NEW li1 ( 63710 178330 ) L1M1_PR_MR
NEW met1 ( 65550 178330 ) M1M2_PR
NEW met1 ( 65550 185470 ) M1M2_PR
NEW li1 ( 70610 185470 ) L1M1_PR_MR ;
- net427 ( output427 A ) ( _220_ X ) + USE SIGNAL
+ ROUTED met2 ( 77050 134810 ) ( * 138210 )
NEW met2 ( 14490 138210 ) ( * 140250 )
NEW met1 ( 12190 140250 ) ( 14490 * )
NEW met1 ( 14490 138210 ) ( 77050 * )
NEW li1 ( 12190 140250 ) L1M1_PR_MR
NEW met1 ( 77050 138210 ) M1M2_PR
NEW li1 ( 77050 134810 ) L1M1_PR_MR
NEW met1 ( 77050 134810 ) M1M2_PR
NEW met1 ( 14490 140250 ) M1M2_PR
NEW met1 ( 14490 138210 ) M1M2_PR
NEW met1 ( 77050 134810 ) RECT ( -355 -70 0 70 ) ;
- net428 ( ANTENNA_output428_A DIODE ) ( output428 A ) ( _223_ X ) + USE SIGNAL
+ ROUTED met2 ( 116610 15130 ) ( * 41650 )
NEW met1 ( 116150 15130 ) ( 116610 * )
NEW met1 ( 114310 41650 ) ( 116610 * )
NEW met2 ( 114310 41650 ) ( * 133790 )
NEW li1 ( 116610 41650 ) L1M1_PR_MR
NEW met1 ( 116610 41650 ) M1M2_PR
NEW met1 ( 116610 15130 ) M1M2_PR
NEW li1 ( 116150 15130 ) L1M1_PR_MR
NEW met1 ( 114310 41650 ) M1M2_PR
NEW li1 ( 114310 133790 ) L1M1_PR_MR
NEW met1 ( 114310 133790 ) M1M2_PR
NEW met1 ( 116610 41650 ) RECT ( -355 -70 0 70 )
NEW met1 ( 114310 133790 ) RECT ( -355 -70 0 70 ) ;
- net429 ( output429 A ) ( _225_ X ) + USE SIGNAL
+ ROUTED met1 ( 90390 175270 ) ( 92230 * )
NEW met1 ( 90390 175270 ) ( * 175610 )
NEW met1 ( 89470 175610 ) ( 90390 * )
NEW met1 ( 89470 175610 ) ( * 175950 )
NEW met1 ( 84410 175950 ) ( 89470 * )
NEW met1 ( 84410 175950 ) ( * 176290 )
NEW met2 ( 84410 176290 ) ( * 182750 )
NEW met1 ( 84410 182750 ) ( 88550 * )
NEW li1 ( 92230 175270 ) L1M1_PR_MR
NEW met1 ( 84410 176290 ) M1M2_PR
NEW met1 ( 84410 182750 ) M1M2_PR
NEW li1 ( 88550 182750 ) L1M1_PR_MR ;
- net43 ( input43 X ) ( _553_ A ) ( _681_ A ) + USE SIGNAL
+ ROUTED met1 ( 175950 11730 ) ( * 12070 )
NEW met2 ( 109710 11390 ) ( * 13800 )
NEW met2 ( 109250 13800 ) ( 109710 * )
NEW met2 ( 109250 13800 ) ( * 26350 )
NEW met1 ( 104190 17510 ) ( * 17850 )
NEW met1 ( 104190 17850 ) ( 109250 * )
NEW met1 ( 151110 11390 ) ( * 11730 )
NEW met1 ( 109710 11390 ) ( 151110 * )
NEW met1 ( 151110 11730 ) ( 175950 * )
NEW met2 ( 111550 26350 ) ( * 28390 )
NEW met1 ( 111550 28390 ) ( 112930 * )
NEW met1 ( 109250 26350 ) ( 111550 * )
NEW met1 ( 109710 11390 ) M1M2_PR
NEW li1 ( 175950 12070 ) L1M1_PR_MR
NEW met1 ( 109250 26350 ) M1M2_PR
NEW li1 ( 104190 17510 ) L1M1_PR_MR
NEW met1 ( 109250 17850 ) M1M2_PR
NEW met1 ( 111550 26350 ) M1M2_PR
NEW met1 ( 111550 28390 ) M1M2_PR
NEW li1 ( 112930 28390 ) L1M1_PR_MR
NEW met2 ( 109250 17850 ) RECT ( -70 -485 70 0 ) ;
- net430 ( ANTENNA_output430_A DIODE ) ( output430 A ) ( _227_ X ) + USE SIGNAL
+ ROUTED met2 ( 91310 145690 ) ( * 148750 )
NEW met2 ( 171810 140930 ) ( * 146030 )
NEW met1 ( 171810 146030 ) ( 176870 * )
NEW met1 ( 176870 146030 ) ( * 146370 )
NEW met1 ( 176870 146370 ) ( 189290 * )
NEW met2 ( 189290 146370 ) ( * 148070 )
NEW met2 ( 166290 146030 ) ( * 148410 )
NEW met1 ( 166290 146030 ) ( 171810 * )
NEW met1 ( 134550 148410 ) ( * 148750 )
NEW met1 ( 91310 148750 ) ( 134550 * )
NEW met1 ( 134550 148410 ) ( 166290 * )
NEW met1 ( 91310 148750 ) M1M2_PR
NEW li1 ( 91310 145690 ) L1M1_PR_MR
NEW met1 ( 91310 145690 ) M1M2_PR
NEW li1 ( 171810 140930 ) L1M1_PR_MR
NEW met1 ( 171810 140930 ) M1M2_PR
NEW met1 ( 171810 146030 ) M1M2_PR
NEW met1 ( 189290 146370 ) M1M2_PR
NEW li1 ( 189290 148070 ) L1M1_PR_MR
NEW met1 ( 189290 148070 ) M1M2_PR
NEW met1 ( 166290 148410 ) M1M2_PR
NEW met1 ( 166290 146030 ) M1M2_PR
NEW met1 ( 91310 145690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 171810 140930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 189290 148070 ) RECT ( -355 -70 0 70 ) ;
- net431 ( output431 A ) ( _229_ X ) + USE SIGNAL
+ ROUTED met1 ( 78890 170850 ) ( 79810 * )
NEW met2 ( 79810 170850 ) ( * 175270 )
NEW li1 ( 78890 170850 ) L1M1_PR_MR
NEW met1 ( 79810 170850 ) M1M2_PR
NEW li1 ( 79810 175270 ) L1M1_PR_MR
NEW met1 ( 79810 175270 ) M1M2_PR
NEW met1 ( 79810 175270 ) RECT ( -355 -70 0 70 ) ;
- net432 ( output432 A ) ( _231_ X ) + USE SIGNAL
+ ROUTED met1 ( 24150 169830 ) ( * 170170 )
NEW met1 ( 24150 170170 ) ( 37490 * )
NEW met1 ( 37490 170170 ) ( * 170510 )
NEW li1 ( 24150 169830 ) L1M1_PR_MR
NEW li1 ( 37490 170510 ) L1M1_PR_MR ;
- net433 ( ANTENNA_output433_A DIODE ) ( output433 A ) ( _371_ X ) + USE SIGNAL
+ ROUTED met1 ( 172270 136510 ) ( 173650 * )
NEW met2 ( 172270 133790 ) ( * 136510 )
NEW met1 ( 159390 133790 ) ( 172270 * )
NEW met1 ( 188830 142630 ) ( 189290 * )
NEW met2 ( 188830 133790 ) ( * 142630 )
NEW met1 ( 172270 133790 ) ( 188830 * )
NEW met2 ( 159390 106930 ) ( * 133790 )
NEW met2 ( 145590 106930 ) ( * 109650 )
NEW met1 ( 124890 109650 ) ( 145590 * )
NEW met1 ( 124890 109650 ) ( * 109990 )
NEW met1 ( 145590 106930 ) ( 159390 * )
NEW met1 ( 159390 106930 ) M1M2_PR
NEW li1 ( 173650 136510 ) L1M1_PR_MR
NEW met1 ( 172270 136510 ) M1M2_PR
NEW met1 ( 172270 133790 ) M1M2_PR
NEW met1 ( 159390 133790 ) M1M2_PR
NEW li1 ( 189290 142630 ) L1M1_PR_MR
NEW met1 ( 188830 142630 ) M1M2_PR
NEW met1 ( 188830 133790 ) M1M2_PR
NEW met1 ( 145590 106930 ) M1M2_PR
NEW met1 ( 145590 109650 ) M1M2_PR
NEW li1 ( 124890 109990 ) L1M1_PR_MR ;
- net434 ( output434 A ) ( _329_ Y ) + USE SIGNAL
+ ROUTED met1 ( 92230 77690 ) ( 122130 * )
NEW met1 ( 92230 31790 ) ( 93610 * )
NEW met2 ( 93610 20570 ) ( * 31790 )
NEW met1 ( 93150 20570 ) ( 93610 * )
NEW met2 ( 92230 31790 ) ( * 77690 )
NEW met1 ( 92230 77690 ) M1M2_PR
NEW li1 ( 122130 77690 ) L1M1_PR_MR
NEW met1 ( 92230 31790 ) M1M2_PR
NEW met1 ( 93610 31790 ) M1M2_PR
NEW met1 ( 93610 20570 ) M1M2_PR
NEW li1 ( 93150 20570 ) L1M1_PR_MR ;
- net435 ( output435 A ) ( _326_ Y ) + USE SIGNAL
+ ROUTED met2 ( 158930 74970 ) ( * 80750 )
NEW met1 ( 158930 74970 ) ( 180550 * )
NEW met2 ( 180550 69530 ) ( * 74970 )
NEW met1 ( 180550 69530 ) ( 182390 * )
NEW met1 ( 135470 80750 ) ( 158930 * )
NEW li1 ( 135470 80750 ) L1M1_PR_MR
NEW met1 ( 158930 80750 ) M1M2_PR
NEW met1 ( 158930 74970 ) M1M2_PR
NEW met1 ( 180550 74970 ) M1M2_PR
NEW met1 ( 180550 69530 ) M1M2_PR
NEW li1 ( 182390 69530 ) L1M1_PR_MR ;
- net436 ( ANTENNA_output436_A DIODE ) ( output436 A ) ( _294_ Y ) + USE SIGNAL
+ ROUTED met1 ( 131790 88910 ) ( 154330 * )
NEW met2 ( 131790 87380 ) ( * 88910 )
NEW met2 ( 130870 87380 ) ( 131790 * )
NEW met2 ( 130870 85170 ) ( * 87380 )
NEW met2 ( 154330 88910 ) ( * 144900 )
NEW met1 ( 153870 151470 ) ( 154790 * )
NEW met2 ( 153870 151470 ) ( * 170510 )
NEW met1 ( 153870 170510 ) ( 157090 * )
NEW met1 ( 157090 170510 ) ( * 170850 )
NEW met1 ( 157090 170850 ) ( 158010 * )
NEW met1 ( 158010 170170 ) ( * 170850 )
NEW met1 ( 158010 170170 ) ( 174110 * )
NEW met1 ( 174110 169830 ) ( * 170170 )
NEW met2 ( 153870 144900 ) ( 154330 * )
NEW met2 ( 153870 144900 ) ( * 151470 )
NEW met1 ( 154330 88910 ) M1M2_PR
NEW met1 ( 131790 88910 ) M1M2_PR
NEW li1 ( 130870 85170 ) L1M1_PR_MR
NEW met1 ( 130870 85170 ) M1M2_PR
NEW li1 ( 154790 151470 ) L1M1_PR_MR
NEW met1 ( 153870 151470 ) M1M2_PR
NEW met1 ( 153870 170510 ) M1M2_PR
NEW li1 ( 174110 169830 ) L1M1_PR_MR
NEW met1 ( 130870 85170 ) RECT ( -355 -70 0 70 ) ;
- net437 ( output437 A ) ( _298_ X ) + USE SIGNAL
+ ROUTED met1 ( 13110 65790 ) ( * 66130 )
NEW met1 ( 9890 66130 ) ( 13110 * )
NEW met1 ( 9890 65790 ) ( * 66130 )
NEW met1 ( 13110 65790 ) ( 19550 * )
NEW met2 ( 19090 46580 ) ( 19550 * )
NEW met2 ( 19090 22950 ) ( * 46580 )
NEW met2 ( 19550 46580 ) ( * 65790 )
NEW li1 ( 9890 65790 ) L1M1_PR_MR
NEW met1 ( 19550 65790 ) M1M2_PR
NEW li1 ( 19090 22950 ) L1M1_PR_MR
NEW met1 ( 19090 22950 ) M1M2_PR
NEW met1 ( 19090 22950 ) RECT ( -355 -70 0 70 ) ;
- net438 ( output438 A ) ( _312_ X ) + USE SIGNAL
+ ROUTED met2 ( 48070 29410 ) ( * 30940 )
NEW met3 ( 45540 30940 ) ( 48070 * )
NEW met4 ( 45540 28900 ) ( * 30940 )
NEW met3 ( 40250 28900 ) ( 45540 * )
NEW met2 ( 40250 28050 ) ( * 28900 )
NEW met1 ( 20470 28050 ) ( 40250 * )
NEW met1 ( 20470 28050 ) ( * 28390 )
NEW met1 ( 48070 29410 ) ( 106950 * )
NEW li1 ( 106950 29410 ) L1M1_PR_MR
NEW met1 ( 48070 29410 ) M1M2_PR
NEW met2 ( 48070 30940 ) M2M3_PR_M
NEW met3 ( 45540 30940 ) M3M4_PR_M
NEW met3 ( 45540 28900 ) M3M4_PR_M
NEW met2 ( 40250 28900 ) M2M3_PR_M
NEW met1 ( 40250 28050 ) M1M2_PR
NEW li1 ( 20470 28390 ) L1M1_PR_MR ;
- net439 ( ANTENNA_output439_A DIODE ) ( output439 A ) ( _314_ X ) + USE SIGNAL
+ ROUTED met1 ( 104190 99790 ) ( 104650 * )
NEW met2 ( 87170 38590 ) ( * 41310 )
NEW met1 ( 87170 41310 ) ( 100970 * )
NEW met1 ( 100970 41310 ) ( * 41650 )
NEW met1 ( 100970 41650 ) ( 103730 * )
NEW met1 ( 103730 41310 ) ( * 41650 )
NEW met2 ( 103730 41310 ) ( * 42500 )
NEW met2 ( 103730 42500 ) ( 104190 * )
NEW met1 ( 62100 38590 ) ( 87170 * )
NEW met2 ( 50830 26690 ) ( * 39270 )
NEW met1 ( 62100 38590 ) ( * 39270 )
NEW met1 ( 50830 39270 ) ( 62100 * )
NEW met2 ( 47610 23460 ) ( * 26690 )
NEW met3 ( 32430 23460 ) ( 47610 * )
NEW met2 ( 32430 22950 ) ( * 23460 )
NEW met1 ( 27830 22950 ) ( 32430 * )
NEW met1 ( 47610 26690 ) ( 50830 * )
NEW met2 ( 103730 50660 ) ( 104190 * )
NEW met2 ( 103730 50660 ) ( * 67490 )
NEW met1 ( 103730 67490 ) ( 104650 * )
NEW met2 ( 104190 42500 ) ( * 50660 )
NEW met2 ( 104650 67490 ) ( * 99790 )
NEW met1 ( 104650 99790 ) M1M2_PR
NEW li1 ( 104190 99790 ) L1M1_PR_MR
NEW met1 ( 87170 38590 ) M1M2_PR
NEW met1 ( 87170 41310 ) M1M2_PR
NEW met1 ( 103730 41310 ) M1M2_PR
NEW li1 ( 50830 39270 ) L1M1_PR_MR
NEW met1 ( 50830 39270 ) M1M2_PR
NEW met1 ( 50830 26690 ) M1M2_PR
NEW met1 ( 47610 26690 ) M1M2_PR
NEW met2 ( 47610 23460 ) M2M3_PR_M
NEW met2 ( 32430 23460 ) M2M3_PR_M
NEW met1 ( 32430 22950 ) M1M2_PR
NEW li1 ( 27830 22950 ) L1M1_PR_MR
NEW met1 ( 103730 67490 ) M1M2_PR
NEW met1 ( 104650 67490 ) M1M2_PR
NEW met1 ( 50830 39270 ) RECT ( -355 -70 0 70 ) ;
- net44 ( input44 X ) ( _554_ A ) ( _682_ A ) + USE SIGNAL
+ ROUTED met1 ( 189750 160990 ) ( 197570 * )
NEW li1 ( 199410 121210 ) ( * 135150 )
NEW met1 ( 197570 135150 ) ( 199410 * )
NEW li1 ( 197570 135150 ) ( * 160990 )
NEW met1 ( 150650 120870 ) ( * 121210 )
NEW met2 ( 151110 115430 ) ( * 121210 )
NEW met1 ( 150650 121210 ) ( 199410 * )
NEW li1 ( 197570 160990 ) L1M1_PR_MR
NEW li1 ( 189750 160990 ) L1M1_PR_MR
NEW li1 ( 199410 121210 ) L1M1_PR_MR
NEW li1 ( 199410 135150 ) L1M1_PR_MR
NEW li1 ( 197570 135150 ) L1M1_PR_MR
NEW li1 ( 150650 120870 ) L1M1_PR_MR
NEW li1 ( 151110 115430 ) L1M1_PR_MR
NEW met1 ( 151110 115430 ) M1M2_PR
NEW met1 ( 151110 121210 ) M1M2_PR
NEW met1 ( 151110 115430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 151110 121210 ) RECT ( -595 -70 0 70 ) ;
- net440 ( ANTENNA_output440_A DIODE ) ( output440 A ) ( _315_ X ) + USE SIGNAL
+ ROUTED met1 ( 141910 98770 ) ( 148350 * )
NEW met1 ( 141910 98430 ) ( * 98770 )
NEW met1 ( 112095 98430 ) ( 141910 * )
NEW met2 ( 149270 22950 ) ( * 55250 )
NEW met1 ( 149270 22950 ) ( 149730 * )
NEW met2 ( 148350 55250 ) ( 149270 * )
NEW met2 ( 148350 55250 ) ( * 98770 )
NEW met1 ( 148350 98770 ) M1M2_PR
NEW li1 ( 112095 98430 ) L1M1_PR_MR
NEW li1 ( 149270 55250 ) L1M1_PR_MR
NEW met1 ( 149270 55250 ) M1M2_PR
NEW met1 ( 149270 22950 ) M1M2_PR
NEW li1 ( 149730 22950 ) L1M1_PR_MR
NEW met1 ( 149270 55250 ) RECT ( -355 -70 0 70 ) ;
- net441 ( output441 A ) ( _316_ X ) + USE SIGNAL
+ ROUTED met1 ( 166290 28390 ) ( 168590 * )
NEW met2 ( 166290 27710 ) ( * 28390 )
NEW li1 ( 168590 28390 ) L1M1_PR_MR
NEW met1 ( 166290 28390 ) M1M2_PR
NEW li1 ( 166290 27710 ) L1M1_PR_MR
NEW met1 ( 166290 27710 ) M1M2_PR
NEW met1 ( 166290 27710 ) RECT ( 0 -70 355 70 ) ;
- net442 ( ANTENNA_output442_A DIODE ) ( output442 A ) ( _317_ X ) + USE SIGNAL
+ ROUTED met2 ( 194810 68850 ) ( * 109310 )
NEW met1 ( 194810 68850 ) ( 198490 * )
NEW met1 ( 190670 29410 ) ( 198490 * )
NEW li1 ( 198490 29410 ) ( * 68850 )
NEW met1 ( 186990 123250 ) ( 194810 * )
NEW met1 ( 189290 131750 ) ( 194810 * )
NEW li1 ( 194810 123250 ) ( * 131750 )
NEW li1 ( 194810 109310 ) ( * 123250 )
NEW li1 ( 194810 109310 ) L1M1_PR_MR
NEW met1 ( 194810 109310 ) M1M2_PR
NEW met1 ( 194810 68850 ) M1M2_PR
NEW li1 ( 198490 68850 ) L1M1_PR_MR
NEW li1 ( 190670 29410 ) L1M1_PR_MR
NEW li1 ( 198490 29410 ) L1M1_PR_MR
NEW li1 ( 186990 123250 ) L1M1_PR_MR
NEW li1 ( 194810 123250 ) L1M1_PR_MR
NEW li1 ( 189290 131750 ) L1M1_PR_MR
NEW li1 ( 194810 131750 ) L1M1_PR_MR
NEW met1 ( 194810 109310 ) RECT ( -355 -70 0 70 ) ;
- net443 ( output443 A ) ( _319_ X ) + USE SIGNAL
+ ROUTED met1 ( 190670 82110 ) ( 194810 * )
NEW met1 ( 190670 44710 ) ( 194810 * )
NEW li1 ( 194810 44710 ) ( * 82110 )
NEW li1 ( 194810 82110 ) L1M1_PR_MR
NEW li1 ( 190670 82110 ) L1M1_PR_MR
NEW li1 ( 194810 44710 ) L1M1_PR_MR
NEW li1 ( 190670 44710 ) L1M1_PR_MR ;
- net444 ( output444 A ) ( _320_ X ) + USE SIGNAL
+ ROUTED met1 ( 190670 65790 ) ( 195730 * )
NEW met1 ( 195730 46750 ) ( 196650 * )
NEW li1 ( 196650 31790 ) ( * 46750 )
NEW met1 ( 189750 31790 ) ( 196650 * )
NEW met1 ( 189750 31450 ) ( * 31790 )
NEW met1 ( 183770 31450 ) ( 189750 * )
NEW li1 ( 195730 46750 ) ( * 65790 )
NEW li1 ( 195730 65790 ) L1M1_PR_MR
NEW li1 ( 190670 65790 ) L1M1_PR_MR
NEW li1 ( 195730 46750 ) L1M1_PR_MR
NEW li1 ( 196650 46750 ) L1M1_PR_MR
NEW li1 ( 196650 31790 ) L1M1_PR_MR
NEW li1 ( 183770 31450 ) L1M1_PR_MR ;
- net445 ( output445 A ) ( _321_ X ) + USE SIGNAL
+ ROUTED met1 ( 86710 98430 ) ( 87630 * )
NEW met1 ( 87630 20570 ) ( 88090 * )
NEW met2 ( 87630 20570 ) ( * 98430 )
NEW met1 ( 87630 98430 ) M1M2_PR
NEW li1 ( 86710 98430 ) L1M1_PR_MR
NEW met1 ( 87630 20570 ) M1M2_PR
NEW li1 ( 88090 20570 ) L1M1_PR_MR ;
- net446 ( ANTENNA_output446_A DIODE ) ( output446 A ) ( _322_ X ) + USE SIGNAL
+ ROUTED met1 ( 76590 67490 ) ( 77510 * )
NEW met1 ( 77050 159970 ) ( 77510 * )
NEW met2 ( 77050 159970 ) ( * 178330 )
NEW met2 ( 77050 159970 ) ( 77510 * )
NEW met2 ( 77510 67490 ) ( * 159970 )
NEW met1 ( 77510 67490 ) M1M2_PR
NEW li1 ( 76590 67490 ) L1M1_PR_MR
NEW li1 ( 77510 159970 ) L1M1_PR_MR
NEW met1 ( 77050 159970 ) M1M2_PR
NEW li1 ( 77050 178330 ) L1M1_PR_MR
NEW met1 ( 77050 178330 ) M1M2_PR
NEW met1 ( 77050 178330 ) RECT ( -355 -70 0 70 ) ;
- net447 ( output447 A ) ( _323_ X ) + USE SIGNAL
+ ROUTED met1 ( 73830 98430 ) ( 74290 * )
NEW met2 ( 73830 20570 ) ( * 98430 )
NEW met1 ( 73830 98430 ) M1M2_PR
NEW li1 ( 74290 98430 ) L1M1_PR_MR
NEW li1 ( 73830 20570 ) L1M1_PR_MR
NEW met1 ( 73830 20570 ) M1M2_PR
NEW met1 ( 73830 20570 ) RECT ( -355 -70 0 70 ) ;
- net448 ( output448 A ) ( _300_ X ) + USE SIGNAL
+ ROUTED met1 ( 141910 180710 ) ( 142370 * )
NEW met2 ( 141910 158700 ) ( * 180710 )
NEW met1 ( 112010 125630 ) ( 142370 * )
NEW met2 ( 142370 125630 ) ( * 158700 )
NEW met2 ( 141910 158700 ) ( 142370 * )
NEW met1 ( 141910 180710 ) M1M2_PR
NEW li1 ( 142370 180710 ) L1M1_PR_MR
NEW li1 ( 112010 125630 ) L1M1_PR_MR
NEW met1 ( 142370 125630 ) M1M2_PR ;
- net449 ( output449 A ) ( _301_ X ) + USE SIGNAL
+ ROUTED met2 ( 31970 119170 ) ( * 120870 )
NEW met1 ( 14030 120870 ) ( 31970 * )
NEW li1 ( 31970 119170 ) L1M1_PR_MR
NEW met1 ( 31970 119170 ) M1M2_PR
NEW met1 ( 31970 120870 ) M1M2_PR
NEW li1 ( 14030 120870 ) L1M1_PR_MR
NEW met1 ( 31970 119170 ) RECT ( -355 -70 0 70 ) ;
- net45 ( input45 X ) ( _555_ A ) ( _683_ A ) + USE SIGNAL
+ ROUTED met1 ( 93150 28390 ) ( 95910 * )
NEW met2 ( 95910 15130 ) ( * 28390 )
NEW met1 ( 85790 30430 ) ( 93150 * )
NEW met2 ( 93150 28390 ) ( * 30430 )
NEW li1 ( 93150 28390 ) L1M1_PR_MR
NEW met1 ( 95910 28390 ) M1M2_PR
NEW li1 ( 95910 15130 ) L1M1_PR_MR
NEW met1 ( 95910 15130 ) M1M2_PR
NEW li1 ( 85790 30430 ) L1M1_PR_MR
NEW met1 ( 93150 30430 ) M1M2_PR
NEW met1 ( 93150 28390 ) M1M2_PR
NEW met1 ( 95910 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 93150 28390 ) RECT ( -595 -70 0 70 ) ;
- net450 ( ANTENNA_output450_A DIODE ) ( output450 A ) ( _302_ X ) + USE SIGNAL
+ ROUTED met1 ( 65550 51170 ) ( 97290 * )
NEW met1 ( 97290 50830 ) ( * 51170 )
NEW met2 ( 65550 51170 ) ( * 110400 )
NEW met2 ( 65550 110400 ) ( 66010 * )
NEW met2 ( 66010 110400 ) ( * 126990 )
NEW met1 ( 66010 126990 ) ( 67390 * )
NEW met1 ( 97290 50830 ) ( 110400 * )
NEW met1 ( 110400 50490 ) ( 140530 * )
NEW met1 ( 110400 50490 ) ( * 50830 )
NEW met2 ( 138230 34510 ) ( 138690 * )
NEW met2 ( 138230 25500 ) ( * 34510 )
NEW met3 ( 138230 25500 ) ( 139610 * )
NEW met2 ( 139610 20570 ) ( * 25500 )
NEW met1 ( 139610 20570 ) ( 141450 * )
NEW met2 ( 138690 34510 ) ( * 50490 )
NEW met1 ( 65550 51170 ) M1M2_PR
NEW met1 ( 66010 126990 ) M1M2_PR
NEW li1 ( 67390 126990 ) L1M1_PR_MR
NEW li1 ( 140530 50490 ) L1M1_PR_MR
NEW met1 ( 138690 50490 ) M1M2_PR
NEW met2 ( 138230 25500 ) M2M3_PR_M
NEW met2 ( 139610 25500 ) M2M3_PR_M
NEW met1 ( 139610 20570 ) M1M2_PR
NEW li1 ( 141450 20570 ) L1M1_PR_MR
NEW met1 ( 138690 50490 ) RECT ( -595 -70 0 70 ) ;
- net451 ( output451 A ) ( _303_ X ) + USE SIGNAL
+ ROUTED met2 ( 111090 15130 ) ( * 54910 )
NEW li1 ( 111090 15130 ) L1M1_PR_MR
NEW met1 ( 111090 15130 ) M1M2_PR
NEW li1 ( 111090 54910 ) L1M1_PR_MR
NEW met1 ( 111090 54910 ) M1M2_PR
NEW met1 ( 111090 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 111090 54910 ) RECT ( -355 -70 0 70 ) ;
- net452 ( ANTENNA_output452_A DIODE ) ( output452 A ) ( _305_ X ) + USE SIGNAL
+ ROUTED met2 ( 13570 158950 ) ( * 159460 )
NEW met1 ( 12190 158950 ) ( 13570 * )
NEW met3 ( 13570 159460 ) ( 13800 * )
NEW met3 ( 13800 159460 ) ( * 161500 )
NEW met3 ( 13800 161500 ) ( 42090 * )
NEW met1 ( 41630 50830 ) ( 96830 * )
NEW met2 ( 41630 147900 ) ( 42090 * )
NEW met2 ( 41630 147390 ) ( * 147900 )
NEW met2 ( 41630 50830 ) ( * 147390 )
NEW met2 ( 42090 147900 ) ( * 161500 )
NEW met2 ( 13570 159460 ) M2M3_PR_M
NEW met1 ( 13570 158950 ) M1M2_PR
NEW li1 ( 12190 158950 ) L1M1_PR_MR
NEW li1 ( 96830 50830 ) L1M1_PR_MR
NEW met2 ( 42090 161500 ) M2M3_PR_M
NEW met1 ( 41630 50830 ) M1M2_PR
NEW li1 ( 41630 147390 ) L1M1_PR_MR
NEW met1 ( 41630 147390 ) M1M2_PR
NEW met1 ( 41630 147390 ) RECT ( -355 -70 0 70 ) ;
- net453 ( ANTENNA_output453_A DIODE ) ( output453 A ) ( _307_ X ) + USE SIGNAL
+ ROUTED met2 ( 162150 105570 ) ( * 112710 )
NEW met2 ( 22310 113730 ) ( * 114750 )
NEW met1 ( 14950 115430 ) ( 22310 * )
NEW met1 ( 22310 114750 ) ( * 115430 )
NEW li1 ( 134090 113050 ) ( * 113730 )
NEW met1 ( 134090 113050 ) ( 135470 * )
NEW met1 ( 135470 112710 ) ( * 113050 )
NEW met1 ( 22310 113730 ) ( 134090 * )
NEW met1 ( 135470 112710 ) ( 162150 * )
NEW li1 ( 162150 105570 ) L1M1_PR_MR
NEW met1 ( 162150 105570 ) M1M2_PR
NEW met1 ( 162150 112710 ) M1M2_PR
NEW li1 ( 22310 114750 ) L1M1_PR_MR
NEW met1 ( 22310 114750 ) M1M2_PR
NEW met1 ( 22310 113730 ) M1M2_PR
NEW li1 ( 14950 115430 ) L1M1_PR_MR
NEW li1 ( 134090 113730 ) L1M1_PR_MR
NEW li1 ( 134090 113050 ) L1M1_PR_MR
NEW met1 ( 162150 105570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22310 114750 ) RECT ( -355 -70 0 70 ) ;
- net454 ( output454 A ) ( _308_ X ) + USE SIGNAL
+ ROUTED met2 ( 96830 20570 ) ( * 30430 )
NEW met1 ( 96370 30430 ) ( 96830 * )
NEW li1 ( 96830 20570 ) L1M1_PR_MR
NEW met1 ( 96830 20570 ) M1M2_PR
NEW met1 ( 96830 30430 ) M1M2_PR
NEW li1 ( 96370 30430 ) L1M1_PR_MR
NEW met1 ( 96830 20570 ) RECT ( -355 -70 0 70 ) ;
- net455 ( output455 A ) ( _309_ X ) + USE SIGNAL
+ ROUTED met1 ( 178710 31110 ) ( * 31450 )
NEW met1 ( 169050 31110 ) ( 178710 * )
NEW li1 ( 169050 30430 ) ( * 31110 )
NEW met1 ( 164910 30430 ) ( 169050 * )
NEW li1 ( 178710 31450 ) L1M1_PR_MR
NEW li1 ( 169050 31110 ) L1M1_PR_MR
NEW li1 ( 169050 30430 ) L1M1_PR_MR
NEW li1 ( 164910 30430 ) L1M1_PR_MR ;
- net456 ( ANTENNA_output456_A DIODE ) ( output456 A ) ( _310_ X ) + USE SIGNAL
+ ROUTED met2 ( 174570 30940 ) ( * 31450 )
NEW met1 ( 174570 31450 ) ( 175030 * )
NEW met1 ( 122590 38590 ) ( 123510 * )
NEW met2 ( 122590 31620 ) ( * 38590 )
NEW met3 ( 122590 31620 ) ( 131100 * )
NEW met3 ( 131100 30940 ) ( * 31620 )
NEW met1 ( 142370 59330 ) ( 143750 * )
NEW met2 ( 142370 57460 ) ( * 59330 )
NEW met2 ( 142370 57460 ) ( 142830 * )
NEW met2 ( 142830 31620 ) ( * 57460 )
NEW met3 ( 142830 31620 ) ( 143060 * )
NEW met3 ( 143060 30940 ) ( * 31620 )
NEW met3 ( 131100 30940 ) ( 174570 * )
NEW met2 ( 143750 59330 ) ( * 96600 )
NEW met2 ( 143750 96600 ) ( 144210 * )
NEW met2 ( 144210 96600 ) ( * 104550 )
NEW met1 ( 144210 104550 ) ( 144295 * )
NEW met2 ( 174570 30940 ) M2M3_PR_M
NEW met1 ( 174570 31450 ) M1M2_PR
NEW li1 ( 175030 31450 ) L1M1_PR_MR
NEW li1 ( 123510 38590 ) L1M1_PR_MR
NEW met1 ( 122590 38590 ) M1M2_PR
NEW met2 ( 122590 31620 ) M2M3_PR_M
NEW met1 ( 143750 59330 ) M1M2_PR
NEW met1 ( 142370 59330 ) M1M2_PR
NEW met2 ( 142830 31620 ) M2M3_PR_M
NEW met1 ( 144210 104550 ) M1M2_PR
NEW li1 ( 144295 104550 ) L1M1_PR_MR ;
- net457 ( output457 A ) ( _532_ X ) + USE SIGNAL
+ ROUTED met2 ( 170890 31450 ) ( * 45390 )
NEW met1 ( 170890 45390 ) ( 175030 * )
NEW li1 ( 170890 31450 ) L1M1_PR_MR
NEW met1 ( 170890 31450 ) M1M2_PR
NEW met1 ( 170890 45390 ) M1M2_PR
NEW li1 ( 175030 45390 ) L1M1_PR_MR
NEW met1 ( 170890 31450 ) RECT ( -355 -70 0 70 ) ;
- net458 ( output458 A ) ( _632_ X ) + USE SIGNAL
+ ROUTED met2 ( 75210 21250 ) ( * 22950 )
NEW met1 ( 71990 22950 ) ( 75210 * )
NEW met1 ( 122130 11730 ) ( 142830 * )
NEW met1 ( 111550 20910 ) ( * 21250 )
NEW met1 ( 111550 20910 ) ( 117070 * )
NEW met1 ( 117070 20910 ) ( * 21250 )
NEW met1 ( 117070 21250 ) ( 122130 * )
NEW met1 ( 75210 21250 ) ( 111550 * )
NEW met2 ( 122130 11730 ) ( * 21250 )
NEW met1 ( 75210 21250 ) M1M2_PR
NEW met1 ( 75210 22950 ) M1M2_PR
NEW li1 ( 71990 22950 ) L1M1_PR_MR
NEW li1 ( 142830 11730 ) L1M1_PR_MR
NEW met1 ( 122130 11730 ) M1M2_PR
NEW met1 ( 122130 21250 ) M1M2_PR ;
- net459 ( ANTENNA_output459_A DIODE ) ( output459 A ) ( _633_ X ) + USE SIGNAL
+ ROUTED met3 ( 20010 167620 ) ( 28060 * )
NEW met2 ( 20010 167450 ) ( * 167620 )
NEW met1 ( 20010 167450 ) ( 20470 * )
NEW met1 ( 61410 9690 ) ( 142830 * )
NEW met2 ( 59570 16830 ) ( 60490 * )
NEW met2 ( 60490 16830 ) ( * 18020 )
NEW met2 ( 60490 18020 ) ( 61410 * )
NEW met2 ( 61410 9690 ) ( * 18020 )
NEW met2 ( 59570 16830 ) ( * 110400 )
NEW met1 ( 27830 137870 ) ( 60030 * )
NEW met2 ( 60030 110400 ) ( * 137870 )
NEW met2 ( 59570 110400 ) ( 60030 * )
NEW met3 ( 28060 137700 ) ( 29210 * )
NEW met2 ( 29210 137700 ) ( * 137870 )
NEW met4 ( 28060 137700 ) ( * 167620 )
NEW met2 ( 142830 9690 ) ( * 17170 )
NEW met1 ( 61410 9690 ) M1M2_PR
NEW met3 ( 28060 167620 ) M3M4_PR_M
NEW met2 ( 20010 167620 ) M2M3_PR_M
NEW met1 ( 20010 167450 ) M1M2_PR
NEW li1 ( 20470 167450 ) L1M1_PR_MR
NEW met1 ( 142830 9690 ) M1M2_PR
NEW li1 ( 27830 137870 ) L1M1_PR_MR
NEW met1 ( 60030 137870 ) M1M2_PR
NEW met3 ( 28060 137700 ) M3M4_PR_M
NEW met2 ( 29210 137700 ) M2M3_PR_M
NEW met1 ( 29210 137870 ) M1M2_PR
NEW li1 ( 142830 17170 ) L1M1_PR_MR
NEW met1 ( 142830 17170 ) M1M2_PR
NEW met1 ( 29210 137870 ) RECT ( -595 -70 0 70 )
NEW met1 ( 142830 17170 ) RECT ( -355 -70 0 70 ) ;
- net46 ( input46 X ) ( _556_ A ) ( _684_ A ) + USE SIGNAL
+ ROUTED met1 ( 100970 180710 ) ( * 181050 )
NEW met1 ( 91310 178330 ) ( 92690 * )
NEW met2 ( 92690 178330 ) ( * 178500 )
NEW met3 ( 92460 178500 ) ( 92690 * )
NEW met4 ( 92460 170340 ) ( * 178500 )
NEW met3 ( 92460 170340 ) ( 93610 * )
NEW met2 ( 93610 162690 ) ( * 170340 )
NEW met1 ( 93610 162690 ) ( 95450 * )
NEW met2 ( 92230 178500 ) ( * 181050 )
NEW met2 ( 92230 178500 ) ( 92690 * )
NEW met1 ( 92230 181050 ) ( 100970 * )
NEW li1 ( 100970 180710 ) L1M1_PR_MR
NEW li1 ( 91310 178330 ) L1M1_PR_MR
NEW met1 ( 92690 178330 ) M1M2_PR
NEW met2 ( 92690 178500 ) M2M3_PR_M
NEW met3 ( 92460 178500 ) M3M4_PR_M
NEW met3 ( 92460 170340 ) M3M4_PR_M
NEW met2 ( 93610 170340 ) M2M3_PR_M
NEW met1 ( 93610 162690 ) M1M2_PR
NEW li1 ( 95450 162690 ) L1M1_PR_MR
NEW met1 ( 92230 181050 ) M1M2_PR
NEW met3 ( 92690 178500 ) RECT ( 0 -150 390 150 ) ;
- net460 ( ANTENNA_output460_A DIODE ) ( output460 A ) ( _634_ X ) + USE SIGNAL
+ ROUTED met2 ( 128110 94180 ) ( 129030 * )
NEW met1 ( 126730 180030 ) ( 127650 * )
NEW met1 ( 129030 44030 ) ( 129490 * )
NEW met2 ( 129490 22950 ) ( * 44030 )
NEW met1 ( 128110 44030 ) ( 129030 * )
NEW met2 ( 128110 44030 ) ( * 94180 )
NEW met2 ( 129030 94180 ) ( * 110400 )
NEW met2 ( 127650 126310 ) ( 128110 * )
NEW met2 ( 128110 110400 ) ( * 126310 )
NEW met2 ( 128110 110400 ) ( 129030 * )
NEW met2 ( 127650 126310 ) ( * 180030 )
NEW met1 ( 127650 180030 ) M1M2_PR
NEW li1 ( 126730 180030 ) L1M1_PR_MR
NEW li1 ( 129030 44030 ) L1M1_PR_MR
NEW met1 ( 129490 44030 ) M1M2_PR
NEW li1 ( 129490 22950 ) L1M1_PR_MR
NEW met1 ( 129490 22950 ) M1M2_PR
NEW met1 ( 128110 44030 ) M1M2_PR
NEW met1 ( 129490 22950 ) RECT ( -355 -70 0 70 ) ;
- net461 ( ANTENNA_output461_A DIODE ) ( output461 A ) ( _635_ X ) + USE SIGNAL
+ ROUTED met2 ( 94530 18190 ) ( * 44710 )
NEW met1 ( 94530 18190 ) ( 98670 * )
NEW met1 ( 36110 175270 ) ( 39790 * )
NEW met1 ( 40710 44710 ) ( 94530 * )
NEW met1 ( 39790 145010 ) ( 40710 * )
NEW met2 ( 39790 145010 ) ( * 175270 )
NEW met2 ( 40710 44710 ) ( * 145010 )
NEW met1 ( 94530 44710 ) M1M2_PR
NEW met1 ( 94530 18190 ) M1M2_PR
NEW li1 ( 98670 18190 ) L1M1_PR_MR
NEW met1 ( 39790 175270 ) M1M2_PR
NEW li1 ( 36110 175270 ) L1M1_PR_MR
NEW met1 ( 40710 44710 ) M1M2_PR
NEW li1 ( 40710 145010 ) L1M1_PR_MR
NEW met1 ( 40710 145010 ) M1M2_PR
NEW met1 ( 39790 145010 ) M1M2_PR
NEW met1 ( 40710 145010 ) RECT ( -355 -70 0 70 ) ;
- net462 ( output462 A ) ( _636_ X ) + USE SIGNAL
+ ROUTED met1 ( 151110 26010 ) ( 152490 * )
NEW met2 ( 151110 26010 ) ( * 30430 )
NEW li1 ( 152490 26010 ) L1M1_PR_MR
NEW met1 ( 151110 26010 ) M1M2_PR
NEW li1 ( 151110 30430 ) L1M1_PR_MR
NEW met1 ( 151110 30430 ) M1M2_PR
NEW met1 ( 151110 30430 ) RECT ( -355 -70 0 70 ) ;
- net463 ( output463 A ) ( _637_ X ) + USE SIGNAL
+ ROUTED met1 ( 65550 173570 ) ( 70610 * )
NEW met2 ( 70610 173570 ) ( * 175270 )
NEW li1 ( 65550 173570 ) L1M1_PR_MR
NEW met1 ( 70610 173570 ) M1M2_PR
NEW li1 ( 70610 175270 ) L1M1_PR_MR
NEW met1 ( 70610 175270 ) M1M2_PR
NEW met1 ( 70610 175270 ) RECT ( -355 -70 0 70 ) ;
- net464 ( ANTENNA_output464_A DIODE ) ( output464 A ) ( _638_ X ) + USE SIGNAL
+ ROUTED met2 ( 28290 56610 ) ( * 57970 )
NEW met2 ( 14030 39100 ) ( * 39270 )
NEW met3 ( 14030 39100 ) ( 29670 * )
NEW met2 ( 29670 39100 ) ( * 57970 )
NEW met1 ( 140990 139230 ) ( 141450 * )
NEW met2 ( 140530 57970 ) ( * 85340 )
NEW met2 ( 140530 85340 ) ( 140990 * )
NEW met1 ( 28290 57970 ) ( 140530 * )
NEW met2 ( 140990 85340 ) ( * 139230 )
NEW li1 ( 28290 56610 ) L1M1_PR_MR
NEW met1 ( 28290 56610 ) M1M2_PR
NEW met1 ( 28290 57970 ) M1M2_PR
NEW li1 ( 14030 39270 ) L1M1_PR_MR
NEW met1 ( 14030 39270 ) M1M2_PR
NEW met2 ( 14030 39100 ) M2M3_PR_M
NEW met2 ( 29670 39100 ) M2M3_PR_M
NEW met1 ( 29670 57970 ) M1M2_PR
NEW met1 ( 140990 139230 ) M1M2_PR
NEW li1 ( 141450 139230 ) L1M1_PR_MR
NEW met1 ( 140530 57970 ) M1M2_PR
NEW met1 ( 28290 56610 ) RECT ( -355 -70 0 70 )
NEW met1 ( 14030 39270 ) RECT ( 0 -70 355 70 )
NEW met1 ( 29670 57970 ) RECT ( -595 -70 0 70 ) ;
- net465 ( output465 A ) ( _639_ X ) + USE SIGNAL
+ ROUTED met1 ( 182390 36890 ) ( * 37230 )
NEW met1 ( 151570 33150 ) ( 152030 * )
NEW met2 ( 152030 33150 ) ( * 36210 )
NEW met1 ( 152030 36210 ) ( * 36550 )
NEW met1 ( 151570 36550 ) ( 152030 * )
NEW met1 ( 151570 36550 ) ( * 37230 )
NEW met1 ( 151570 37230 ) ( 182390 * )
NEW li1 ( 182390 36890 ) L1M1_PR_MR
NEW li1 ( 151570 33150 ) L1M1_PR_MR
NEW met1 ( 152030 33150 ) M1M2_PR
NEW met1 ( 152030 36210 ) M1M2_PR ;
- net466 ( output466 A ) ( _640_ X ) + USE SIGNAL
+ ROUTED met1 ( 31510 165410 ) ( 31970 * )
NEW met2 ( 31970 165410 ) ( * 172890 )
NEW li1 ( 31510 165410 ) L1M1_PR_MR
NEW met1 ( 31970 165410 ) M1M2_PR
NEW li1 ( 31970 172890 ) L1M1_PR_MR
NEW met1 ( 31970 172890 ) M1M2_PR
NEW met1 ( 31970 172890 ) RECT ( -355 -70 0 70 ) ;
- net467 ( output467 A ) ( _641_ X ) + USE SIGNAL
+ ROUTED met1 ( 99590 20570 ) ( 100050 * )
NEW met2 ( 100050 20570 ) ( * 27710 )
NEW met1 ( 99590 27710 ) ( 100050 * )
NEW li1 ( 99590 20570 ) L1M1_PR_MR
NEW met1 ( 100050 20570 ) M1M2_PR
NEW met1 ( 100050 27710 ) M1M2_PR
NEW li1 ( 99590 27710 ) L1M1_PR_MR ;
- net468 ( ANTENNA_output468_A DIODE ) ( output468 A ) ( _542_ X ) + USE SIGNAL
+ ROUTED met1 ( 112930 43010 ) ( 118910 * )
NEW met2 ( 119370 20570 ) ( * 43010 )
NEW met1 ( 118910 43010 ) ( 119370 * )
NEW met2 ( 112930 43010 ) ( * 180030 )
NEW li1 ( 112930 180030 ) L1M1_PR_MR
NEW met1 ( 112930 180030 ) M1M2_PR
NEW li1 ( 118910 43010 ) L1M1_PR_MR
NEW met1 ( 112930 43010 ) M1M2_PR
NEW li1 ( 119370 20570 ) L1M1_PR_MR
NEW met1 ( 119370 20570 ) M1M2_PR
NEW met1 ( 119370 43010 ) M1M2_PR
NEW met1 ( 112930 180030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 119370 20570 ) RECT ( -355 -70 0 70 ) ;
- net469 ( output469 A ) ( _642_ X ) + USE SIGNAL
+ ROUTED met1 ( 36570 166770 ) ( * 167110 )
NEW met2 ( 49910 165580 ) ( * 167110 )
NEW met3 ( 49910 165580 ) ( 77510 * )
NEW met2 ( 77510 165580 ) ( * 175270 )
NEW met1 ( 76130 175270 ) ( 77510 * )
NEW met1 ( 36570 167110 ) ( 49910 * )
NEW li1 ( 36570 166770 ) L1M1_PR_MR
NEW met1 ( 49910 167110 ) M1M2_PR
NEW met2 ( 49910 165580 ) M2M3_PR_M
NEW met2 ( 77510 165580 ) M2M3_PR_M
NEW met1 ( 77510 175270 ) M1M2_PR
NEW li1 ( 76130 175270 ) L1M1_PR_MR ;
- net47 ( input47 X ) ( _557_ A ) ( _685_ A ) + USE SIGNAL
+ ROUTED met2 ( 142370 15470 ) ( * 33150 )
NEW met1 ( 141910 33150 ) ( 142370 * )
NEW met1 ( 150190 16830 ) ( * 17170 )
NEW met1 ( 142370 16830 ) ( 150190 * )
NEW li1 ( 142370 15470 ) L1M1_PR_MR
NEW met1 ( 142370 15470 ) M1M2_PR
NEW met1 ( 142370 33150 ) M1M2_PR
NEW li1 ( 141910 33150 ) L1M1_PR_MR
NEW li1 ( 150190 17170 ) L1M1_PR_MR
NEW met1 ( 142370 16830 ) M1M2_PR
NEW met1 ( 142370 15470 ) RECT ( -355 -70 0 70 )
NEW met2 ( 142370 16830 ) RECT ( -70 -485 70 0 ) ;
- net470 ( ANTENNA_output470_A DIODE ) ( output470 A ) ( _643_ X ) + USE SIGNAL
+ ROUTED met1 ( 77510 107270 ) ( * 107950 )
NEW met1 ( 77510 107950 ) ( 92690 * )
NEW met1 ( 93150 159290 ) ( 106030 * )
NEW met1 ( 106030 158950 ) ( * 159290 )
NEW met2 ( 92690 134300 ) ( 93150 * )
NEW met2 ( 92690 107950 ) ( * 134300 )
NEW met2 ( 93150 134300 ) ( * 159290 )
NEW met1 ( 62100 107270 ) ( 77510 * )
NEW met1 ( 25070 107610 ) ( 62100 * )
NEW met1 ( 62100 107270 ) ( * 107610 )
NEW met1 ( 138230 159970 ) ( 140530 * )
NEW met2 ( 140530 159970 ) ( * 178330 )
NEW met1 ( 138690 178330 ) ( 140530 * )
NEW met1 ( 128570 158950 ) ( 129950 * )
NEW met2 ( 129950 158950 ) ( * 161330 )
NEW met1 ( 129950 161330 ) ( 140530 * )
NEW met1 ( 106030 158950 ) ( 123510 * )
NEW met1 ( 25070 40290 ) ( 25530 * )
NEW met2 ( 25070 40290 ) ( * 107610 )
NEW met1 ( 123510 158610 ) ( 128570 * )
NEW met1 ( 123510 158610 ) ( * 158950 )
NEW met1 ( 128570 158610 ) ( * 158950 )
NEW met1 ( 92690 107950 ) M1M2_PR
NEW met1 ( 93150 159290 ) M1M2_PR
NEW met1 ( 25070 107610 ) M1M2_PR
NEW li1 ( 138230 159970 ) L1M1_PR_MR
NEW met1 ( 140530 159970 ) M1M2_PR
NEW met1 ( 140530 178330 ) M1M2_PR
NEW li1 ( 138690 178330 ) L1M1_PR_MR
NEW met1 ( 129950 158950 ) M1M2_PR
NEW met1 ( 129950 161330 ) M1M2_PR
NEW met1 ( 140530 161330 ) M1M2_PR
NEW met1 ( 25070 40290 ) M1M2_PR
NEW li1 ( 25530 40290 ) L1M1_PR_MR
NEW met2 ( 140530 161330 ) RECT ( -70 -485 70 0 ) ;
- net471 ( output471 A ) ( _644_ X ) + USE SIGNAL
+ ROUTED met2 ( 140990 22950 ) ( * 27710 )
NEW met1 ( 140990 27710 ) ( 141450 * )
NEW li1 ( 140990 22950 ) L1M1_PR_MR
NEW met1 ( 140990 22950 ) M1M2_PR
NEW met1 ( 140990 27710 ) M1M2_PR
NEW li1 ( 141450 27710 ) L1M1_PR_MR
NEW met1 ( 140990 22950 ) RECT ( -355 -70 0 70 ) ;
- net472 ( output472 A ) ( _645_ X ) + USE SIGNAL
+ ROUTED met1 ( 14950 162010 ) ( 15870 * )
NEW met2 ( 15870 162010 ) ( * 162690 )
NEW met1 ( 15870 162690 ) ( 34270 * )
NEW met2 ( 34270 162690 ) ( * 163710 )
NEW met1 ( 34270 163710 ) ( 38870 * )
NEW li1 ( 14950 162010 ) L1M1_PR_MR
NEW met1 ( 15870 162010 ) M1M2_PR
NEW met1 ( 15870 162690 ) M1M2_PR
NEW met1 ( 34270 162690 ) M1M2_PR
NEW met1 ( 34270 163710 ) M1M2_PR
NEW li1 ( 38870 163710 ) L1M1_PR_MR ;
- net473 ( ANTENNA_output473_A DIODE ) ( output473 A ) ( _646_ X ) + USE SIGNAL
+ ROUTED met1 ( 141910 159970 ) ( 142370 * )
NEW met2 ( 142370 159970 ) ( * 178330 )
NEW met1 ( 141450 159970 ) ( 141910 * )
NEW met1 ( 116610 16830 ) ( 117070 * )
NEW met2 ( 117070 16660 ) ( * 16830 )
NEW met3 ( 117070 16660 ) ( 124660 * )
NEW met4 ( 124660 16660 ) ( * 48620 )
NEW met3 ( 124660 48620 ) ( 141450 * )
NEW met2 ( 141450 48620 ) ( * 159970 )
NEW li1 ( 141910 159970 ) L1M1_PR_MR
NEW met1 ( 142370 159970 ) M1M2_PR
NEW li1 ( 142370 178330 ) L1M1_PR_MR
NEW met1 ( 142370 178330 ) M1M2_PR
NEW met1 ( 141450 159970 ) M1M2_PR
NEW li1 ( 116610 16830 ) L1M1_PR_MR
NEW met1 ( 117070 16830 ) M1M2_PR
NEW met2 ( 117070 16660 ) M2M3_PR_M
NEW met3 ( 124660 16660 ) M3M4_PR_M
NEW met3 ( 124660 48620 ) M3M4_PR_M
NEW met2 ( 141450 48620 ) M2M3_PR_M
NEW met1 ( 142370 178330 ) RECT ( -355 -70 0 70 ) ;
- net474 ( output474 A ) ( _647_ X ) + USE SIGNAL
+ ROUTED met1 ( 49450 170850 ) ( 49910 * )
NEW met2 ( 49910 170850 ) ( * 175270 )
NEW li1 ( 49450 170850 ) L1M1_PR_MR
NEW met1 ( 49910 170850 ) M1M2_PR
NEW li1 ( 49910 175270 ) L1M1_PR_MR
NEW met1 ( 49910 175270 ) M1M2_PR
NEW met1 ( 49910 175270 ) RECT ( -355 -70 0 70 ) ;
- net475 ( output475 A ) ( _648_ X ) + USE SIGNAL
+ ROUTED met2 ( 93610 175780 ) ( * 180370 )
NEW met2 ( 167670 172890 ) ( * 175780 )
NEW met3 ( 93610 175780 ) ( 167670 * )
NEW met2 ( 93610 175780 ) M2M3_PR_M
NEW li1 ( 93610 180370 ) L1M1_PR_MR
NEW met1 ( 93610 180370 ) M1M2_PR
NEW met2 ( 167670 175780 ) M2M3_PR_M
NEW li1 ( 167670 172890 ) L1M1_PR_MR
NEW met1 ( 167670 172890 ) M1M2_PR
NEW met1 ( 93610 180370 ) RECT ( -355 -70 0 70 )
NEW met1 ( 167670 172890 ) RECT ( 0 -70 355 70 ) ;
- net476 ( ANTENNA_output476_A DIODE ) ( output476 A ) ( _649_ X ) + USE SIGNAL
+ ROUTED li1 ( 71530 7990 ) ( * 10030 )
NEW met2 ( 39330 10030 ) ( * 11390 )
NEW met1 ( 39330 10030 ) ( 71530 * )
NEW met2 ( 144670 42330 ) ( * 52190 )
NEW met1 ( 144670 42330 ) ( 146050 * )
NEW met1 ( 145130 20570 ) ( 146050 * )
NEW met2 ( 145130 7990 ) ( * 20570 )
NEW met1 ( 71530 7990 ) ( 145130 * )
NEW met2 ( 146050 20570 ) ( * 42330 )
NEW li1 ( 71530 10030 ) L1M1_PR_MR
NEW li1 ( 71530 7990 ) L1M1_PR_MR
NEW met1 ( 39330 10030 ) M1M2_PR
NEW li1 ( 39330 11390 ) L1M1_PR_MR
NEW met1 ( 39330 11390 ) M1M2_PR
NEW met1 ( 144670 42330 ) M1M2_PR
NEW li1 ( 144670 52190 ) L1M1_PR_MR
NEW met1 ( 144670 52190 ) M1M2_PR
NEW met1 ( 146050 42330 ) M1M2_PR
NEW li1 ( 145130 20570 ) L1M1_PR_MR
NEW met1 ( 146050 20570 ) M1M2_PR
NEW met1 ( 145130 7990 ) M1M2_PR
NEW met1 ( 145130 20570 ) M1M2_PR
NEW met1 ( 39330 11390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 144670 52190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 145130 20570 ) RECT ( 0 -70 595 70 ) ;
- net477 ( ANTENNA_output477_A DIODE ) ( output477 A ) ( _650_ X ) + USE SIGNAL
+ ROUTED met3 ( 162150 60860 ) ( 185610 * )
NEW met2 ( 185610 60860 ) ( * 61030 )
NEW met2 ( 162150 60860 ) ( * 63070 )
NEW met1 ( 84410 61370 ) ( 162150 * )
NEW li1 ( 162150 63070 ) L1M1_PR_MR
NEW met1 ( 162150 63070 ) M1M2_PR
NEW li1 ( 84410 61370 ) L1M1_PR_MR
NEW met2 ( 162150 60860 ) M2M3_PR_M
NEW met2 ( 185610 60860 ) M2M3_PR_M
NEW li1 ( 185610 61030 ) L1M1_PR_MR
NEW met1 ( 185610 61030 ) M1M2_PR
NEW met1 ( 162150 61370 ) M1M2_PR
NEW met1 ( 162150 63070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 185610 61030 ) RECT ( -355 -70 0 70 )
NEW met2 ( 162150 61370 ) RECT ( -70 -485 70 0 ) ;
- net478 ( output478 A ) ( _651_ X ) + USE SIGNAL
+ ROUTED met2 ( 117990 170850 ) ( * 174930 )
NEW met1 ( 96370 174930 ) ( * 175270 )
NEW met2 ( 96370 174420 ) ( * 175270 )
NEW met3 ( 88550 174420 ) ( 96370 * )
NEW met2 ( 88550 172890 ) ( * 174420 )
NEW met1 ( 84870 172890 ) ( 88550 * )
NEW met1 ( 96370 174930 ) ( 117990 * )
NEW li1 ( 117990 170850 ) L1M1_PR_MR
NEW met1 ( 117990 170850 ) M1M2_PR
NEW met1 ( 117990 174930 ) M1M2_PR
NEW met1 ( 96370 175270 ) M1M2_PR
NEW met2 ( 96370 174420 ) M2M3_PR_M
NEW met2 ( 88550 174420 ) M2M3_PR_M
NEW met1 ( 88550 172890 ) M1M2_PR
NEW li1 ( 84870 172890 ) L1M1_PR_MR
NEW met1 ( 117990 170850 ) RECT ( -355 -70 0 70 ) ;
- net479 ( output479 A ) ( _543_ X ) + USE SIGNAL
+ ROUTED met1 ( 134550 170850 ) ( 135010 * )
NEW met2 ( 135010 170850 ) ( * 175270 )
NEW li1 ( 134550 170850 ) L1M1_PR_MR
NEW met1 ( 135010 170850 ) M1M2_PR
NEW li1 ( 135010 175270 ) L1M1_PR_MR
NEW met1 ( 135010 175270 ) M1M2_PR
NEW met1 ( 135010 175270 ) RECT ( -355 -70 0 70 ) ;
- net48 ( ANTENNA__686__A DIODE ) ( ANTENNA__558__A DIODE ) ( input48 X ) ( _558_ A ) ( _686_ A ) + USE SIGNAL
+ ROUTED met1 ( 186990 101490 ) ( 189290 * )
NEW met2 ( 187910 38590 ) ( 188370 * )
NEW met2 ( 188370 23630 ) ( * 38590 )
NEW met1 ( 188370 23630 ) ( 189290 * )
NEW met1 ( 189290 22950 ) ( * 23630 )
NEW met1 ( 169970 44710 ) ( 175030 * )
NEW met2 ( 175030 44030 ) ( * 44710 )
NEW met1 ( 175030 44030 ) ( 178250 * )
NEW met1 ( 178250 44030 ) ( * 44370 )
NEW met1 ( 178250 44370 ) ( 187910 * )
NEW met1 ( 186990 52190 ) ( 187910 * )
NEW met2 ( 186990 52190 ) ( * 53210 )
NEW met1 ( 173650 53890 ) ( 174570 * )
NEW met2 ( 174570 53890 ) ( * 54060 )
NEW met3 ( 174570 54060 ) ( 186990 * )
NEW met2 ( 186990 53210 ) ( * 101490 )
NEW met2 ( 187910 38590 ) ( * 52190 )
NEW met1 ( 186990 101490 ) M1M2_PR
NEW li1 ( 189290 101490 ) L1M1_PR_MR
NEW met1 ( 188370 23630 ) M1M2_PR
NEW li1 ( 189290 22950 ) L1M1_PR_MR
NEW li1 ( 169970 44710 ) L1M1_PR_MR
NEW met1 ( 175030 44710 ) M1M2_PR
NEW met1 ( 175030 44030 ) M1M2_PR
NEW met1 ( 187910 44370 ) M1M2_PR
NEW li1 ( 186990 53210 ) L1M1_PR_MR
NEW met1 ( 186990 53210 ) M1M2_PR
NEW met1 ( 187910 52190 ) M1M2_PR
NEW met1 ( 186990 52190 ) M1M2_PR
NEW li1 ( 173650 53890 ) L1M1_PR_MR
NEW met1 ( 174570 53890 ) M1M2_PR
NEW met2 ( 174570 54060 ) M2M3_PR_M
NEW met2 ( 186990 54060 ) M2M3_PR_M
NEW met2 ( 187910 44370 ) RECT ( -70 -485 70 0 )
NEW met1 ( 186990 53210 ) RECT ( -355 -70 0 70 )
NEW met2 ( 186990 54060 ) RECT ( -70 -485 70 0 ) ;
- net480 ( ANTENNA_output480_A DIODE ) ( output480 A ) ( _652_ X ) + USE SIGNAL
+ ROUTED met2 ( 181470 86020 ) ( 181930 * )
NEW met2 ( 181930 59500 ) ( * 86020 )
NEW met2 ( 181010 128860 ) ( 181470 * )
NEW met2 ( 181470 86020 ) ( * 128860 )
NEW met1 ( 155250 57630 ) ( 157550 * )
NEW met3 ( 157550 59500 ) ( 181930 * )
NEW met3 ( 157550 43180 ) ( 178250 * )
NEW met2 ( 178250 34510 ) ( * 43180 )
NEW met1 ( 178250 33830 ) ( * 34510 )
NEW met2 ( 157550 43180 ) ( * 59500 )
NEW met1 ( 180090 158610 ) ( 181010 * )
NEW met2 ( 180090 158610 ) ( * 162010 )
NEW met2 ( 180090 162010 ) ( 181010 * )
NEW met2 ( 181010 162010 ) ( * 177650 )
NEW met1 ( 181010 177650 ) ( 182390 * )
NEW met2 ( 181010 128860 ) ( * 158610 )
NEW met2 ( 181930 59500 ) M2M3_PR_M
NEW met2 ( 157550 59500 ) M2M3_PR_M
NEW li1 ( 155250 57630 ) L1M1_PR_MR
NEW met1 ( 157550 57630 ) M1M2_PR
NEW met2 ( 157550 43180 ) M2M3_PR_M
NEW met2 ( 178250 43180 ) M2M3_PR_M
NEW met1 ( 178250 34510 ) M1M2_PR
NEW li1 ( 178250 33830 ) L1M1_PR_MR
NEW met1 ( 181010 158610 ) M1M2_PR
NEW met1 ( 180090 158610 ) M1M2_PR
NEW met1 ( 181010 177650 ) M1M2_PR
NEW li1 ( 182390 177650 ) L1M1_PR_MR
NEW met2 ( 157550 57630 ) RECT ( -70 -485 70 0 ) ;
- net481 ( ANTENNA_output481_A DIODE ) ( output481 A ) ( _653_ X ) + USE SIGNAL
+ ROUTED met2 ( 87170 98940 ) ( 87630 * )
NEW met2 ( 86250 170340 ) ( 87170 * )
NEW met2 ( 86250 170340 ) ( * 180370 )
NEW met1 ( 83490 180370 ) ( 86250 * )
NEW met1 ( 83030 45730 ) ( 87170 * )
NEW met2 ( 83490 22950 ) ( * 45730 )
NEW met2 ( 87170 45730 ) ( * 98940 )
NEW met2 ( 87630 98940 ) ( * 110400 )
NEW met2 ( 87170 110400 ) ( 87630 * )
NEW met2 ( 87170 110400 ) ( * 170340 )
NEW met1 ( 86250 180370 ) M1M2_PR
NEW li1 ( 83490 180370 ) L1M1_PR_MR
NEW li1 ( 83030 45730 ) L1M1_PR_MR
NEW met1 ( 87170 45730 ) M1M2_PR
NEW li1 ( 83490 22950 ) L1M1_PR_MR
NEW met1 ( 83490 22950 ) M1M2_PR
NEW met1 ( 83490 45730 ) M1M2_PR
NEW met1 ( 83490 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83490 45730 ) RECT ( -595 -70 0 70 ) ;
- net482 ( output482 A ) ( _654_ X ) + USE SIGNAL
+ ROUTED met1 ( 99130 22950 ) ( 99590 * )
NEW met2 ( 99590 22950 ) ( * 24990 )
NEW met1 ( 99590 24990 ) ( 100510 * )
NEW met2 ( 100510 24990 ) ( * 33150 )
NEW met1 ( 98670 33150 ) ( 100510 * )
NEW li1 ( 99130 22950 ) L1M1_PR_MR
NEW met1 ( 99590 22950 ) M1M2_PR
NEW met1 ( 99590 24990 ) M1M2_PR
NEW met1 ( 100510 24990 ) M1M2_PR
NEW met1 ( 100510 33150 ) M1M2_PR
NEW li1 ( 98670 33150 ) L1M1_PR_MR ;
- net483 ( output483 A ) ( _655_ X ) + USE SIGNAL
+ ROUTED met1 ( 167670 162690 ) ( 169510 * )
NEW met2 ( 169510 162690 ) ( * 169830 )
NEW li1 ( 167670 162690 ) L1M1_PR_MR
NEW met1 ( 169510 162690 ) M1M2_PR
NEW li1 ( 169510 169830 ) L1M1_PR_MR
NEW met1 ( 169510 169830 ) M1M2_PR
NEW met1 ( 169510 169830 ) RECT ( -355 -70 0 70 ) ;
- net484 ( output484 A ) ( _656_ X ) + USE SIGNAL
+ ROUTED met1 ( 180090 36890 ) ( 180550 * )
NEW met2 ( 180550 36890 ) ( * 52190 )
NEW met1 ( 180550 52190 ) ( 182850 * )
NEW li1 ( 180090 36890 ) L1M1_PR_MR
NEW met1 ( 180550 36890 ) M1M2_PR
NEW met1 ( 180550 52190 ) M1M2_PR
NEW li1 ( 182850 52190 ) L1M1_PR_MR ;
- net485 ( ANTENNA_output485_A DIODE ) ( output485 A ) ( _657_ X ) + USE SIGNAL
+ ROUTED met1 ( 32430 52530 ) ( 48990 * )
NEW met1 ( 48990 52530 ) ( * 52870 )
NEW met2 ( 14030 44710 ) ( * 47940 )
NEW met3 ( 14030 47940 ) ( 32430 * )
NEW met2 ( 32430 47940 ) ( * 52530 )
NEW met1 ( 48990 52870 ) ( 88550 * )
NEW met2 ( 87630 156740 ) ( 88550 * )
NEW met2 ( 87630 156740 ) ( * 170850 )
NEW met2 ( 87170 170850 ) ( 87630 * )
NEW met2 ( 87170 170850 ) ( * 177310 )
NEW met1 ( 84410 177310 ) ( 87170 * )
NEW met2 ( 88550 52870 ) ( * 156740 )
NEW met1 ( 88550 52870 ) M1M2_PR
NEW li1 ( 32430 52530 ) L1M1_PR_MR
NEW li1 ( 14030 44710 ) L1M1_PR_MR
NEW met1 ( 14030 44710 ) M1M2_PR
NEW met2 ( 14030 47940 ) M2M3_PR_M
NEW met2 ( 32430 47940 ) M2M3_PR_M
NEW met1 ( 32430 52530 ) M1M2_PR
NEW met1 ( 87170 177310 ) M1M2_PR
NEW li1 ( 84410 177310 ) L1M1_PR_MR
NEW met1 ( 14030 44710 ) RECT ( 0 -70 355 70 )
NEW met1 ( 32430 52530 ) RECT ( -595 -70 0 70 ) ;
- net486 ( ANTENNA_output486_A DIODE ) ( output486 A ) ( _658_ X ) + USE SIGNAL
+ ROUTED met1 ( 48530 180030 ) ( 49450 * )
NEW met2 ( 49450 110400 ) ( 49910 * )
NEW met2 ( 49450 110400 ) ( * 180030 )
NEW met2 ( 54510 35700 ) ( * 35870 )
NEW met3 ( 54510 35700 ) ( 54740 * )
NEW met4 ( 54740 20740 ) ( * 35700 )
NEW met3 ( 54740 20740 ) ( 58650 * )
NEW met2 ( 58650 20740 ) ( * 20910 )
NEW met1 ( 52210 20910 ) ( 58650 * )
NEW met1 ( 52210 20570 ) ( * 20910 )
NEW met1 ( 51290 20570 ) ( 52210 * )
NEW met1 ( 51290 20230 ) ( * 20570 )
NEW met1 ( 49910 20230 ) ( 51290 * )
NEW met1 ( 49910 20230 ) ( * 20570 )
NEW met1 ( 49875 20570 ) ( 49910 * )
NEW met1 ( 49910 36210 ) ( 54510 * )
NEW met1 ( 54510 35870 ) ( * 36210 )
NEW met2 ( 49910 36210 ) ( * 110400 )
NEW met1 ( 49450 180030 ) M1M2_PR
NEW li1 ( 48530 180030 ) L1M1_PR_MR
NEW li1 ( 54510 35870 ) L1M1_PR_MR
NEW met1 ( 54510 35870 ) M1M2_PR
NEW met2 ( 54510 35700 ) M2M3_PR_M
NEW met3 ( 54740 35700 ) M3M4_PR_M
NEW met3 ( 54740 20740 ) M3M4_PR_M
NEW met2 ( 58650 20740 ) M2M3_PR_M
NEW met1 ( 58650 20910 ) M1M2_PR
NEW li1 ( 49875 20570 ) L1M1_PR_MR
NEW met1 ( 49910 36210 ) M1M2_PR
NEW met1 ( 54510 35870 ) RECT ( -355 -70 0 70 )
NEW met3 ( 54510 35700 ) RECT ( -390 -150 0 150 ) ;
- net487 ( ANTENNA_output487_A DIODE ) ( output487 A ) ( _659_ X ) + USE SIGNAL
+ ROUTED met1 ( 173190 130050 ) ( 174110 * )
NEW met2 ( 173190 130050 ) ( * 138210 )
NEW met1 ( 185610 131750 ) ( * 132770 )
NEW met1 ( 173190 132770 ) ( 185610 * )
NEW met1 ( 133630 158950 ) ( 152950 * )
NEW met1 ( 130410 158950 ) ( * 159290 )
NEW met1 ( 130410 158950 ) ( 131330 * )
NEW met1 ( 106490 159290 ) ( 130410 * )
NEW met1 ( 131330 158610 ) ( 133630 * )
NEW met1 ( 131330 158610 ) ( * 158950 )
NEW met1 ( 133630 158610 ) ( * 158950 )
NEW met2 ( 152950 138210 ) ( * 158950 )
NEW met1 ( 152950 138210 ) ( 173190 * )
NEW li1 ( 106490 159290 ) L1M1_PR_MR
NEW li1 ( 174110 130050 ) L1M1_PR_MR
NEW met1 ( 173190 130050 ) M1M2_PR
NEW met1 ( 173190 138210 ) M1M2_PR
NEW li1 ( 185610 131750 ) L1M1_PR_MR
NEW met1 ( 173190 132770 ) M1M2_PR
NEW met1 ( 152950 158950 ) M1M2_PR
NEW met1 ( 152950 138210 ) M1M2_PR
NEW met2 ( 173190 132770 ) RECT ( -70 -485 70 0 ) ;
- net488 ( output488 A ) ( _544_ X ) + USE SIGNAL
+ ROUTED met1 ( 71990 162690 ) ( 72450 * )
NEW met2 ( 72450 162690 ) ( * 172890 )
NEW li1 ( 71990 162690 ) L1M1_PR_MR
NEW met1 ( 72450 162690 ) M1M2_PR
NEW li1 ( 72450 172890 ) L1M1_PR_MR
NEW met1 ( 72450 172890 ) M1M2_PR
NEW met1 ( 72450 172890 ) RECT ( -355 -70 0 70 ) ;
- net489 ( ANTENNA_output489_A DIODE ) ( output489 A ) ( _545_ X ) + USE SIGNAL
+ ROUTED met3 ( 96140 178500 ) ( * 179860 )
NEW met2 ( 161690 173060 ) ( * 174590 )
NEW met2 ( 161230 173060 ) ( 161690 * )
NEW met2 ( 161230 165410 ) ( * 173060 )
NEW met1 ( 158930 165410 ) ( 161230 * )
NEW met1 ( 161690 175270 ) ( 162150 * )
NEW li1 ( 161690 174590 ) ( * 175270 )
NEW met1 ( 158930 146030 ) ( 161230 * )
NEW met2 ( 158930 146030 ) ( * 165410 )
NEW met2 ( 14950 179860 ) ( * 180370 )
NEW met3 ( 14950 179860 ) ( 96140 * )
NEW met2 ( 99130 175780 ) ( * 178500 )
NEW met2 ( 99130 175780 ) ( 100050 * )
NEW met2 ( 100050 173740 ) ( * 175780 )
NEW met3 ( 100050 173740 ) ( 106260 * )
NEW met3 ( 106260 173740 ) ( * 174420 )
NEW met3 ( 106260 174420 ) ( 135930 * )
NEW met2 ( 135930 174420 ) ( * 174590 )
NEW met3 ( 96140 178500 ) ( 99130 * )
NEW met1 ( 135930 174590 ) ( 161690 * )
NEW met1 ( 161690 174590 ) M1M2_PR
NEW met1 ( 161230 165410 ) M1M2_PR
NEW met1 ( 158930 165410 ) M1M2_PR
NEW li1 ( 162150 175270 ) L1M1_PR_MR
NEW li1 ( 161690 175270 ) L1M1_PR_MR
NEW li1 ( 161690 174590 ) L1M1_PR_MR
NEW met1 ( 158930 146030 ) M1M2_PR
NEW li1 ( 161230 146030 ) L1M1_PR_MR
NEW met2 ( 14950 179860 ) M2M3_PR_M
NEW li1 ( 14950 180370 ) L1M1_PR_MR
NEW met1 ( 14950 180370 ) M1M2_PR
NEW met2 ( 99130 178500 ) M2M3_PR_M
NEW met2 ( 100050 173740 ) M2M3_PR_M
NEW met2 ( 135930 174420 ) M2M3_PR_M
NEW met1 ( 135930 174590 ) M1M2_PR
NEW met1 ( 161690 174590 ) RECT ( -595 -70 0 70 )
NEW met1 ( 14950 180370 ) RECT ( -355 -70 0 70 ) ;
- net49 ( input49 X ) ( _559_ A ) ( _687_ A ) + USE SIGNAL
+ ROUTED met2 ( 23690 78030 ) ( * 108290 )
NEW met1 ( 23690 108290 ) ( 25070 * )
NEW met1 ( 10350 78030 ) ( 23690 * )
NEW met1 ( 25070 129370 ) ( 40710 * )
NEW met2 ( 47150 126310 ) ( * 129370 )
NEW met1 ( 40710 129370 ) ( 47150 * )
NEW met2 ( 25070 108290 ) ( * 129370 )
NEW li1 ( 10350 78030 ) L1M1_PR_MR
NEW met1 ( 23690 78030 ) M1M2_PR
NEW met1 ( 23690 108290 ) M1M2_PR
NEW met1 ( 25070 108290 ) M1M2_PR
NEW li1 ( 40710 129370 ) L1M1_PR_MR
NEW met1 ( 25070 129370 ) M1M2_PR
NEW li1 ( 47150 126310 ) L1M1_PR_MR
NEW met1 ( 47150 126310 ) M1M2_PR
NEW met1 ( 47150 129370 ) M1M2_PR
NEW met1 ( 47150 126310 ) RECT ( -355 -70 0 70 ) ;
- net490 ( output490 A ) ( _546_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 126310 ) ( 189290 * )
NEW met2 ( 186070 126310 ) ( * 128350 )
NEW met1 ( 183770 128350 ) ( 186070 * )
NEW li1 ( 189290 126310 ) L1M1_PR_MR
NEW met1 ( 186070 126310 ) M1M2_PR
NEW met1 ( 186070 128350 ) M1M2_PR
NEW li1 ( 183770 128350 ) L1M1_PR_MR ;
- net491 ( output491 A ) ( _547_ X ) + USE SIGNAL
+ ROUTED met1 ( 148810 169150 ) ( * 169490 )
NEW met1 ( 122130 169150 ) ( * 169490 )
NEW met2 ( 122130 169150 ) ( * 175950 )
NEW met1 ( 122130 175610 ) ( * 175950 )
NEW met1 ( 109710 175610 ) ( 122130 * )
NEW met1 ( 109710 175270 ) ( * 175610 )
NEW met1 ( 122130 169490 ) ( 148810 * )
NEW li1 ( 148810 169150 ) L1M1_PR_MR
NEW met1 ( 122130 169150 ) M1M2_PR
NEW met1 ( 122130 175950 ) M1M2_PR
NEW li1 ( 109710 175270 ) L1M1_PR_MR ;
- net492 ( ANTENNA_output492_A DIODE ) ( output492 A ) ( _548_ X ) + USE SIGNAL
+ ROUTED met2 ( 132710 104380 ) ( 133170 * )
NEW met1 ( 131790 159970 ) ( 133170 * )
NEW met2 ( 131790 159970 ) ( * 178330 )
NEW met1 ( 124430 18530 ) ( 129030 * )
NEW met2 ( 133170 104380 ) ( * 159970 )
NEW met3 ( 129030 71740 ) ( 129260 * )
NEW met4 ( 129260 71740 ) ( * 91460 )
NEW met3 ( 129260 91460 ) ( 132710 * )
NEW met2 ( 129030 18530 ) ( * 71740 )
NEW met2 ( 132710 91460 ) ( * 104380 )
NEW li1 ( 133170 159970 ) L1M1_PR_MR
NEW met1 ( 131790 159970 ) M1M2_PR
NEW li1 ( 131790 178330 ) L1M1_PR_MR
NEW met1 ( 131790 178330 ) M1M2_PR
NEW met1 ( 133170 159970 ) M1M2_PR
NEW li1 ( 124430 18530 ) L1M1_PR_MR
NEW met1 ( 129030 18530 ) M1M2_PR
NEW met2 ( 129030 71740 ) M2M3_PR_M
NEW met3 ( 129260 71740 ) M3M4_PR_M
NEW met3 ( 129260 91460 ) M3M4_PR_M
NEW met2 ( 132710 91460 ) M2M3_PR_M
NEW met1 ( 131790 178330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 133170 159970 ) RECT ( -595 -70 0 70 )
NEW met3 ( 129030 71740 ) RECT ( -390 -150 0 150 ) ;
- net493 ( output493 A ) ( _549_ X ) + USE SIGNAL
+ ROUTED met1 ( 75670 22950 ) ( 76590 * )
NEW met2 ( 76590 22950 ) ( * 24990 )
NEW met1 ( 76590 24990 ) ( 83490 * )
NEW li1 ( 75670 22950 ) L1M1_PR_MR
NEW met1 ( 76590 22950 ) M1M2_PR
NEW met1 ( 76590 24990 ) M1M2_PR
NEW li1 ( 83490 24990 ) L1M1_PR_MR ;
- net494 ( ANTENNA_output494_A DIODE ) ( output494 A ) ( _550_ X ) + USE SIGNAL
+ ROUTED met1 ( 190670 97070 ) ( 198490 * )
NEW li1 ( 198490 97070 ) ( * 110400 )
NEW li1 ( 198950 110400 ) ( * 140590 )
NEW li1 ( 198490 110400 ) ( 198950 * )
NEW met3 ( 31740 158780 ) ( 31970 * )
NEW met3 ( 31740 158780 ) ( * 159460 )
NEW met3 ( 15870 159460 ) ( 31740 * )
NEW met2 ( 15870 158950 ) ( * 159460 )
NEW met1 ( 32430 139910 ) ( 41630 * )
NEW met1 ( 41630 139570 ) ( * 139910 )
NEW met2 ( 31970 158100 ) ( 32430 * )
NEW met2 ( 32430 139910 ) ( * 158100 )
NEW met2 ( 31970 158100 ) ( * 158780 )
NEW met1 ( 158700 140590 ) ( 198950 * )
NEW met1 ( 158700 139570 ) ( * 140590 )
NEW met1 ( 41630 139570 ) ( 158700 * )
NEW li1 ( 190670 97070 ) L1M1_PR_MR
NEW li1 ( 198490 97070 ) L1M1_PR_MR
NEW li1 ( 198950 140590 ) L1M1_PR_MR
NEW met2 ( 31970 158780 ) M2M3_PR_M
NEW met2 ( 15870 159460 ) M2M3_PR_M
NEW li1 ( 15870 158950 ) L1M1_PR_MR
NEW met1 ( 15870 158950 ) M1M2_PR
NEW li1 ( 32430 139910 ) L1M1_PR_MR
NEW met1 ( 32430 139910 ) M1M2_PR
NEW met1 ( 15870 158950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 32430 139910 ) RECT ( -595 -70 0 70 ) ;
- net495 ( output495 A ) ( _551_ X ) + USE SIGNAL
+ ROUTED met1 ( 132250 21250 ) ( 135010 * )
NEW met2 ( 135010 21250 ) ( * 26010 )
NEW li1 ( 132250 21250 ) L1M1_PR_MR
NEW met1 ( 135010 21250 ) M1M2_PR
NEW li1 ( 135010 26010 ) L1M1_PR_MR
NEW met1 ( 135010 26010 ) M1M2_PR
NEW met1 ( 135010 26010 ) RECT ( -355 -70 0 70 ) ;
- net496 ( output496 A ) ( _533_ X ) + USE SIGNAL
+ ROUTED met2 ( 41630 168130 ) ( * 175270 )
NEW li1 ( 41630 168130 ) L1M1_PR_MR
NEW met1 ( 41630 168130 ) M1M2_PR
NEW li1 ( 41630 175270 ) L1M1_PR_MR
NEW met1 ( 41630 175270 ) M1M2_PR
NEW met1 ( 41630 168130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 41630 175270 ) RECT ( -355 -70 0 70 ) ;
- net497 ( ANTENNA_output497_A DIODE ) ( output497 A ) ( _552_ X ) + USE SIGNAL
+ ROUTED met1 ( 35190 43010 ) ( 48530 * )
NEW met1 ( 37950 20570 ) ( 39330 * )
NEW met2 ( 39330 20570 ) ( * 43010 )
NEW met1 ( 35190 145010 ) ( 35650 * )
NEW met2 ( 35190 43010 ) ( * 145010 )
NEW li1 ( 48530 43010 ) L1M1_PR_MR
NEW met1 ( 35190 43010 ) M1M2_PR
NEW li1 ( 37950 20570 ) L1M1_PR_MR
NEW met1 ( 39330 20570 ) M1M2_PR
NEW met1 ( 39330 43010 ) M1M2_PR
NEW met1 ( 35190 145010 ) M1M2_PR
NEW li1 ( 35650 145010 ) L1M1_PR_MR
NEW met1 ( 39330 43010 ) RECT ( -595 -70 0 70 ) ;
- net498 ( ANTENNA_output498_A DIODE ) ( output498 A ) ( _553_ X ) + USE SIGNAL
+ ROUTED met1 ( 104650 177990 ) ( * 178330 )
NEW met1 ( 102810 178330 ) ( 104650 * )
NEW met1 ( 101890 18190 ) ( 103270 * )
NEW met2 ( 101890 18190 ) ( * 25500 )
NEW met2 ( 101890 25500 ) ( 102350 * )
NEW met2 ( 102350 25500 ) ( * 55250 )
NEW met1 ( 102350 55250 ) ( 105570 * )
NEW met1 ( 104650 152830 ) ( 105570 * )
NEW met2 ( 104650 152830 ) ( * 177990 )
NEW met2 ( 105570 55250 ) ( * 152830 )
NEW met1 ( 104650 177990 ) M1M2_PR
NEW li1 ( 102810 178330 ) L1M1_PR_MR
NEW li1 ( 103270 18190 ) L1M1_PR_MR
NEW met1 ( 101890 18190 ) M1M2_PR
NEW met1 ( 102350 55250 ) M1M2_PR
NEW met1 ( 105570 55250 ) M1M2_PR
NEW li1 ( 104650 152830 ) L1M1_PR_MR
NEW met1 ( 105570 152830 ) M1M2_PR
NEW met1 ( 104650 152830 ) M1M2_PR
NEW met1 ( 104650 152830 ) RECT ( -595 -70 0 70 ) ;
- net499 ( ANTENNA_output499_A DIODE ) ( output499 A ) ( _554_ X ) + USE SIGNAL
+ ROUTED met2 ( 15870 118490 ) ( * 121550 )
NEW met1 ( 15870 121550 ) ( 19550 * )
NEW met1 ( 101430 120870 ) ( * 121550 )
NEW met1 ( 19550 121550 ) ( 101430 * )
NEW met1 ( 101430 120870 ) ( 149730 * )
NEW li1 ( 19550 121550 ) L1M1_PR_MR
NEW li1 ( 15870 118490 ) L1M1_PR_MR
NEW met1 ( 15870 118490 ) M1M2_PR
NEW met1 ( 15870 121550 ) M1M2_PR
NEW li1 ( 149730 120870 ) L1M1_PR_MR
NEW met1 ( 15870 118490 ) RECT ( -355 -70 0 70 ) ;
- net5 ( input5 X ) ( _634_ A ) ( _762_ A ) + USE SIGNAL
+ ROUTED met2 ( 125810 165410 ) ( * 180710 )
NEW met1 ( 125810 185810 ) ( 127190 * )
NEW met2 ( 125810 180710 ) ( * 185810 )
NEW li1 ( 125810 180710 ) L1M1_PR_MR
NEW met1 ( 125810 180710 ) M1M2_PR
NEW li1 ( 125810 165410 ) L1M1_PR_MR
NEW met1 ( 125810 165410 ) M1M2_PR
NEW li1 ( 127190 185810 ) L1M1_PR_MR
NEW met1 ( 125810 185810 ) M1M2_PR
NEW met1 ( 125810 180710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 125810 165410 ) RECT ( -355 -70 0 70 ) ;
- net50 ( input50 X ) ( _560_ A ) ( _688_ A ) + USE SIGNAL
+ ROUTED met1 ( 9430 131750 ) ( * 132770 )
NEW met2 ( 14950 132770 ) ( * 137190 )
NEW met1 ( 9430 132770 ) ( 14950 * )
NEW met1 ( 13570 137190 ) ( 33810 * )
NEW met1 ( 32430 158610 ) ( 33810 * )
NEW met2 ( 32430 158610 ) ( * 160990 )
NEW met1 ( 28290 160990 ) ( 32430 * )
NEW met2 ( 33810 137190 ) ( * 158610 )
NEW li1 ( 9430 131750 ) L1M1_PR_MR
NEW li1 ( 13570 137190 ) L1M1_PR_MR
NEW met1 ( 33810 137190 ) M1M2_PR
NEW met1 ( 14950 132770 ) M1M2_PR
NEW met1 ( 14950 137190 ) M1M2_PR
NEW met1 ( 33810 158610 ) M1M2_PR
NEW met1 ( 32430 158610 ) M1M2_PR
NEW met1 ( 32430 160990 ) M1M2_PR
NEW li1 ( 28290 160990 ) L1M1_PR_MR
NEW met1 ( 14950 137190 ) RECT ( -595 -70 0 70 ) ;
- net500 ( output500 A ) ( _555_ X ) + USE SIGNAL
+ ROUTED met2 ( 92230 22950 ) ( * 27710 )
NEW li1 ( 92230 22950 ) L1M1_PR_MR
NEW met1 ( 92230 22950 ) M1M2_PR
NEW li1 ( 92230 27710 ) L1M1_PR_MR
NEW met1 ( 92230 27710 ) M1M2_PR
NEW met1 ( 92230 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 92230 27710 ) RECT ( -355 -70 0 70 ) ;
- net501 ( ANTENNA_output501_A DIODE ) ( output501 A ) ( _556_ X ) + USE SIGNAL
+ ROUTED met1 ( 104190 167110 ) ( 106490 * )
NEW met2 ( 104190 167110 ) ( * 178500 )
NEW met2 ( 104190 178500 ) ( 104650 * )
NEW met2 ( 104650 178500 ) ( * 180370 )
NEW met1 ( 101890 180370 ) ( 104650 * )
NEW met2 ( 106490 155890 ) ( * 167110 )
NEW met1 ( 146970 157250 ) ( 147430 * )
NEW met2 ( 147430 157250 ) ( * 161500 )
NEW met3 ( 147430 161500 ) ( 177790 * )
NEW met2 ( 177790 161500 ) ( * 164390 )
NEW met1 ( 177790 164390 ) ( 179170 * )
NEW met2 ( 146510 155890 ) ( * 157250 )
NEW met1 ( 146510 157250 ) ( 146970 * )
NEW met1 ( 106490 155890 ) ( 146510 * )
NEW met1 ( 106490 167110 ) M1M2_PR
NEW met1 ( 104190 167110 ) M1M2_PR
NEW met1 ( 104650 180370 ) M1M2_PR
NEW li1 ( 101890 180370 ) L1M1_PR_MR
NEW met1 ( 106490 155890 ) M1M2_PR
NEW li1 ( 146970 157250 ) L1M1_PR_MR
NEW met1 ( 147430 157250 ) M1M2_PR
NEW met2 ( 147430 161500 ) M2M3_PR_M
NEW met2 ( 177790 161500 ) M2M3_PR_M
NEW met1 ( 177790 164390 ) M1M2_PR
NEW li1 ( 179170 164390 ) L1M1_PR_MR
NEW met1 ( 146510 155890 ) M1M2_PR
NEW met1 ( 146510 157250 ) M1M2_PR ;
- net502 ( ANTENNA_output502_A DIODE ) ( output502 A ) ( _557_ X ) + USE SIGNAL
+ ROUTED met1 ( 166750 79390 ) ( 175950 * )
NEW met1 ( 182390 80070 ) ( * 80410 )
NEW met1 ( 179400 80070 ) ( 182390 * )
NEW met1 ( 179400 80070 ) ( * 80750 )
NEW met1 ( 175950 80750 ) ( 179400 * )
NEW met1 ( 175950 79390 ) ( * 80750 )
NEW met3 ( 166060 50660 ) ( 166750 * )
NEW met2 ( 166750 50660 ) ( * 79390 )
NEW met1 ( 150650 16830 ) ( 154330 * )
NEW met2 ( 154330 16660 ) ( * 16830 )
NEW met3 ( 154330 16660 ) ( 166060 * )
NEW met4 ( 166060 16660 ) ( * 50660 )
NEW li1 ( 175950 79390 ) L1M1_PR_MR
NEW met1 ( 166750 79390 ) M1M2_PR
NEW li1 ( 182390 80410 ) L1M1_PR_MR
NEW met3 ( 166060 50660 ) M3M4_PR_M
NEW met2 ( 166750 50660 ) M2M3_PR_M
NEW li1 ( 150650 16830 ) L1M1_PR_MR
NEW met1 ( 154330 16830 ) M1M2_PR
NEW met2 ( 154330 16660 ) M2M3_PR_M
NEW met3 ( 166060 16660 ) M3M4_PR_M ;
- net503 ( output503 A ) ( _558_ X ) + USE SIGNAL
+ ROUTED met1 ( 173650 33830 ) ( 174570 * )
NEW met2 ( 173650 33830 ) ( * 44030 )
NEW met1 ( 170890 44030 ) ( 173650 * )
NEW li1 ( 174570 33830 ) L1M1_PR_MR
NEW met1 ( 173650 33830 ) M1M2_PR
NEW met1 ( 173650 44030 ) M1M2_PR
NEW li1 ( 170890 44030 ) L1M1_PR_MR ;
- net504 ( ANTENNA_output504_A DIODE ) ( output504 A ) ( _559_ X ) + USE SIGNAL
+ ROUTED met2 ( 90390 124610 ) ( * 126650 )
NEW met1 ( 185610 126310 ) ( * 126650 )
NEW met1 ( 175950 126650 ) ( 185610 * )
NEW met2 ( 47610 124610 ) ( * 125630 )
NEW met1 ( 47610 124610 ) ( 90390 * )
NEW met1 ( 123970 126650 ) ( * 127330 )
NEW met1 ( 123970 127330 ) ( 128110 * )
NEW met1 ( 128110 126650 ) ( * 127330 )
NEW met1 ( 90390 126650 ) ( 123970 * )
NEW met1 ( 128110 126650 ) ( 175950 * )
NEW met1 ( 90390 124610 ) M1M2_PR
NEW met1 ( 90390 126650 ) M1M2_PR
NEW li1 ( 175950 126650 ) L1M1_PR_MR
NEW li1 ( 185610 126310 ) L1M1_PR_MR
NEW met1 ( 47610 124610 ) M1M2_PR
NEW li1 ( 47610 125630 ) L1M1_PR_MR
NEW met1 ( 47610 125630 ) M1M2_PR
NEW met1 ( 47610 125630 ) RECT ( -355 -70 0 70 ) ;
- net505 ( ANTENNA_output505_A DIODE ) ( output505 A ) ( _560_ X ) + USE SIGNAL
+ ROUTED met2 ( 8970 86020 ) ( 10350 * )
NEW met2 ( 12650 48450 ) ( * 49470 )
NEW met1 ( 8970 49470 ) ( 12650 * )
NEW met2 ( 13110 42330 ) ( * 47770 )
NEW met2 ( 12650 47770 ) ( 13110 * )
NEW met2 ( 12650 47770 ) ( * 48450 )
NEW met2 ( 8970 49470 ) ( * 86020 )
NEW met2 ( 10350 86020 ) ( * 110400 )
NEW met2 ( 10350 110400 ) ( 10810 * )
NEW met2 ( 10810 110400 ) ( * 131410 )
NEW met1 ( 10350 131410 ) ( 10810 * )
NEW met2 ( 36110 48450 ) ( * 49470 )
NEW met1 ( 13110 42330 ) ( 15870 * )
NEW met1 ( 12650 48450 ) ( 36110 * )
NEW met1 ( 12650 48450 ) M1M2_PR
NEW met1 ( 12650 49470 ) M1M2_PR
NEW met1 ( 8970 49470 ) M1M2_PR
NEW met1 ( 13110 42330 ) M1M2_PR
NEW met1 ( 10810 131410 ) M1M2_PR
NEW li1 ( 10350 131410 ) L1M1_PR_MR
NEW met1 ( 36110 48450 ) M1M2_PR
NEW li1 ( 36110 49470 ) L1M1_PR_MR
NEW met1 ( 36110 49470 ) M1M2_PR
NEW li1 ( 15870 42330 ) L1M1_PR_MR
NEW met1 ( 36110 49470 ) RECT ( -355 -70 0 70 ) ;
- net506 ( ANTENNA_output506_A DIODE ) ( output506 A ) ( _561_ X ) + USE SIGNAL
+ ROUTED met3 ( 25300 160140 ) ( 25530 * )
NEW met2 ( 25530 160140 ) ( * 180030 )
NEW met3 ( 25300 151300 ) ( 27370 * )
NEW met2 ( 27370 139570 ) ( * 151300 )
NEW met1 ( 27370 139570 ) ( 40250 * )
NEW met4 ( 25300 151300 ) ( * 160140 )
NEW met1 ( 36570 47090 ) ( 40250 * )
NEW met2 ( 36570 28900 ) ( * 47090 )
NEW met3 ( 22770 28900 ) ( 36570 * )
NEW met2 ( 22770 26010 ) ( * 28900 )
NEW met1 ( 22770 26010 ) ( 23230 * )
NEW met2 ( 40250 47090 ) ( * 139570 )
NEW met3 ( 25300 160140 ) M3M4_PR_M
NEW met2 ( 25530 160140 ) M2M3_PR_M
NEW li1 ( 25530 180030 ) L1M1_PR_MR
NEW met1 ( 25530 180030 ) M1M2_PR
NEW met3 ( 25300 151300 ) M3M4_PR_M
NEW met2 ( 27370 151300 ) M2M3_PR_M
NEW met1 ( 27370 139570 ) M1M2_PR
NEW met1 ( 40250 139570 ) M1M2_PR
NEW li1 ( 40250 47090 ) L1M1_PR_MR
NEW met1 ( 36570 47090 ) M1M2_PR
NEW met2 ( 36570 28900 ) M2M3_PR_M
NEW met2 ( 22770 28900 ) M2M3_PR_M
NEW met1 ( 22770 26010 ) M1M2_PR
NEW li1 ( 23230 26010 ) L1M1_PR_MR
NEW met1 ( 40250 47090 ) M1M2_PR
NEW met3 ( 25300 160140 ) RECT ( -390 -150 0 150 )
NEW met1 ( 25530 180030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 40250 47090 ) RECT ( -595 -70 0 70 ) ;
- net507 ( output507 A ) ( _534_ X ) + USE SIGNAL
+ ROUTED met2 ( 56810 176290 ) ( * 178330 )
NEW met1 ( 56810 178330 ) ( 57730 * )
NEW li1 ( 56810 176290 ) L1M1_PR_MR
NEW met1 ( 56810 176290 ) M1M2_PR
NEW met1 ( 56810 178330 ) M1M2_PR
NEW li1 ( 57730 178330 ) L1M1_PR_MR
NEW met1 ( 56810 176290 ) RECT ( -355 -70 0 70 ) ;
- net508 ( output508 A ) ( _562_ X ) + USE SIGNAL
+ ROUTED met2 ( 150650 178670 ) ( * 183090 )
NEW met1 ( 150650 178670 ) ( 169050 * )
NEW met2 ( 169050 171700 ) ( * 178670 )
NEW met3 ( 169050 171700 ) ( 172270 * )
NEW met3 ( 172270 171020 ) ( * 171700 )
NEW met2 ( 172270 167450 ) ( * 171020 )
NEW met1 ( 172270 167450 ) ( 175030 * )
NEW met1 ( 138230 183090 ) ( 150650 * )
NEW li1 ( 138230 183090 ) L1M1_PR_MR
NEW met1 ( 150650 183090 ) M1M2_PR
NEW met1 ( 150650 178670 ) M1M2_PR
NEW met1 ( 169050 178670 ) M1M2_PR
NEW met2 ( 169050 171700 ) M2M3_PR_M
NEW met2 ( 172270 171020 ) M2M3_PR_M
NEW met1 ( 172270 167450 ) M1M2_PR
NEW li1 ( 175030 167450 ) L1M1_PR_MR ;
- net509 ( ANTENNA_output509_A DIODE ) ( output509 A ) ( _563_ X ) + USE SIGNAL
+ ROUTED met2 ( 183310 181730 ) ( * 185300 )
NEW met1 ( 97750 175270 ) ( * 175610 )
NEW met1 ( 97750 175270 ) ( 99130 * )
NEW met1 ( 99130 175270 ) ( * 175610 )
NEW met1 ( 99130 175610 ) ( 105570 * )
NEW met2 ( 105570 175610 ) ( * 185300 )
NEW met3 ( 105570 185300 ) ( 183310 * )
NEW met1 ( 48990 175270 ) ( * 175610 )
NEW met1 ( 48990 175610 ) ( 68770 * )
NEW met2 ( 68770 175610 ) ( * 177140 )
NEW met3 ( 68770 177140 ) ( 90620 * )
NEW met4 ( 90620 172380 ) ( * 177140 )
NEW met3 ( 90620 172380 ) ( 95450 * )
NEW met2 ( 95450 172380 ) ( * 175610 )
NEW met1 ( 58190 157250 ) ( 62330 * )
NEW met2 ( 62330 157250 ) ( * 163540 )
NEW met2 ( 61870 163540 ) ( 62330 * )
NEW met2 ( 61870 163540 ) ( * 169660 )
NEW met2 ( 61410 169660 ) ( 61870 * )
NEW met2 ( 61410 169660 ) ( * 175610 )
NEW met1 ( 46230 175270 ) ( 48990 * )
NEW met1 ( 95450 175610 ) ( 97750 * )
NEW li1 ( 183310 181730 ) L1M1_PR_MR
NEW met1 ( 183310 181730 ) M1M2_PR
NEW met2 ( 183310 185300 ) M2M3_PR_M
NEW li1 ( 46230 175270 ) L1M1_PR_MR
NEW met1 ( 105570 175610 ) M1M2_PR
NEW met2 ( 105570 185300 ) M2M3_PR_M
NEW met1 ( 68770 175610 ) M1M2_PR
NEW met2 ( 68770 177140 ) M2M3_PR_M
NEW met3 ( 90620 177140 ) M3M4_PR_M
NEW met3 ( 90620 172380 ) M3M4_PR_M
NEW met2 ( 95450 172380 ) M2M3_PR_M
NEW met1 ( 95450 175610 ) M1M2_PR
NEW li1 ( 58190 157250 ) L1M1_PR_MR
NEW met1 ( 62330 157250 ) M1M2_PR
NEW met1 ( 61410 175610 ) M1M2_PR
NEW met1 ( 183310 181730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 61410 175610 ) RECT ( -595 -70 0 70 ) ;
- net51 ( input51 X ) ( _561_ A ) ( _689_ A ) + USE SIGNAL
+ ROUTED met1 ( 34270 185810 ) ( 37490 * )
NEW met2 ( 37490 159970 ) ( * 185810 )
NEW met1 ( 35650 159970 ) ( 37490 * )
NEW met1 ( 26050 180710 ) ( 26450 * )
NEW met1 ( 26050 180370 ) ( * 180710 )
NEW met1 ( 26050 180370 ) ( 32430 * )
NEW met1 ( 32430 180030 ) ( * 180370 )
NEW met1 ( 32430 180030 ) ( 36570 * )
NEW met2 ( 36570 180030 ) ( * 181220 )
NEW met2 ( 36570 181220 ) ( 37490 * )
NEW li1 ( 34270 185810 ) L1M1_PR_MR
NEW met1 ( 37490 185810 ) M1M2_PR
NEW met1 ( 37490 159970 ) M1M2_PR
NEW li1 ( 35650 159970 ) L1M1_PR_MR
NEW li1 ( 26450 180710 ) L1M1_PR_MR
NEW met1 ( 36570 180030 ) M1M2_PR ;
- net510 ( output510 A ) ( _564_ X ) + USE SIGNAL
+ ROUTED met1 ( 170430 102510 ) ( * 102850 )
NEW met1 ( 170430 102510 ) ( 182390 * )
NEW met1 ( 182390 102170 ) ( * 102510 )
NEW met1 ( 148350 102850 ) ( 170430 * )
NEW li1 ( 182390 102170 ) L1M1_PR_MR
NEW li1 ( 148350 102850 ) L1M1_PR_MR ;
- net511 ( output511 A ) ( _565_ X ) + USE SIGNAL
+ ROUTED met1 ( 27370 164050 ) ( * 164730 )
NEW met1 ( 20470 164730 ) ( 27370 * )
NEW met1 ( 20470 164390 ) ( * 164730 )
NEW met2 ( 62330 164050 ) ( * 177650 )
NEW met1 ( 62330 177650 ) ( 70610 * )
NEW met2 ( 70610 177650 ) ( * 183430 )
NEW met1 ( 70150 183430 ) ( 70610 * )
NEW met1 ( 27370 164050 ) ( 62330 * )
NEW li1 ( 20470 164390 ) L1M1_PR_MR
NEW met1 ( 62330 164050 ) M1M2_PR
NEW met1 ( 62330 177650 ) M1M2_PR
NEW met1 ( 70610 177650 ) M1M2_PR
NEW met1 ( 70610 183430 ) M1M2_PR
NEW li1 ( 70150 183430 ) L1M1_PR_MR ;
- net512 ( output512 A ) ( _566_ X ) + USE SIGNAL
+ ROUTED met2 ( 182390 39950 ) ( * 44710 )
NEW met1 ( 182390 44710 ) ( 185610 * )
NEW met1 ( 141910 39950 ) ( 182390 * )
NEW li1 ( 141910 39950 ) L1M1_PR_MR
NEW met1 ( 182390 39950 ) M1M2_PR
NEW met1 ( 182390 44710 ) M1M2_PR
NEW li1 ( 185610 44710 ) L1M1_PR_MR ;
- net513 ( ANTENNA_output513_A DIODE ) ( output513 A ) ( _567_ X ) + USE SIGNAL
+ ROUTED met2 ( 62790 154190 ) ( * 155550 )
NEW met1 ( 62790 154190 ) ( 110400 * )
NEW met1 ( 138690 154190 ) ( * 154530 )
NEW met1 ( 110400 154530 ) ( 138690 * )
NEW met1 ( 110400 154190 ) ( * 154530 )
NEW met1 ( 158470 148070 ) ( 166750 * )
NEW met1 ( 166750 148070 ) ( * 148410 )
NEW met1 ( 166750 148410 ) ( 183770 * )
NEW met2 ( 183770 148410 ) ( * 153510 )
NEW met1 ( 183770 153510 ) ( 185610 * )
NEW met2 ( 157550 148070 ) ( * 154190 )
NEW met1 ( 157550 148070 ) ( 158470 * )
NEW met1 ( 138690 154190 ) ( 157550 * )
NEW met1 ( 62790 154190 ) M1M2_PR
NEW li1 ( 62790 155550 ) L1M1_PR_MR
NEW met1 ( 62790 155550 ) M1M2_PR
NEW li1 ( 158470 148070 ) L1M1_PR_MR
NEW met1 ( 183770 148410 ) M1M2_PR
NEW met1 ( 183770 153510 ) M1M2_PR
NEW li1 ( 185610 153510 ) L1M1_PR_MR
NEW met1 ( 157550 154190 ) M1M2_PR
NEW met1 ( 157550 148070 ) M1M2_PR
NEW met1 ( 62790 155550 ) RECT ( -355 -70 0 70 ) ;
- net514 ( ANTENNA_output514_A DIODE ) ( output514 A ) ( _568_ X ) + USE SIGNAL
+ ROUTED met1 ( 106490 178330 ) ( 109250 * )
NEW met2 ( 106950 18190 ) ( * 155550 )
NEW met2 ( 109250 155550 ) ( * 178330 )
NEW met1 ( 106950 155550 ) ( 112010 * )
NEW met1 ( 109250 178330 ) M1M2_PR
NEW li1 ( 106490 178330 ) L1M1_PR_MR
NEW li1 ( 106950 18190 ) L1M1_PR_MR
NEW met1 ( 106950 18190 ) M1M2_PR
NEW met1 ( 106950 155550 ) M1M2_PR
NEW met1 ( 109250 155550 ) M1M2_PR
NEW li1 ( 112010 155550 ) L1M1_PR_MR
NEW met1 ( 106950 18190 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109250 155550 ) RECT ( -595 -70 0 70 ) ;
- net515 ( output515 A ) ( _569_ X ) + USE SIGNAL
+ ROUTED met1 ( 20010 22950 ) ( 22770 * )
NEW met2 ( 20010 22950 ) ( * 48450 )
NEW met2 ( 20010 48450 ) ( 20470 * )
NEW met2 ( 20470 48450 ) ( * 55250 )
NEW met1 ( 15410 55250 ) ( 20470 * )
NEW met2 ( 15410 55250 ) ( * 57630 )
NEW li1 ( 22770 22950 ) L1M1_PR_MR
NEW met1 ( 20010 22950 ) M1M2_PR
NEW met1 ( 20470 55250 ) M1M2_PR
NEW met1 ( 15410 55250 ) M1M2_PR
NEW li1 ( 15410 57630 ) L1M1_PR_MR
NEW met1 ( 15410 57630 ) M1M2_PR
NEW met1 ( 15410 57630 ) RECT ( -355 -70 0 70 ) ;
- net516 ( output516 A ) ( _570_ X ) + USE SIGNAL
+ ROUTED met1 ( 98670 26010 ) ( * 26350 )
NEW met1 ( 98670 26350 ) ( 104190 * )
NEW met2 ( 104190 26350 ) ( * 41650 )
NEW met1 ( 104190 41650 ) ( 110170 * )
NEW li1 ( 98670 26010 ) L1M1_PR_MR
NEW met1 ( 104190 26350 ) M1M2_PR
NEW met1 ( 104190 41650 ) M1M2_PR
NEW li1 ( 110170 41650 ) L1M1_PR_MR ;
- net517 ( ANTENNA_output517_A DIODE ) ( output517 A ) ( _571_ X ) + USE SIGNAL
+ ROUTED met1 ( 50830 40290 ) ( 53130 * )
NEW met1 ( 52670 20570 ) ( 53590 * )
NEW met2 ( 52670 20570 ) ( * 40290 )
NEW met2 ( 50830 40290 ) ( * 110400 )
NEW met2 ( 50830 110400 ) ( 51290 * )
NEW met3 ( 51290 158100 ) ( 51980 * )
NEW met4 ( 51980 158100 ) ( * 170340 )
NEW met3 ( 51290 170340 ) ( 51980 * )
NEW met2 ( 51290 170340 ) ( * 180030 )
NEW met1 ( 51290 180030 ) ( 52210 * )
NEW met2 ( 51290 110400 ) ( * 158100 )
NEW li1 ( 53130 40290 ) L1M1_PR_MR
NEW met1 ( 50830 40290 ) M1M2_PR
NEW li1 ( 53590 20570 ) L1M1_PR_MR
NEW met1 ( 52670 20570 ) M1M2_PR
NEW met1 ( 52670 40290 ) M1M2_PR
NEW met2 ( 51290 158100 ) M2M3_PR_M
NEW met3 ( 51980 158100 ) M3M4_PR_M
NEW met3 ( 51980 170340 ) M3M4_PR_M
NEW met2 ( 51290 170340 ) M2M3_PR_M
NEW met1 ( 51290 180030 ) M1M2_PR
NEW li1 ( 52210 180030 ) L1M1_PR_MR
NEW met1 ( 52670 40290 ) RECT ( -595 -70 0 70 ) ;
- net518 ( ANTENNA_output518_A DIODE ) ( output518 A ) ( _535_ X ) + USE SIGNAL
+ ROUTED met1 ( 165370 67490 ) ( 166290 * )
NEW met2 ( 166290 67490 ) ( * 79900 )
NEW met2 ( 166290 79900 ) ( 166750 * )
NEW met2 ( 166750 79900 ) ( * 107950 )
NEW met1 ( 166750 107950 ) ( 195730 * )
NEW met1 ( 166290 66470 ) ( 169050 * )
NEW met2 ( 166290 66470 ) ( * 67490 )
NEW met1 ( 189750 128350 ) ( 195730 * )
NEW li1 ( 195730 107950 ) ( * 128350 )
NEW met1 ( 169050 33830 ) ( 169510 * )
NEW met2 ( 169050 33830 ) ( * 66470 )
NEW li1 ( 165370 67490 ) L1M1_PR_MR
NEW met1 ( 166290 67490 ) M1M2_PR
NEW met1 ( 166750 107950 ) M1M2_PR
NEW li1 ( 195730 107950 ) L1M1_PR_MR
NEW met1 ( 169050 66470 ) M1M2_PR
NEW met1 ( 166290 66470 ) M1M2_PR
NEW li1 ( 195730 128350 ) L1M1_PR_MR
NEW li1 ( 189750 128350 ) L1M1_PR_MR
NEW met1 ( 169050 33830 ) M1M2_PR
NEW li1 ( 169510 33830 ) L1M1_PR_MR ;
- net519 ( output519 A ) ( _572_ X ) + USE SIGNAL
+ ROUTED met2 ( 14490 86700 ) ( 14950 * )
NEW met2 ( 14490 86700 ) ( * 109310 )
NEW met1 ( 14950 45390 ) ( 17710 * )
NEW met2 ( 17710 33150 ) ( * 45390 )
NEW met1 ( 17710 33150 ) ( 20470 * )
NEW met2 ( 20470 28390 ) ( * 33150 )
NEW met2 ( 20470 28390 ) ( 20930 * )
NEW met1 ( 20930 28390 ) ( 22770 * )
NEW met2 ( 14950 45390 ) ( * 86700 )
NEW li1 ( 14490 109310 ) L1M1_PR_MR
NEW met1 ( 14490 109310 ) M1M2_PR
NEW met1 ( 14950 45390 ) M1M2_PR
NEW met1 ( 17710 45390 ) M1M2_PR
NEW met1 ( 17710 33150 ) M1M2_PR
NEW met1 ( 20470 33150 ) M1M2_PR
NEW met1 ( 20930 28390 ) M1M2_PR
NEW li1 ( 22770 28390 ) L1M1_PR_MR
NEW met1 ( 14490 109310 ) RECT ( -355 -70 0 70 ) ;
- net52 ( input52 X ) ( _534_ A ) ( _662_ A ) + USE SIGNAL
+ ROUTED met1 ( 66010 177990 ) ( * 178330 )
NEW met2 ( 55890 175270 ) ( * 183430 )
NEW met1 ( 55890 177990 ) ( 66010 * )
NEW met1 ( 36570 183430 ) ( * 183770 )
NEW met1 ( 33810 183770 ) ( 36570 * )
NEW met1 ( 33810 183430 ) ( * 183770 )
NEW met1 ( 26050 183430 ) ( 33810 * )
NEW met1 ( 26050 183090 ) ( * 183430 )
NEW met1 ( 9890 183090 ) ( 26050 * )
NEW met1 ( 9890 183090 ) ( * 183430 )
NEW met1 ( 36570 183430 ) ( 55890 * )
NEW li1 ( 66010 178330 ) L1M1_PR_MR
NEW li1 ( 55890 175270 ) L1M1_PR_MR
NEW met1 ( 55890 175270 ) M1M2_PR
NEW met1 ( 55890 183430 ) M1M2_PR
NEW met1 ( 55890 177990 ) M1M2_PR
NEW li1 ( 9890 183430 ) L1M1_PR_MR
NEW met1 ( 55890 175270 ) RECT ( -355 -70 0 70 )
NEW met2 ( 55890 177990 ) RECT ( -70 -485 70 0 ) ;
- net520 ( output520 A ) ( _573_ X ) + USE SIGNAL
+ ROUTED met2 ( 48530 19890 ) ( * 20060 )
NEW met3 ( 48530 20060 ) ( 51750 * )
NEW met2 ( 51750 20060 ) ( * 20230 )
NEW met1 ( 51750 20230 ) ( 54050 * )
NEW met1 ( 54050 20230 ) ( * 20570 )
NEW met1 ( 54050 20570 ) ( 57730 * )
NEW met1 ( 29210 19890 ) ( 48530 * )
NEW li1 ( 29210 19890 ) L1M1_PR_MR
NEW met1 ( 48530 19890 ) M1M2_PR
NEW met2 ( 48530 20060 ) M2M3_PR_M
NEW met2 ( 51750 20060 ) M2M3_PR_M
NEW met1 ( 51750 20230 ) M1M2_PR
NEW li1 ( 57730 20570 ) L1M1_PR_MR ;
- net521 ( ANTENNA_output521_A DIODE ) ( output521 A ) ( _574_ X ) + USE SIGNAL
+ ROUTED met2 ( 161230 35020 ) ( * 61710 )
NEW met3 ( 159390 35020 ) ( 161230 * )
NEW met2 ( 159390 26010 ) ( * 35020 )
NEW met1 ( 159390 26010 ) ( 160770 * )
NEW met1 ( 161230 61710 ) ( 163990 * )
NEW met2 ( 163990 61710 ) ( * 131410 )
NEW met1 ( 157090 61710 ) ( 161230 * )
NEW met1 ( 161230 61710 ) M1M2_PR
NEW met2 ( 161230 35020 ) M2M3_PR_M
NEW met2 ( 159390 35020 ) M2M3_PR_M
NEW met1 ( 159390 26010 ) M1M2_PR
NEW li1 ( 160770 26010 ) L1M1_PR_MR
NEW met1 ( 163990 61710 ) M1M2_PR
NEW li1 ( 163990 131410 ) L1M1_PR_MR
NEW met1 ( 163990 131410 ) M1M2_PR
NEW li1 ( 157090 61710 ) L1M1_PR_MR
NEW met1 ( 163990 131410 ) RECT ( -355 -70 0 70 ) ;
- net522 ( ANTENNA_output522_A DIODE ) ( output522 A ) ( _575_ X ) + USE SIGNAL
+ ROUTED met2 ( 111090 94180 ) ( * 94690 )
NEW met3 ( 111090 94180 ) ( 135010 * )
NEW met2 ( 135010 93670 ) ( * 94180 )
NEW met1 ( 135010 93670 ) ( 137310 * )
NEW met1 ( 137310 93330 ) ( * 93670 )
NEW met1 ( 137310 93330 ) ( 142370 * )
NEW met1 ( 142370 93330 ) ( * 93670 )
NEW met1 ( 142370 93670 ) ( 152030 * )
NEW met1 ( 12190 94690 ) ( 111090 * )
NEW met1 ( 155710 178330 ) ( 156630 * )
NEW met1 ( 152030 150450 ) ( 155710 * )
NEW met1 ( 152030 150110 ) ( * 150450 )
NEW met2 ( 152030 93670 ) ( * 150110 )
NEW met2 ( 155710 150450 ) ( * 178330 )
NEW li1 ( 12190 94690 ) L1M1_PR_MR
NEW met1 ( 111090 94690 ) M1M2_PR
NEW met2 ( 111090 94180 ) M2M3_PR_M
NEW met2 ( 135010 94180 ) M2M3_PR_M
NEW met1 ( 135010 93670 ) M1M2_PR
NEW met1 ( 152030 93670 ) M1M2_PR
NEW met1 ( 155710 178330 ) M1M2_PR
NEW li1 ( 156630 178330 ) L1M1_PR_MR
NEW li1 ( 152030 150110 ) L1M1_PR_MR
NEW met1 ( 152030 150110 ) M1M2_PR
NEW met1 ( 155710 150450 ) M1M2_PR
NEW met1 ( 152030 150110 ) RECT ( -355 -70 0 70 ) ;
- net523 ( output523 A ) ( _576_ X ) + USE SIGNAL
+ ROUTED met1 ( 178710 82790 ) ( 180550 * )
NEW met3 ( 178710 56100 ) ( 180780 * )
NEW met2 ( 178710 56100 ) ( * 82790 )
NEW met1 ( 164910 16830 ) ( 169970 * )
NEW met2 ( 169970 15300 ) ( * 16830 )
NEW met3 ( 169970 15300 ) ( 180780 * )
NEW met4 ( 180780 15300 ) ( * 56100 )
NEW met1 ( 178710 82790 ) M1M2_PR
NEW li1 ( 180550 82790 ) L1M1_PR_MR
NEW met3 ( 180780 56100 ) M3M4_PR_M
NEW met2 ( 178710 56100 ) M2M3_PR_M
NEW li1 ( 164910 16830 ) L1M1_PR_MR
NEW met1 ( 169970 16830 ) M1M2_PR
NEW met2 ( 169970 15300 ) M2M3_PR_M
NEW met3 ( 180780 15300 ) M3M4_PR_M ;
- net524 ( ANTENNA_output524_A DIODE ) ( output524 A ) ( _577_ X ) + USE SIGNAL
+ ROUTED met2 ( 97290 113390 ) ( * 118830 )
NEW met1 ( 22310 120190 ) ( 41170 * )
NEW met2 ( 41170 118830 ) ( * 120190 )
NEW met1 ( 19550 118490 ) ( 22310 * )
NEW met2 ( 22310 118490 ) ( * 120190 )
NEW met1 ( 41170 118830 ) ( 97290 * )
NEW met1 ( 97290 113390 ) ( 111550 * )
NEW met1 ( 97290 118830 ) M1M2_PR
NEW met1 ( 97290 113390 ) M1M2_PR
NEW li1 ( 22310 120190 ) L1M1_PR_MR
NEW met1 ( 41170 120190 ) M1M2_PR
NEW met1 ( 41170 118830 ) M1M2_PR
NEW li1 ( 19550 118490 ) L1M1_PR_MR
NEW met1 ( 22310 118490 ) M1M2_PR
NEW met1 ( 22310 120190 ) M1M2_PR
NEW li1 ( 111550 113390 ) L1M1_PR_MR
NEW met1 ( 22310 120190 ) RECT ( -595 -70 0 70 ) ;
- net525 ( output525 A ) ( _578_ X ) + USE SIGNAL
+ ROUTED met1 ( 180090 64090 ) ( 182390 * )
NEW met1 ( 180090 64090 ) ( * 64770 )
NEW li1 ( 182390 64090 ) L1M1_PR_MR
NEW li1 ( 180090 64770 ) L1M1_PR_MR ;
- net526 ( output526 A ) ( _579_ X ) + USE SIGNAL
+ ROUTED met1 ( 123970 20570 ) ( 124430 * )
NEW met2 ( 124430 20570 ) ( * 44030 )
NEW li1 ( 123970 20570 ) L1M1_PR_MR
NEW met1 ( 124430 20570 ) M1M2_PR
NEW li1 ( 124430 44030 ) L1M1_PR_MR
NEW met1 ( 124430 44030 ) M1M2_PR
NEW met1 ( 124430 44030 ) RECT ( -355 -70 0 70 ) ;
- net527 ( output527 A ) ( _580_ X ) + USE SIGNAL
+ ROUTED met1 ( 160770 173570 ) ( 161230 * )
NEW met2 ( 161230 173570 ) ( * 177990 )
NEW met1 ( 154330 177990 ) ( * 178330 )
NEW met1 ( 154330 177990 ) ( 161230 * )
NEW li1 ( 160770 173570 ) L1M1_PR_MR
NEW met1 ( 161230 173570 ) M1M2_PR
NEW met1 ( 161230 177990 ) M1M2_PR
NEW li1 ( 154330 178330 ) L1M1_PR_MR ;
- net528 ( ANTENNA_output528_A DIODE ) ( output528 A ) ( _581_ X ) + USE SIGNAL
+ ROUTED met1 ( 19550 42330 ) ( * 43010 )
NEW met1 ( 19550 43010 ) ( 27830 * )
NEW met1 ( 12650 55930 ) ( 30590 * )
NEW met2 ( 12650 55930 ) ( * 177310 )
NEW met2 ( 27830 43010 ) ( * 55930 )
NEW li1 ( 12650 177310 ) L1M1_PR_MR
NEW met1 ( 12650 177310 ) M1M2_PR
NEW li1 ( 19550 42330 ) L1M1_PR_MR
NEW met1 ( 27830 43010 ) M1M2_PR
NEW li1 ( 30590 55930 ) L1M1_PR_MR
NEW met1 ( 12650 55930 ) M1M2_PR
NEW met1 ( 27830 55930 ) M1M2_PR
NEW met1 ( 12650 177310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 27830 55930 ) RECT ( -595 -70 0 70 ) ;
- net529 ( output529 A ) ( _536_ X ) + USE SIGNAL
+ ROUTED met1 ( 181470 115430 ) ( * 116110 )
NEW met1 ( 158470 116110 ) ( 181470 * )
NEW li1 ( 181470 115430 ) L1M1_PR_MR
NEW li1 ( 158470 116110 ) L1M1_PR_MR ;
- net53 ( ANTENNA__690__A DIODE ) ( ANTENNA__562__A DIODE ) ( input53 X ) ( _562_ A ) ( _690_ A ) + USE SIGNAL
+ ROUTED met1 ( 22310 181050 ) ( 43010 * )
NEW met1 ( 43010 181050 ) ( * 181730 )
NEW met1 ( 136850 183770 ) ( 137310 * )
NEW met2 ( 136850 183770 ) ( * 183940 )
NEW met3 ( 110170 183940 ) ( 136850 * )
NEW met2 ( 110170 183090 ) ( * 183940 )
NEW met2 ( 127190 167450 ) ( * 167620 )
NEW met3 ( 127190 167620 ) ( 127420 * )
NEW met4 ( 127420 167620 ) ( * 183940 )
NEW met1 ( 127190 166770 ) ( 139610 * )
NEW met2 ( 127190 166770 ) ( * 167450 )
NEW met2 ( 126270 162690 ) ( * 166770 )
NEW met1 ( 126270 166770 ) ( 127190 * )
NEW met1 ( 60490 180710 ) ( * 181730 )
NEW met1 ( 60490 180710 ) ( 61870 * )
NEW met1 ( 61870 180710 ) ( * 181050 )
NEW met1 ( 61870 181050 ) ( 85330 * )
NEW met2 ( 85330 181050 ) ( * 183090 )
NEW met1 ( 43010 181730 ) ( 60490 * )
NEW met1 ( 85330 183090 ) ( 110170 * )
NEW li1 ( 22310 181050 ) L1M1_PR_MR
NEW li1 ( 137310 183770 ) L1M1_PR_MR
NEW met1 ( 136850 183770 ) M1M2_PR
NEW met2 ( 136850 183940 ) M2M3_PR_M
NEW met2 ( 110170 183940 ) M2M3_PR_M
NEW met1 ( 110170 183090 ) M1M2_PR
NEW li1 ( 127190 167450 ) L1M1_PR_MR
NEW met1 ( 127190 167450 ) M1M2_PR
NEW met2 ( 127190 167620 ) M2M3_PR_M
NEW met3 ( 127420 167620 ) M3M4_PR_M
NEW met3 ( 127420 183940 ) M3M4_PR_M
NEW li1 ( 139610 166770 ) L1M1_PR_MR
NEW met1 ( 127190 166770 ) M1M2_PR
NEW li1 ( 126270 162690 ) L1M1_PR_MR
NEW met1 ( 126270 162690 ) M1M2_PR
NEW met1 ( 126270 166770 ) M1M2_PR
NEW met1 ( 85330 181050 ) M1M2_PR
NEW met1 ( 85330 183090 ) M1M2_PR
NEW met1 ( 127190 167450 ) RECT ( -355 -70 0 70 )
NEW met3 ( 127190 167620 ) RECT ( -390 -150 0 150 )
NEW met3 ( 127420 183940 ) RECT ( -800 -150 0 150 )
NEW met1 ( 126270 162690 ) RECT ( -355 -70 0 70 ) ;
- net530 ( output530 A ) ( _582_ X ) + USE SIGNAL
+ ROUTED met1 ( 165370 172890 ) ( 167210 * )
NEW met1 ( 166750 134130 ) ( 175950 * )
NEW met2 ( 166750 134130 ) ( * 148580 )
NEW met2 ( 166750 148580 ) ( 167210 * )
NEW met2 ( 167210 148580 ) ( * 172890 )
NEW met1 ( 167210 172890 ) M1M2_PR
NEW li1 ( 165370 172890 ) L1M1_PR_MR
NEW li1 ( 175950 134130 ) L1M1_PR_MR
NEW met1 ( 166750 134130 ) M1M2_PR ;
- net531 ( output531 A ) ( _583_ X ) + USE SIGNAL
+ ROUTED met2 ( 157090 168130 ) ( * 175270 )
NEW li1 ( 157090 168130 ) L1M1_PR_MR
NEW met1 ( 157090 168130 ) M1M2_PR
NEW li1 ( 157090 175270 ) L1M1_PR_MR
NEW met1 ( 157090 175270 ) M1M2_PR
NEW met1 ( 157090 168130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 157090 175270 ) RECT ( -355 -70 0 70 ) ;
- net532 ( ANTENNA_output532_A DIODE ) ( output532 A ) ( _584_ X ) + USE SIGNAL
+ ROUTED li1 ( 101430 90270 ) ( * 91630 )
NEW met2 ( 48530 91630 ) ( * 95710 )
NEW met1 ( 48530 91630 ) ( 101430 * )
NEW met2 ( 113390 90270 ) ( * 99790 )
NEW met1 ( 113390 99790 ) ( 115230 * )
NEW met2 ( 115230 99790 ) ( * 107270 )
NEW met1 ( 115230 107270 ) ( 116610 * )
NEW met1 ( 101430 90270 ) ( 113390 * )
NEW met1 ( 112470 175270 ) ( 112930 * )
NEW met1 ( 112470 154190 ) ( 117070 * )
NEW met2 ( 117070 133790 ) ( * 154190 )
NEW met2 ( 116610 133790 ) ( 117070 * )
NEW met2 ( 112470 154190 ) ( * 175270 )
NEW met2 ( 116610 107270 ) ( * 133790 )
NEW li1 ( 101430 91630 ) L1M1_PR_MR
NEW li1 ( 101430 90270 ) L1M1_PR_MR
NEW met1 ( 48530 91630 ) M1M2_PR
NEW li1 ( 48530 95710 ) L1M1_PR_MR
NEW met1 ( 48530 95710 ) M1M2_PR
NEW met1 ( 113390 90270 ) M1M2_PR
NEW met1 ( 113390 99790 ) M1M2_PR
NEW met1 ( 115230 99790 ) M1M2_PR
NEW met1 ( 115230 107270 ) M1M2_PR
NEW met1 ( 116610 107270 ) M1M2_PR
NEW met1 ( 112470 175270 ) M1M2_PR
NEW li1 ( 112930 175270 ) L1M1_PR_MR
NEW li1 ( 112470 154190 ) L1M1_PR_MR
NEW met1 ( 117070 154190 ) M1M2_PR
NEW met1 ( 112470 154190 ) M1M2_PR
NEW met1 ( 48530 95710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 112470 154190 ) RECT ( -595 -70 0 70 ) ;
- net533 ( output533 A ) ( _585_ X ) + USE SIGNAL
+ ROUTED met1 ( 175950 162010 ) ( 179170 * )
NEW met2 ( 175950 162010 ) ( * 163710 )
NEW met1 ( 167210 163710 ) ( 175950 * )
NEW li1 ( 179170 162010 ) L1M1_PR_MR
NEW met1 ( 175950 162010 ) M1M2_PR
NEW met1 ( 175950 163710 ) M1M2_PR
NEW li1 ( 167210 163710 ) L1M1_PR_MR ;
- net534 ( ANTENNA_output534_A DIODE ) ( output534 A ) ( _586_ X ) + USE SIGNAL
+ ROUTED met2 ( 165830 171020 ) ( * 186490 )
NEW met1 ( 165830 186490 ) ( 167210 * )
NEW met1 ( 36110 143650 ) ( 37490 * )
NEW met1 ( 24150 167450 ) ( 26450 * )
NEW met2 ( 26450 167450 ) ( * 171020 )
NEW met1 ( 37490 159290 ) ( 38410 * )
NEW met2 ( 38410 159290 ) ( * 166940 )
NEW met2 ( 38410 166940 ) ( 38870 * )
NEW met2 ( 38870 166940 ) ( * 171020 )
NEW met2 ( 37490 143650 ) ( * 159290 )
NEW met3 ( 26450 171020 ) ( 165830 * )
NEW met2 ( 165830 171020 ) M2M3_PR_M
NEW met1 ( 165830 186490 ) M1M2_PR
NEW li1 ( 167210 186490 ) L1M1_PR_MR
NEW li1 ( 36110 143650 ) L1M1_PR_MR
NEW met1 ( 37490 143650 ) M1M2_PR
NEW li1 ( 24150 167450 ) L1M1_PR_MR
NEW met1 ( 26450 167450 ) M1M2_PR
NEW met2 ( 26450 171020 ) M2M3_PR_M
NEW met1 ( 37490 159290 ) M1M2_PR
NEW met1 ( 38410 159290 ) M1M2_PR
NEW met2 ( 38870 171020 ) M2M3_PR_M
NEW met3 ( 38870 171020 ) RECT ( -800 -150 0 150 ) ;
- net535 ( output535 A ) ( _587_ X ) + USE SIGNAL
+ ROUTED met2 ( 96370 23970 ) ( * 27710 )
NEW met1 ( 60950 22950 ) ( * 23970 )
NEW met1 ( 60950 23970 ) ( 96370 * )
NEW met1 ( 96370 23970 ) M1M2_PR
NEW li1 ( 96370 27710 ) L1M1_PR_MR
NEW met1 ( 96370 27710 ) M1M2_PR
NEW li1 ( 60950 22950 ) L1M1_PR_MR
NEW met1 ( 96370 27710 ) RECT ( -355 -70 0 70 ) ;
- net536 ( output536 A ) ( _588_ X ) + USE SIGNAL
+ ROUTED met1 ( 154790 34170 ) ( * 34510 )
NEW met1 ( 154790 34170 ) ( 160310 * )
NEW met1 ( 160310 33490 ) ( * 34170 )
NEW met1 ( 160310 33490 ) ( 180090 * )
NEW met2 ( 180090 33490 ) ( * 39270 )
NEW met1 ( 180090 39270 ) ( 181930 * )
NEW li1 ( 154790 34510 ) L1M1_PR_MR
NEW met1 ( 180090 33490 ) M1M2_PR
NEW met1 ( 180090 39270 ) M1M2_PR
NEW li1 ( 181930 39270 ) L1M1_PR_MR ;
- net537 ( ANTENNA_output537_A DIODE ) ( output537 A ) ( _589_ X ) + USE SIGNAL
+ ROUTED met1 ( 96830 71910 ) ( * 72590 )
NEW met1 ( 49910 70210 ) ( 50370 * )
NEW met2 ( 50370 70210 ) ( * 71910 )
NEW met1 ( 50370 71910 ) ( 96830 * )
NEW li1 ( 121210 71230 ) ( * 72590 )
NEW met1 ( 96830 72590 ) ( 121210 * )
NEW met2 ( 152490 58650 ) ( * 58820 )
NEW met3 ( 152490 58820 ) ( 186070 * )
NEW met2 ( 186070 55590 ) ( * 58820 )
NEW met1 ( 186070 55590 ) ( 189290 * )
NEW met2 ( 152490 58820 ) ( * 71230 )
NEW met1 ( 121210 71230 ) ( 152490 * )
NEW li1 ( 49910 70210 ) L1M1_PR_MR
NEW met1 ( 50370 70210 ) M1M2_PR
NEW met1 ( 50370 71910 ) M1M2_PR
NEW li1 ( 121210 72590 ) L1M1_PR_MR
NEW li1 ( 121210 71230 ) L1M1_PR_MR
NEW li1 ( 152490 58650 ) L1M1_PR_MR
NEW met1 ( 152490 58650 ) M1M2_PR
NEW met2 ( 152490 58820 ) M2M3_PR_M
NEW met2 ( 186070 58820 ) M2M3_PR_M
NEW met1 ( 186070 55590 ) M1M2_PR
NEW li1 ( 189290 55590 ) L1M1_PR_MR
NEW met1 ( 152490 71230 ) M1M2_PR
NEW met1 ( 152490 58650 ) RECT ( -355 -70 0 70 ) ;
- net538 ( output538 A ) ( _590_ X ) + USE SIGNAL
+ ROUTED met1 ( 182390 123590 ) ( * 123930 )
NEW met1 ( 99130 120190 ) ( 110400 * )
NEW met1 ( 110400 120190 ) ( * 120530 )
NEW met1 ( 110400 120530 ) ( 134550 * )
NEW met2 ( 134550 120530 ) ( * 123590 )
NEW met1 ( 134550 123590 ) ( 182390 * )
NEW li1 ( 99130 120190 ) L1M1_PR_MR
NEW li1 ( 182390 123930 ) L1M1_PR_MR
NEW met1 ( 134550 120530 ) M1M2_PR
NEW met1 ( 134550 123590 ) M1M2_PR ;
- net539 ( output539 A ) ( _591_ X ) + USE SIGNAL
+ ROUTED met1 ( 86710 169830 ) ( 87170 * )
NEW met2 ( 86710 131070 ) ( * 169830 )
NEW met1 ( 86710 169830 ) M1M2_PR
NEW li1 ( 87170 169830 ) L1M1_PR_MR
NEW li1 ( 86710 131070 ) L1M1_PR_MR
NEW met1 ( 86710 131070 ) M1M2_PR
NEW met1 ( 86710 131070 ) RECT ( -355 -70 0 70 ) ;
- net54 ( input54 X ) ( _563_ A ) ( _691_ A ) + USE SIGNAL
+ ROUTED met1 ( 183770 180370 ) ( 184690 * )
NEW met1 ( 180090 150450 ) ( 184690 * )
NEW met1 ( 179170 137190 ) ( 180090 * )
NEW met2 ( 180090 137190 ) ( * 150450 )
NEW met2 ( 184690 150450 ) ( * 180370 )
NEW met1 ( 184690 180370 ) M1M2_PR
NEW li1 ( 183770 180370 ) L1M1_PR_MR
NEW li1 ( 180090 150450 ) L1M1_PR_MR
NEW met1 ( 184690 150450 ) M1M2_PR
NEW li1 ( 179170 137190 ) L1M1_PR_MR
NEW met1 ( 180090 137190 ) M1M2_PR
NEW met1 ( 180090 150450 ) M1M2_PR
NEW met1 ( 180090 150450 ) RECT ( -595 -70 0 70 ) ;
- net540 ( output540 A ) ( _537_ X ) + USE SIGNAL
+ ROUTED met1 ( 125810 14450 ) ( 136850 * )
NEW met2 ( 136850 14450 ) ( * 26010 )
NEW met1 ( 136850 26010 ) ( 138690 * )
NEW li1 ( 125810 14450 ) L1M1_PR_MR
NEW met1 ( 136850 14450 ) M1M2_PR
NEW met1 ( 136850 26010 ) M1M2_PR
NEW li1 ( 138690 26010 ) L1M1_PR_MR ;
- net541 ( ANTENNA_output541_A DIODE ) ( output541 A ) ( _592_ X ) + USE SIGNAL
+ ROUTED met1 ( 66010 47090 ) ( 66930 * )
NEW met2 ( 66930 47090 ) ( * 147390 )
NEW met3 ( 42780 158780 ) ( 43470 * )
NEW met3 ( 42780 158780 ) ( * 159460 )
NEW met3 ( 42550 159460 ) ( 42780 * )
NEW met2 ( 42550 159460 ) ( * 166770 )
NEW met2 ( 42550 166770 ) ( 43010 * )
NEW met2 ( 43010 166770 ) ( * 169150 )
NEW met1 ( 31050 169150 ) ( 43010 * )
NEW met1 ( 31050 169150 ) ( * 169830 )
NEW met1 ( 27830 169830 ) ( 31050 * )
NEW met1 ( 62100 147390 ) ( 66930 * )
NEW met1 ( 45310 147730 ) ( 62100 * )
NEW met1 ( 62100 147390 ) ( * 147730 )
NEW met1 ( 43470 147730 ) ( 45310 * )
NEW met2 ( 43470 147730 ) ( * 158780 )
NEW li1 ( 66010 47090 ) L1M1_PR_MR
NEW met1 ( 66930 47090 ) M1M2_PR
NEW met1 ( 66930 147390 ) M1M2_PR
NEW met2 ( 43470 158780 ) M2M3_PR_M
NEW met2 ( 42550 159460 ) M2M3_PR_M
NEW met1 ( 43010 169150 ) M1M2_PR
NEW li1 ( 27830 169830 ) L1M1_PR_MR
NEW li1 ( 45310 147730 ) L1M1_PR_MR
NEW met1 ( 43470 147730 ) M1M2_PR ;
- net542 ( output542 A ) ( _593_ X ) + USE SIGNAL
+ ROUTED met2 ( 180550 97410 ) ( * 98770 )
NEW met1 ( 180550 98770 ) ( 197110 * )
NEW met1 ( 189290 145690 ) ( 197110 * )
NEW li1 ( 197110 98770 ) ( * 145690 )
NEW li1 ( 180550 97410 ) L1M1_PR_MR
NEW met1 ( 180550 97410 ) M1M2_PR
NEW met1 ( 180550 98770 ) M1M2_PR
NEW li1 ( 197110 98770 ) L1M1_PR_MR
NEW li1 ( 197110 145690 ) L1M1_PR_MR
NEW li1 ( 189290 145690 ) L1M1_PR_MR
NEW met1 ( 180550 97410 ) RECT ( -355 -70 0 70 ) ;
- net543 ( ANTENNA_output543_A DIODE ) ( output543 A ) ( _594_ X ) + USE SIGNAL
+ ROUTED met1 ( 163990 169830 ) ( 164450 * )
NEW met2 ( 163990 19890 ) ( * 20060 )
NEW met3 ( 163990 20060 ) ( 164220 * )
NEW met2 ( 163990 141780 ) ( * 141950 )
NEW met3 ( 163990 141780 ) ( 164220 * )
NEW met2 ( 163990 141950 ) ( * 169830 )
NEW met4 ( 164220 20060 ) ( * 141780 )
NEW met1 ( 163990 169830 ) M1M2_PR
NEW li1 ( 164450 169830 ) L1M1_PR_MR
NEW li1 ( 163990 19890 ) L1M1_PR_MR
NEW met1 ( 163990 19890 ) M1M2_PR
NEW met2 ( 163990 20060 ) M2M3_PR_M
NEW met3 ( 164220 20060 ) M3M4_PR_M
NEW li1 ( 163990 141950 ) L1M1_PR_MR
NEW met1 ( 163990 141950 ) M1M2_PR
NEW met2 ( 163990 141780 ) M2M3_PR_M
NEW met3 ( 164220 141780 ) M3M4_PR_M
NEW met1 ( 163990 19890 ) RECT ( -355 -70 0 70 )
NEW met3 ( 163990 20060 ) RECT ( -390 -150 0 150 )
NEW met1 ( 163990 141950 ) RECT ( -355 -70 0 70 )
NEW met3 ( 163990 141780 ) RECT ( -390 -150 0 150 ) ;
- net544 ( ANTENNA_output544_A DIODE ) ( output544 A ) ( _595_ X ) + USE SIGNAL
+ ROUTED met1 ( 182850 16830 ) ( 183770 * )
NEW met2 ( 182850 16830 ) ( * 17340 )
NEW met3 ( 179860 17340 ) ( 182850 * )
NEW met3 ( 179860 16660 ) ( * 17340 )
NEW met3 ( 167900 16660 ) ( 179860 * )
NEW met4 ( 167900 16660 ) ( * 62100 )
NEW met4 ( 167900 62100 ) ( 168820 * )
NEW met2 ( 169050 139060 ) ( * 139230 )
NEW met3 ( 168820 139060 ) ( 169050 * )
NEW met1 ( 169050 144670 ) ( 174570 * )
NEW met2 ( 169050 139230 ) ( * 144670 )
NEW met4 ( 168820 62100 ) ( * 139060 )
NEW met1 ( 170430 145010 ) ( 174570 * )
NEW met2 ( 170430 145010 ) ( * 164390 )
NEW met1 ( 170430 164390 ) ( 175490 * )
NEW met1 ( 174570 144670 ) ( * 145010 )
NEW li1 ( 183770 16830 ) L1M1_PR_MR
NEW met1 ( 182850 16830 ) M1M2_PR
NEW met2 ( 182850 17340 ) M2M3_PR_M
NEW met3 ( 167900 16660 ) M3M4_PR_M
NEW li1 ( 169050 139230 ) L1M1_PR_MR
NEW met1 ( 169050 139230 ) M1M2_PR
NEW met2 ( 169050 139060 ) M2M3_PR_M
NEW met3 ( 168820 139060 ) M3M4_PR_M
NEW met1 ( 169050 144670 ) M1M2_PR
NEW met1 ( 170430 145010 ) M1M2_PR
NEW met1 ( 170430 164390 ) M1M2_PR
NEW li1 ( 175490 164390 ) L1M1_PR_MR
NEW met1 ( 169050 139230 ) RECT ( -355 -70 0 70 )
NEW met3 ( 169050 139060 ) RECT ( 0 -150 390 150 ) ;
- net545 ( ANTENNA_output545_A DIODE ) ( output545 A ) ( _596_ X ) + USE SIGNAL
+ ROUTED met1 ( 91310 15810 ) ( 95450 * )
NEW met2 ( 95450 15810 ) ( * 29580 )
NEW met1 ( 138230 49470 ) ( 145590 * )
NEW met2 ( 158010 29580 ) ( * 32980 )
NEW met2 ( 158010 32980 ) ( 158470 * )
NEW met2 ( 158470 32980 ) ( * 34340 )
NEW met3 ( 158470 34340 ) ( 165830 * )
NEW met2 ( 165830 33830 ) ( * 34340 )
NEW met3 ( 145590 37060 ) ( 145820 * )
NEW met4 ( 145820 29580 ) ( * 37060 )
NEW met3 ( 95450 29580 ) ( 158010 * )
NEW met2 ( 145590 37060 ) ( * 49470 )
NEW li1 ( 91310 15810 ) L1M1_PR_MR
NEW met1 ( 95450 15810 ) M1M2_PR
NEW met2 ( 95450 29580 ) M2M3_PR_M
NEW li1 ( 138230 49470 ) L1M1_PR_MR
NEW met1 ( 145590 49470 ) M1M2_PR
NEW met2 ( 158010 29580 ) M2M3_PR_M
NEW met2 ( 158470 34340 ) M2M3_PR_M
NEW met2 ( 165830 34340 ) M2M3_PR_M
NEW li1 ( 165830 33830 ) L1M1_PR_MR
NEW met1 ( 165830 33830 ) M1M2_PR
NEW met2 ( 145590 37060 ) M2M3_PR_M
NEW met3 ( 145820 37060 ) M3M4_PR_M
NEW met3 ( 145820 29580 ) M3M4_PR_M
NEW met1 ( 165830 33830 ) RECT ( -355 -70 0 70 )
NEW met3 ( 145590 37060 ) RECT ( -390 -150 0 150 )
NEW met3 ( 145820 29580 ) RECT ( -800 -150 0 150 ) ;
- net546 ( ANTENNA_output546_A DIODE ) ( output546 A ) ( _597_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 80750 ) ( * 81260 )
NEW met1 ( 171350 166770 ) ( * 167450 )
NEW met3 ( 156170 81260 ) ( 189290 * )
NEW met1 ( 156630 166770 ) ( 171350 * )
NEW met2 ( 156630 158700 ) ( * 166770 )
NEW met1 ( 155710 147390 ) ( 156170 * )
NEW met2 ( 156170 158700 ) ( 156630 * )
NEW met2 ( 156170 147390 ) ( * 158700 )
NEW met2 ( 156170 81260 ) ( * 147390 )
NEW li1 ( 189290 80750 ) L1M1_PR_MR
NEW met1 ( 189290 80750 ) M1M2_PR
NEW met2 ( 189290 81260 ) M2M3_PR_M
NEW li1 ( 171350 167450 ) L1M1_PR_MR
NEW met2 ( 156170 81260 ) M2M3_PR_M
NEW met1 ( 156630 166770 ) M1M2_PR
NEW li1 ( 155710 147390 ) L1M1_PR_MR
NEW met1 ( 156170 147390 ) M1M2_PR
NEW met1 ( 189290 80750 ) RECT ( -355 -70 0 70 ) ;
- net547 ( ANTENNA_output547_A DIODE ) ( output547 A ) ( _598_ X ) + USE SIGNAL
+ ROUTED met2 ( 153870 86020 ) ( 154330 * )
NEW met1 ( 155250 180030 ) ( 158010 * )
NEW met2 ( 154330 60180 ) ( * 60350 )
NEW met3 ( 154330 60180 ) ( 155020 * )
NEW met4 ( 155020 25500 ) ( * 60180 )
NEW met3 ( 155020 25500 ) ( 157550 * )
NEW met2 ( 157550 25500 ) ( * 26010 )
NEW met1 ( 156630 26010 ) ( 157550 * )
NEW met2 ( 154330 60350 ) ( * 86020 )
NEW met1 ( 153870 134470 ) ( 155250 * )
NEW met2 ( 153870 86020 ) ( * 134470 )
NEW met2 ( 155250 134470 ) ( * 180030 )
NEW met1 ( 155250 180030 ) M1M2_PR
NEW li1 ( 158010 180030 ) L1M1_PR_MR
NEW li1 ( 154330 60350 ) L1M1_PR_MR
NEW met1 ( 154330 60350 ) M1M2_PR
NEW met2 ( 154330 60180 ) M2M3_PR_M
NEW met3 ( 155020 60180 ) M3M4_PR_M
NEW met3 ( 155020 25500 ) M3M4_PR_M
NEW met2 ( 157550 25500 ) M2M3_PR_M
NEW met1 ( 157550 26010 ) M1M2_PR
NEW li1 ( 156630 26010 ) L1M1_PR_MR
NEW met1 ( 153870 134470 ) M1M2_PR
NEW met1 ( 155250 134470 ) M1M2_PR
NEW met1 ( 154330 60350 ) RECT ( -355 -70 0 70 ) ;
- net548 ( output548 A ) ( _599_ X ) + USE SIGNAL
+ ROUTED met1 ( 182390 42330 ) ( * 42670 )
NEW met1 ( 167210 42670 ) ( 182390 * )
NEW met1 ( 167210 42670 ) ( * 43010 )
NEW li1 ( 182390 42330 ) L1M1_PR_MR
NEW li1 ( 167210 43010 ) L1M1_PR_MR ;
- net549 ( output549 A ) ( _600_ X ) + USE SIGNAL
+ ROUTED met1 ( 36570 18190 ) ( 37490 * )
NEW met2 ( 36570 18190 ) ( * 22950 )
NEW met1 ( 33350 22950 ) ( 36570 * )
NEW li1 ( 37490 18190 ) L1M1_PR_MR
NEW met1 ( 36570 18190 ) M1M2_PR
NEW met1 ( 36570 22950 ) M1M2_PR
NEW li1 ( 33350 22950 ) L1M1_PR_MR ;
- net55 ( ANTENNA__692__A DIODE ) ( ANTENNA__564__A DIODE ) ( input55 X ) ( _564_ A ) ( _692_ A ) + USE SIGNAL
+ ROUTED met1 ( 142830 96730 ) ( 143290 * )
NEW met2 ( 142830 96730 ) ( * 96900 )
NEW met1 ( 142370 98430 ) ( 142830 * )
NEW met2 ( 142830 96900 ) ( * 98430 )
NEW met1 ( 142830 102170 ) ( 147430 * )
NEW met2 ( 142830 98430 ) ( * 102170 )
NEW met1 ( 147430 102170 ) ( 150650 * )
NEW met3 ( 68770 96900 ) ( 142830 * )
NEW met2 ( 67850 165070 ) ( 68770 * )
NEW met2 ( 67850 165070 ) ( * 186830 )
NEW met1 ( 65090 186830 ) ( 67850 * )
NEW met2 ( 68770 96900 ) ( * 165070 )
NEW met2 ( 68770 96900 ) M2M3_PR_M
NEW li1 ( 143290 96730 ) L1M1_PR_MR
NEW met1 ( 142830 96730 ) M1M2_PR
NEW met2 ( 142830 96900 ) M2M3_PR_M
NEW li1 ( 142370 98430 ) L1M1_PR_MR
NEW met1 ( 142830 98430 ) M1M2_PR
NEW li1 ( 147430 102170 ) L1M1_PR_MR
NEW met1 ( 142830 102170 ) M1M2_PR
NEW li1 ( 150650 102170 ) L1M1_PR_MR
NEW met1 ( 67850 186830 ) M1M2_PR
NEW li1 ( 65090 186830 ) L1M1_PR_MR ;
- net550 ( output550 A ) ( _601_ X ) + USE SIGNAL
+ ROUTED met1 ( 50830 22950 ) ( 52210 * )
NEW met2 ( 50830 22950 ) ( * 24990 )
NEW met1 ( 48990 24990 ) ( 50830 * )
NEW li1 ( 52210 22950 ) L1M1_PR_MR
NEW met1 ( 50830 22950 ) M1M2_PR
NEW met1 ( 50830 24990 ) M1M2_PR
NEW li1 ( 48990 24990 ) L1M1_PR_MR ;
- net551 ( output551 A ) ( _538_ X ) + USE SIGNAL
+ ROUTED met1 ( 175950 103870 ) ( 176870 * )
NEW met2 ( 176870 47090 ) ( 177330 * )
NEW met2 ( 177330 45050 ) ( * 47090 )
NEW met2 ( 176870 45050 ) ( 177330 * )
NEW met2 ( 176870 40290 ) ( * 45050 )
NEW met1 ( 174110 40290 ) ( 176870 * )
NEW met2 ( 174110 36890 ) ( * 40290 )
NEW met1 ( 170890 36890 ) ( 174110 * )
NEW met2 ( 176870 47090 ) ( * 103870 )
NEW met1 ( 176870 103870 ) M1M2_PR
NEW li1 ( 175950 103870 ) L1M1_PR_MR
NEW met1 ( 176870 40290 ) M1M2_PR
NEW met1 ( 174110 40290 ) M1M2_PR
NEW met1 ( 174110 36890 ) M1M2_PR
NEW li1 ( 170890 36890 ) L1M1_PR_MR ;
- net552 ( output552 A ) ( _602_ X ) + USE SIGNAL
+ ROUTED met2 ( 19090 100130 ) ( * 102170 )
NEW li1 ( 19090 100130 ) L1M1_PR_MR
NEW met1 ( 19090 100130 ) M1M2_PR
NEW li1 ( 19090 102170 ) L1M1_PR_MR
NEW met1 ( 19090 102170 ) M1M2_PR
NEW met1 ( 19090 100130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19090 102170 ) RECT ( -355 -70 0 70 ) ;
- net553 ( output553 A ) ( _603_ X ) + USE SIGNAL
+ ROUTED met1 ( 158930 168130 ) ( 160770 * )
NEW met2 ( 158930 168130 ) ( * 181050 )
NEW met1 ( 151110 180710 ) ( * 181050 )
NEW met1 ( 151110 181050 ) ( 158930 * )
NEW li1 ( 160770 168130 ) L1M1_PR_MR
NEW met1 ( 158930 168130 ) M1M2_PR
NEW met1 ( 158930 181050 ) M1M2_PR
NEW li1 ( 151110 180710 ) L1M1_PR_MR ;
- net554 ( ANTENNA_output554_A DIODE ) ( output554 A ) ( _604_ X ) + USE SIGNAL
+ ROUTED met1 ( 70610 126650 ) ( * 126990 )
NEW met1 ( 62100 126650 ) ( 70610 * )
NEW met2 ( 27370 127330 ) ( * 128350 )
NEW met1 ( 27370 127330 ) ( 37950 * )
NEW met1 ( 37950 126990 ) ( * 127330 )
NEW met1 ( 37950 126990 ) ( 62100 * )
NEW met1 ( 62100 126650 ) ( * 126990 )
NEW met1 ( 14030 129030 ) ( * 129370 )
NEW met1 ( 14030 129030 ) ( 23690 * )
NEW met1 ( 23690 128350 ) ( * 129030 )
NEW met1 ( 23690 128350 ) ( 27370 * )
NEW met2 ( 118450 123930 ) ( * 126990 )
NEW met1 ( 70610 126990 ) ( 118450 * )
NEW li1 ( 27370 128350 ) L1M1_PR_MR
NEW met1 ( 27370 128350 ) M1M2_PR
NEW met1 ( 27370 127330 ) M1M2_PR
NEW li1 ( 14030 129370 ) L1M1_PR_MR
NEW li1 ( 118450 123930 ) L1M1_PR_MR
NEW met1 ( 118450 123930 ) M1M2_PR
NEW met1 ( 118450 126990 ) M1M2_PR
NEW met1 ( 27370 128350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 118450 123930 ) RECT ( -355 -70 0 70 ) ;
- net555 ( output555 A ) ( _605_ X ) + USE SIGNAL
+ ROUTED met2 ( 152030 177990 ) ( * 187170 )
NEW met1 ( 152030 187170 ) ( 154790 * )
NEW met2 ( 126270 173230 ) ( * 177990 )
NEW met1 ( 110630 173230 ) ( 126270 * )
NEW met1 ( 110630 172550 ) ( * 173230 )
NEW met1 ( 95450 172550 ) ( 110630 * )
NEW met1 ( 95450 172550 ) ( * 172890 )
NEW met1 ( 126270 177990 ) ( 152030 * )
NEW met1 ( 152030 177990 ) M1M2_PR
NEW met1 ( 152030 187170 ) M1M2_PR
NEW li1 ( 154790 187170 ) L1M1_PR_MR
NEW met1 ( 126270 177990 ) M1M2_PR
NEW met1 ( 126270 173230 ) M1M2_PR
NEW li1 ( 95450 172890 ) L1M1_PR_MR ;
- net556 ( output556 A ) ( _606_ X ) + USE SIGNAL
+ ROUTED met2 ( 59110 173570 ) ( * 175270 )
NEW met1 ( 59110 175270 ) ( 59570 * )
NEW li1 ( 59110 173570 ) L1M1_PR_MR
NEW met1 ( 59110 173570 ) M1M2_PR
NEW met1 ( 59110 175270 ) M1M2_PR
NEW li1 ( 59570 175270 ) L1M1_PR_MR
NEW met1 ( 59110 173570 ) RECT ( -355 -70 0 70 ) ;
- net557 ( output557 A ) ( _607_ X ) + USE SIGNAL
+ ROUTED met2 ( 63250 22950 ) ( * 24990 )
NEW li1 ( 63250 22950 ) L1M1_PR_MR
NEW met1 ( 63250 22950 ) M1M2_PR
NEW li1 ( 63250 24990 ) L1M1_PR_MR
NEW met1 ( 63250 24990 ) M1M2_PR
NEW met1 ( 63250 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 63250 24990 ) RECT ( -355 -70 0 70 ) ;
- net558 ( ANTENNA_output558_A DIODE ) ( output558 A ) ( _608_ X ) + USE SIGNAL
+ ROUTED met2 ( 8510 148750 ) ( * 151130 )
NEW met1 ( 12650 52530 ) ( 25530 * )
NEW met1 ( 25990 133790 ) ( 26450 * )
NEW met2 ( 26450 114750 ) ( * 133790 )
NEW met1 ( 25530 114750 ) ( 26450 * )
NEW li1 ( 18170 148070 ) ( * 148750 )
NEW met1 ( 18170 148070 ) ( 21390 * )
NEW met2 ( 21390 146370 ) ( * 148070 )
NEW met1 ( 21390 146370 ) ( 25990 * )
NEW met2 ( 25990 139060 ) ( * 146370 )
NEW met2 ( 25990 139060 ) ( 26450 * )
NEW met2 ( 26450 133790 ) ( * 139060 )
NEW met1 ( 8510 148750 ) ( 18170 * )
NEW met2 ( 25530 52530 ) ( * 114750 )
NEW li1 ( 12650 52530 ) L1M1_PR_MR
NEW met1 ( 8510 148750 ) M1M2_PR
NEW li1 ( 8510 151130 ) L1M1_PR_MR
NEW met1 ( 8510 151130 ) M1M2_PR
NEW met1 ( 25530 52530 ) M1M2_PR
NEW li1 ( 25990 133790 ) L1M1_PR_MR
NEW met1 ( 26450 133790 ) M1M2_PR
NEW met1 ( 26450 114750 ) M1M2_PR
NEW met1 ( 25530 114750 ) M1M2_PR
NEW li1 ( 18170 148750 ) L1M1_PR_MR
NEW li1 ( 18170 148070 ) L1M1_PR_MR
NEW met1 ( 21390 148070 ) M1M2_PR
NEW met1 ( 21390 146370 ) M1M2_PR
NEW met1 ( 25990 146370 ) M1M2_PR
NEW met1 ( 8510 151130 ) RECT ( -355 -70 0 70 ) ;
- net559 ( output559 A ) ( _609_ X ) + USE SIGNAL
+ ROUTED met1 ( 177790 39270 ) ( 178250 * )
NEW met2 ( 177790 39270 ) ( * 43010 )
NEW met1 ( 175490 43010 ) ( 177790 * )
NEW met2 ( 175490 43010 ) ( * 44370 )
NEW met1 ( 167670 44370 ) ( 175490 * )
NEW met1 ( 167670 44030 ) ( * 44370 )
NEW li1 ( 178250 39270 ) L1M1_PR_MR
NEW met1 ( 177790 39270 ) M1M2_PR
NEW met1 ( 177790 43010 ) M1M2_PR
NEW met1 ( 175490 43010 ) M1M2_PR
NEW met1 ( 175490 44370 ) M1M2_PR
NEW li1 ( 167670 44030 ) L1M1_PR_MR ;
- net56 ( input56 X ) ( _565_ A ) ( _693_ A ) + USE SIGNAL
+ ROUTED met1 ( 74750 166430 ) ( 75210 * )
NEW met2 ( 74750 166430 ) ( * 183770 )
NEW met1 ( 71070 183770 ) ( 74750 * )
NEW met1 ( 74750 167450 ) ( 77510 * )
NEW li1 ( 75210 166430 ) L1M1_PR_MR
NEW met1 ( 74750 166430 ) M1M2_PR
NEW met1 ( 74750 183770 ) M1M2_PR
NEW li1 ( 71070 183770 ) L1M1_PR_MR
NEW li1 ( 77510 167450 ) L1M1_PR_MR
NEW met1 ( 74750 167450 ) M1M2_PR
NEW met2 ( 74750 167450 ) RECT ( -70 -485 70 0 ) ;
- net560 ( output560 A ) ( _610_ X ) + USE SIGNAL
+ ROUTED met1 ( 19090 155550 ) ( 20470 * )
NEW met2 ( 20470 154530 ) ( * 155550 )
NEW met1 ( 20470 154530 ) ( 28750 * )
NEW met2 ( 28750 154530 ) ( * 156570 )
NEW met1 ( 28750 156570 ) ( 38410 * )
NEW met2 ( 38410 156570 ) ( * 158270 )
NEW met2 ( 50830 158270 ) ( * 158780 )
NEW met3 ( 50830 158780 ) ( 51060 * )
NEW met4 ( 51060 158780 ) ( * 178500 )
NEW met3 ( 51060 178500 ) ( 53590 * )
NEW met2 ( 53590 178330 ) ( * 178500 )
NEW met1 ( 38410 158270 ) ( 50830 * )
NEW li1 ( 19090 155550 ) L1M1_PR_MR
NEW met1 ( 20470 155550 ) M1M2_PR
NEW met1 ( 20470 154530 ) M1M2_PR
NEW met1 ( 28750 154530 ) M1M2_PR
NEW met1 ( 28750 156570 ) M1M2_PR
NEW met1 ( 38410 156570 ) M1M2_PR
NEW met1 ( 38410 158270 ) M1M2_PR
NEW met1 ( 50830 158270 ) M1M2_PR
NEW met2 ( 50830 158780 ) M2M3_PR_M
NEW met3 ( 51060 158780 ) M3M4_PR_M
NEW met3 ( 51060 178500 ) M3M4_PR_M
NEW met2 ( 53590 178500 ) M2M3_PR_M
NEW li1 ( 53590 178330 ) L1M1_PR_MR
NEW met1 ( 53590 178330 ) M1M2_PR
NEW met3 ( 50830 158780 ) RECT ( -390 -150 0 150 )
NEW met1 ( 53590 178330 ) RECT ( -355 -70 0 70 ) ;
- net561 ( ANTENNA_output561_A DIODE ) ( output561 A ) ( _611_ X ) + USE SIGNAL
+ ROUTED met1 ( 66010 11730 ) ( * 12070 )
NEW met1 ( 66010 11730 ) ( 99130 * )
NEW met1 ( 99130 11730 ) ( * 12070 )
NEW met1 ( 99130 12070 ) ( 100050 * )
NEW met1 ( 100050 11730 ) ( * 12070 )
NEW met1 ( 100050 11730 ) ( 108330 * )
NEW li1 ( 108330 11730 ) ( * 12750 )
NEW met1 ( 62100 12070 ) ( 66010 * )
NEW met1 ( 45770 11390 ) ( 62100 * )
NEW met1 ( 62100 11390 ) ( * 12070 )
NEW met1 ( 108330 12750 ) ( 110400 * )
NEW met1 ( 110400 12750 ) ( * 13090 )
NEW met1 ( 110400 13090 ) ( 124890 * )
NEW met1 ( 41630 20570 ) ( 43470 * )
NEW met2 ( 43470 20570 ) ( * 44030 )
NEW met1 ( 43470 44030 ) ( 44850 * )
NEW met1 ( 43930 15470 ) ( 45770 * )
NEW met2 ( 43930 15470 ) ( * 20060 )
NEW met2 ( 43470 20060 ) ( 43930 * )
NEW met2 ( 43470 20060 ) ( * 20570 )
NEW met2 ( 45770 11390 ) ( * 15470 )
NEW li1 ( 108330 11730 ) L1M1_PR_MR
NEW li1 ( 108330 12750 ) L1M1_PR_MR
NEW met1 ( 45770 11390 ) M1M2_PR
NEW li1 ( 124890 13090 ) L1M1_PR_MR
NEW li1 ( 41630 20570 ) L1M1_PR_MR
NEW met1 ( 43470 20570 ) M1M2_PR
NEW met1 ( 43470 44030 ) M1M2_PR
NEW li1 ( 44850 44030 ) L1M1_PR_MR
NEW met1 ( 45770 15470 ) M1M2_PR
NEW met1 ( 43930 15470 ) M1M2_PR ;
- net562 ( output562 A ) ( _539_ X ) + USE SIGNAL
+ ROUTED met1 ( 14030 75650 ) ( 14490 * )
NEW met2 ( 14030 75650 ) ( * 77350 )
NEW li1 ( 14490 75650 ) L1M1_PR_MR
NEW met1 ( 14030 75650 ) M1M2_PR
NEW li1 ( 14030 77350 ) L1M1_PR_MR
NEW met1 ( 14030 77350 ) M1M2_PR
NEW met1 ( 14030 77350 ) RECT ( 0 -70 355 70 ) ;
- net563 ( output563 A ) ( _612_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 108290 ) ( 17250 * )
NEW met2 ( 17250 108290 ) ( * 113050 )
NEW li1 ( 14490 108290 ) L1M1_PR_MR
NEW met1 ( 17250 108290 ) M1M2_PR
NEW li1 ( 17250 113050 ) L1M1_PR_MR
NEW met1 ( 17250 113050 ) M1M2_PR
NEW met1 ( 17250 113050 ) RECT ( -355 -70 0 70 ) ;
- net564 ( output564 A ) ( _613_ X ) + USE SIGNAL
+ ROUTED met1 ( 14030 88230 ) ( 16330 * )
NEW met2 ( 15870 131580 ) ( 16330 * )
NEW met2 ( 15870 131580 ) ( * 151810 )
NEW met1 ( 15870 151810 ) ( 27370 * )
NEW met2 ( 27370 151810 ) ( * 152830 )
NEW met1 ( 27370 152830 ) ( 30130 * )
NEW met2 ( 16330 88230 ) ( * 131580 )
NEW met1 ( 16330 88230 ) M1M2_PR
NEW li1 ( 14030 88230 ) L1M1_PR_MR
NEW met1 ( 15870 151810 ) M1M2_PR
NEW met1 ( 27370 151810 ) M1M2_PR
NEW met1 ( 27370 152830 ) M1M2_PR
NEW li1 ( 30130 152830 ) L1M1_PR_MR ;
- net565 ( ANTENNA_output565_A DIODE ) ( output565 A ) ( _614_ X ) + USE SIGNAL
+ ROUTED met2 ( 148810 158700 ) ( * 178330 )
NEW met2 ( 147890 158700 ) ( 148810 * )
NEW met2 ( 147890 152830 ) ( * 158700 )
NEW met2 ( 147890 66810 ) ( * 152830 )
NEW met2 ( 72450 66980 ) ( * 67150 )
NEW met3 ( 72450 66980 ) ( 79810 * )
NEW met2 ( 79810 66810 ) ( * 66980 )
NEW met1 ( 13110 67150 ) ( 72450 * )
NEW met1 ( 79810 66810 ) ( 147890 * )
NEW li1 ( 13110 67150 ) L1M1_PR_MR
NEW met1 ( 147890 66810 ) M1M2_PR
NEW li1 ( 148810 178330 ) L1M1_PR_MR
NEW met1 ( 148810 178330 ) M1M2_PR
NEW li1 ( 147890 152830 ) L1M1_PR_MR
NEW met1 ( 147890 152830 ) M1M2_PR
NEW met1 ( 72450 67150 ) M1M2_PR
NEW met2 ( 72450 66980 ) M2M3_PR_M
NEW met2 ( 79810 66980 ) M2M3_PR_M
NEW met1 ( 79810 66810 ) M1M2_PR
NEW met1 ( 148810 178330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 147890 152830 ) RECT ( -355 -70 0 70 ) ;
- net566 ( ANTENNA_output566_A DIODE ) ( output566 A ) ( _615_ X ) + USE SIGNAL
+ ROUTED met2 ( 75210 62100 ) ( 75670 * )
NEW met1 ( 75210 155890 ) ( 95910 * )
NEW met1 ( 95910 155890 ) ( * 156230 )
NEW met2 ( 75210 62100 ) ( * 155890 )
NEW met1 ( 152030 172890 ) ( 156630 * )
NEW met1 ( 143750 156230 ) ( 152030 * )
NEW met1 ( 95910 156230 ) ( 143750 * )
NEW met2 ( 152030 156230 ) ( * 172890 )
NEW met1 ( 48990 17510 ) ( 50830 * )
NEW met2 ( 50830 17510 ) ( * 22100 )
NEW met3 ( 50830 22100 ) ( 76130 * )
NEW met2 ( 76130 22100 ) ( * 26350 )
NEW met2 ( 75670 26350 ) ( 76130 * )
NEW met2 ( 75670 26350 ) ( * 62100 )
NEW met1 ( 75210 155890 ) M1M2_PR
NEW met1 ( 152030 172890 ) M1M2_PR
NEW li1 ( 156630 172890 ) L1M1_PR_MR
NEW li1 ( 143750 156230 ) L1M1_PR_MR
NEW met1 ( 152030 156230 ) M1M2_PR
NEW li1 ( 48990 17510 ) L1M1_PR_MR
NEW met1 ( 50830 17510 ) M1M2_PR
NEW met2 ( 50830 22100 ) M2M3_PR_M
NEW met2 ( 76130 22100 ) M2M3_PR_M ;
- net567 ( ANTENNA_output567_A DIODE ) ( output567 A ) ( _616_ X ) + USE SIGNAL
+ ROUTED met3 ( 159620 166260 ) ( 159850 * )
NEW met2 ( 159850 166260 ) ( * 169830 )
NEW met4 ( 159620 155380 ) ( * 166260 )
NEW met2 ( 140990 155380 ) ( * 155550 )
NEW met3 ( 140990 155380 ) ( 141220 * )
NEW met4 ( 141220 19380 ) ( * 155380 )
NEW met3 ( 141220 155380 ) ( 159620 * )
NEW met2 ( 157550 19380 ) ( * 19550 )
NEW met1 ( 157090 19550 ) ( 157550 * )
NEW met3 ( 141220 19380 ) ( 157550 * )
NEW met3 ( 159620 166260 ) M3M4_PR_M
NEW met2 ( 159850 166260 ) M2M3_PR_M
NEW li1 ( 159850 169830 ) L1M1_PR_MR
NEW met1 ( 159850 169830 ) M1M2_PR
NEW met3 ( 159620 155380 ) M3M4_PR_M
NEW met3 ( 141220 19380 ) M3M4_PR_M
NEW li1 ( 140990 155550 ) L1M1_PR_MR
NEW met1 ( 140990 155550 ) M1M2_PR
NEW met2 ( 140990 155380 ) M2M3_PR_M
NEW met3 ( 141220 155380 ) M3M4_PR_M
NEW met2 ( 157550 19380 ) M2M3_PR_M
NEW met1 ( 157550 19550 ) M1M2_PR
NEW li1 ( 157090 19550 ) L1M1_PR_MR
NEW met3 ( 159620 166260 ) RECT ( -390 -150 0 150 )
NEW met1 ( 159850 169830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 140990 155550 ) RECT ( -355 -70 0 70 )
NEW met3 ( 140990 155380 ) RECT ( -390 -150 0 150 ) ;
- net568 ( output568 A ) ( _617_ X ) + USE SIGNAL
+ ROUTED met1 ( 110170 20570 ) ( 110630 * )
NEW met2 ( 110170 20570 ) ( * 24990 )
NEW met1 ( 110170 24990 ) ( 112930 * )
NEW li1 ( 110630 20570 ) L1M1_PR_MR
NEW met1 ( 110170 20570 ) M1M2_PR
NEW met1 ( 110170 24990 ) M1M2_PR
NEW li1 ( 112930 24990 ) L1M1_PR_MR ;
- net569 ( output569 A ) ( _618_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 170850 ) ( 101890 * )
NEW met2 ( 101890 170850 ) ( * 175270 )
NEW li1 ( 100970 170850 ) L1M1_PR_MR
NEW met1 ( 101890 170850 ) M1M2_PR
NEW li1 ( 101890 175270 ) L1M1_PR_MR
NEW met1 ( 101890 175270 ) M1M2_PR
NEW met1 ( 101890 175270 ) RECT ( -355 -70 0 70 ) ;
- net57 ( ANTENNA__694__A DIODE ) ( ANTENNA__566__A DIODE ) ( input57 X ) ( _566_ A ) ( _694_ A ) + USE SIGNAL
+ ROUTED met1 ( 73370 36210 ) ( 103730 * )
NEW met1 ( 103730 36210 ) ( * 36550 )
NEW met2 ( 73370 36210 ) ( * 169660 )
NEW met1 ( 129030 36890 ) ( 135470 * )
NEW met1 ( 129030 36550 ) ( * 36890 )
NEW met2 ( 136390 33830 ) ( * 36890 )
NEW met1 ( 135470 36890 ) ( 136390 * )
NEW met1 ( 136390 39270 ) ( 140990 * )
NEW met2 ( 136390 36890 ) ( * 39270 )
NEW met1 ( 140990 39270 ) ( 144210 * )
NEW met1 ( 103730 36550 ) ( 129030 * )
NEW met3 ( 11730 169660 ) ( * 170340 )
NEW met2 ( 11730 170340 ) ( * 174590 )
NEW met1 ( 10810 174590 ) ( 11730 * )
NEW met3 ( 11730 169660 ) ( 73370 * )
NEW met2 ( 73370 169660 ) M2M3_PR_M
NEW met1 ( 73370 36210 ) M1M2_PR
NEW li1 ( 135470 36890 ) L1M1_PR_MR
NEW li1 ( 136390 33830 ) L1M1_PR_MR
NEW met1 ( 136390 33830 ) M1M2_PR
NEW met1 ( 136390 36890 ) M1M2_PR
NEW li1 ( 140990 39270 ) L1M1_PR_MR
NEW met1 ( 136390 39270 ) M1M2_PR
NEW li1 ( 144210 39270 ) L1M1_PR_MR
NEW met2 ( 11730 170340 ) M2M3_PR_M
NEW met1 ( 11730 174590 ) M1M2_PR
NEW li1 ( 10810 174590 ) L1M1_PR_MR
NEW met1 ( 136390 33830 ) RECT ( -355 -70 0 70 ) ;
- net570 ( output570 A ) ( _619_ X ) + USE SIGNAL
+ ROUTED met1 ( 14030 90950 ) ( * 91290 )
NEW met1 ( 14030 90950 ) ( 86710 * )
NEW li1 ( 86710 90950 ) L1M1_PR_MR
NEW li1 ( 14030 91290 ) L1M1_PR_MR ;
- net571 ( ANTENNA_output571_A DIODE ) ( output571 A ) ( _620_ X ) + USE SIGNAL
+ ROUTED met2 ( 4830 158700 ) ( * 182750 )
NEW met2 ( 4830 158700 ) ( 5290 * )
NEW met2 ( 5290 25500 ) ( * 158700 )
NEW met1 ( 4830 182750 ) ( 19090 * )
NEW met1 ( 26910 26010 ) ( 27370 * )
NEW met1 ( 27370 26010 ) ( * 26030 )
NEW met1 ( 27370 26030 ) ( 27830 * )
NEW met1 ( 27830 26010 ) ( * 26030 )
NEW met1 ( 27830 26010 ) ( 32430 * )
NEW met2 ( 32430 26010 ) ( * 41990 )
NEW met1 ( 32430 41990 ) ( 40710 * )
NEW met1 ( 40710 41990 ) ( * 42330 )
NEW met1 ( 40710 42330 ) ( 51290 * )
NEW met2 ( 32430 25500 ) ( * 26010 )
NEW met3 ( 5290 25500 ) ( 32430 * )
NEW met1 ( 4830 182750 ) M1M2_PR
NEW met2 ( 5290 25500 ) M2M3_PR_M
NEW li1 ( 19090 182750 ) L1M1_PR_MR
NEW li1 ( 26910 26010 ) L1M1_PR_MR
NEW met1 ( 32430 26010 ) M1M2_PR
NEW met1 ( 32430 41990 ) M1M2_PR
NEW li1 ( 51290 42330 ) L1M1_PR_MR
NEW met2 ( 32430 25500 ) M2M3_PR_M ;
- net572 ( output572 A ) ( _621_ X ) + USE SIGNAL
+ ROUTED met2 ( 157090 28390 ) ( * 30940 )
NEW met2 ( 157090 30940 ) ( 157550 * )
NEW met2 ( 157550 30940 ) ( * 31450 )
NEW met2 ( 157090 31450 ) ( 157550 * )
NEW met2 ( 157090 31450 ) ( * 35870 )
NEW met1 ( 156630 35870 ) ( 157090 * )
NEW li1 ( 157090 28390 ) L1M1_PR_MR
NEW met1 ( 157090 28390 ) M1M2_PR
NEW met1 ( 157090 35870 ) M1M2_PR
NEW li1 ( 156630 35870 ) L1M1_PR_MR
NEW met1 ( 157090 28390 ) RECT ( -355 -70 0 70 ) ;
- net573 ( output573 A ) ( _540_ X ) + USE SIGNAL
+ ROUTED met2 ( 13570 134810 ) ( * 141950 )
NEW met1 ( 11730 141950 ) ( 13570 * )
NEW li1 ( 13570 134810 ) L1M1_PR_MR
NEW met1 ( 13570 134810 ) M1M2_PR
NEW met1 ( 13570 141950 ) M1M2_PR
NEW li1 ( 11730 141950 ) L1M1_PR_MR
NEW met1 ( 13570 134810 ) RECT ( -355 -70 0 70 ) ;
- net574 ( output574 A ) ( _622_ X ) + USE SIGNAL
+ ROUTED met1 ( 175030 36550 ) ( * 36890 )
NEW met1 ( 166290 36550 ) ( 175030 * )
NEW met2 ( 166290 36550 ) ( * 38590 )
NEW met1 ( 161690 38590 ) ( 166290 * )
NEW li1 ( 175030 36890 ) L1M1_PR_MR
NEW met1 ( 166290 36550 ) M1M2_PR
NEW met1 ( 166290 38590 ) M1M2_PR
NEW li1 ( 161690 38590 ) L1M1_PR_MR ;
- net575 ( ANTENNA_output575_A DIODE ) ( output575 A ) ( _623_ X ) + USE SIGNAL
+ ROUTED met1 ( 17710 162010 ) ( 18630 * )
NEW met1 ( 10350 61710 ) ( 38410 * )
NEW met1 ( 19550 143310 ) ( 38410 * )
NEW met2 ( 19090 143310 ) ( 19550 * )
NEW met2 ( 19090 143310 ) ( * 152830 )
NEW met1 ( 17710 152830 ) ( 19090 * )
NEW met2 ( 17710 152830 ) ( * 162010 )
NEW met2 ( 38410 61710 ) ( * 143310 )
NEW li1 ( 10350 61710 ) L1M1_PR_MR
NEW met1 ( 17710 162010 ) M1M2_PR
NEW li1 ( 18630 162010 ) L1M1_PR_MR
NEW met1 ( 38410 61710 ) M1M2_PR
NEW li1 ( 38410 143310 ) L1M1_PR_MR
NEW met1 ( 19550 143310 ) M1M2_PR
NEW met1 ( 19090 152830 ) M1M2_PR
NEW met1 ( 17710 152830 ) M1M2_PR
NEW met1 ( 38410 143310 ) M1M2_PR
NEW met1 ( 38410 143310 ) RECT ( -595 -70 0 70 ) ;
- net576 ( ANTENNA_output576_A DIODE ) ( output576 A ) ( _624_ X ) + USE SIGNAL
+ ROUTED met3 ( 173420 62220 ) ( 174110 * )
NEW met2 ( 174110 62220 ) ( * 71230 )
NEW met2 ( 69230 42670 ) ( * 45390 )
NEW met3 ( 173420 61540 ) ( 178250 * )
NEW met4 ( 173420 61540 ) ( * 62220 )
NEW met2 ( 134550 41990 ) ( * 45390 )
NEW met1 ( 69230 45390 ) ( 134550 * )
NEW met1 ( 176410 42330 ) ( 178710 * )
NEW met1 ( 176410 41990 ) ( * 42330 )
NEW met2 ( 178250 47770 ) ( 178710 * )
NEW met2 ( 178710 42330 ) ( * 47770 )
NEW met1 ( 134550 41990 ) ( 176410 * )
NEW met2 ( 178250 47770 ) ( * 61540 )
NEW met3 ( 173420 62220 ) M3M4_PR_M
NEW met2 ( 174110 62220 ) M2M3_PR_M
NEW li1 ( 174110 71230 ) L1M1_PR_MR
NEW met1 ( 174110 71230 ) M1M2_PR
NEW li1 ( 69230 42670 ) L1M1_PR_MR
NEW met1 ( 69230 42670 ) M1M2_PR
NEW met1 ( 69230 45390 ) M1M2_PR
NEW met2 ( 178250 61540 ) M2M3_PR_M
NEW met3 ( 173420 61540 ) M3M4_PR_M
NEW met1 ( 134550 45390 ) M1M2_PR
NEW met1 ( 134550 41990 ) M1M2_PR
NEW li1 ( 178710 42330 ) L1M1_PR_MR
NEW met1 ( 178710 42330 ) M1M2_PR
NEW met1 ( 174110 71230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 69230 42670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 178710 42330 ) RECT ( -595 -70 0 70 ) ;
- net577 ( ANTENNA_output577_A DIODE ) ( output577 A ) ( _625_ X ) + USE SIGNAL
+ ROUTED met1 ( 23690 50150 ) ( 30590 * )
NEW met2 ( 30590 50150 ) ( * 136510 )
NEW met1 ( 10350 39950 ) ( 23690 * )
NEW met2 ( 23690 39950 ) ( * 50150 )
NEW met3 ( 29900 156740 ) ( 30590 * )
NEW met3 ( 29900 156740 ) ( * 157420 )
NEW met3 ( 27830 157420 ) ( 29900 * )
NEW met3 ( 27830 157420 ) ( * 158100 )
NEW met2 ( 27830 158100 ) ( * 163710 )
NEW met1 ( 24150 163710 ) ( 27830 * )
NEW met1 ( 24150 163710 ) ( * 164390 )
NEW met2 ( 30590 136510 ) ( * 156740 )
NEW met1 ( 23690 50150 ) M1M2_PR
NEW met1 ( 30590 50150 ) M1M2_PR
NEW li1 ( 30590 136510 ) L1M1_PR_MR
NEW met1 ( 30590 136510 ) M1M2_PR
NEW li1 ( 10350 39950 ) L1M1_PR_MR
NEW met1 ( 23690 39950 ) M1M2_PR
NEW met2 ( 30590 156740 ) M2M3_PR_M
NEW met2 ( 27830 158100 ) M2M3_PR_M
NEW met1 ( 27830 163710 ) M1M2_PR
NEW li1 ( 24150 164390 ) L1M1_PR_MR
NEW met1 ( 30590 136510 ) RECT ( -355 -70 0 70 ) ;
- net578 ( output578 A ) ( _626_ X ) + USE SIGNAL
+ ROUTED met1 ( 18630 31450 ) ( 20930 * )
NEW met2 ( 20930 31450 ) ( * 34510 )
NEW met1 ( 20930 34510 ) ( * 34850 )
NEW met1 ( 20930 34850 ) ( 28290 * )
NEW li1 ( 18630 31450 ) L1M1_PR_MR
NEW met1 ( 20930 31450 ) M1M2_PR
NEW met1 ( 20930 34510 ) M1M2_PR
NEW li1 ( 28290 34850 ) L1M1_PR_MR ;
- net579 ( output579 A ) ( _627_ X ) + USE SIGNAL
+ ROUTED met2 ( 41630 22950 ) ( * 24990 )
NEW met1 ( 41630 24990 ) ( 43010 * )
NEW li1 ( 41630 22950 ) L1M1_PR_MR
NEW met1 ( 41630 22950 ) M1M2_PR
NEW met1 ( 41630 24990 ) M1M2_PR
NEW li1 ( 43010 24990 ) L1M1_PR_MR
NEW met1 ( 41630 22950 ) RECT ( -355 -70 0 70 ) ;
- net58 ( input58 X ) ( _567_ A ) ( _695_ A ) + USE SIGNAL
+ ROUTED met1 ( 9430 172550 ) ( 9890 * )
NEW met2 ( 9430 155550 ) ( * 172550 )
NEW met2 ( 55890 153850 ) ( * 156570 )
NEW met1 ( 30130 153850 ) ( 55890 * )
NEW met1 ( 30130 153510 ) ( * 153850 )
NEW met1 ( 24610 153510 ) ( 30130 * )
NEW met1 ( 24610 153510 ) ( * 153850 )
NEW met1 ( 15870 153850 ) ( 24610 * )
NEW met2 ( 15870 153850 ) ( * 155550 )
NEW met1 ( 55890 151470 ) ( 60030 * )
NEW met2 ( 55890 151470 ) ( * 153850 )
NEW met1 ( 9430 155550 ) ( 15870 * )
NEW met1 ( 55890 156570 ) ( 62330 * )
NEW met1 ( 9430 172550 ) M1M2_PR
NEW li1 ( 9890 172550 ) L1M1_PR_MR
NEW met1 ( 9430 155550 ) M1M2_PR
NEW li1 ( 62330 156570 ) L1M1_PR_MR
NEW met1 ( 55890 156570 ) M1M2_PR
NEW met1 ( 55890 153850 ) M1M2_PR
NEW met1 ( 15870 153850 ) M1M2_PR
NEW met1 ( 15870 155550 ) M1M2_PR
NEW li1 ( 60030 151470 ) L1M1_PR_MR
NEW met1 ( 55890 151470 ) M1M2_PR ;
- net580 ( output580 A ) ( _628_ X ) + USE SIGNAL
+ ROUTED met2 ( 89930 22950 ) ( * 25330 )
NEW met1 ( 123510 24990 ) ( * 25330 )
NEW met1 ( 123510 24990 ) ( 131330 * )
NEW met1 ( 89930 25330 ) ( 123510 * )
NEW met1 ( 89930 25330 ) M1M2_PR
NEW li1 ( 89930 22950 ) L1M1_PR_MR
NEW met1 ( 89930 22950 ) M1M2_PR
NEW li1 ( 131330 24990 ) L1M1_PR_MR
NEW met1 ( 89930 22950 ) RECT ( -355 -70 0 70 ) ;
- net581 ( output581 A ) ( _629_ X ) + USE SIGNAL
+ ROUTED met2 ( 99590 171870 ) ( * 172890 )
NEW met1 ( 99590 171870 ) ( 105110 * )
NEW li1 ( 99590 172890 ) L1M1_PR_MR
NEW met1 ( 99590 172890 ) M1M2_PR
NEW met1 ( 99590 171870 ) M1M2_PR
NEW li1 ( 105110 171870 ) L1M1_PR_MR
NEW met1 ( 99590 172890 ) RECT ( 0 -70 355 70 ) ;
- net582 ( output582 A ) ( _630_ X ) + USE SIGNAL
+ ROUTED met1 ( 161690 33830 ) ( 161720 * )
NEW met1 ( 161720 33810 ) ( * 33830 )
NEW met1 ( 161720 33810 ) ( 162610 * )
NEW met1 ( 162610 33810 ) ( * 33830 )
NEW met1 ( 162610 33830 ) ( 163530 * )
NEW met2 ( 163530 33660 ) ( * 33830 )
NEW met3 ( 163530 32980 ) ( * 33660 )
NEW met3 ( 157550 32980 ) ( 163530 * )
NEW met2 ( 157550 32980 ) ( * 33490 )
NEW met2 ( 157550 33490 ) ( 158010 * )
NEW met2 ( 158010 33490 ) ( * 38590 )
NEW met1 ( 158010 38590 ) ( 158470 * )
NEW li1 ( 161690 33830 ) L1M1_PR_MR
NEW met1 ( 163530 33830 ) M1M2_PR
NEW met2 ( 163530 33660 ) M2M3_PR_M
NEW met2 ( 157550 32980 ) M2M3_PR_M
NEW met1 ( 158010 38590 ) M1M2_PR
NEW li1 ( 158470 38590 ) L1M1_PR_MR ;
- net583 ( output583 A ) ( _631_ X ) + USE SIGNAL
+ ROUTED met1 ( 77970 22950 ) ( * 23290 )
NEW met2 ( 61410 23290 ) ( * 47090 )
NEW met1 ( 51750 47090 ) ( 61410 * )
NEW met1 ( 61410 23290 ) ( 77970 * )
NEW li1 ( 77970 22950 ) L1M1_PR_MR
NEW met1 ( 61410 23290 ) M1M2_PR
NEW met1 ( 61410 47090 ) M1M2_PR
NEW li1 ( 51750 47090 ) L1M1_PR_MR ;
- net584 ( ANTENNA_output584_A DIODE ) ( output584 A ) ( _541_ X ) + USE SIGNAL
+ ROUTED met1 ( 7590 15810 ) ( 8970 * )
NEW met2 ( 7590 15810 ) ( * 37740 )
NEW met2 ( 43470 86020 ) ( 43930 * )
NEW met3 ( 27830 166260 ) ( 43010 * )
NEW met2 ( 27830 166260 ) ( * 168130 )
NEW met1 ( 27830 168130 ) ( 28290 * )
NEW met1 ( 28290 167450 ) ( * 168130 )
NEW met1 ( 27890 167450 ) ( 28290 * )
NEW met3 ( 21620 37060 ) ( * 37740 )
NEW met3 ( 21620 37060 ) ( 43930 * )
NEW met3 ( 7590 37740 ) ( 21620 * )
NEW met2 ( 43930 37060 ) ( * 86020 )
NEW met2 ( 43010 145180 ) ( 43470 * )
NEW met2 ( 43470 145010 ) ( * 145180 )
NEW met2 ( 43010 145180 ) ( * 166260 )
NEW met2 ( 43470 86020 ) ( * 145010 )
NEW li1 ( 8970 15810 ) L1M1_PR_MR
NEW met1 ( 7590 15810 ) M1M2_PR
NEW met2 ( 7590 37740 ) M2M3_PR_M
NEW met2 ( 43010 166260 ) M2M3_PR_M
NEW met2 ( 27830 166260 ) M2M3_PR_M
NEW met1 ( 27830 168130 ) M1M2_PR
NEW li1 ( 27890 167450 ) L1M1_PR_MR
NEW met2 ( 43930 37060 ) M2M3_PR_M
NEW li1 ( 43470 145010 ) L1M1_PR_MR
NEW met1 ( 43470 145010 ) M1M2_PR
NEW met1 ( 43470 145010 ) RECT ( -355 -70 0 70 ) ;
- net585 ( ANTENNA_output585_A DIODE ) ( output585 A ) ( _660_ X ) + USE SIGNAL
+ ROUTED met1 ( 184690 31790 ) ( 189290 * )
NEW met2 ( 185610 137870 ) ( * 142630 )
NEW met1 ( 185610 137870 ) ( 187910 * )
NEW met1 ( 187910 137530 ) ( * 137870 )
NEW met2 ( 187910 104550 ) ( * 137530 )
NEW met1 ( 184690 104550 ) ( 187910 * )
NEW met1 ( 170890 137190 ) ( 178710 * )
NEW met1 ( 178710 137190 ) ( * 137530 )
NEW met1 ( 178710 137530 ) ( 185610 * )
NEW met1 ( 185610 137530 ) ( * 137870 )
NEW met2 ( 184690 31790 ) ( * 104550 )
NEW li1 ( 189290 31790 ) L1M1_PR_MR
NEW met1 ( 184690 31790 ) M1M2_PR
NEW li1 ( 185610 142630 ) L1M1_PR_MR
NEW met1 ( 185610 142630 ) M1M2_PR
NEW met1 ( 185610 137870 ) M1M2_PR
NEW met1 ( 187910 137530 ) M1M2_PR
NEW met1 ( 187910 104550 ) M1M2_PR
NEW met1 ( 184690 104550 ) M1M2_PR
NEW li1 ( 170890 137190 ) L1M1_PR_MR
NEW met1 ( 185610 142630 ) RECT ( -355 -70 0 70 ) ;
- net586 ( ANTENNA_output586_A DIODE ) ( output586 A ) ( _760_ X ) + USE SIGNAL
+ ROUTED met1 ( 155250 23290 ) ( * 23630 )
NEW met1 ( 143290 23290 ) ( 155250 * )
NEW met2 ( 143290 23290 ) ( * 23460 )
NEW met2 ( 143290 23460 ) ( 143750 * )
NEW met2 ( 143750 23460 ) ( * 58310 )
NEW met1 ( 143750 58310 ) ( 149270 * )
NEW met1 ( 149730 151470 ) ( 151110 * )
NEW met2 ( 151110 151470 ) ( * 151980 )
NEW met3 ( 151110 151980 ) ( 181930 * )
NEW met2 ( 181930 151980 ) ( * 158950 )
NEW met1 ( 149270 151470 ) ( 149730 * )
NEW met2 ( 149270 58310 ) ( * 151470 )
NEW li1 ( 155250 23630 ) L1M1_PR_MR
NEW met1 ( 143290 23290 ) M1M2_PR
NEW met1 ( 143750 58310 ) M1M2_PR
NEW met1 ( 149270 58310 ) M1M2_PR
NEW li1 ( 149730 151470 ) L1M1_PR_MR
NEW met1 ( 151110 151470 ) M1M2_PR
NEW met2 ( 151110 151980 ) M2M3_PR_M
NEW met2 ( 181930 151980 ) M2M3_PR_M
NEW li1 ( 181930 158950 ) L1M1_PR_MR
NEW met1 ( 181930 158950 ) M1M2_PR
NEW met1 ( 149270 151470 ) M1M2_PR
NEW met1 ( 181930 158950 ) RECT ( -355 -70 0 70 ) ;
- net587 ( output587 A ) ( _761_ X ) + USE SIGNAL
+ ROUTED met1 ( 150650 28390 ) ( 153410 * )
NEW met2 ( 150650 28390 ) ( * 35870 )
NEW li1 ( 153410 28390 ) L1M1_PR_MR
NEW met1 ( 150650 28390 ) M1M2_PR
NEW li1 ( 150650 35870 ) L1M1_PR_MR
NEW met1 ( 150650 35870 ) M1M2_PR
NEW met1 ( 150650 35870 ) RECT ( -355 -70 0 70 ) ;
- net588 ( ANTENNA_output588_A DIODE ) ( output588 A ) ( _762_ X ) + USE SIGNAL
+ ROUTED met1 ( 35190 140930 ) ( 39330 * )
NEW met1 ( 36110 166430 ) ( * 166770 )
NEW met1 ( 31510 166770 ) ( 36110 * )
NEW met1 ( 31510 166770 ) ( * 167110 )
NEW met2 ( 31510 167110 ) ( * 169830 )
NEW met2 ( 38870 160820 ) ( 39330 * )
NEW met2 ( 38870 160820 ) ( * 166430 )
NEW met2 ( 39330 140930 ) ( * 160820 )
NEW met2 ( 48990 166260 ) ( * 166430 )
NEW met3 ( 48990 166260 ) ( 49220 * )
NEW met4 ( 49220 166260 ) ( * 185300 )
NEW met3 ( 49220 185300 ) ( 84410 * )
NEW met2 ( 84410 185300 ) ( * 186830 )
NEW met1 ( 36110 166430 ) ( 48990 * )
NEW met1 ( 84410 186830 ) ( 126270 * )
NEW li1 ( 126270 186830 ) L1M1_PR_MR
NEW li1 ( 35190 140930 ) L1M1_PR_MR
NEW met1 ( 39330 140930 ) M1M2_PR
NEW met1 ( 31510 167110 ) M1M2_PR
NEW li1 ( 31510 169830 ) L1M1_PR_MR
NEW met1 ( 31510 169830 ) M1M2_PR
NEW met1 ( 38870 166430 ) M1M2_PR
NEW met1 ( 48990 166430 ) M1M2_PR
NEW met2 ( 48990 166260 ) M2M3_PR_M
NEW met3 ( 49220 166260 ) M3M4_PR_M
NEW met3 ( 49220 185300 ) M3M4_PR_M
NEW met2 ( 84410 185300 ) M2M3_PR_M
NEW met1 ( 84410 186830 ) M1M2_PR
NEW met1 ( 31510 169830 ) RECT ( 0 -70 355 70 )
NEW met1 ( 38870 166430 ) RECT ( -595 -70 0 70 )
NEW met3 ( 48990 166260 ) RECT ( -390 -150 0 150 ) ;
- net589 ( ANTENNA_output589_A DIODE ) ( output589 A ) ( _763_ X ) + USE SIGNAL
+ ROUTED met1 ( 140990 52190 ) ( 141450 * )
NEW met2 ( 109250 27710 ) ( * 34340 )
NEW met2 ( 108790 27710 ) ( 109250 * )
NEW met2 ( 108790 18190 ) ( * 27710 )
NEW met1 ( 108790 18190 ) ( 109710 * )
NEW met2 ( 109710 15130 ) ( * 18190 )
NEW met1 ( 105570 15130 ) ( 109710 * )
NEW met2 ( 140990 34340 ) ( * 52190 )
NEW met3 ( 109250 34340 ) ( 144900 * )
NEW met3 ( 144900 34340 ) ( * 35700 )
NEW met3 ( 144900 35700 ) ( 169970 * )
NEW met2 ( 169970 35700 ) ( * 39270 )
NEW met1 ( 169970 39270 ) ( 174570 * )
NEW li1 ( 141450 52190 ) L1M1_PR_MR
NEW met1 ( 140990 52190 ) M1M2_PR
NEW met2 ( 109250 34340 ) M2M3_PR_M
NEW met1 ( 108790 18190 ) M1M2_PR
NEW met1 ( 109710 18190 ) M1M2_PR
NEW met1 ( 109710 15130 ) M1M2_PR
NEW li1 ( 105570 15130 ) L1M1_PR_MR
NEW met2 ( 140990 34340 ) M2M3_PR_M
NEW met2 ( 169970 35700 ) M2M3_PR_M
NEW met1 ( 169970 39270 ) M1M2_PR
NEW li1 ( 174570 39270 ) L1M1_PR_MR
NEW met3 ( 140990 34340 ) RECT ( -800 -150 0 150 ) ;
- net59 ( input59 X ) ( _568_ A ) ( _696_ A ) + USE SIGNAL
+ ROUTED met1 ( 109250 11390 ) ( * 11730 )
NEW met1 ( 99590 11390 ) ( 109250 * )
NEW met1 ( 99590 11390 ) ( * 11730 )
NEW met2 ( 107870 11390 ) ( * 17510 )
NEW met1 ( 158700 12410 ) ( 162150 * )
NEW met1 ( 112470 11730 ) ( * 12070 )
NEW met1 ( 112470 12070 ) ( 116150 * )
NEW met1 ( 116150 12070 ) ( * 12750 )
NEW met1 ( 116150 12750 ) ( 158700 * )
NEW met1 ( 158700 12410 ) ( * 12750 )
NEW met1 ( 109250 11730 ) ( 112470 * )
NEW li1 ( 99590 11730 ) L1M1_PR_MR
NEW met1 ( 107870 11390 ) M1M2_PR
NEW li1 ( 162150 12410 ) L1M1_PR_MR
NEW li1 ( 107870 17510 ) L1M1_PR_MR
NEW met1 ( 107870 17510 ) M1M2_PR
NEW met1 ( 107870 11390 ) RECT ( -595 -70 0 70 )
NEW met1 ( 107870 17510 ) RECT ( -355 -70 0 70 ) ;
- net590 ( ANTENNA_output590_A DIODE ) ( output590 A ) ( _764_ X ) + USE SIGNAL
+ ROUTED met1 ( 171350 162010 ) ( 175490 * )
NEW met1 ( 158930 22270 ) ( 167210 * )
NEW met2 ( 167210 22270 ) ( * 22780 )
NEW met2 ( 167210 22780 ) ( 167670 * )
NEW met2 ( 167670 22780 ) ( * 58650 )
NEW met1 ( 165830 58650 ) ( 167670 * )
NEW met1 ( 165830 139910 ) ( 171350 * )
NEW met1 ( 165830 139230 ) ( * 139910 )
NEW met2 ( 165830 58650 ) ( * 139230 )
NEW met2 ( 171350 139910 ) ( * 162010 )
NEW met1 ( 171350 162010 ) M1M2_PR
NEW li1 ( 175490 162010 ) L1M1_PR_MR
NEW li1 ( 158930 22270 ) L1M1_PR_MR
NEW met1 ( 167210 22270 ) M1M2_PR
NEW met1 ( 167670 58650 ) M1M2_PR
NEW met1 ( 165830 58650 ) M1M2_PR
NEW li1 ( 165830 139230 ) L1M1_PR_MR
NEW met1 ( 165830 139230 ) M1M2_PR
NEW met1 ( 171350 139910 ) M1M2_PR
NEW met1 ( 165830 139230 ) RECT ( -355 -70 0 70 ) ;
- net591 ( output591 A ) ( _765_ X ) + USE SIGNAL
+ ROUTED met1 ( 71530 168130 ) ( 76130 * )
NEW met2 ( 76130 168130 ) ( * 172890 )
NEW met1 ( 76130 172890 ) ( 77050 * )
NEW li1 ( 71530 168130 ) L1M1_PR_MR
NEW met1 ( 76130 168130 ) M1M2_PR
NEW met1 ( 76130 172890 ) M1M2_PR
NEW li1 ( 77050 172890 ) L1M1_PR_MR ;
- net592 ( output592 A ) ( _766_ X ) + USE SIGNAL
+ ROUTED met2 ( 167210 146370 ) ( * 147730 )
NEW met1 ( 167210 147730 ) ( 185610 * )
NEW met1 ( 185610 147730 ) ( * 148070 )
NEW met1 ( 149270 146370 ) ( 167210 * )
NEW met1 ( 167210 146370 ) M1M2_PR
NEW met1 ( 167210 147730 ) M1M2_PR
NEW li1 ( 185610 148070 ) L1M1_PR_MR
NEW li1 ( 149270 146370 ) L1M1_PR_MR ;
- net593 ( output593 A ) ( _767_ X ) + USE SIGNAL
+ ROUTED met1 ( 144670 26010 ) ( 148810 * )
NEW met2 ( 144670 26010 ) ( * 30430 )
NEW li1 ( 148810 26010 ) L1M1_PR_MR
NEW met1 ( 144670 26010 ) M1M2_PR
NEW li1 ( 144670 30430 ) L1M1_PR_MR
NEW met1 ( 144670 30430 ) M1M2_PR
NEW met1 ( 144670 30430 ) RECT ( 0 -70 355 70 ) ;
- net594 ( output594 A ) ( _768_ X ) + USE SIGNAL
+ ROUTED met1 ( 41170 180370 ) ( * 180710 )
NEW met1 ( 37490 180710 ) ( 41170 * )
NEW met1 ( 37490 180370 ) ( * 180710 )
NEW met1 ( 34270 180370 ) ( 37490 * )
NEW met1 ( 118450 178330 ) ( * 178670 )
NEW met2 ( 50370 179180 ) ( * 180370 )
NEW met3 ( 50370 179180 ) ( 89930 * )
NEW met2 ( 89930 178670 ) ( * 179180 )
NEW met1 ( 41170 180370 ) ( 50370 * )
NEW met1 ( 89930 178670 ) ( 118450 * )
NEW li1 ( 34270 180370 ) L1M1_PR_MR
NEW li1 ( 118450 178330 ) L1M1_PR_MR
NEW met1 ( 50370 180370 ) M1M2_PR
NEW met2 ( 50370 179180 ) M2M3_PR_M
NEW met2 ( 89930 179180 ) M2M3_PR_M
NEW met1 ( 89930 178670 ) M1M2_PR ;
- net595 ( output595 A ) ( _769_ X ) + USE SIGNAL
+ ROUTED met1 ( 104650 20570 ) ( 107870 * )
NEW met2 ( 107870 20570 ) ( * 36210 )
NEW met1 ( 169510 38930 ) ( * 39270 )
NEW met2 ( 135010 36210 ) ( * 39610 )
NEW met1 ( 135010 39610 ) ( 156170 * )
NEW met1 ( 156170 38930 ) ( * 39610 )
NEW met1 ( 107870 36210 ) ( 135010 * )
NEW met1 ( 156170 38930 ) ( 169510 * )
NEW li1 ( 104650 20570 ) L1M1_PR_MR
NEW met1 ( 107870 20570 ) M1M2_PR
NEW met1 ( 107870 36210 ) M1M2_PR
NEW li1 ( 169510 39270 ) L1M1_PR_MR
NEW met1 ( 135010 36210 ) M1M2_PR
NEW met1 ( 135010 39610 ) M1M2_PR ;
- net596 ( output596 A ) ( _670_ X ) + USE SIGNAL
+ ROUTED met2 ( 69690 173230 ) ( * 175270 )
NEW met1 ( 65550 175270 ) ( 69690 * )
NEW met1 ( 93150 170510 ) ( 103270 * )
NEW met2 ( 93150 170510 ) ( * 172550 )
NEW met1 ( 90390 172550 ) ( 93150 * )
NEW met1 ( 90390 172550 ) ( * 173230 )
NEW met1 ( 69690 173230 ) ( 90390 * )
NEW met1 ( 69690 173230 ) M1M2_PR
NEW met1 ( 69690 175270 ) M1M2_PR
NEW li1 ( 65550 175270 ) L1M1_PR_MR
NEW li1 ( 103270 170510 ) L1M1_PR_MR
NEW met1 ( 93150 170510 ) M1M2_PR
NEW met1 ( 93150 172550 ) M1M2_PR ;
- net597 ( output597 A ) ( _770_ X ) + USE SIGNAL
+ ROUTED met1 ( 17710 130050 ) ( 32890 * )
NEW met1 ( 17710 129370 ) ( * 130050 )
NEW met2 ( 32890 130050 ) ( * 144900 )
NEW met2 ( 32890 144900 ) ( 33350 * )
NEW met2 ( 33350 144900 ) ( * 173570 )
NEW met2 ( 31970 173570 ) ( 33350 * )
NEW met2 ( 31970 173570 ) ( * 180030 )
NEW met1 ( 29210 180030 ) ( 31970 * )
NEW met1 ( 32890 130050 ) M1M2_PR
NEW li1 ( 17710 129370 ) L1M1_PR_MR
NEW met1 ( 31970 180030 ) M1M2_PR
NEW li1 ( 29210 180030 ) L1M1_PR_MR ;
- net598 ( output598 A ) ( _771_ X ) + USE SIGNAL
+ ROUTED met2 ( 16330 36890 ) ( * 41310 )
NEW met1 ( 16330 41310 ) ( 19090 * )
NEW met1 ( 19090 41310 ) ( * 41650 )
NEW met1 ( 19090 41650 ) ( 21850 * )
NEW li1 ( 16330 36890 ) L1M1_PR_MR
NEW met1 ( 16330 36890 ) M1M2_PR
NEW met1 ( 16330 41310 ) M1M2_PR
NEW li1 ( 21850 41650 ) L1M1_PR_MR
NEW met1 ( 16330 36890 ) RECT ( -355 -70 0 70 ) ;
- net599 ( ANTENNA_output599_A DIODE ) ( output599 A ) ( _772_ X ) + USE SIGNAL
+ ROUTED met2 ( 65550 25500 ) ( * 30770 )
NEW met2 ( 65550 25500 ) ( 66010 * )
NEW met2 ( 66010 19380 ) ( * 25500 )
NEW met1 ( 62100 30770 ) ( 65550 * )
NEW met2 ( 58190 28730 ) ( * 35870 )
NEW met1 ( 62100 30430 ) ( * 30770 )
NEW met1 ( 60030 30430 ) ( 62100 * )
NEW met2 ( 60030 28730 ) ( * 30430 )
NEW met1 ( 58190 28730 ) ( 60030 * )
NEW met1 ( 119370 17850 ) ( 133630 * )
NEW met1 ( 119370 17850 ) ( * 18190 )
NEW met2 ( 119370 18190 ) ( * 19380 )
NEW met3 ( 66010 19380 ) ( 119370 * )
NEW met2 ( 47150 28730 ) ( 47610 * )
NEW met1 ( 27830 28730 ) ( 47150 * )
NEW met1 ( 27830 28390 ) ( * 28730 )
NEW met1 ( 47610 28730 ) ( 58190 * )
NEW met1 ( 65550 30770 ) M1M2_PR
NEW met2 ( 66010 19380 ) M2M3_PR_M
NEW li1 ( 58190 35870 ) L1M1_PR_MR
NEW met1 ( 58190 35870 ) M1M2_PR
NEW met1 ( 58190 28730 ) M1M2_PR
NEW met1 ( 60030 30430 ) M1M2_PR
NEW met1 ( 60030 28730 ) M1M2_PR
NEW li1 ( 133630 17850 ) L1M1_PR_MR
NEW met1 ( 119370 18190 ) M1M2_PR
NEW met2 ( 119370 19380 ) M2M3_PR_M
NEW met1 ( 47610 28730 ) M1M2_PR
NEW met1 ( 47150 28730 ) M1M2_PR
NEW li1 ( 27830 28390 ) L1M1_PR_MR
NEW met1 ( 58190 35870 ) RECT ( -355 -70 0 70 ) ;
- net6 ( input6 X ) ( _635_ A ) ( _763_ A ) + USE SIGNAL
+ ROUTED met2 ( 104650 15130 ) ( * 33150 )
NEW met1 ( 100050 17170 ) ( 104650 * )
NEW li1 ( 104650 15130 ) L1M1_PR_MR
NEW met1 ( 104650 15130 ) M1M2_PR
NEW li1 ( 104650 33150 ) L1M1_PR_MR
NEW met1 ( 104650 33150 ) M1M2_PR
NEW li1 ( 100050 17170 ) L1M1_PR_MR
NEW met1 ( 104650 17170 ) M1M2_PR
NEW met1 ( 104650 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 104650 33150 ) RECT ( -355 -70 0 70 )
NEW met2 ( 104650 17170 ) RECT ( -70 -485 70 0 ) ;
- net60 ( ANTENNA__697__A DIODE ) ( ANTENNA__569__A DIODE ) ( input60 X ) ( _569_ A ) ( _697_ A ) + USE SIGNAL
+ ROUTED met1 ( 11730 63750 ) ( * 64090 )
NEW met1 ( 86710 93330 ) ( * 94010 )
NEW met1 ( 189750 172550 ) ( 195730 * )
NEW li1 ( 195730 143650 ) ( 196190 * )
NEW li1 ( 195730 143650 ) ( * 172550 )
NEW li1 ( 196190 94690 ) ( * 143650 )
NEW met1 ( 21390 64770 ) ( 27830 * )
NEW met2 ( 27830 64770 ) ( * 93330 )
NEW met1 ( 11730 63750 ) ( 23230 * )
NEW met1 ( 27830 93330 ) ( 86710 * )
NEW met1 ( 158700 94690 ) ( 196190 * )
NEW met1 ( 158700 94010 ) ( * 94690 )
NEW met1 ( 86710 94010 ) ( 158700 * )
NEW met1 ( 19550 62050 ) ( 23230 * )
NEW met1 ( 14610 58650 ) ( 17710 * )
NEW met1 ( 17710 58650 ) ( * 58990 )
NEW met1 ( 17710 58990 ) ( 23230 * )
NEW met2 ( 23230 58990 ) ( * 62050 )
NEW met2 ( 23230 62050 ) ( * 64770 )
NEW li1 ( 11730 64090 ) L1M1_PR_MR
NEW li1 ( 196190 94690 ) L1M1_PR_MR
NEW li1 ( 195730 172550 ) L1M1_PR_MR
NEW li1 ( 189750 172550 ) L1M1_PR_MR
NEW li1 ( 21390 64770 ) L1M1_PR_MR
NEW met1 ( 27830 64770 ) M1M2_PR
NEW met1 ( 27830 93330 ) M1M2_PR
NEW met1 ( 23230 64770 ) M1M2_PR
NEW met1 ( 23230 63750 ) M1M2_PR
NEW li1 ( 19550 62050 ) L1M1_PR_MR
NEW met1 ( 23230 62050 ) M1M2_PR
NEW li1 ( 14610 58650 ) L1M1_PR_MR
NEW met1 ( 23230 58990 ) M1M2_PR
NEW met1 ( 23230 64770 ) RECT ( -595 -70 0 70 )
NEW met2 ( 23230 63750 ) RECT ( -70 -485 70 0 ) ;
- net600 ( ANTENNA_output600_A DIODE ) ( output600 A ) ( _773_ X ) + USE SIGNAL
+ ROUTED met1 ( 47150 177310 ) ( 47610 * )
NEW met2 ( 47610 137530 ) ( * 177310 )
NEW met2 ( 110630 137530 ) ( * 137700 )
NEW met3 ( 110630 137700 ) ( 114310 * )
NEW met2 ( 114310 137530 ) ( * 137700 )
NEW met1 ( 47610 137530 ) ( 110630 * )
NEW met1 ( 185610 136850 ) ( * 137190 )
NEW met1 ( 168130 136850 ) ( 185610 * )
NEW met1 ( 168130 136850 ) ( * 137530 )
NEW met1 ( 114310 137530 ) ( 168130 * )
NEW met1 ( 47610 177310 ) M1M2_PR
NEW li1 ( 47150 177310 ) L1M1_PR_MR
NEW met1 ( 47610 137530 ) M1M2_PR
NEW met1 ( 110630 137530 ) M1M2_PR
NEW met2 ( 110630 137700 ) M2M3_PR_M
NEW met2 ( 114310 137700 ) M2M3_PR_M
NEW met1 ( 114310 137530 ) M1M2_PR
NEW li1 ( 168130 137530 ) L1M1_PR_MR
NEW li1 ( 185610 137190 ) L1M1_PR_MR ;
- net601 ( output601 A ) ( _774_ X ) + USE SIGNAL
+ ROUTED met2 ( 112930 20570 ) ( * 30430 )
NEW met1 ( 111550 30430 ) ( 112930 * )
NEW li1 ( 112930 20570 ) L1M1_PR_MR
NEW met1 ( 112930 20570 ) M1M2_PR
NEW met1 ( 112930 30430 ) M1M2_PR
NEW li1 ( 111550 30430 ) L1M1_PR_MR
NEW met1 ( 112930 20570 ) RECT ( -355 -70 0 70 ) ;
- net602 ( ANTENNA_output602_A DIODE ) ( output602 A ) ( _775_ X ) + USE SIGNAL
+ ROUTED met2 ( 167670 166940 ) ( * 167450 )
NEW met1 ( 167670 161330 ) ( 169970 * )
NEW met2 ( 167670 161330 ) ( * 166940 )
NEW met2 ( 169970 145690 ) ( * 161330 )
NEW met2 ( 54050 166940 ) ( * 177310 )
NEW met1 ( 50830 177310 ) ( 54050 * )
NEW met3 ( 54050 166940 ) ( 167670 * )
NEW met1 ( 158010 145690 ) ( 169970 * )
NEW li1 ( 167670 167450 ) L1M1_PR_MR
NEW met1 ( 167670 167450 ) M1M2_PR
NEW met2 ( 167670 166940 ) M2M3_PR_M
NEW met1 ( 169970 161330 ) M1M2_PR
NEW met1 ( 167670 161330 ) M1M2_PR
NEW met1 ( 169970 145690 ) M1M2_PR
NEW met2 ( 54050 166940 ) M2M3_PR_M
NEW met1 ( 54050 177310 ) M1M2_PR
NEW li1 ( 50830 177310 ) L1M1_PR_MR
NEW li1 ( 158010 145690 ) L1M1_PR_MR
NEW met1 ( 167670 167450 ) RECT ( -355 -70 0 70 ) ;
- net603 ( ANTENNA_output603_A DIODE ) ( output603 A ) ( _776_ X ) + USE SIGNAL
+ ROUTED met2 ( 85330 41310 ) ( * 174590 )
NEW met2 ( 56350 23290 ) ( * 38590 )
NEW met1 ( 49910 23290 ) ( 56350 * )
NEW met1 ( 49910 22950 ) ( * 23290 )
NEW met2 ( 56350 38590 ) ( * 41310 )
NEW met1 ( 56350 41310 ) ( 85330 * )
NEW li1 ( 85330 174590 ) L1M1_PR_MR
NEW met1 ( 85330 174590 ) M1M2_PR
NEW met1 ( 85330 41310 ) M1M2_PR
NEW li1 ( 56350 38590 ) L1M1_PR_MR
NEW met1 ( 56350 38590 ) M1M2_PR
NEW met1 ( 56350 23290 ) M1M2_PR
NEW li1 ( 49910 22950 ) L1M1_PR_MR
NEW met1 ( 56350 41310 ) M1M2_PR
NEW met1 ( 85330 174590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 56350 38590 ) RECT ( -355 -70 0 70 ) ;
- net604 ( output604 A ) ( _777_ X ) + USE SIGNAL
+ ROUTED met1 ( 30590 30430 ) ( 33810 * )
NEW met2 ( 30590 30430 ) ( * 30940 )
NEW met3 ( 29900 30940 ) ( 30590 * )
NEW met4 ( 29900 30940 ) ( * 39780 )
NEW met3 ( 29210 39780 ) ( 29900 * )
NEW met1 ( 25990 58990 ) ( 29210 * )
NEW met1 ( 25990 58990 ) ( * 59330 )
NEW met1 ( 12190 59330 ) ( 25990 * )
NEW met1 ( 12190 58650 ) ( * 59330 )
NEW met2 ( 29210 39780 ) ( * 58990 )
NEW li1 ( 33810 30430 ) L1M1_PR_MR
NEW met1 ( 30590 30430 ) M1M2_PR
NEW met2 ( 30590 30940 ) M2M3_PR_M
NEW met3 ( 29900 30940 ) M3M4_PR_M
NEW met3 ( 29900 39780 ) M3M4_PR_M
NEW met2 ( 29210 39780 ) M2M3_PR_M
NEW met1 ( 29210 58990 ) M1M2_PR
NEW li1 ( 12190 58650 ) L1M1_PR_MR ;
- net605 ( ANTENNA_output605_A DIODE ) ( output605 A ) ( _778_ X ) + USE SIGNAL
+ ROUTED met2 ( 76130 64770 ) ( * 110400 )
NEW met2 ( 76590 110400 ) ( * 143310 )
NEW met2 ( 76130 110400 ) ( 76590 * )
NEW met1 ( 43470 159290 ) ( 44850 * )
NEW met2 ( 43470 159290 ) ( * 172550 )
NEW met1 ( 41630 172550 ) ( 43470 * )
NEW met1 ( 41630 172550 ) ( * 172890 )
NEW met1 ( 37030 172890 ) ( 41630 * )
NEW met2 ( 44850 143310 ) ( * 159290 )
NEW met1 ( 41630 143310 ) ( 76590 * )
NEW li1 ( 76130 64770 ) L1M1_PR_MR
NEW met1 ( 76130 64770 ) M1M2_PR
NEW met1 ( 76590 143310 ) M1M2_PR
NEW met1 ( 44850 159290 ) M1M2_PR
NEW met1 ( 43470 159290 ) M1M2_PR
NEW met1 ( 43470 172550 ) M1M2_PR
NEW li1 ( 37030 172890 ) L1M1_PR_MR
NEW li1 ( 41630 143310 ) L1M1_PR_MR
NEW met1 ( 44850 143310 ) M1M2_PR
NEW met1 ( 76130 64770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 44850 143310 ) RECT ( -595 -70 0 70 ) ;
- net606 ( output606 A ) ( _779_ X ) + USE SIGNAL
+ ROUTED met2 ( 123050 170850 ) ( * 175270 )
NEW li1 ( 123050 170850 ) L1M1_PR_MR
NEW met1 ( 123050 170850 ) M1M2_PR
NEW li1 ( 123050 175270 ) L1M1_PR_MR
NEW met1 ( 123050 175270 ) M1M2_PR
NEW met1 ( 123050 170850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 123050 175270 ) RECT ( -355 -70 0 70 ) ;
- net607 ( output607 A ) ( _671_ X ) + USE SIGNAL
+ ROUTED met1 ( 153410 169490 ) ( * 170510 )
NEW met1 ( 153410 169490 ) ( 165830 * )
NEW met2 ( 165830 164730 ) ( * 169490 )
NEW met1 ( 165830 164730 ) ( 169510 * )
NEW met1 ( 169510 164390 ) ( * 164730 )
NEW met1 ( 139150 170510 ) ( 153410 * )
NEW li1 ( 139150 170510 ) L1M1_PR_MR
NEW met1 ( 165830 169490 ) M1M2_PR
NEW met1 ( 165830 164730 ) M1M2_PR
NEW li1 ( 169510 164390 ) L1M1_PR_MR ;
- net608 ( ANTENNA_output608_A DIODE ) ( output608 A ) ( _780_ X ) + USE SIGNAL
+ ROUTED met2 ( 174110 184620 ) ( * 185470 )
NEW met2 ( 133630 164900 ) ( 134090 * )
NEW met2 ( 134090 164900 ) ( * 173570 )
NEW met1 ( 132710 173570 ) ( 134090 * )
NEW met2 ( 132710 173570 ) ( * 179180 )
NEW met2 ( 132710 179180 ) ( 133170 * )
NEW met2 ( 133170 179180 ) ( * 184620 )
NEW met3 ( 133170 184620 ) ( 174110 * )
NEW met1 ( 47150 149090 ) ( 47610 * )
NEW met2 ( 47150 149090 ) ( * 151810 )
NEW met1 ( 28290 151810 ) ( 47150 * )
NEW met2 ( 28290 151810 ) ( * 152660 )
NEW met3 ( 22770 152660 ) ( 28290 * )
NEW met2 ( 22770 152660 ) ( * 154190 )
NEW met1 ( 14950 154190 ) ( 22770 * )
NEW met2 ( 14950 154190 ) ( * 156570 )
NEW met1 ( 62100 148410 ) ( * 149090 )
NEW met1 ( 47610 149090 ) ( 62100 * )
NEW met1 ( 12190 156570 ) ( 14950 * )
NEW met1 ( 62100 148410 ) ( 133630 * )
NEW met2 ( 133630 148410 ) ( * 164900 )
NEW met2 ( 174110 184620 ) M2M3_PR_M
NEW li1 ( 174110 185470 ) L1M1_PR_MR
NEW met1 ( 174110 185470 ) M1M2_PR
NEW li1 ( 12190 156570 ) L1M1_PR_MR
NEW met1 ( 134090 173570 ) M1M2_PR
NEW met1 ( 132710 173570 ) M1M2_PR
NEW met2 ( 133170 184620 ) M2M3_PR_M
NEW li1 ( 47610 149090 ) L1M1_PR_MR
NEW met1 ( 47150 149090 ) M1M2_PR
NEW met1 ( 47150 151810 ) M1M2_PR
NEW met1 ( 28290 151810 ) M1M2_PR
NEW met2 ( 28290 152660 ) M2M3_PR_M
NEW met2 ( 22770 152660 ) M2M3_PR_M
NEW met1 ( 22770 154190 ) M1M2_PR
NEW met1 ( 14950 154190 ) M1M2_PR
NEW met1 ( 14950 156570 ) M1M2_PR
NEW met1 ( 133630 148410 ) M1M2_PR
NEW met1 ( 174110 185470 ) RECT ( -355 -70 0 70 ) ;
- net609 ( output609 A ) ( _781_ X ) + USE SIGNAL
+ ROUTED li1 ( 30130 164390 ) ( * 165070 )
NEW met1 ( 27830 164390 ) ( 30130 * )
NEW met1 ( 30130 165070 ) ( 77970 * )
NEW li1 ( 77970 165070 ) L1M1_PR_MR
NEW li1 ( 30130 165070 ) L1M1_PR_MR
NEW li1 ( 30130 164390 ) L1M1_PR_MR
NEW li1 ( 27830 164390 ) L1M1_PR_MR ;
- net61 ( ANTENNA__698__A DIODE ) ( ANTENNA__570__A DIODE ) ( input61 X ) ( _570_ A ) ( _698_ A ) + USE SIGNAL
+ ROUTED met1 ( 181470 81090 ) ( 182850 * )
NEW met2 ( 182850 81090 ) ( * 90780 )
NEW met2 ( 181930 90780 ) ( 182850 * )
NEW met1 ( 181470 186490 ) ( 181930 * )
NEW met1 ( 181010 50150 ) ( 181470 * )
NEW met1 ( 181010 57630 ) ( 181470 * )
NEW met2 ( 181470 50150 ) ( * 57630 )
NEW met2 ( 181470 57630 ) ( * 81090 )
NEW met2 ( 181470 129540 ) ( 181930 * )
NEW met2 ( 181470 129540 ) ( * 186490 )
NEW met2 ( 181930 90780 ) ( * 129540 )
NEW met1 ( 113850 42670 ) ( 141450 * )
NEW met2 ( 141450 42670 ) ( * 47430 )
NEW met1 ( 111090 42330 ) ( 113850 * )
NEW met1 ( 113850 42330 ) ( * 42670 )
NEW met1 ( 176870 47430 ) ( * 47790 )
NEW met1 ( 176870 47790 ) ( 177790 * )
NEW met1 ( 177790 47430 ) ( * 47790 )
NEW met1 ( 177790 47430 ) ( 181470 * )
NEW met1 ( 141450 47430 ) ( 176870 * )
NEW met2 ( 181470 47430 ) ( * 50150 )
NEW met1 ( 181470 81090 ) M1M2_PR
NEW met1 ( 182850 81090 ) M1M2_PR
NEW met1 ( 181470 186490 ) M1M2_PR
NEW li1 ( 181930 186490 ) L1M1_PR_MR
NEW li1 ( 181010 50150 ) L1M1_PR_MR
NEW met1 ( 181470 50150 ) M1M2_PR
NEW li1 ( 181010 57630 ) L1M1_PR_MR
NEW met1 ( 181470 57630 ) M1M2_PR
NEW li1 ( 113850 42670 ) L1M1_PR_MR
NEW met1 ( 141450 42670 ) M1M2_PR
NEW met1 ( 141450 47430 ) M1M2_PR
NEW li1 ( 111090 42330 ) L1M1_PR_MR
NEW met1 ( 181470 47430 ) M1M2_PR ;
- net610 ( ANTENNA_output610_A DIODE ) ( output610 A ) ( _782_ X ) + USE SIGNAL
+ ROUTED met1 ( 167670 69190 ) ( 170890 * )
NEW met2 ( 170430 58140 ) ( 170890 * )
NEW met2 ( 170430 49980 ) ( * 58140 )
NEW met2 ( 169970 49980 ) ( 170430 * )
NEW met2 ( 170890 58140 ) ( * 69190 )
NEW met1 ( 103730 30770 ) ( 111090 * )
NEW met1 ( 111090 30770 ) ( * 31110 )
NEW met1 ( 111090 31110 ) ( 114310 * )
NEW met1 ( 114310 30770 ) ( * 31110 )
NEW met1 ( 114310 30770 ) ( 116610 * )
NEW met1 ( 116610 30770 ) ( * 31110 )
NEW met1 ( 116610 31110 ) ( 128110 * )
NEW met1 ( 128110 31110 ) ( * 31450 )
NEW met1 ( 128110 31450 ) ( 129950 * )
NEW li1 ( 129950 31450 ) ( * 32130 )
NEW met3 ( 145130 41140 ) ( 169970 * )
NEW met2 ( 145130 32130 ) ( * 41140 )
NEW met1 ( 181930 44710 ) ( * 45050 )
NEW met1 ( 169970 45050 ) ( 181930 * )
NEW met1 ( 169970 45050 ) ( * 45390 )
NEW met1 ( 129950 32130 ) ( 145130 * )
NEW met2 ( 169970 41140 ) ( * 49980 )
NEW met1 ( 170890 69190 ) M1M2_PR
NEW li1 ( 167670 69190 ) L1M1_PR_MR
NEW li1 ( 103730 30770 ) L1M1_PR_MR
NEW li1 ( 129950 31450 ) L1M1_PR_MR
NEW li1 ( 129950 32130 ) L1M1_PR_MR
NEW met2 ( 169970 41140 ) M2M3_PR_M
NEW met2 ( 145130 41140 ) M2M3_PR_M
NEW met1 ( 145130 32130 ) M1M2_PR
NEW li1 ( 181930 44710 ) L1M1_PR_MR
NEW met1 ( 169970 45390 ) M1M2_PR
NEW met2 ( 169970 45390 ) RECT ( -70 -485 70 0 ) ;
- net611 ( ANTENNA_output611_A DIODE ) ( output611 A ) ( _783_ X ) + USE SIGNAL
+ ROUTED met3 ( 166980 179860 ) ( 167210 * )
NEW met2 ( 167210 179860 ) ( * 183090 )
NEW met4 ( 166980 154700 ) ( * 179860 )
NEW met2 ( 15870 156060 ) ( * 156570 )
NEW met3 ( 15870 156060 ) ( 62100 * )
NEW met3 ( 62100 154700 ) ( * 156060 )
NEW met2 ( 25070 132770 ) ( * 143140 )
NEW met3 ( 24380 143140 ) ( 25070 * )
NEW met4 ( 24380 143140 ) ( * 156060 )
NEW met3 ( 62100 154700 ) ( 166980 * )
NEW met3 ( 166980 179860 ) M3M4_PR_M
NEW met2 ( 167210 179860 ) M2M3_PR_M
NEW li1 ( 167210 183090 ) L1M1_PR_MR
NEW met1 ( 167210 183090 ) M1M2_PR
NEW met3 ( 166980 154700 ) M3M4_PR_M
NEW li1 ( 15870 156570 ) L1M1_PR_MR
NEW met1 ( 15870 156570 ) M1M2_PR
NEW met2 ( 15870 156060 ) M2M3_PR_M
NEW li1 ( 25070 132770 ) L1M1_PR_MR
NEW met1 ( 25070 132770 ) M1M2_PR
NEW met2 ( 25070 143140 ) M2M3_PR_M
NEW met3 ( 24380 143140 ) M3M4_PR_M
NEW met3 ( 24380 156060 ) M3M4_PR_M
NEW met3 ( 166980 179860 ) RECT ( -390 -150 0 150 )
NEW met1 ( 167210 183090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 15870 156570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 25070 132770 ) RECT ( -355 -70 0 70 )
NEW met3 ( 24380 156060 ) RECT ( -800 -150 0 150 ) ;
- net612 ( output612 A ) ( _784_ X ) + USE SIGNAL
+ ROUTED met1 ( 180090 85850 ) ( 181010 * )
NEW met1 ( 181010 26350 ) ( 182390 * )
NEW met2 ( 181010 26350 ) ( * 85850 )
NEW met1 ( 181010 85850 ) M1M2_PR
NEW li1 ( 180090 85850 ) L1M1_PR_MR
NEW li1 ( 182390 26350 ) L1M1_PR_MR
NEW met1 ( 181010 26350 ) M1M2_PR ;
- net613 ( output613 A ) ( _785_ X ) + USE SIGNAL
+ ROUTED met1 ( 89470 165410 ) ( 90850 * )
NEW met2 ( 90850 165410 ) ( * 169830 )
NEW li1 ( 89470 165410 ) L1M1_PR_MR
NEW met1 ( 90850 165410 ) M1M2_PR
NEW li1 ( 90850 169830 ) L1M1_PR_MR
NEW met1 ( 90850 169830 ) M1M2_PR
NEW met1 ( 90850 169830 ) RECT ( -355 -70 0 70 ) ;
- net614 ( output614 A ) ( _786_ X ) + USE SIGNAL
+ ROUTED met2 ( 53590 170850 ) ( * 175270 )
NEW li1 ( 53590 170850 ) L1M1_PR_MR
NEW met1 ( 53590 170850 ) M1M2_PR
NEW li1 ( 53590 175270 ) L1M1_PR_MR
NEW met1 ( 53590 175270 ) M1M2_PR
NEW met1 ( 53590 170850 ) RECT ( -355 -70 0 70 )
NEW met1 ( 53590 175270 ) RECT ( -355 -70 0 70 ) ;
- net615 ( output615 A ) ( _787_ X ) + USE SIGNAL
+ ROUTED met2 ( 93150 162350 ) ( * 163710 )
NEW met1 ( 93150 163710 ) ( 98670 * )
NEW met1 ( 22310 162010 ) ( * 162350 )
NEW met1 ( 22275 162010 ) ( 22310 * )
NEW met1 ( 22310 162350 ) ( 93150 * )
NEW met1 ( 93150 162350 ) M1M2_PR
NEW met1 ( 93150 163710 ) M1M2_PR
NEW li1 ( 98670 163710 ) L1M1_PR_MR
NEW li1 ( 22275 162010 ) L1M1_PR_MR ;
- net616 ( ANTENNA_output616_A DIODE ) ( output616 A ) ( _672_ X ) + USE SIGNAL
+ ROUTED met1 ( 66470 152830 ) ( 66930 * )
NEW met2 ( 66470 37570 ) ( * 152830 )
NEW met1 ( 60030 35870 ) ( 60490 * )
NEW met2 ( 60030 32130 ) ( * 35870 )
NEW met2 ( 60030 35870 ) ( * 37570 )
NEW met1 ( 60030 37570 ) ( 66470 * )
NEW met1 ( 24150 31450 ) ( * 32130 )
NEW met1 ( 24115 31450 ) ( 24150 * )
NEW met1 ( 24150 32130 ) ( 60030 * )
NEW met1 ( 66470 37570 ) M1M2_PR
NEW met1 ( 66470 152830 ) M1M2_PR
NEW li1 ( 66930 152830 ) L1M1_PR_MR
NEW li1 ( 60490 35870 ) L1M1_PR_MR
NEW met1 ( 60030 35870 ) M1M2_PR
NEW met1 ( 60030 32130 ) M1M2_PR
NEW met1 ( 60030 37570 ) M1M2_PR
NEW li1 ( 24115 31450 ) L1M1_PR_MR ;
- net617 ( output617 A ) ( _673_ X ) + USE SIGNAL
+ ROUTED met1 ( 10350 126310 ) ( 10810 * )
NEW met2 ( 10350 126310 ) ( * 152830 )
NEW met1 ( 10350 152830 ) ( 10810 * )
NEW li1 ( 10810 126310 ) L1M1_PR_MR
NEW met1 ( 10350 126310 ) M1M2_PR
NEW met1 ( 10350 152830 ) M1M2_PR
NEW li1 ( 10810 152830 ) L1M1_PR_MR ;
- net618 ( output618 A ) ( _674_ X ) + USE SIGNAL
+ ROUTED li1 ( 175490 131750 ) ( * 132430 )
NEW met1 ( 175490 132430 ) ( 178710 * )
NEW met1 ( 154330 175270 ) ( 154790 * )
NEW met2 ( 154330 151300 ) ( 154790 * )
NEW met2 ( 154790 131750 ) ( * 151300 )
NEW met2 ( 154330 151300 ) ( * 175270 )
NEW met1 ( 154790 131750 ) ( 175490 * )
NEW li1 ( 175490 131750 ) L1M1_PR_MR
NEW li1 ( 175490 132430 ) L1M1_PR_MR
NEW li1 ( 178710 132430 ) L1M1_PR_MR
NEW met1 ( 154330 175270 ) M1M2_PR
NEW li1 ( 154790 175270 ) L1M1_PR_MR
NEW met1 ( 154790 131750 ) M1M2_PR ;
- net619 ( output619 A ) ( _675_ X ) + USE SIGNAL
+ ROUTED met2 ( 179630 154530 ) ( * 156570 )
NEW met1 ( 179630 156570 ) ( 182390 * )
NEW li1 ( 179630 154530 ) L1M1_PR_MR
NEW met1 ( 179630 154530 ) M1M2_PR
NEW met1 ( 179630 156570 ) M1M2_PR
NEW li1 ( 182390 156570 ) L1M1_PR_MR
NEW met1 ( 179630 154530 ) RECT ( -355 -70 0 70 ) ;
- net62 ( input62 X ) ( _571_ A ) ( _699_ A ) + USE SIGNAL
+ ROUTED met1 ( 54970 172550 ) ( * 172890 )
NEW met1 ( 54970 172550 ) ( 60950 * )
NEW met1 ( 60950 172210 ) ( * 172550 )
NEW met1 ( 60950 172210 ) ( 66470 * )
NEW met2 ( 66470 170170 ) ( * 172210 )
NEW met1 ( 66470 170170 ) ( 82570 * )
NEW met2 ( 82570 165410 ) ( * 170170 )
NEW met1 ( 82570 165410 ) ( 84410 * )
NEW met1 ( 51750 180370 ) ( 53130 * )
NEW met2 ( 53130 176460 ) ( * 180370 )
NEW met3 ( 53130 176460 ) ( 60950 * )
NEW met2 ( 60950 172550 ) ( * 176460 )
NEW li1 ( 54970 172890 ) L1M1_PR_MR
NEW met1 ( 66470 172210 ) M1M2_PR
NEW met1 ( 66470 170170 ) M1M2_PR
NEW met1 ( 82570 170170 ) M1M2_PR
NEW met1 ( 82570 165410 ) M1M2_PR
NEW li1 ( 84410 165410 ) L1M1_PR_MR
NEW li1 ( 51750 180370 ) L1M1_PR_MR
NEW met1 ( 53130 180370 ) M1M2_PR
NEW met2 ( 53130 176460 ) M2M3_PR_M
NEW met2 ( 60950 176460 ) M2M3_PR_M
NEW met1 ( 60950 172550 ) M1M2_PR
NEW met1 ( 60950 172550 ) RECT ( 0 -70 595 70 ) ;
- net620 ( output620 A ) ( _676_ X ) + USE SIGNAL
+ ROUTED met2 ( 122130 22950 ) ( * 27710 )
NEW li1 ( 122130 22950 ) L1M1_PR_MR
NEW met1 ( 122130 22950 ) M1M2_PR
NEW li1 ( 122130 27710 ) L1M1_PR_MR
NEW met1 ( 122130 27710 ) M1M2_PR
NEW met1 ( 122130 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 122130 27710 ) RECT ( -355 -70 0 70 ) ;
- net621 ( ANTENNA_output621_A DIODE ) ( output621 A ) ( _677_ X ) + USE SIGNAL
+ ROUTED met1 ( 78890 60350 ) ( 145130 * )
NEW met2 ( 78430 32300 ) ( 78890 * )
NEW met2 ( 78430 16830 ) ( * 32300 )
NEW met2 ( 78890 32300 ) ( * 60350 )
NEW met1 ( 145130 154530 ) ( 158010 * )
NEW met1 ( 158010 154190 ) ( * 154530 )
NEW met1 ( 158010 154190 ) ( 179170 * )
NEW met1 ( 179170 153510 ) ( * 154190 )
NEW met1 ( 179170 153510 ) ( 181930 * )
NEW met2 ( 145130 60350 ) ( * 154530 )
NEW met1 ( 78890 60350 ) M1M2_PR
NEW met1 ( 145130 60350 ) M1M2_PR
NEW li1 ( 78430 16830 ) L1M1_PR_MR
NEW met1 ( 78430 16830 ) M1M2_PR
NEW li1 ( 145130 154530 ) L1M1_PR_MR
NEW li1 ( 181930 153510 ) L1M1_PR_MR
NEW met1 ( 145130 154530 ) M1M2_PR
NEW met1 ( 78430 16830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 145130 154530 ) RECT ( 0 -70 595 70 ) ;
- net622 ( output622 A ) ( _678_ X ) + USE SIGNAL
+ ROUTED met2 ( 182390 74970 ) ( * 90270 )
NEW met1 ( 182390 90270 ) ( 182850 * )
NEW li1 ( 182390 74970 ) L1M1_PR_MR
NEW met1 ( 182390 74970 ) M1M2_PR
NEW met1 ( 182390 90270 ) M1M2_PR
NEW li1 ( 182850 90270 ) L1M1_PR_MR
NEW met1 ( 182390 74970 ) RECT ( -355 -70 0 70 ) ;
- net623 ( output623 A ) ( _679_ X ) + USE SIGNAL
+ ROUTED met1 ( 165830 36550 ) ( * 36890 )
NEW met1 ( 135470 29410 ) ( 148810 * )
NEW met2 ( 148810 29410 ) ( * 36210 )
NEW met1 ( 148810 36210 ) ( 151110 * )
NEW met1 ( 151110 35870 ) ( * 36210 )
NEW met1 ( 151110 35870 ) ( 152490 * )
NEW met1 ( 152490 35870 ) ( * 36550 )
NEW met1 ( 152490 36550 ) ( 165830 * )
NEW li1 ( 165830 36890 ) L1M1_PR_MR
NEW li1 ( 135470 29410 ) L1M1_PR_MR
NEW met1 ( 148810 29410 ) M1M2_PR
NEW met1 ( 148810 36210 ) M1M2_PR ;
- net624 ( output624 A ) ( _661_ X ) + USE SIGNAL
+ ROUTED met1 ( 96370 169830 ) ( * 170170 )
NEW met1 ( 88090 170170 ) ( 96370 * )
NEW met2 ( 88090 170170 ) ( * 174930 )
NEW met1 ( 65090 174930 ) ( 88090 * )
NEW met2 ( 65090 173570 ) ( * 174930 )
NEW met1 ( 62330 173570 ) ( 65090 * )
NEW li1 ( 96370 169830 ) L1M1_PR_MR
NEW met1 ( 88090 170170 ) M1M2_PR
NEW met1 ( 88090 174930 ) M1M2_PR
NEW met1 ( 65090 174930 ) M1M2_PR
NEW met1 ( 65090 173570 ) M1M2_PR
NEW li1 ( 62330 173570 ) L1M1_PR_MR ;
- net625 ( ANTENNA_output625_A DIODE ) ( output625 A ) ( _680_ X ) + USE SIGNAL
+ ROUTED met1 ( 86250 156230 ) ( * 156570 )
NEW met2 ( 142830 162180 ) ( 143290 * )
NEW met2 ( 143290 162180 ) ( * 174930 )
NEW met1 ( 143290 174930 ) ( 147890 * )
NEW met1 ( 147890 174930 ) ( * 175270 )
NEW met1 ( 39790 154530 ) ( 40250 * )
NEW met2 ( 40250 154530 ) ( * 156230 )
NEW met1 ( 40250 156230 ) ( 86250 * )
NEW met1 ( 138230 156570 ) ( 142830 * )
NEW met1 ( 86250 156570 ) ( 138230 * )
NEW met2 ( 142830 156570 ) ( * 162180 )
NEW met1 ( 143290 174930 ) M1M2_PR
NEW li1 ( 147890 175270 ) L1M1_PR_MR
NEW li1 ( 39790 154530 ) L1M1_PR_MR
NEW met1 ( 40250 154530 ) M1M2_PR
NEW met1 ( 40250 156230 ) M1M2_PR
NEW li1 ( 138230 156570 ) L1M1_PR_MR
NEW met1 ( 142830 156570 ) M1M2_PR ;
- net626 ( output626 A ) ( _681_ X ) + USE SIGNAL
+ ROUTED met2 ( 110630 26010 ) ( * 27710 )
NEW met1 ( 110630 27710 ) ( 112010 * )
NEW li1 ( 110630 26010 ) L1M1_PR_MR
NEW met1 ( 110630 26010 ) M1M2_PR
NEW met1 ( 110630 27710 ) M1M2_PR
NEW li1 ( 112010 27710 ) L1M1_PR_MR
NEW met1 ( 110630 26010 ) RECT ( 0 -70 355 70 ) ;
- net627 ( ANTENNA_output627_A DIODE ) ( output627 A ) ( _682_ X ) + USE SIGNAL
+ ROUTED met1 ( 132250 47770 ) ( 139150 * )
NEW met2 ( 139150 23290 ) ( * 47770 )
NEW met1 ( 139150 23290 ) ( 141450 * )
NEW met1 ( 141450 22950 ) ( * 23290 )
NEW met1 ( 141450 22950 ) ( 143750 * )
NEW met2 ( 144210 62100 ) ( 144670 * )
NEW met2 ( 144210 47770 ) ( * 62100 )
NEW met1 ( 141450 47770 ) ( 144210 * )
NEW met1 ( 141450 47770 ) ( * 48110 )
NEW met1 ( 139150 48110 ) ( 141450 * )
NEW met1 ( 139150 47770 ) ( * 48110 )
NEW met1 ( 142370 102850 ) ( 144670 * )
NEW met2 ( 142370 102850 ) ( * 115090 )
NEW met2 ( 144670 62100 ) ( * 102850 )
NEW met1 ( 142370 115090 ) ( 150190 * )
NEW li1 ( 132250 47770 ) L1M1_PR_MR
NEW met1 ( 139150 47770 ) M1M2_PR
NEW met1 ( 139150 23290 ) M1M2_PR
NEW li1 ( 143750 22950 ) L1M1_PR_MR
NEW met1 ( 144210 47770 ) M1M2_PR
NEW li1 ( 150190 115090 ) L1M1_PR_MR
NEW met1 ( 144670 102850 ) M1M2_PR
NEW met1 ( 142370 102850 ) M1M2_PR
NEW met1 ( 142370 115090 ) M1M2_PR ;
- net628 ( ANTENNA_output628_A DIODE ) ( output628 A ) ( _683_ X ) + USE SIGNAL
+ ROUTED met1 ( 96370 15810 ) ( 105570 * )
NEW met2 ( 105570 15810 ) ( * 15980 )
NEW met3 ( 105570 15980 ) ( 107180 * )
NEW met4 ( 107180 15980 ) ( * 34340 )
NEW met3 ( 107180 34340 ) ( 107410 * )
NEW met2 ( 107410 34340 ) ( * 37060 )
NEW met2 ( 107410 37060 ) ( 107870 * )
NEW met2 ( 107870 37060 ) ( * 56610 )
NEW met1 ( 166290 57630 ) ( * 57970 )
NEW met2 ( 166290 57460 ) ( * 57630 )
NEW met3 ( 166290 57460 ) ( 170890 * )
NEW met2 ( 170890 48110 ) ( * 57460 )
NEW met1 ( 170890 48110 ) ( 182390 * )
NEW met1 ( 182390 47770 ) ( * 48110 )
NEW met2 ( 149270 56610 ) ( * 57630 )
NEW met1 ( 149270 57630 ) ( * 57970 )
NEW met1 ( 107870 56610 ) ( 149270 * )
NEW met1 ( 149270 57970 ) ( 166290 * )
NEW li1 ( 96370 15810 ) L1M1_PR_MR
NEW met1 ( 105570 15810 ) M1M2_PR
NEW met2 ( 105570 15980 ) M2M3_PR_M
NEW met3 ( 107180 15980 ) M3M4_PR_M
NEW met3 ( 107180 34340 ) M3M4_PR_M
NEW met2 ( 107410 34340 ) M2M3_PR_M
NEW met1 ( 107870 56610 ) M1M2_PR
NEW met1 ( 166290 57630 ) M1M2_PR
NEW met2 ( 166290 57460 ) M2M3_PR_M
NEW met2 ( 170890 57460 ) M2M3_PR_M
NEW met1 ( 170890 48110 ) M1M2_PR
NEW li1 ( 182390 47770 ) L1M1_PR_MR
NEW li1 ( 149270 57630 ) L1M1_PR_MR
NEW met1 ( 149270 57630 ) M1M2_PR
NEW met1 ( 149270 56610 ) M1M2_PR
NEW met3 ( 107180 34340 ) RECT ( -390 -150 0 150 )
NEW met1 ( 149270 57630 ) RECT ( -355 -70 0 70 ) ;
- net629 ( output629 A ) ( _684_ X ) + USE SIGNAL
+ ROUTED met1 ( 32430 161330 ) ( * 161670 )
NEW met1 ( 28290 161330 ) ( 32430 * )
NEW met1 ( 28290 161330 ) ( * 161670 )
NEW met2 ( 28290 159290 ) ( * 161670 )
NEW met1 ( 20470 159290 ) ( 28290 * )
NEW met1 ( 20470 158950 ) ( * 159290 )
NEW met2 ( 59110 161670 ) ( * 166430 )
NEW met1 ( 59110 166430 ) ( 68310 * )
NEW met2 ( 68310 166430 ) ( * 178330 )
NEW met1 ( 68310 178330 ) ( * 178670 )
NEW met1 ( 68310 178670 ) ( 87630 * )
NEW met1 ( 87630 178330 ) ( * 178670 )
NEW met1 ( 87630 178330 ) ( 88090 * )
NEW met1 ( 88090 177990 ) ( * 178330 )
NEW met1 ( 88090 177990 ) ( 90390 * )
NEW met1 ( 32430 161670 ) ( 59110 * )
NEW met1 ( 28290 161670 ) M1M2_PR
NEW met1 ( 28290 159290 ) M1M2_PR
NEW li1 ( 20470 158950 ) L1M1_PR_MR
NEW met1 ( 59110 161670 ) M1M2_PR
NEW met1 ( 59110 166430 ) M1M2_PR
NEW met1 ( 68310 166430 ) M1M2_PR
NEW met1 ( 68310 178330 ) M1M2_PR
NEW li1 ( 90390 177990 ) L1M1_PR_MR ;
- net63 ( input63 X ) ( _535_ A ) ( _663_ A ) + USE SIGNAL
+ ROUTED met1 ( 183770 134810 ) ( 189290 * )
NEW met2 ( 189290 129710 ) ( * 134810 )
NEW met1 ( 189290 129710 ) ( 190210 * )
NEW met1 ( 180550 134130 ) ( 183770 * )
NEW met1 ( 183770 134130 ) ( * 134810 )
NEW li1 ( 183770 134810 ) L1M1_PR_MR
NEW met1 ( 189290 134810 ) M1M2_PR
NEW met1 ( 189290 129710 ) M1M2_PR
NEW li1 ( 190210 129710 ) L1M1_PR_MR
NEW li1 ( 180550 134130 ) L1M1_PR_MR ;
- net630 ( ANTENNA_output630_A DIODE ) ( output630 A ) ( _685_ X ) + USE SIGNAL
+ ROUTED met2 ( 63250 25500 ) ( * 30260 )
NEW met2 ( 63250 25500 ) ( 63710 * )
NEW met2 ( 63710 16830 ) ( * 25500 )
NEW met1 ( 63710 16830 ) ( 67850 * )
NEW met1 ( 67850 16830 ) ( * 17170 )
NEW met1 ( 67850 17170 ) ( 75210 * )
NEW met2 ( 75210 15810 ) ( * 17170 )
NEW met1 ( 75210 15810 ) ( 82570 * )
NEW li1 ( 82570 14110 ) ( * 15810 )
NEW met1 ( 22310 33830 ) ( 24610 * )
NEW met2 ( 24610 33660 ) ( * 33830 )
NEW met3 ( 24610 33660 ) ( 37490 * )
NEW met2 ( 37490 31110 ) ( * 33660 )
NEW met1 ( 37490 31110 ) ( 43010 * )
NEW met1 ( 43010 31110 ) ( * 31450 )
NEW met1 ( 43010 31450 ) ( 54970 * )
NEW met2 ( 54970 30260 ) ( * 31450 )
NEW met1 ( 35190 53550 ) ( 35650 * )
NEW met2 ( 35650 33660 ) ( * 53550 )
NEW met3 ( 54970 30260 ) ( 63250 * )
NEW met1 ( 111550 14110 ) ( * 14450 )
NEW met1 ( 111550 14450 ) ( 112930 * )
NEW met1 ( 112930 14450 ) ( * 14790 )
NEW met1 ( 112930 14790 ) ( 125350 * )
NEW met1 ( 125350 14790 ) ( * 15130 )
NEW met1 ( 125350 15130 ) ( 128110 * )
NEW met1 ( 128110 15130 ) ( * 15470 )
NEW met1 ( 128110 15470 ) ( 141450 * )
NEW met1 ( 82570 14110 ) ( 111550 * )
NEW met2 ( 63250 30260 ) M2M3_PR_M
NEW met1 ( 63710 16830 ) M1M2_PR
NEW met1 ( 75210 17170 ) M1M2_PR
NEW met1 ( 75210 15810 ) M1M2_PR
NEW li1 ( 82570 15810 ) L1M1_PR_MR
NEW li1 ( 82570 14110 ) L1M1_PR_MR
NEW li1 ( 22310 33830 ) L1M1_PR_MR
NEW met1 ( 24610 33830 ) M1M2_PR
NEW met2 ( 24610 33660 ) M2M3_PR_M
NEW met2 ( 37490 33660 ) M2M3_PR_M
NEW met1 ( 37490 31110 ) M1M2_PR
NEW met1 ( 54970 31450 ) M1M2_PR
NEW met2 ( 54970 30260 ) M2M3_PR_M
NEW li1 ( 35190 53550 ) L1M1_PR_MR
NEW met1 ( 35650 53550 ) M1M2_PR
NEW met2 ( 35650 33660 ) M2M3_PR_M
NEW li1 ( 141450 15470 ) L1M1_PR_MR
NEW met3 ( 35650 33660 ) RECT ( -800 -150 0 150 ) ;
- net631 ( ANTENNA_output631_A DIODE ) ( output631 A ) ( _686_ X ) + USE SIGNAL
+ ROUTED met2 ( 68310 28390 ) ( * 32130 )
NEW met1 ( 68310 28390 ) ( 71070 * )
NEW met1 ( 71070 28390 ) ( * 28730 )
NEW met1 ( 71070 28730 ) ( 77510 * )
NEW met1 ( 77510 28050 ) ( * 28730 )
NEW met1 ( 77510 28050 ) ( 83030 * )
NEW met2 ( 83030 22780 ) ( * 28050 )
NEW met2 ( 188370 22780 ) ( * 22950 )
NEW met1 ( 60490 31790 ) ( * 32130 )
NEW met1 ( 60490 32130 ) ( 68310 * )
NEW met3 ( 83030 22780 ) ( 188370 * )
NEW met2 ( 43010 30940 ) ( * 31790 )
NEW met3 ( 31510 30940 ) ( 43010 * )
NEW met2 ( 31510 28390 ) ( * 30940 )
NEW met2 ( 38870 30940 ) ( * 49470 )
NEW met1 ( 43010 31790 ) ( 60490 * )
NEW met1 ( 68310 32130 ) M1M2_PR
NEW met1 ( 68310 28390 ) M1M2_PR
NEW met1 ( 83030 28050 ) M1M2_PR
NEW met2 ( 83030 22780 ) M2M3_PR_M
NEW met2 ( 188370 22780 ) M2M3_PR_M
NEW li1 ( 188370 22950 ) L1M1_PR_MR
NEW met1 ( 188370 22950 ) M1M2_PR
NEW li1 ( 38870 49470 ) L1M1_PR_MR
NEW met1 ( 38870 49470 ) M1M2_PR
NEW met1 ( 43010 31790 ) M1M2_PR
NEW met2 ( 43010 30940 ) M2M3_PR_M
NEW met2 ( 31510 30940 ) M2M3_PR_M
NEW li1 ( 31510 28390 ) L1M1_PR_MR
NEW met1 ( 31510 28390 ) M1M2_PR
NEW met2 ( 38870 30940 ) M2M3_PR_M
NEW met1 ( 188370 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 38870 49470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 31510 28390 ) RECT ( -355 -70 0 70 )
NEW met3 ( 38870 30940 ) RECT ( -800 -150 0 150 ) ;
- net632 ( output632 A ) ( _687_ X ) + USE SIGNAL
+ ROUTED met1 ( 42090 172890 ) ( 44390 * )
NEW met1 ( 41630 130050 ) ( 44390 * )
NEW met2 ( 44390 130050 ) ( * 172890 )
NEW met1 ( 44390 172890 ) M1M2_PR
NEW li1 ( 42090 172890 ) L1M1_PR_MR
NEW li1 ( 41630 130050 ) L1M1_PR_MR
NEW met1 ( 44390 130050 ) M1M2_PR ;
- net633 ( ANTENNA_output633_A DIODE ) ( output633 A ) ( _688_ X ) + USE SIGNAL
+ ROUTED met1 ( 163530 140250 ) ( 182390 * )
NEW met2 ( 163530 132430 ) ( * 140250 )
NEW met2 ( 20470 132430 ) ( * 136510 )
NEW met1 ( 14490 136510 ) ( 20470 * )
NEW met1 ( 134550 132090 ) ( * 132430 )
NEW met1 ( 134550 132430 ) ( 163530 * )
NEW met1 ( 96600 132090 ) ( 134550 * )
NEW met1 ( 96600 132090 ) ( * 132430 )
NEW met1 ( 20470 132430 ) ( 96600 * )
NEW li1 ( 163530 140250 ) L1M1_PR_MR
NEW li1 ( 182390 140250 ) L1M1_PR_MR
NEW met1 ( 163530 132430 ) M1M2_PR
NEW met1 ( 163530 140250 ) M1M2_PR
NEW met1 ( 20470 132430 ) M1M2_PR
NEW met1 ( 20470 136510 ) M1M2_PR
NEW li1 ( 14490 136510 ) L1M1_PR_MR
NEW met1 ( 163530 140250 ) RECT ( -595 -70 0 70 ) ;
- net634 ( ANTENNA_output634_A DIODE ) ( output634 A ) ( _689_ X ) + USE SIGNAL
+ ROUTED met1 ( 159850 143650 ) ( 160770 * )
NEW met2 ( 38410 167620 ) ( * 168980 )
NEW met3 ( 38410 168980 ) ( 39330 * )
NEW met2 ( 39330 168980 ) ( * 186830 )
NEW met1 ( 35190 186830 ) ( 39330 * )
NEW met3 ( 38410 167620 ) ( 110400 * )
NEW met3 ( 110400 167620 ) ( * 168300 )
NEW met1 ( 162150 167450 ) ( 163990 * )
NEW met1 ( 162150 167450 ) ( * 167790 )
NEW met1 ( 159390 167790 ) ( 162150 * )
NEW met2 ( 159390 167790 ) ( * 168300 )
NEW met2 ( 159390 160820 ) ( 159850 * )
NEW met2 ( 159390 160820 ) ( * 167790 )
NEW met3 ( 110400 168300 ) ( 159390 * )
NEW met2 ( 159850 143650 ) ( * 160820 )
NEW met1 ( 159850 143650 ) M1M2_PR
NEW li1 ( 160770 143650 ) L1M1_PR_MR
NEW met2 ( 38410 167620 ) M2M3_PR_M
NEW met2 ( 38410 168980 ) M2M3_PR_M
NEW met2 ( 39330 168980 ) M2M3_PR_M
NEW met1 ( 39330 186830 ) M1M2_PR
NEW li1 ( 35190 186830 ) L1M1_PR_MR
NEW li1 ( 163990 167450 ) L1M1_PR_MR
NEW met1 ( 159390 167790 ) M1M2_PR
NEW met2 ( 159390 168300 ) M2M3_PR_M ;
- net635 ( ANTENNA_output635_A DIODE ) ( output635 A ) ( _662_ X ) + USE SIGNAL
+ ROUTED met2 ( 63250 166940 ) ( 63710 * )
NEW met2 ( 63250 166940 ) ( * 177310 )
NEW met1 ( 63250 177310 ) ( 66930 * )
NEW met1 ( 63710 36550 ) ( 71070 * )
NEW met2 ( 72450 26010 ) ( * 36550 )
NEW met1 ( 71070 36550 ) ( 72450 * )
NEW met2 ( 63710 36550 ) ( * 166940 )
NEW met1 ( 63250 177310 ) M1M2_PR
NEW li1 ( 66930 177310 ) L1M1_PR_MR
NEW li1 ( 71070 36550 ) L1M1_PR_MR
NEW met1 ( 63710 36550 ) M1M2_PR
NEW li1 ( 72450 26010 ) L1M1_PR_MR
NEW met1 ( 72450 26010 ) M1M2_PR
NEW met1 ( 72450 36550 ) M1M2_PR
NEW met1 ( 72450 26010 ) RECT ( -355 -70 0 70 ) ;
- net636 ( output636 A ) ( _690_ X ) + USE SIGNAL
+ ROUTED met1 ( 128110 168130 ) ( 128570 * )
NEW met2 ( 128570 168130 ) ( * 175270 )
NEW li1 ( 128110 168130 ) L1M1_PR_MR
NEW met1 ( 128570 168130 ) M1M2_PR
NEW li1 ( 128570 175270 ) L1M1_PR_MR
NEW met1 ( 128570 175270 ) M1M2_PR
NEW met1 ( 128570 175270 ) RECT ( -355 -70 0 70 ) ;
- net637 ( output637 A ) ( _691_ X ) + USE SIGNAL
+ ROUTED met2 ( 182850 109820 ) ( 183310 * )
NEW met2 ( 183310 102340 ) ( * 109820 )
NEW met2 ( 182390 102340 ) ( 183310 * )
NEW met2 ( 182390 93670 ) ( * 102340 )
NEW met1 ( 181470 93670 ) ( 182390 * )
NEW met2 ( 182390 123420 ) ( 182850 * )
NEW met2 ( 182390 123420 ) ( * 136510 )
NEW met1 ( 180090 136510 ) ( 182390 * )
NEW met2 ( 182850 109820 ) ( * 123420 )
NEW met1 ( 182390 93670 ) M1M2_PR
NEW li1 ( 181470 93670 ) L1M1_PR_MR
NEW met1 ( 182390 136510 ) M1M2_PR
NEW li1 ( 180090 136510 ) L1M1_PR_MR ;
- net638 ( output638 A ) ( _692_ X ) + USE SIGNAL
+ ROUTED met1 ( 140070 95710 ) ( 143750 * )
NEW met2 ( 140070 62100 ) ( * 95710 )
NEW met2 ( 139610 62100 ) ( 140070 * )
NEW met2 ( 139610 26010 ) ( * 62100 )
NEW met1 ( 139610 26010 ) ( 144210 * )
NEW met1 ( 140070 95710 ) M1M2_PR
NEW li1 ( 143750 95710 ) L1M1_PR_MR
NEW met1 ( 139610 26010 ) M1M2_PR
NEW li1 ( 144210 26010 ) L1M1_PR_MR ;
- net639 ( output639 A ) ( _693_ X ) + USE SIGNAL
+ ROUTED met1 ( 88550 166430 ) ( * 166770 )
NEW met1 ( 78430 166430 ) ( 88550 * )
NEW met1 ( 117990 166430 ) ( * 166770 )
NEW met1 ( 117990 166430 ) ( 125350 * )
NEW met2 ( 125350 166430 ) ( * 172890 )
NEW met1 ( 125350 172890 ) ( 125810 * )
NEW met1 ( 88550 166770 ) ( 117990 * )
NEW li1 ( 78430 166430 ) L1M1_PR_MR
NEW met1 ( 125350 166430 ) M1M2_PR
NEW met1 ( 125350 172890 ) M1M2_PR
NEW li1 ( 125810 172890 ) L1M1_PR_MR ;
- net64 ( ANTENNA__700__A DIODE ) ( ANTENNA__572__A DIODE ) ( input64 X ) ( _572_ A ) ( _700_ A ) + USE SIGNAL
+ ROUTED met1 ( 19550 110330 ) ( 25990 * )
NEW met1 ( 14030 109650 ) ( 18170 * )
NEW li1 ( 18170 109650 ) ( * 110330 )
NEW met1 ( 18170 110330 ) ( 19550 * )
NEW met2 ( 25530 115770 ) ( * 117470 )
NEW met1 ( 22770 118490 ) ( 25530 * )
NEW met2 ( 25530 117470 ) ( * 118490 )
NEW met2 ( 25530 115260 ) ( 25990 * )
NEW met2 ( 25530 115260 ) ( * 115770 )
NEW met2 ( 25990 110330 ) ( * 115260 )
NEW met1 ( 117530 114750 ) ( * 115770 )
NEW met1 ( 117530 114750 ) ( 120290 * )
NEW met2 ( 120290 114750 ) ( * 116450 )
NEW met1 ( 25530 115770 ) ( 117530 * )
NEW met1 ( 120290 116450 ) ( 185610 * )
NEW li1 ( 185610 116450 ) L1M1_PR_MR
NEW li1 ( 19550 110330 ) L1M1_PR_MR
NEW met1 ( 25990 110330 ) M1M2_PR
NEW li1 ( 14030 109650 ) L1M1_PR_MR
NEW li1 ( 18170 109650 ) L1M1_PR_MR
NEW li1 ( 18170 110330 ) L1M1_PR_MR
NEW li1 ( 25530 117470 ) L1M1_PR_MR
NEW met1 ( 25530 117470 ) M1M2_PR
NEW met1 ( 25530 115770 ) M1M2_PR
NEW li1 ( 22770 118490 ) L1M1_PR_MR
NEW met1 ( 25530 118490 ) M1M2_PR
NEW met1 ( 120290 114750 ) M1M2_PR
NEW met1 ( 120290 116450 ) M1M2_PR
NEW met1 ( 25530 117470 ) RECT ( -355 -70 0 70 ) ;
- net640 ( output640 A ) ( _694_ X ) + USE SIGNAL
+ ROUTED met2 ( 137770 28390 ) ( * 33150 )
NEW met1 ( 137310 33150 ) ( 137770 * )
NEW li1 ( 137770 28390 ) L1M1_PR_MR
NEW met1 ( 137770 28390 ) M1M2_PR
NEW met1 ( 137770 33150 ) M1M2_PR
NEW li1 ( 137310 33150 ) L1M1_PR_MR
NEW met1 ( 137770 28390 ) RECT ( -355 -70 0 70 ) ;
- net641 ( ANTENNA_output641_A DIODE ) ( output641 A ) ( _695_ X ) + USE SIGNAL
+ ROUTED met1 ( 60030 26010 ) ( 60490 * )
NEW met2 ( 60490 26010 ) ( * 40290 )
NEW met2 ( 60490 40290 ) ( * 150110 )
NEW li1 ( 60490 40290 ) L1M1_PR_MR
NEW met1 ( 60490 40290 ) M1M2_PR
NEW li1 ( 60030 26010 ) L1M1_PR_MR
NEW met1 ( 60490 26010 ) M1M2_PR
NEW li1 ( 60490 150110 ) L1M1_PR_MR
NEW met1 ( 60490 150110 ) M1M2_PR
NEW met1 ( 60490 40290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 60490 150110 ) RECT ( -355 -70 0 70 ) ;
- net642 ( output642 A ) ( _696_ X ) + USE SIGNAL
+ ROUTED met1 ( 94990 12750 ) ( 98670 * )
NEW met1 ( 85790 25330 ) ( * 25670 )
NEW met1 ( 85790 25670 ) ( 94990 * )
NEW met2 ( 94990 12750 ) ( * 25670 )
NEW met1 ( 33350 25330 ) ( * 26010 )
NEW met1 ( 33350 25330 ) ( 85790 * )
NEW li1 ( 98670 12750 ) L1M1_PR_MR
NEW met1 ( 94990 12750 ) M1M2_PR
NEW met1 ( 94990 25670 ) M1M2_PR
NEW li1 ( 33350 26010 ) L1M1_PR_MR ;
- net643 ( output643 A ) ( _697_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 64090 ) ( 10810 * )
NEW met1 ( 10810 64090 ) ( * 64770 )
NEW li1 ( 8510 64090 ) L1M1_PR_MR
NEW li1 ( 10810 64770 ) L1M1_PR_MR ;
- net644 ( output644 A ) ( _698_ X ) + USE SIGNAL
+ ROUTED met2 ( 180090 47770 ) ( * 49470 )
NEW li1 ( 180090 47770 ) L1M1_PR_MR
NEW met1 ( 180090 47770 ) M1M2_PR
NEW li1 ( 180090 49470 ) L1M1_PR_MR
NEW met1 ( 180090 49470 ) M1M2_PR
NEW met1 ( 180090 47770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 180090 49470 ) RECT ( -355 -70 0 70 ) ;
- net645 ( output645 A ) ( _699_ X ) + USE SIGNAL
+ ROUTED met1 ( 48070 172890 ) ( * 173230 )
NEW met1 ( 48070 173230 ) ( 54050 * )
NEW met1 ( 54050 172210 ) ( * 173230 )
NEW li1 ( 48070 172890 ) L1M1_PR_MR
NEW li1 ( 54050 172210 ) L1M1_PR_MR ;
- net646 ( output646 A ) ( _663_ X ) + USE SIGNAL
+ ROUTED met1 ( 180550 135490 ) ( 182850 * )
NEW met1 ( 179630 158950 ) ( 180550 * )
NEW met2 ( 180550 135490 ) ( * 158950 )
NEW li1 ( 182850 135490 ) L1M1_PR_MR
NEW met1 ( 180550 135490 ) M1M2_PR
NEW met1 ( 180550 158950 ) M1M2_PR
NEW li1 ( 179630 158950 ) L1M1_PR_MR ;
- net647 ( output647 A ) ( _700_ X ) + USE SIGNAL
+ ROUTED met1 ( 21850 119170 ) ( 23230 * )
NEW met2 ( 23230 119170 ) ( * 123420 )
NEW met2 ( 22770 123420 ) ( 23230 * )
NEW met2 ( 22770 123420 ) ( * 126310 )
NEW met2 ( 22310 126310 ) ( 22770 * )
NEW met1 ( 15870 126310 ) ( 22310 * )
NEW li1 ( 21850 119170 ) L1M1_PR_MR
NEW met1 ( 23230 119170 ) M1M2_PR
NEW met1 ( 22310 126310 ) M1M2_PR
NEW li1 ( 15870 126310 ) L1M1_PR_MR ;
- net648 ( ANTENNA_output648_A DIODE ) ( output648 A ) ( _701_ X ) + USE SIGNAL
+ ROUTED met1 ( 8050 26350 ) ( 8970 * )
NEW met2 ( 8510 134980 ) ( * 148070 )
NEW met2 ( 8050 134980 ) ( 8510 * )
NEW met1 ( 8510 147730 ) ( * 148070 )
NEW met2 ( 8050 26350 ) ( * 134980 )
NEW met2 ( 28290 135490 ) ( * 147730 )
NEW met1 ( 8510 147730 ) ( 28290 * )
NEW li1 ( 8970 26350 ) L1M1_PR_MR
NEW met1 ( 8050 26350 ) M1M2_PR
NEW li1 ( 8510 148070 ) L1M1_PR_MR
NEW met1 ( 8510 148070 ) M1M2_PR
NEW met1 ( 28290 147730 ) M1M2_PR
NEW li1 ( 28290 135490 ) L1M1_PR_MR
NEW met1 ( 28290 135490 ) M1M2_PR
NEW met1 ( 8510 148070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 28290 135490 ) RECT ( -355 -70 0 70 ) ;
- net649 ( ANTENNA_output649_A DIODE ) ( output649 A ) ( _702_ X ) + USE SIGNAL
+ ROUTED met1 ( 15870 140250 ) ( 27830 * )
NEW met2 ( 27830 136850 ) ( * 140250 )
NEW met1 ( 27830 136850 ) ( 33350 * )
NEW met2 ( 111550 136850 ) ( * 137020 )
NEW met3 ( 111550 137020 ) ( 133630 * )
NEW met2 ( 133630 136850 ) ( * 137020 )
NEW met1 ( 33350 136850 ) ( 111550 * )
NEW met1 ( 133630 136850 ) ( 156630 * )
NEW li1 ( 33350 136850 ) L1M1_PR_MR
NEW li1 ( 15870 140250 ) L1M1_PR_MR
NEW met1 ( 27830 140250 ) M1M2_PR
NEW met1 ( 27830 136850 ) M1M2_PR
NEW li1 ( 156630 136850 ) L1M1_PR_MR
NEW met1 ( 111550 136850 ) M1M2_PR
NEW met2 ( 111550 137020 ) M2M3_PR_M
NEW met2 ( 133630 137020 ) M2M3_PR_M
NEW met1 ( 133630 136850 ) M1M2_PR ;
- net65 ( input65 X ) ( _573_ A ) ( _701_ A ) + USE SIGNAL
+ ROUTED met1 ( 28290 20570 ) ( 29670 * )
NEW met2 ( 29670 20570 ) ( * 38590 )
NEW met1 ( 29210 38590 ) ( 29670 * )
NEW met1 ( 9890 26010 ) ( 14490 * )
NEW met1 ( 14490 25670 ) ( * 26010 )
NEW met1 ( 14490 25670 ) ( 24150 * )
NEW met2 ( 24150 24990 ) ( * 25670 )
NEW met1 ( 24150 24990 ) ( 29670 * )
NEW li1 ( 28290 20570 ) L1M1_PR_MR
NEW met1 ( 29670 20570 ) M1M2_PR
NEW met1 ( 29670 38590 ) M1M2_PR
NEW li1 ( 29210 38590 ) L1M1_PR_MR
NEW li1 ( 9890 26010 ) L1M1_PR_MR
NEW met1 ( 24150 25670 ) M1M2_PR
NEW met1 ( 24150 24990 ) M1M2_PR
NEW met1 ( 29670 24990 ) M1M2_PR
NEW met2 ( 29670 24990 ) RECT ( -70 -485 70 0 ) ;
- net650 ( output650 A ) ( _703_ X ) + USE SIGNAL
+ ROUTED met2 ( 16330 66300 ) ( * 85170 )
NEW met2 ( 15870 66300 ) ( 16330 * )
NEW met1 ( 10350 85170 ) ( 16330 * )
NEW met2 ( 15870 49470 ) ( 16790 * )
NEW met2 ( 16790 36890 ) ( * 49470 )
NEW met1 ( 16790 36890 ) ( 19090 * )
NEW met2 ( 15870 49470 ) ( * 66300 )
NEW li1 ( 10350 85170 ) L1M1_PR_MR
NEW met1 ( 16330 85170 ) M1M2_PR
NEW met1 ( 16790 36890 ) M1M2_PR
NEW li1 ( 19090 36890 ) L1M1_PR_MR ;
- net651 ( ANTENNA_output651_A DIODE ) ( output651 A ) ( _704_ X ) + USE SIGNAL
+ ROUTED met2 ( 82570 19890 ) ( * 20910 )
NEW met1 ( 37950 26010 ) ( 40710 * )
NEW met2 ( 37950 23970 ) ( * 26010 )
NEW met1 ( 37950 23970 ) ( 60490 * )
NEW met2 ( 60490 20910 ) ( * 23970 )
NEW met1 ( 43470 47090 ) ( 45310 * )
NEW met2 ( 45310 23970 ) ( * 47090 )
NEW met1 ( 60490 20910 ) ( 82570 * )
NEW met1 ( 114810 19890 ) ( * 20230 )
NEW met1 ( 114810 20230 ) ( 132250 * )
NEW met1 ( 132250 20230 ) ( * 20570 )
NEW met1 ( 132250 20570 ) ( 138230 * )
NEW met1 ( 138230 20570 ) ( * 20910 )
NEW met1 ( 138230 20910 ) ( 142830 * )
NEW met1 ( 142830 20570 ) ( * 20910 )
NEW met2 ( 142830 17850 ) ( * 20570 )
NEW met1 ( 82570 19890 ) ( 114810 * )
NEW met2 ( 146510 17850 ) ( * 18700 )
NEW met3 ( 146510 18700 ) ( 151570 * )
NEW met2 ( 151570 18700 ) ( * 19550 )
NEW met1 ( 151570 19550 ) ( 152950 * )
NEW met1 ( 142830 17850 ) ( 146510 * )
NEW met1 ( 82570 20910 ) M1M2_PR
NEW met1 ( 82570 19890 ) M1M2_PR
NEW li1 ( 40710 26010 ) L1M1_PR_MR
NEW met1 ( 37950 26010 ) M1M2_PR
NEW met1 ( 37950 23970 ) M1M2_PR
NEW met1 ( 60490 23970 ) M1M2_PR
NEW met1 ( 60490 20910 ) M1M2_PR
NEW li1 ( 43470 47090 ) L1M1_PR_MR
NEW met1 ( 45310 47090 ) M1M2_PR
NEW met1 ( 45310 23970 ) M1M2_PR
NEW met1 ( 142830 20570 ) M1M2_PR
NEW met1 ( 142830 17850 ) M1M2_PR
NEW met1 ( 146510 17850 ) M1M2_PR
NEW met2 ( 146510 18700 ) M2M3_PR_M
NEW met2 ( 151570 18700 ) M2M3_PR_M
NEW met1 ( 151570 19550 ) M1M2_PR
NEW li1 ( 152950 19550 ) L1M1_PR_MR
NEW met1 ( 45310 23970 ) RECT ( -595 -70 0 70 ) ;
- net652 ( output652 A ) ( _705_ X ) + USE SIGNAL
+ ROUTED met1 ( 181010 113050 ) ( 182390 * )
NEW met2 ( 181010 108290 ) ( * 113050 )
NEW met2 ( 132710 108290 ) ( * 109310 )
NEW met1 ( 118910 109310 ) ( 132710 * )
NEW met1 ( 132710 108290 ) ( 181010 * )
NEW met1 ( 181010 108290 ) M1M2_PR
NEW met1 ( 181010 113050 ) M1M2_PR
NEW li1 ( 182390 113050 ) L1M1_PR_MR
NEW met1 ( 132710 108290 ) M1M2_PR
NEW met1 ( 132710 109310 ) M1M2_PR
NEW li1 ( 118910 109310 ) L1M1_PR_MR ;
- net653 ( output653 A ) ( _706_ X ) + USE SIGNAL
+ ROUTED met1 ( 106030 26010 ) ( * 26690 )
NEW met1 ( 119830 26350 ) ( * 26690 )
NEW met1 ( 119830 26350 ) ( 129950 * )
NEW met2 ( 129950 26350 ) ( * 57630 )
NEW met1 ( 129030 57630 ) ( 129950 * )
NEW met1 ( 106030 26690 ) ( 119830 * )
NEW li1 ( 106030 26010 ) L1M1_PR_MR
NEW met1 ( 129950 26350 ) M1M2_PR
NEW met1 ( 129950 57630 ) M1M2_PR
NEW li1 ( 129030 57630 ) L1M1_PR_MR ;
- net654 ( ANTENNA_output654_A DIODE ) ( output654 A ) ( _707_ X ) + USE SIGNAL
+ ROUTED met1 ( 122130 174590 ) ( 124430 * )
NEW li1 ( 122130 174590 ) ( * 175270 )
NEW met1 ( 119370 175270 ) ( 122130 * )
NEW met1 ( 118450 52530 ) ( 125810 * )
NEW met2 ( 124430 158700 ) ( * 174590 )
NEW met2 ( 124430 158700 ) ( 125810 * )
NEW met1 ( 124430 155550 ) ( 125810 * )
NEW met2 ( 125810 52530 ) ( * 158700 )
NEW met1 ( 124430 174590 ) M1M2_PR
NEW li1 ( 122130 174590 ) L1M1_PR_MR
NEW li1 ( 122130 175270 ) L1M1_PR_MR
NEW li1 ( 119370 175270 ) L1M1_PR_MR
NEW met1 ( 125810 52530 ) M1M2_PR
NEW li1 ( 118450 52530 ) L1M1_PR_MR
NEW li1 ( 124430 155550 ) L1M1_PR_MR
NEW met1 ( 125810 155550 ) M1M2_PR
NEW met2 ( 125810 155550 ) RECT ( -70 -485 70 0 ) ;
- net655 ( output655 A ) ( _708_ X ) + USE SIGNAL
+ ROUTED met2 ( 162610 164390 ) ( * 169150 )
NEW met1 ( 153870 169150 ) ( 162610 * )
NEW met1 ( 162610 169150 ) M1M2_PR
NEW li1 ( 162610 164390 ) L1M1_PR_MR
NEW met1 ( 162610 164390 ) M1M2_PR
NEW li1 ( 153870 169150 ) L1M1_PR_MR
NEW met1 ( 162610 164390 ) RECT ( -355 -70 0 70 ) ;
- net656 ( output656 A ) ( _709_ X ) + USE SIGNAL
+ ROUTED met1 ( 14950 152830 ) ( * 153170 )
NEW met1 ( 14950 153170 ) ( 32890 * )
NEW met2 ( 32890 153170 ) ( * 169830 )
NEW met1 ( 32890 169830 ) ( 33810 * )
NEW li1 ( 14950 152830 ) L1M1_PR_MR
NEW met1 ( 32890 153170 ) M1M2_PR
NEW met1 ( 32890 169830 ) M1M2_PR
NEW li1 ( 33810 169830 ) L1M1_PR_MR ;
- net657 ( ANTENNA_output657_A DIODE ) ( output657 A ) ( _664_ X ) + USE SIGNAL
+ ROUTED met1 ( 42090 50150 ) ( 46690 * )
NEW met1 ( 46690 49810 ) ( * 50150 )
NEW met2 ( 47610 49810 ) ( * 53550 )
NEW met1 ( 46690 49810 ) ( 47610 * )
NEW met2 ( 118910 53550 ) ( * 54910 )
NEW met1 ( 118910 54910 ) ( 150650 * )
NEW met1 ( 47610 53550 ) ( 118910 * )
NEW met2 ( 150650 54910 ) ( * 110670 )
NEW met3 ( 44390 28220 ) ( 46690 * )
NEW met2 ( 44390 26180 ) ( * 28220 )
NEW met2 ( 43930 26180 ) ( 44390 * )
NEW met2 ( 43930 23290 ) ( * 26180 )
NEW met1 ( 40710 23290 ) ( 43930 * )
NEW met1 ( 40710 22950 ) ( * 23290 )
NEW met1 ( 37030 22950 ) ( 40710 * )
NEW met2 ( 46690 28220 ) ( * 49810 )
NEW li1 ( 42090 50150 ) L1M1_PR_MR
NEW met1 ( 46690 49810 ) M1M2_PR
NEW met1 ( 47610 53550 ) M1M2_PR
NEW met1 ( 47610 49810 ) M1M2_PR
NEW met1 ( 118910 53550 ) M1M2_PR
NEW met1 ( 118910 54910 ) M1M2_PR
NEW met1 ( 150650 54910 ) M1M2_PR
NEW li1 ( 150650 110670 ) L1M1_PR_MR
NEW met1 ( 150650 110670 ) M1M2_PR
NEW met2 ( 46690 28220 ) M2M3_PR_M
NEW met2 ( 44390 28220 ) M2M3_PR_M
NEW met1 ( 43930 23290 ) M1M2_PR
NEW li1 ( 37030 22950 ) L1M1_PR_MR
NEW met1 ( 150650 110670 ) RECT ( -355 -70 0 70 ) ;
- net658 ( output658 A ) ( _710_ X ) + USE SIGNAL
+ ROUTED met1 ( 180550 130050 ) ( 181930 * )
NEW met2 ( 181930 130050 ) ( * 131750 )
NEW li1 ( 180550 130050 ) L1M1_PR_MR
NEW met1 ( 181930 130050 ) M1M2_PR
NEW li1 ( 181930 131750 ) L1M1_PR_MR
NEW met1 ( 181930 131750 ) M1M2_PR
NEW met1 ( 181930 131750 ) RECT ( -355 -70 0 70 ) ;
- net659 ( output659 A ) ( _711_ X ) + USE SIGNAL
+ ROUTED met1 ( 169970 162010 ) ( * 162350 )
NEW met1 ( 163990 162350 ) ( 169970 * )
NEW met1 ( 163990 162350 ) ( * 162690 )
NEW li1 ( 169970 162010 ) L1M1_PR_MR
NEW li1 ( 163990 162690 ) L1M1_PR_MR ;
- net66 ( input66 X ) ( _574_ A ) ( _702_ A ) + USE SIGNAL
+ ROUTED met1 ( 162150 183430 ) ( 165370 * )
NEW met1 ( 164910 131410 ) ( 165370 * )
NEW met2 ( 165370 131410 ) ( * 136850 )
NEW met2 ( 165370 136850 ) ( * 183430 )
NEW met1 ( 157550 136850 ) ( 165370 * )
NEW met1 ( 165370 183430 ) M1M2_PR
NEW li1 ( 162150 183430 ) L1M1_PR_MR
NEW met1 ( 165370 136850 ) M1M2_PR
NEW li1 ( 164910 131410 ) L1M1_PR_MR
NEW met1 ( 165370 131410 ) M1M2_PR
NEW li1 ( 157550 136850 ) L1M1_PR_MR ;
- net660 ( output660 A ) ( _712_ X ) + USE SIGNAL
+ ROUTED met2 ( 20010 90270 ) ( * 93670 )
NEW met1 ( 16330 93670 ) ( 20010 * )
NEW li1 ( 20010 90270 ) L1M1_PR_MR
NEW met1 ( 20010 90270 ) M1M2_PR
NEW met1 ( 20010 93670 ) M1M2_PR
NEW li1 ( 16330 93670 ) L1M1_PR_MR
NEW met1 ( 20010 90270 ) RECT ( -355 -70 0 70 ) ;
- net661 ( output661 A ) ( _713_ X ) + USE SIGNAL
+ ROUTED met1 ( 176410 156570 ) ( 178710 * )
NEW met1 ( 176410 156570 ) ( * 157250 )
NEW li1 ( 178710 156570 ) L1M1_PR_MR
NEW li1 ( 176410 157250 ) L1M1_PR_MR ;
- net662 ( ANTENNA_output662_A DIODE ) ( output662 A ) ( _714_ X ) + USE SIGNAL
+ ROUTED met1 ( 162610 66810 ) ( 172270 * )
NEW met2 ( 172270 66810 ) ( * 86700 )
NEW met2 ( 172270 86700 ) ( 172730 * )
NEW met2 ( 172730 86700 ) ( * 100300 )
NEW met3 ( 172730 100300 ) ( 173420 * )
NEW met3 ( 173420 100300 ) ( * 101660 )
NEW met3 ( 173420 101660 ) ( 173650 * )
NEW met1 ( 172270 66130 ) ( 182850 * )
NEW met2 ( 172270 66130 ) ( * 66810 )
NEW met1 ( 182850 55590 ) ( 185610 * )
NEW met2 ( 182850 55590 ) ( * 66130 )
NEW met1 ( 172730 158950 ) ( 173650 * )
NEW met2 ( 172730 158950 ) ( * 180030 )
NEW met1 ( 172730 180030 ) ( 176410 * )
NEW met2 ( 173650 101660 ) ( * 158950 )
NEW li1 ( 162610 66810 ) L1M1_PR_MR
NEW met1 ( 172270 66810 ) M1M2_PR
NEW met2 ( 172730 100300 ) M2M3_PR_M
NEW met2 ( 173650 101660 ) M2M3_PR_M
NEW met1 ( 182850 66130 ) M1M2_PR
NEW met1 ( 172270 66130 ) M1M2_PR
NEW met1 ( 182850 55590 ) M1M2_PR
NEW li1 ( 185610 55590 ) L1M1_PR_MR
NEW met1 ( 173650 158950 ) M1M2_PR
NEW met1 ( 172730 158950 ) M1M2_PR
NEW met1 ( 172730 180030 ) M1M2_PR
NEW li1 ( 176410 180030 ) L1M1_PR_MR ;
- net663 ( output663 A ) ( _715_ X ) + USE SIGNAL
+ ROUTED met2 ( 125810 22950 ) ( * 24140 )
NEW met3 ( 109710 24140 ) ( 125810 * )
NEW met2 ( 109710 24140 ) ( * 33490 )
NEW met1 ( 102350 33490 ) ( 109710 * )
NEW met1 ( 102350 33150 ) ( * 33490 )
NEW li1 ( 125810 22950 ) L1M1_PR_MR
NEW met1 ( 125810 22950 ) M1M2_PR
NEW met2 ( 125810 24140 ) M2M3_PR_M
NEW met2 ( 109710 24140 ) M2M3_PR_M
NEW met1 ( 109710 33490 ) M1M2_PR
NEW li1 ( 102350 33150 ) L1M1_PR_MR
NEW met1 ( 125810 22950 ) RECT ( -355 -70 0 70 ) ;
- net664 ( ANTENNA_output664_A DIODE ) ( output664 A ) ( _716_ X ) + USE SIGNAL
+ ROUTED met2 ( 150190 147220 ) ( * 147390 )
NEW met3 ( 150190 147220 ) ( 150420 * )
NEW met2 ( 150190 147900 ) ( 150650 * )
NEW met2 ( 150190 147390 ) ( * 147900 )
NEW met2 ( 150650 147900 ) ( * 172890 )
NEW met1 ( 149270 19890 ) ( 149730 * )
NEW met1 ( 149270 19890 ) ( * 20570 )
NEW met1 ( 149270 20570 ) ( 149730 * )
NEW met2 ( 149730 20570 ) ( * 21420 )
NEW met3 ( 149730 21420 ) ( 150420 * )
NEW met4 ( 150420 21420 ) ( * 147220 )
NEW li1 ( 150650 172890 ) L1M1_PR_MR
NEW met1 ( 150650 172890 ) M1M2_PR
NEW li1 ( 150190 147390 ) L1M1_PR_MR
NEW met1 ( 150190 147390 ) M1M2_PR
NEW met2 ( 150190 147220 ) M2M3_PR_M
NEW met3 ( 150420 147220 ) M3M4_PR_M
NEW li1 ( 149730 19890 ) L1M1_PR_MR
NEW met1 ( 149730 20570 ) M1M2_PR
NEW met2 ( 149730 21420 ) M2M3_PR_M
NEW met3 ( 150420 21420 ) M3M4_PR_M
NEW met1 ( 150650 172890 ) RECT ( -355 -70 0 70 )
NEW met1 ( 150190 147390 ) RECT ( -355 -70 0 70 )
NEW met3 ( 150190 147220 ) RECT ( -390 -150 0 150 ) ;
- net665 ( ANTENNA_output665_A DIODE ) ( output665 A ) ( _717_ X ) + USE SIGNAL
+ ROUTED met1 ( 48070 78030 ) ( 50370 * )
NEW met2 ( 49910 169830 ) ( 50370 * )
NEW met1 ( 47150 169830 ) ( 49910 * )
NEW met2 ( 50370 78030 ) ( * 147390 )
NEW met2 ( 50370 147390 ) ( * 169830 )
NEW li1 ( 48070 78030 ) L1M1_PR_MR
NEW met1 ( 50370 78030 ) M1M2_PR
NEW met1 ( 49910 169830 ) M1M2_PR
NEW li1 ( 47150 169830 ) L1M1_PR_MR
NEW li1 ( 50370 147390 ) L1M1_PR_MR
NEW met1 ( 50370 147390 ) M1M2_PR
NEW met1 ( 50370 147390 ) RECT ( -355 -70 0 70 ) ;
- net666 ( ANTENNA_output666_A DIODE ) ( output666 A ) ( _718_ X ) + USE SIGNAL
+ ROUTED met2 ( 62330 101660 ) ( * 103700 )
NEW met2 ( 61870 101660 ) ( 62330 * )
NEW met2 ( 61870 103700 ) ( 62330 * )
NEW met1 ( 58190 43010 ) ( 61870 * )
NEW met2 ( 57270 22950 ) ( * 43010 )
NEW met1 ( 57270 43010 ) ( 58190 * )
NEW met2 ( 61870 43010 ) ( * 101660 )
NEW met2 ( 61870 103700 ) ( * 114750 )
NEW met1 ( 61870 114750 ) ( 87170 * )
NEW li1 ( 87170 114750 ) L1M1_PR_MR
NEW li1 ( 58190 43010 ) L1M1_PR_MR
NEW met1 ( 61870 43010 ) M1M2_PR
NEW li1 ( 57270 22950 ) L1M1_PR_MR
NEW met1 ( 57270 22950 ) M1M2_PR
NEW met1 ( 57270 43010 ) M1M2_PR
NEW met1 ( 61870 114750 ) M1M2_PR
NEW met1 ( 57270 22950 ) RECT ( -355 -70 0 70 ) ;
- net667 ( output667 A ) ( _719_ X ) + USE SIGNAL
+ ROUTED met2 ( 165370 123250 ) ( * 126310 )
NEW met1 ( 165370 126310 ) ( 181930 * )
NEW met1 ( 97290 123250 ) ( 165370 * )
NEW li1 ( 97290 123250 ) L1M1_PR_MR
NEW met1 ( 165370 123250 ) M1M2_PR
NEW met1 ( 165370 126310 ) M1M2_PR
NEW li1 ( 181930 126310 ) L1M1_PR_MR ;
- net668 ( output668 A ) ( _665_ X ) + USE SIGNAL
+ ROUTED met1 ( 144210 28390 ) ( 147890 * )
NEW met2 ( 144210 28390 ) ( * 30430 )
NEW met1 ( 141450 30430 ) ( 144210 * )
NEW li1 ( 147890 28390 ) L1M1_PR_MR
NEW met1 ( 144210 28390 ) M1M2_PR
NEW met1 ( 144210 30430 ) M1M2_PR
NEW li1 ( 141450 30430 ) L1M1_PR_MR ;
- net669 ( ANTENNA_output669_A DIODE ) ( output669 A ) ( _720_ X ) + USE SIGNAL
+ ROUTED met3 ( 178250 62220 ) ( 178940 * )
NEW met2 ( 178250 62220 ) ( * 73950 )
NEW met1 ( 174570 73950 ) ( 178250 * )
NEW met1 ( 73830 39950 ) ( 110400 * )
NEW met1 ( 110400 39950 ) ( * 40290 )
NEW met1 ( 178250 44710 ) ( 180090 * )
NEW met2 ( 180090 39780 ) ( * 44710 )
NEW met3 ( 166290 39780 ) ( 180090 * )
NEW met2 ( 166290 39780 ) ( * 40290 )
NEW met3 ( 178940 45220 ) ( 180090 * )
NEW met2 ( 180090 44710 ) ( * 45220 )
NEW met1 ( 110400 40290 ) ( 166290 * )
NEW met4 ( 178940 45220 ) ( * 62220 )
NEW met3 ( 178940 62220 ) M3M4_PR_M
NEW met2 ( 178250 62220 ) M2M3_PR_M
NEW met1 ( 178250 73950 ) M1M2_PR
NEW li1 ( 174570 73950 ) L1M1_PR_MR
NEW li1 ( 73830 39950 ) L1M1_PR_MR
NEW li1 ( 178250 44710 ) L1M1_PR_MR
NEW met1 ( 180090 44710 ) M1M2_PR
NEW met2 ( 180090 39780 ) M2M3_PR_M
NEW met2 ( 166290 39780 ) M2M3_PR_M
NEW met1 ( 166290 40290 ) M1M2_PR
NEW met3 ( 178940 45220 ) M3M4_PR_M
NEW met2 ( 180090 45220 ) M2M3_PR_M ;
- net67 ( input67 X ) ( _575_ A ) ( _703_ A ) + USE SIGNAL
+ ROUTED met2 ( 10810 86190 ) ( * 93330 )
NEW met1 ( 9430 86190 ) ( 10810 * )
NEW met1 ( 16330 90270 ) ( * 90610 )
NEW met1 ( 16330 90610 ) ( 23230 * )
NEW met1 ( 10810 90270 ) ( 16330 * )
NEW li1 ( 10810 93330 ) L1M1_PR_MR
NEW met1 ( 10810 93330 ) M1M2_PR
NEW met1 ( 10810 86190 ) M1M2_PR
NEW li1 ( 9430 86190 ) L1M1_PR_MR
NEW met1 ( 10810 90270 ) M1M2_PR
NEW li1 ( 23230 90610 ) L1M1_PR_MR
NEW met1 ( 10810 93330 ) RECT ( -355 -70 0 70 )
NEW met2 ( 10810 90270 ) RECT ( -70 -485 70 0 ) ;
- net670 ( ANTENNA_output670_A DIODE ) ( output670 A ) ( _721_ X ) + USE SIGNAL
+ ROUTED met2 ( 26450 86530 ) ( * 90610 )
NEW met1 ( 17710 85510 ) ( * 85850 )
NEW met1 ( 17710 85510 ) ( 26450 * )
NEW met2 ( 26450 85510 ) ( * 86530 )
NEW met2 ( 120290 90100 ) ( * 90610 )
NEW met3 ( 120290 90100 ) ( 139610 * )
NEW met2 ( 139610 90100 ) ( * 90610 )
NEW met1 ( 26450 90610 ) ( 120290 * )
NEW met1 ( 139610 90610 ) ( 144900 * )
NEW met1 ( 144900 90270 ) ( * 90610 )
NEW met1 ( 144900 90270 ) ( 161690 * )
NEW met1 ( 161690 90270 ) ( * 90610 )
NEW met1 ( 161690 90610 ) ( 188830 * )
NEW li1 ( 26450 86530 ) L1M1_PR_MR
NEW met1 ( 26450 86530 ) M1M2_PR
NEW met1 ( 26450 90610 ) M1M2_PR
NEW li1 ( 17710 85850 ) L1M1_PR_MR
NEW met1 ( 26450 85510 ) M1M2_PR
NEW met1 ( 120290 90610 ) M1M2_PR
NEW met2 ( 120290 90100 ) M2M3_PR_M
NEW met2 ( 139610 90100 ) M2M3_PR_M
NEW met1 ( 139610 90610 ) M1M2_PR
NEW li1 ( 188830 90610 ) L1M1_PR_MR
NEW met1 ( 26450 86530 ) RECT ( -355 -70 0 70 ) ;
- net671 ( output671 A ) ( _722_ X ) + USE SIGNAL
+ ROUTED met1 ( 174110 42330 ) ( 175030 * )
NEW met2 ( 174110 42330 ) ( * 46750 )
NEW met1 ( 174110 46750 ) ( 175490 * )
NEW li1 ( 175030 42330 ) L1M1_PR_MR
NEW met1 ( 174110 42330 ) M1M2_PR
NEW met1 ( 174110 46750 ) M1M2_PR
NEW li1 ( 175490 46750 ) L1M1_PR_MR ;
- net672 ( ANTENNA_output672_A DIODE ) ( output672 A ) ( _723_ X ) + USE SIGNAL
+ ROUTED met2 ( 179170 17170 ) ( * 17340 )
NEW met2 ( 35190 28390 ) ( * 29580 )
NEW met3 ( 35190 29580 ) ( 47150 * )
NEW met2 ( 47150 29580 ) ( * 44030 )
NEW met1 ( 47150 44030 ) ( 47610 * )
NEW met4 ( 47380 17340 ) ( * 29580 )
NEW met3 ( 47150 29580 ) ( 47380 * )
NEW met3 ( 47380 17340 ) ( 179170 * )
NEW met2 ( 179170 17340 ) M2M3_PR_M
NEW li1 ( 179170 17170 ) L1M1_PR_MR
NEW met1 ( 179170 17170 ) M1M2_PR
NEW li1 ( 35190 28390 ) L1M1_PR_MR
NEW met1 ( 35190 28390 ) M1M2_PR
NEW met2 ( 35190 29580 ) M2M3_PR_M
NEW met2 ( 47150 29580 ) M2M3_PR_M
NEW met1 ( 47150 44030 ) M1M2_PR
NEW li1 ( 47610 44030 ) L1M1_PR_MR
NEW met3 ( 47380 17340 ) M3M4_PR_M
NEW met3 ( 47380 29580 ) M3M4_PR_M
NEW met1 ( 179170 17170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 35190 28390 ) RECT ( -355 -70 0 70 )
NEW met3 ( 47380 29580 ) RECT ( 0 -150 570 150 ) ;
- net673 ( output673 A ) ( _724_ X ) + USE SIGNAL
+ ROUTED met2 ( 87170 26010 ) ( * 27710 )
NEW met1 ( 84870 27710 ) ( 87170 * )
NEW li1 ( 87170 26010 ) L1M1_PR_MR
NEW met1 ( 87170 26010 ) M1M2_PR
NEW met1 ( 87170 27710 ) M1M2_PR
NEW li1 ( 84870 27710 ) L1M1_PR_MR
NEW met1 ( 87170 26010 ) RECT ( -355 -70 0 70 ) ;
- net674 ( output674 A ) ( _725_ X ) + USE SIGNAL
+ ROUTED met1 ( 180090 78370 ) ( 183310 * )
NEW met2 ( 180090 78370 ) ( * 80410 )
NEW li1 ( 183310 78370 ) L1M1_PR_MR
NEW met1 ( 180090 78370 ) M1M2_PR
NEW li1 ( 180090 80410 ) L1M1_PR_MR
NEW met1 ( 180090 80410 ) M1M2_PR
NEW met1 ( 180090 80410 ) RECT ( -355 -70 0 70 ) ;
- net675 ( output675 A ) ( _726_ X ) + USE SIGNAL
+ ROUTED met1 ( 145130 168130 ) ( 151570 * )
NEW met2 ( 145130 168130 ) ( * 175270 )
NEW li1 ( 151570 168130 ) L1M1_PR_MR
NEW met1 ( 145130 168130 ) M1M2_PR
NEW li1 ( 145130 175270 ) L1M1_PR_MR
NEW met1 ( 145130 175270 ) M1M2_PR
NEW met1 ( 145130 175270 ) RECT ( -355 -70 0 70 ) ;
- net676 ( ANTENNA_output676_A DIODE ) ( output676 A ) ( _727_ X ) + USE SIGNAL
+ ROUTED met2 ( 166290 159290 ) ( * 161330 )
NEW met1 ( 166290 159290 ) ( 174570 * )
NEW met1 ( 174570 158950 ) ( * 159290 )
NEW met2 ( 183310 19890 ) ( * 20060 )
NEW met3 ( 181700 20060 ) ( 183310 * )
NEW met4 ( 181700 20060 ) ( * 28900 )
NEW met3 ( 173650 28900 ) ( 181700 * )
NEW met3 ( 173650 28900 ) ( * 29580 )
NEW met1 ( 157550 161330 ) ( 166290 * )
NEW met3 ( 158700 29580 ) ( 173650 * )
NEW met2 ( 149730 47940 ) ( 151570 * )
NEW met2 ( 149730 32300 ) ( * 47940 )
NEW met3 ( 149730 32300 ) ( 151340 * )
NEW met4 ( 151340 30260 ) ( * 32300 )
NEW met3 ( 151340 30260 ) ( 158700 * )
NEW met3 ( 158700 29580 ) ( * 30260 )
NEW met1 ( 151570 141950 ) ( 158010 * )
NEW met2 ( 156630 156060 ) ( 157550 * )
NEW met2 ( 156630 141950 ) ( * 156060 )
NEW met2 ( 151570 47940 ) ( * 141950 )
NEW met2 ( 157550 156060 ) ( * 161330 )
NEW met1 ( 166290 161330 ) M1M2_PR
NEW met1 ( 166290 159290 ) M1M2_PR
NEW li1 ( 174570 158950 ) L1M1_PR_MR
NEW li1 ( 183310 19890 ) L1M1_PR_MR
NEW met1 ( 183310 19890 ) M1M2_PR
NEW met2 ( 183310 20060 ) M2M3_PR_M
NEW met3 ( 181700 20060 ) M3M4_PR_M
NEW met3 ( 181700 28900 ) M3M4_PR_M
NEW met1 ( 157550 161330 ) M1M2_PR
NEW met2 ( 149730 32300 ) M2M3_PR_M
NEW met3 ( 151340 32300 ) M3M4_PR_M
NEW met3 ( 151340 30260 ) M3M4_PR_M
NEW li1 ( 158010 141950 ) L1M1_PR_MR
NEW met1 ( 151570 141950 ) M1M2_PR
NEW met1 ( 156630 141950 ) M1M2_PR
NEW met1 ( 183310 19890 ) RECT ( -355 -70 0 70 )
NEW met1 ( 156630 141950 ) RECT ( -595 -70 0 70 ) ;
- net677 ( ANTENNA_output677_A DIODE ) ( output677 A ) ( _728_ X ) + USE SIGNAL
+ ROUTED met1 ( 123510 41650 ) ( 124430 * )
NEW met2 ( 123510 39100 ) ( * 41650 )
NEW met3 ( 122820 39100 ) ( 123510 * )
NEW met4 ( 122820 13260 ) ( * 39100 )
NEW met1 ( 143750 44030 ) ( * 44370 )
NEW met1 ( 123510 44370 ) ( 143750 * )
NEW met2 ( 123510 41650 ) ( * 44370 )
NEW met2 ( 148810 41820 ) ( * 44030 )
NEW met3 ( 148810 41820 ) ( 162150 * )
NEW met2 ( 162150 39270 ) ( * 41820 )
NEW met1 ( 162150 39270 ) ( 165830 * )
NEW met1 ( 143750 44030 ) ( 148810 * )
NEW met2 ( 47610 13260 ) ( * 14790 )
NEW met1 ( 39790 14790 ) ( 47610 * )
NEW met3 ( 47610 13260 ) ( 122820 * )
NEW li1 ( 39790 14790 ) L1M1_PR_MR
NEW li1 ( 124430 41650 ) L1M1_PR_MR
NEW met1 ( 123510 41650 ) M1M2_PR
NEW met2 ( 123510 39100 ) M2M3_PR_M
NEW met3 ( 122820 39100 ) M3M4_PR_M
NEW met3 ( 122820 13260 ) M3M4_PR_M
NEW met1 ( 123510 44370 ) M1M2_PR
NEW met1 ( 148810 44030 ) M1M2_PR
NEW met2 ( 148810 41820 ) M2M3_PR_M
NEW met2 ( 162150 41820 ) M2M3_PR_M
NEW met1 ( 162150 39270 ) M1M2_PR
NEW li1 ( 165830 39270 ) L1M1_PR_MR
NEW met1 ( 47610 14790 ) M1M2_PR
NEW met2 ( 47610 13260 ) M2M3_PR_M ;
- net678 ( output678 A ) ( _729_ X ) + USE SIGNAL
+ ROUTED met1 ( 44390 29070 ) ( 44850 * )
NEW met2 ( 44390 29070 ) ( * 37230 )
NEW met1 ( 24150 37230 ) ( 44390 * )
NEW met1 ( 24150 36890 ) ( * 37230 )
NEW li1 ( 44850 29070 ) L1M1_PR_MR
NEW met1 ( 44390 29070 ) M1M2_PR
NEW met1 ( 44390 37230 ) M1M2_PR
NEW li1 ( 24150 36890 ) L1M1_PR_MR ;
- net679 ( output679 A ) ( _666_ X ) + USE SIGNAL
+ ROUTED met2 ( 182390 108290 ) ( * 109990 )
NEW met1 ( 181930 109990 ) ( 182390 * )
NEW li1 ( 182390 108290 ) L1M1_PR_MR
NEW met1 ( 182390 108290 ) M1M2_PR
NEW met1 ( 182390 109990 ) M1M2_PR
NEW li1 ( 181930 109990 ) L1M1_PR_MR
NEW met1 ( 182390 108290 ) RECT ( -355 -70 0 70 ) ;
- net68 ( input68 X ) ( _576_ A ) ( _704_ A ) + USE SIGNAL
+ ROUTED met1 ( 152490 20910 ) ( 153410 * )
NEW met2 ( 152490 20910 ) ( * 41650 )
NEW met1 ( 152490 41650 ) ( 158010 * )
NEW met1 ( 152490 17170 ) ( 164450 * )
NEW met2 ( 152490 17170 ) ( * 20910 )
NEW li1 ( 153410 20910 ) L1M1_PR_MR
NEW met1 ( 152490 20910 ) M1M2_PR
NEW met1 ( 152490 41650 ) M1M2_PR
NEW li1 ( 158010 41650 ) L1M1_PR_MR
NEW li1 ( 164450 17170 ) L1M1_PR_MR
NEW met1 ( 152490 17170 ) M1M2_PR ;
- net680 ( output680 A ) ( _730_ X ) + USE SIGNAL
+ ROUTED met2 ( 15870 72930 ) ( * 90610 )
NEW met1 ( 15870 72930 ) ( 17710 * )
NEW met2 ( 17710 64940 ) ( * 72930 )
NEW met2 ( 16790 64940 ) ( 17710 * )
NEW met1 ( 10350 90610 ) ( 15870 * )
NEW met2 ( 16790 62100 ) ( * 64940 )
NEW met2 ( 16790 62100 ) ( 17250 * )
NEW met2 ( 17250 39270 ) ( * 62100 )
NEW met1 ( 17250 39270 ) ( 19030 * )
NEW li1 ( 10350 90610 ) L1M1_PR_MR
NEW met1 ( 15870 90610 ) M1M2_PR
NEW met1 ( 15870 72930 ) M1M2_PR
NEW met1 ( 17710 72930 ) M1M2_PR
NEW met1 ( 17250 39270 ) M1M2_PR
NEW li1 ( 19030 39270 ) L1M1_PR_MR ;
- net681 ( output681 A ) ( _731_ X ) + USE SIGNAL
+ ROUTED met1 ( 163990 159970 ) ( 169970 * )
NEW met1 ( 163990 159630 ) ( * 159970 )
NEW met1 ( 158470 159630 ) ( 163990 * )
NEW met2 ( 158470 159630 ) ( * 168130 )
NEW met2 ( 157550 168130 ) ( 158470 * )
NEW met2 ( 157550 168130 ) ( * 170510 )
NEW met1 ( 157550 169830 ) ( * 170510 )
NEW li1 ( 169970 159970 ) L1M1_PR_MR
NEW met1 ( 158470 159630 ) M1M2_PR
NEW met1 ( 157550 170510 ) M1M2_PR
NEW li1 ( 157550 169830 ) L1M1_PR_MR ;
- net682 ( output682 A ) ( _732_ X ) + USE SIGNAL
+ ROUTED met1 ( 128570 132430 ) ( 134090 * )
NEW met2 ( 134090 132430 ) ( * 134300 )
NEW met2 ( 134090 134300 ) ( 135010 * )
NEW met2 ( 135010 134300 ) ( * 144900 )
NEW met2 ( 135010 144900 ) ( 135470 * )
NEW met2 ( 135470 144900 ) ( * 172550 )
NEW met1 ( 135470 172550 ) ( 144210 * )
NEW met1 ( 144210 172550 ) ( * 172890 )
NEW li1 ( 128570 132430 ) L1M1_PR_MR
NEW met1 ( 134090 132430 ) M1M2_PR
NEW met1 ( 135470 172550 ) M1M2_PR
NEW li1 ( 144210 172890 ) L1M1_PR_MR ;
- net683 ( ANTENNA_output683_A DIODE ) ( output683 A ) ( _733_ X ) + USE SIGNAL
+ ROUTED met1 ( 168590 67150 ) ( 177790 * )
NEW met2 ( 177790 67150 ) ( * 94180 )
NEW met2 ( 177790 94180 ) ( 178250 * )
NEW met1 ( 168590 42330 ) ( 169510 * )
NEW met2 ( 168590 42330 ) ( * 67150 )
NEW met1 ( 158010 64770 ) ( 168590 * )
NEW met1 ( 178250 182750 ) ( 178710 * )
NEW met2 ( 178250 94180 ) ( * 182750 )
NEW met1 ( 168590 67150 ) M1M2_PR
NEW met1 ( 177790 67150 ) M1M2_PR
NEW met1 ( 168590 64770 ) M1M2_PR
NEW met1 ( 168590 42330 ) M1M2_PR
NEW li1 ( 169510 42330 ) L1M1_PR_MR
NEW li1 ( 158010 64770 ) L1M1_PR_MR
NEW met1 ( 178250 182750 ) M1M2_PR
NEW li1 ( 178710 182750 ) L1M1_PR_MR
NEW met2 ( 168590 64770 ) RECT ( -70 -485 70 0 ) ;
- net684 ( ANTENNA_output684_A DIODE ) ( output684 A ) ( _734_ X ) + USE SIGNAL
+ ROUTED met2 ( 55430 47430 ) ( * 180030 )
NEW met1 ( 48300 47430 ) ( 55430 * )
NEW met2 ( 45770 32980 ) ( * 46750 )
NEW met3 ( 31510 32980 ) ( 45770 * )
NEW met2 ( 31510 31450 ) ( * 32980 )
NEW met1 ( 30590 31450 ) ( 31510 * )
NEW met1 ( 30590 31450 ) ( * 31790 )
NEW met1 ( 28750 31790 ) ( 30590 * )
NEW met1 ( 28750 31450 ) ( * 31790 )
NEW met1 ( 27830 31450 ) ( 28750 * )
NEW met1 ( 48300 46750 ) ( * 47430 )
NEW met1 ( 45770 46750 ) ( 48300 * )
NEW li1 ( 55430 180030 ) L1M1_PR_MR
NEW met1 ( 55430 180030 ) M1M2_PR
NEW met1 ( 55430 47430 ) M1M2_PR
NEW li1 ( 45770 46750 ) L1M1_PR_MR
NEW met1 ( 45770 46750 ) M1M2_PR
NEW met2 ( 45770 32980 ) M2M3_PR_M
NEW met2 ( 31510 32980 ) M2M3_PR_M
NEW met1 ( 31510 31450 ) M1M2_PR
NEW li1 ( 27830 31450 ) L1M1_PR_MR
NEW met1 ( 55430 180030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 45770 46750 ) RECT ( -355 -70 0 70 ) ;
- net685 ( output685 A ) ( _735_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 28050 ) ( * 28390 )
NEW met2 ( 100050 28390 ) ( * 30430 )
NEW met1 ( 100050 30430 ) ( 108790 * )
NEW met2 ( 108790 29410 ) ( * 30430 )
NEW met1 ( 108790 29410 ) ( 117530 * )
NEW met2 ( 117530 22950 ) ( * 29410 )
NEW met2 ( 89470 28050 ) ( * 30260 )
NEW met3 ( 72910 30260 ) ( 89470 * )
NEW met2 ( 72910 29070 ) ( * 30260 )
NEW met1 ( 64630 29070 ) ( 72910 * )
NEW met1 ( 89470 28050 ) ( 100050 * )
NEW met1 ( 100050 28390 ) M1M2_PR
NEW met1 ( 100050 30430 ) M1M2_PR
NEW met1 ( 108790 30430 ) M1M2_PR
NEW met1 ( 108790 29410 ) M1M2_PR
NEW met1 ( 117530 29410 ) M1M2_PR
NEW li1 ( 117530 22950 ) L1M1_PR_MR
NEW met1 ( 117530 22950 ) M1M2_PR
NEW met1 ( 89470 28050 ) M1M2_PR
NEW met2 ( 89470 30260 ) M2M3_PR_M
NEW met2 ( 72910 30260 ) M2M3_PR_M
NEW met1 ( 72910 29070 ) M1M2_PR
NEW li1 ( 64630 29070 ) L1M1_PR_MR
NEW met1 ( 117530 22950 ) RECT ( -355 -70 0 70 ) ;
- net686 ( output686 A ) ( _736_ X ) + USE SIGNAL
+ ROUTED met1 ( 12650 47770 ) ( 13800 * )
NEW met1 ( 13800 47090 ) ( * 47770 )
NEW met1 ( 13800 47090 ) ( 18170 * )
NEW li1 ( 12650 47770 ) L1M1_PR_MR
NEW li1 ( 18170 47090 ) L1M1_PR_MR ;
- net687 ( output687 A ) ( _737_ X ) + USE SIGNAL
+ ROUTED met1 ( 171810 23290 ) ( 184690 * )
NEW met1 ( 171810 23290 ) ( * 23630 )
NEW met1 ( 158700 23630 ) ( 171810 * )
NEW met1 ( 158700 23630 ) ( * 23970 )
NEW met1 ( 121210 23970 ) ( 158700 * )
NEW met2 ( 121210 23970 ) ( * 26010 )
NEW met1 ( 117990 26010 ) ( 121210 * )
NEW li1 ( 184690 23290 ) L1M1_PR_MR
NEW met1 ( 121210 23970 ) M1M2_PR
NEW met1 ( 121210 26010 ) M1M2_PR
NEW li1 ( 117990 26010 ) L1M1_PR_MR ;
- net688 ( ANTENNA_output688_A DIODE ) ( output688 A ) ( _738_ X ) + USE SIGNAL
+ ROUTED met2 ( 5290 168130 ) ( 5750 * )
NEW met2 ( 5290 168130 ) ( * 177310 )
NEW met1 ( 5290 177310 ) ( 11730 * )
NEW met1 ( 11730 177310 ) ( * 177650 )
NEW met2 ( 5750 33660 ) ( * 168130 )
NEW met1 ( 11730 177650 ) ( 15870 * )
NEW met3 ( 5750 33660 ) ( 13800 * )
NEW met2 ( 25990 33830 ) ( * 34340 )
NEW met3 ( 25990 34340 ) ( 51290 * )
NEW met2 ( 51290 34340 ) ( * 44030 )
NEW met1 ( 50830 44030 ) ( 51290 * )
NEW met3 ( 13800 33660 ) ( * 34340 )
NEW met3 ( 13800 34340 ) ( 25990 * )
NEW met1 ( 5290 177310 ) M1M2_PR
NEW met2 ( 5750 33660 ) M2M3_PR_M
NEW li1 ( 15870 177650 ) L1M1_PR_MR
NEW li1 ( 25990 33830 ) L1M1_PR_MR
NEW met1 ( 25990 33830 ) M1M2_PR
NEW met2 ( 25990 34340 ) M2M3_PR_M
NEW met2 ( 51290 34340 ) M2M3_PR_M
NEW met1 ( 51290 44030 ) M1M2_PR
NEW li1 ( 50830 44030 ) L1M1_PR_MR
NEW met1 ( 25990 33830 ) RECT ( 0 -70 355 70 ) ;
- net689 ( output689 A ) ( _739_ X ) + USE SIGNAL
+ ROUTED met1 ( 129030 26690 ) ( 136850 * )
NEW met2 ( 136850 26690 ) ( * 31450 )
NEW li1 ( 129030 26690 ) L1M1_PR_MR
NEW met1 ( 136850 26690 ) M1M2_PR
NEW li1 ( 136850 31450 ) L1M1_PR_MR
NEW met1 ( 136850 31450 ) M1M2_PR
NEW met1 ( 136850 31450 ) RECT ( -355 -70 0 70 ) ;
- net69 ( ANTENNA__705__A DIODE ) ( ANTENNA__577__A DIODE ) ( input69 X ) ( _577_ A ) ( _705_ A ) + USE SIGNAL
+ ROUTED met1 ( 112010 12750 ) ( 115690 * )
NEW met1 ( 113850 109650 ) ( 115230 * )
NEW met2 ( 113850 94690 ) ( * 109650 )
NEW met1 ( 112010 94690 ) ( 113850 * )
NEW met1 ( 115230 109650 ) ( 118450 * )
NEW met2 ( 112010 12750 ) ( * 94690 )
NEW met1 ( 112470 113050 ) ( 115230 * )
NEW met2 ( 115230 112030 ) ( * 113050 )
NEW met2 ( 115230 109650 ) ( * 112030 )
NEW li1 ( 115690 12750 ) L1M1_PR_MR
NEW met1 ( 112010 12750 ) M1M2_PR
NEW met1 ( 115230 109650 ) M1M2_PR
NEW met1 ( 113850 109650 ) M1M2_PR
NEW met1 ( 113850 94690 ) M1M2_PR
NEW met1 ( 112010 94690 ) M1M2_PR
NEW li1 ( 115230 109650 ) L1M1_PR_MR
NEW li1 ( 118450 109650 ) L1M1_PR_MR
NEW li1 ( 115230 112030 ) L1M1_PR_MR
NEW met1 ( 115230 112030 ) M1M2_PR
NEW li1 ( 112470 113050 ) L1M1_PR_MR
NEW met1 ( 115230 113050 ) M1M2_PR
NEW met1 ( 115230 109650 ) RECT ( -595 -70 0 70 )
NEW met1 ( 115230 112030 ) RECT ( -355 -70 0 70 ) ;
- net690 ( output690 A ) ( _667_ X ) + USE SIGNAL
+ ROUTED met1 ( 17710 86530 ) ( 20010 * )
NEW met2 ( 17710 86530 ) ( * 91290 )
NEW li1 ( 20010 86530 ) L1M1_PR_MR
NEW met1 ( 17710 86530 ) M1M2_PR
NEW li1 ( 17710 91290 ) L1M1_PR_MR
NEW met1 ( 17710 91290 ) M1M2_PR
NEW met1 ( 17710 91290 ) RECT ( -355 -70 0 70 ) ;
- net691 ( output691 A ) ( _740_ X ) + USE SIGNAL
+ ROUTED met1 ( 29670 167450 ) ( 31970 * )
NEW met1 ( 10350 118830 ) ( 29670 * )
NEW met2 ( 29670 118830 ) ( * 167450 )
NEW li1 ( 10350 118830 ) L1M1_PR_MR
NEW met1 ( 29670 167450 ) M1M2_PR
NEW li1 ( 31970 167450 ) L1M1_PR_MR
NEW met1 ( 29670 118830 ) M1M2_PR ;
- net692 ( output692 A ) ( _741_ X ) + USE SIGNAL
+ ROUTED met1 ( 45310 164390 ) ( * 164730 )
NEW met1 ( 43930 164390 ) ( 45310 * )
NEW li1 ( 43930 164390 ) ( * 165410 )
NEW met1 ( 36570 165410 ) ( 43930 * )
NEW met2 ( 69690 164730 ) ( * 172550 )
NEW met1 ( 69690 172550 ) ( * 172890 )
NEW met1 ( 67850 172890 ) ( 69690 * )
NEW met1 ( 45310 164730 ) ( 69690 * )
NEW li1 ( 43930 164390 ) L1M1_PR_MR
NEW li1 ( 43930 165410 ) L1M1_PR_MR
NEW li1 ( 36570 165410 ) L1M1_PR_MR
NEW met1 ( 69690 164730 ) M1M2_PR
NEW met1 ( 69690 172550 ) M1M2_PR
NEW li1 ( 67850 172890 ) L1M1_PR_MR ;
- net693 ( output693 A ) ( _742_ X ) + USE SIGNAL
+ ROUTED met1 ( 14030 63070 ) ( 14490 * )
NEW met2 ( 14030 61030 ) ( * 63070 )
NEW met1 ( 14030 63070 ) M1M2_PR
NEW li1 ( 14490 63070 ) L1M1_PR_MR
NEW li1 ( 14030 61030 ) L1M1_PR_MR
NEW met1 ( 14030 61030 ) M1M2_PR
NEW met1 ( 14030 61030 ) RECT ( 0 -70 355 70 ) ;
- net694 ( output694 A ) ( _743_ X ) + USE SIGNAL
+ ROUTED met1 ( 44390 22950 ) ( 44850 * )
NEW met2 ( 44390 22950 ) ( * 25670 )
NEW met1 ( 41630 25670 ) ( 44390 * )
NEW met2 ( 41630 25670 ) ( * 27710 )
NEW met1 ( 41630 27710 ) ( 42090 * )
NEW li1 ( 44850 22950 ) L1M1_PR_MR
NEW met1 ( 44390 22950 ) M1M2_PR
NEW met1 ( 44390 25670 ) M1M2_PR
NEW met1 ( 41630 25670 ) M1M2_PR
NEW met1 ( 41630 27710 ) M1M2_PR
NEW li1 ( 42090 27710 ) L1M1_PR_MR ;
- net695 ( ANTENNA_output695_A DIODE ) ( output695 A ) ( _744_ X ) + USE SIGNAL
+ ROUTED met2 ( 62330 17850 ) ( * 32980 )
NEW met1 ( 62330 17850 ) ( 66010 * )
NEW met1 ( 66010 17850 ) ( * 18190 )
NEW met1 ( 66010 18190 ) ( 79810 * )
NEW met2 ( 79810 18190 ) ( * 20060 )
NEW met1 ( 48530 41990 ) ( 54050 * )
NEW met2 ( 48530 37060 ) ( * 41990 )
NEW met2 ( 48530 37060 ) ( 48990 * )
NEW met2 ( 48990 26350 ) ( * 37060 )
NEW met1 ( 37030 26350 ) ( 48990 * )
NEW met1 ( 37030 26010 ) ( * 26350 )
NEW met2 ( 54970 32980 ) ( * 41990 )
NEW met1 ( 54050 41990 ) ( 54970 * )
NEW met3 ( 54970 32980 ) ( 62330 * )
NEW met3 ( 79810 20060 ) ( 96600 * )
NEW met3 ( 96600 20060 ) ( * 20740 )
NEW met3 ( 96600 20740 ) ( 143290 * )
NEW met2 ( 143290 15810 ) ( * 20740 )
NEW met1 ( 143290 15810 ) ( 146510 * )
NEW met2 ( 62330 32980 ) M2M3_PR_M
NEW met1 ( 62330 17850 ) M1M2_PR
NEW met1 ( 79810 18190 ) M1M2_PR
NEW met2 ( 79810 20060 ) M2M3_PR_M
NEW li1 ( 54050 41990 ) L1M1_PR_MR
NEW met1 ( 48530 41990 ) M1M2_PR
NEW met1 ( 48990 26350 ) M1M2_PR
NEW li1 ( 37030 26010 ) L1M1_PR_MR
NEW met2 ( 54970 32980 ) M2M3_PR_M
NEW met1 ( 54970 41990 ) M1M2_PR
NEW li1 ( 146510 15810 ) L1M1_PR_MR
NEW met2 ( 143290 20740 ) M2M3_PR_M
NEW met1 ( 143290 15810 ) M1M2_PR ;
- net696 ( ANTENNA_output696_A DIODE ) ( output696 A ) ( _745_ X ) + USE SIGNAL
+ ROUTED met1 ( 110630 18190 ) ( 117070 * )
NEW met1 ( 115690 132430 ) ( 117070 * )
NEW met2 ( 117070 18190 ) ( * 132430 )
NEW met1 ( 110630 151810 ) ( 111090 * )
NEW met2 ( 111090 151810 ) ( * 171870 )
NEW met1 ( 111090 171870 ) ( * 172890 )
NEW met1 ( 111090 151810 ) ( 115690 * )
NEW met2 ( 115690 132430 ) ( * 151810 )
NEW li1 ( 110630 18190 ) L1M1_PR_MR
NEW met1 ( 117070 18190 ) M1M2_PR
NEW met1 ( 115690 132430 ) M1M2_PR
NEW met1 ( 117070 132430 ) M1M2_PR
NEW li1 ( 110630 151810 ) L1M1_PR_MR
NEW met1 ( 111090 151810 ) M1M2_PR
NEW met1 ( 111090 171870 ) M1M2_PR
NEW li1 ( 111090 172890 ) L1M1_PR_MR
NEW met1 ( 115690 151810 ) M1M2_PR ;
- net697 ( output697 A ) ( _746_ X ) + USE SIGNAL
+ ROUTED met1 ( 76590 168130 ) ( 89010 * )
NEW met2 ( 76590 168130 ) ( * 169830 )
NEW met1 ( 74750 169830 ) ( 76590 * )
NEW li1 ( 89010 168130 ) L1M1_PR_MR
NEW met1 ( 76590 168130 ) M1M2_PR
NEW met1 ( 76590 169830 ) M1M2_PR
NEW li1 ( 74750 169830 ) L1M1_PR_MR ;
- net698 ( output698 A ) ( _747_ X ) + USE SIGNAL
+ ROUTED met2 ( 92690 26010 ) ( * 85170 )
NEW li1 ( 92690 85170 ) L1M1_PR_MR
NEW met1 ( 92690 85170 ) M1M2_PR
NEW li1 ( 92690 26010 ) L1M1_PR_MR
NEW met1 ( 92690 26010 ) M1M2_PR
NEW met1 ( 92690 85170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 92690 26010 ) RECT ( -355 -70 0 70 ) ;
- net699 ( ANTENNA_output699_A DIODE ) ( output699 A ) ( _748_ X ) + USE SIGNAL
+ ROUTED met2 ( 14950 174930 ) ( * 175100 )
NEW met1 ( 138230 175270 ) ( 140070 * )
NEW met2 ( 138230 175100 ) ( * 175270 )
NEW met1 ( 139610 168130 ) ( 140990 * )
NEW met2 ( 140990 168130 ) ( * 175270 )
NEW met1 ( 140070 175270 ) ( 140990 * )
NEW met3 ( 14950 175100 ) ( 138230 * )
NEW met2 ( 139610 154530 ) ( * 168130 )
NEW met2 ( 14950 175100 ) M2M3_PR_M
NEW li1 ( 14950 174930 ) L1M1_PR_MR
NEW met1 ( 14950 174930 ) M1M2_PR
NEW li1 ( 140070 175270 ) L1M1_PR_MR
NEW met1 ( 138230 175270 ) M1M2_PR
NEW met2 ( 138230 175100 ) M2M3_PR_M
NEW met1 ( 139610 168130 ) M1M2_PR
NEW met1 ( 140990 168130 ) M1M2_PR
NEW met1 ( 140990 175270 ) M1M2_PR
NEW li1 ( 139610 154530 ) L1M1_PR_MR
NEW met1 ( 139610 154530 ) M1M2_PR
NEW met1 ( 14950 174930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 139610 154530 ) RECT ( -355 -70 0 70 ) ;
- net7 ( ANTENNA__764__A DIODE ) ( ANTENNA__636__A DIODE ) ( input7 X ) ( _636_ A ) ( _764_ A ) + USE SIGNAL
+ ROUTED met2 ( 26450 9010 ) ( * 11390 )
NEW li1 ( 131330 7650 ) ( * 9010 )
NEW met1 ( 131330 7650 ) ( 158010 * )
NEW met1 ( 26450 9010 ) ( 131330 * )
NEW met1 ( 150190 31450 ) ( 152030 * )
NEW met2 ( 152030 25670 ) ( * 31450 )
NEW met1 ( 152030 25670 ) ( 158010 * )
NEW met2 ( 158010 22950 ) ( * 25670 )
NEW met1 ( 146510 38590 ) ( 148350 * )
NEW met2 ( 146510 32130 ) ( * 38590 )
NEW met1 ( 146510 32130 ) ( 152030 * )
NEW met2 ( 152030 31450 ) ( * 32130 )
NEW met1 ( 150650 47090 ) ( 157090 * )
NEW met2 ( 150650 38590 ) ( * 47090 )
NEW met1 ( 148350 38590 ) ( 150650 * )
NEW met2 ( 158010 7650 ) ( * 22950 )
NEW met1 ( 26450 9010 ) M1M2_PR
NEW li1 ( 26450 11390 ) L1M1_PR_MR
NEW met1 ( 26450 11390 ) M1M2_PR
NEW li1 ( 131330 9010 ) L1M1_PR_MR
NEW li1 ( 131330 7650 ) L1M1_PR_MR
NEW met1 ( 158010 7650 ) M1M2_PR
NEW li1 ( 158010 22950 ) L1M1_PR_MR
NEW met1 ( 158010 22950 ) M1M2_PR
NEW li1 ( 150190 31450 ) L1M1_PR_MR
NEW met1 ( 152030 31450 ) M1M2_PR
NEW met1 ( 152030 25670 ) M1M2_PR
NEW met1 ( 158010 25670 ) M1M2_PR
NEW li1 ( 148350 38590 ) L1M1_PR_MR
NEW met1 ( 146510 38590 ) M1M2_PR
NEW met1 ( 146510 32130 ) M1M2_PR
NEW met1 ( 152030 32130 ) M1M2_PR
NEW li1 ( 157090 47090 ) L1M1_PR_MR
NEW met1 ( 150650 47090 ) M1M2_PR
NEW met1 ( 150650 38590 ) M1M2_PR
NEW met1 ( 26450 11390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 158010 22950 ) RECT ( -355 -70 0 70 ) ;
- net70 ( ANTENNA__706__A DIODE ) ( ANTENNA__578__A DIODE ) ( input70 X ) ( _578_ A ) ( _706_ A ) + USE SIGNAL
+ ROUTED met1 ( 172270 63750 ) ( 173190 * )
NEW met2 ( 173190 63750 ) ( * 68510 )
NEW met1 ( 173190 68510 ) ( 174570 * )
NEW met1 ( 174570 68510 ) ( * 68850 )
NEW met2 ( 174570 68850 ) ( * 71740 )
NEW met2 ( 174110 71740 ) ( 174570 * )
NEW met1 ( 173190 63750 ) ( 176410 * )
NEW met1 ( 176410 64090 ) ( 179170 * )
NEW met1 ( 176410 63750 ) ( * 64090 )
NEW met1 ( 174110 182750 ) ( 174570 * )
NEW met1 ( 162150 55930 ) ( * 56270 )
NEW met1 ( 162150 56270 ) ( 164450 * )
NEW met2 ( 164450 56270 ) ( * 61710 )
NEW met1 ( 164450 61710 ) ( 172270 * )
NEW met2 ( 172270 61710 ) ( * 63750 )
NEW met2 ( 174110 71740 ) ( * 182750 )
NEW met2 ( 129490 55930 ) ( * 58650 )
NEW met1 ( 129490 55930 ) ( 130870 * )
NEW met1 ( 130870 55930 ) ( 162150 * )
NEW met1 ( 172270 63750 ) M1M2_PR
NEW met1 ( 173190 63750 ) M1M2_PR
NEW met1 ( 173190 68510 ) M1M2_PR
NEW met1 ( 174570 68850 ) M1M2_PR
NEW li1 ( 176410 63750 ) L1M1_PR_MR
NEW li1 ( 179170 64090 ) L1M1_PR_MR
NEW met1 ( 174110 182750 ) M1M2_PR
NEW li1 ( 174570 182750 ) L1M1_PR_MR
NEW met1 ( 164450 56270 ) M1M2_PR
NEW met1 ( 164450 61710 ) M1M2_PR
NEW met1 ( 172270 61710 ) M1M2_PR
NEW li1 ( 130870 55930 ) L1M1_PR_MR
NEW li1 ( 129490 58650 ) L1M1_PR_MR
NEW met1 ( 129490 58650 ) M1M2_PR
NEW met1 ( 129490 55930 ) M1M2_PR
NEW met1 ( 129490 58650 ) RECT ( -355 -70 0 70 ) ;
- net700 ( output700 A ) ( _749_ X ) + USE SIGNAL
+ ROUTED met1 ( 156630 31110 ) ( * 31450 )
NEW met1 ( 147890 31110 ) ( 156630 * )
NEW met1 ( 147890 30770 ) ( * 31110 )
NEW li1 ( 156630 31450 ) L1M1_PR_MR
NEW li1 ( 147890 30770 ) L1M1_PR_MR ;
- net701 ( output701 A ) ( _668_ X ) + USE SIGNAL
+ ROUTED met2 ( 10810 149090 ) ( * 151130 )
NEW li1 ( 10810 149090 ) L1M1_PR_MR
NEW met1 ( 10810 149090 ) M1M2_PR
NEW li1 ( 10810 151130 ) L1M1_PR_MR
NEW met1 ( 10810 151130 ) M1M2_PR
NEW met1 ( 10810 149090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 10810 151130 ) RECT ( -355 -70 0 70 ) ;
- net702 ( ANTENNA_output702_A DIODE ) ( output702 A ) ( _750_ X ) + USE SIGNAL
+ ROUTED met3 ( 182620 90100 ) ( 187450 * )
NEW met2 ( 182390 23630 ) ( * 24140 )
NEW met3 ( 182390 24140 ) ( 182620 * )
NEW met4 ( 182620 24140 ) ( * 90100 )
NEW met1 ( 182850 144670 ) ( 184230 * )
NEW met1 ( 175030 145010 ) ( 182850 * )
NEW met2 ( 175030 145010 ) ( * 147390 )
NEW met1 ( 166750 147390 ) ( 175030 * )
NEW met1 ( 166750 147390 ) ( * 147730 )
NEW met1 ( 152950 147730 ) ( 166750 * )
NEW met1 ( 186530 151130 ) ( 187450 * )
NEW met1 ( 186530 151130 ) ( * 151150 )
NEW met1 ( 186070 151150 ) ( 186530 * )
NEW met1 ( 186070 151130 ) ( * 151150 )
NEW met1 ( 182390 151130 ) ( 186070 * )
NEW met1 ( 184230 145010 ) ( 187450 * )
NEW met1 ( 182850 144670 ) ( * 145010 )
NEW met1 ( 184230 144670 ) ( * 145010 )
NEW met2 ( 187450 90100 ) ( * 151130 )
NEW met3 ( 182620 90100 ) M3M4_PR_M
NEW met2 ( 187450 90100 ) M2M3_PR_M
NEW li1 ( 182390 23630 ) L1M1_PR_MR
NEW met1 ( 182390 23630 ) M1M2_PR
NEW met2 ( 182390 24140 ) M2M3_PR_M
NEW met3 ( 182620 24140 ) M3M4_PR_M
NEW met1 ( 175030 145010 ) M1M2_PR
NEW met1 ( 175030 147390 ) M1M2_PR
NEW li1 ( 152950 147730 ) L1M1_PR_MR
NEW met1 ( 187450 151130 ) M1M2_PR
NEW li1 ( 182390 151130 ) L1M1_PR_MR
NEW met1 ( 187450 145010 ) M1M2_PR
NEW met1 ( 182390 23630 ) RECT ( -355 -70 0 70 )
NEW met3 ( 182390 24140 ) RECT ( -390 -150 0 150 )
NEW met2 ( 187450 145010 ) RECT ( -70 0 70 485 ) ;
- net703 ( output703 A ) ( _751_ X ) + USE SIGNAL
+ ROUTED met1 ( 37030 28390 ) ( 37490 * )
NEW met2 ( 37030 28390 ) ( * 55250 )
NEW met1 ( 20930 55250 ) ( 37030 * )
NEW met1 ( 20930 54910 ) ( * 55250 )
NEW met1 ( 14490 54910 ) ( 20930 * )
NEW li1 ( 37490 28390 ) L1M1_PR_MR
NEW met1 ( 37030 28390 ) M1M2_PR
NEW met1 ( 37030 55250 ) M1M2_PR
NEW li1 ( 14490 54910 ) L1M1_PR_MR ;
- net704 ( output704 A ) ( _752_ X ) + USE SIGNAL
+ ROUTED met2 ( 66010 26010 ) ( * 35870 )
NEW met1 ( 65550 35870 ) ( 66010 * )
NEW li1 ( 66010 26010 ) L1M1_PR_MR
NEW met1 ( 66010 26010 ) M1M2_PR
NEW met1 ( 66010 35870 ) M1M2_PR
NEW li1 ( 65550 35870 ) L1M1_PR_MR
NEW met1 ( 66010 26010 ) RECT ( -355 -70 0 70 ) ;
- net705 ( output705 A ) ( _753_ X ) + USE SIGNAL
+ ROUTED met2 ( 100970 26010 ) ( * 30770 )
NEW met1 ( 94070 30770 ) ( 100970 * )
NEW li1 ( 100970 26010 ) L1M1_PR_MR
NEW met1 ( 100970 26010 ) M1M2_PR
NEW met1 ( 100970 30770 ) M1M2_PR
NEW li1 ( 94070 30770 ) L1M1_PR_MR
NEW met1 ( 100970 26010 ) RECT ( -355 -70 0 70 ) ;
- net706 ( ANTENNA_output706_A DIODE ) ( output706 A ) ( _754_ X ) + USE SIGNAL
+ ROUTED met1 ( 11730 37570 ) ( 12650 * )
NEW met2 ( 12650 37570 ) ( * 47260 )
NEW met1 ( 170890 135490 ) ( 179630 * )
NEW met2 ( 179630 135490 ) ( * 142630 )
NEW met1 ( 179630 142630 ) ( 181930 * )
NEW met2 ( 170890 132770 ) ( * 135490 )
NEW met3 ( 12650 47260 ) ( 46230 * )
NEW met1 ( 46230 131750 ) ( 54970 * )
NEW met2 ( 54970 131750 ) ( * 132770 )
NEW met2 ( 46230 47260 ) ( * 131750 )
NEW met1 ( 54970 132770 ) ( 170890 * )
NEW li1 ( 11730 37570 ) L1M1_PR_MR
NEW met1 ( 12650 37570 ) M1M2_PR
NEW met2 ( 12650 47260 ) M2M3_PR_M
NEW li1 ( 170890 135490 ) L1M1_PR_MR
NEW met1 ( 179630 135490 ) M1M2_PR
NEW met1 ( 179630 142630 ) M1M2_PR
NEW li1 ( 181930 142630 ) L1M1_PR_MR
NEW met1 ( 170890 132770 ) M1M2_PR
NEW met1 ( 170890 135490 ) M1M2_PR
NEW met2 ( 46230 47260 ) M2M3_PR_M
NEW met1 ( 46230 131750 ) M1M2_PR
NEW met1 ( 54970 131750 ) M1M2_PR
NEW met1 ( 54970 132770 ) M1M2_PR
NEW met1 ( 170890 135490 ) RECT ( -595 -70 0 70 ) ;
- net707 ( output707 A ) ( _755_ X ) + USE SIGNAL
+ ROUTED met1 ( 89930 28730 ) ( * 29070 )
NEW met1 ( 102810 28390 ) ( * 28730 )
NEW met1 ( 89930 28730 ) ( 102810 * )
NEW li1 ( 89930 29070 ) L1M1_PR_MR
NEW li1 ( 102810 28390 ) L1M1_PR_MR ;
- net708 ( ANTENNA_output708_A DIODE ) ( output708 A ) ( _756_ X ) + USE SIGNAL
+ ROUTED met1 ( 138230 172890 ) ( 139610 * )
NEW met1 ( 135470 18530 ) ( 138690 * )
NEW met2 ( 138230 158700 ) ( * 172890 )
NEW met2 ( 135930 110400 ) ( * 155550 )
NEW met2 ( 135470 110400 ) ( 135930 * )
NEW met2 ( 137770 158700 ) ( 138230 * )
NEW met2 ( 137770 155550 ) ( * 158700 )
NEW met1 ( 135930 155550 ) ( 137770 * )
NEW met2 ( 135470 96600 ) ( * 110400 )
NEW met2 ( 135470 90780 ) ( 136390 * )
NEW met2 ( 136390 90780 ) ( * 96600 )
NEW met2 ( 135470 96600 ) ( 136390 * )
NEW met2 ( 135470 18530 ) ( * 90780 )
NEW met1 ( 138230 172890 ) M1M2_PR
NEW li1 ( 139610 172890 ) L1M1_PR_MR
NEW li1 ( 138690 18530 ) L1M1_PR_MR
NEW met1 ( 135470 18530 ) M1M2_PR
NEW li1 ( 135930 155550 ) L1M1_PR_MR
NEW met1 ( 135930 155550 ) M1M2_PR
NEW met1 ( 137770 155550 ) M1M2_PR
NEW met1 ( 135930 155550 ) RECT ( -355 -70 0 70 ) ;
- net709 ( output709 A ) ( _757_ X ) + USE SIGNAL
+ ROUTED met1 ( 135930 168130 ) ( 136390 * )
NEW met2 ( 135930 168130 ) ( * 172890 )
NEW li1 ( 136390 168130 ) L1M1_PR_MR
NEW met1 ( 135930 168130 ) M1M2_PR
NEW li1 ( 135930 172890 ) L1M1_PR_MR
NEW met1 ( 135930 172890 ) M1M2_PR
NEW met1 ( 135930 172890 ) RECT ( -355 -70 0 70 ) ;
- net71 ( input71 X ) ( _579_ A ) ( _707_ A ) + USE SIGNAL
+ ROUTED met1 ( 187910 50490 ) ( * 51170 )
NEW met1 ( 125350 44710 ) ( 125810 * )
NEW met2 ( 125810 44710 ) ( * 51170 )
NEW met1 ( 119370 53550 ) ( 123510 * )
NEW met2 ( 123510 51170 ) ( * 53550 )
NEW met1 ( 123510 51170 ) ( 125810 * )
NEW met1 ( 125810 51170 ) ( 187910 * )
NEW li1 ( 187910 50490 ) L1M1_PR_MR
NEW li1 ( 125350 44710 ) L1M1_PR_MR
NEW met1 ( 125810 44710 ) M1M2_PR
NEW met1 ( 125810 51170 ) M1M2_PR
NEW li1 ( 119370 53550 ) L1M1_PR_MR
NEW met1 ( 123510 53550 ) M1M2_PR
NEW met1 ( 123510 51170 ) M1M2_PR ;
- net710 ( ANTENNA_output710_A DIODE ) ( output710 A ) ( _758_ X ) + USE SIGNAL
+ ROUTED met3 ( 35420 160140 ) ( 38870 * )
NEW met3 ( 35420 160140 ) ( * 160820 )
NEW met3 ( 25070 160820 ) ( 35420 * )
NEW met2 ( 25070 160820 ) ( * 162010 )
NEW met1 ( 25070 162010 ) ( 26050 * )
NEW met1 ( 36570 47770 ) ( 39790 * )
NEW met2 ( 39790 43860 ) ( * 47770 )
NEW met2 ( 39790 43860 ) ( 40710 * )
NEW met2 ( 40710 18020 ) ( * 43860 )
NEW met1 ( 36570 139230 ) ( 37490 * )
NEW met1 ( 37490 139230 ) ( 38870 * )
NEW met2 ( 36570 47770 ) ( * 139230 )
NEW met2 ( 38870 139230 ) ( * 160140 )
NEW met2 ( 156170 17510 ) ( * 18020 )
NEW met3 ( 40710 18020 ) ( 156170 * )
NEW met2 ( 38870 160140 ) M2M3_PR_M
NEW met2 ( 25070 160820 ) M2M3_PR_M
NEW met1 ( 25070 162010 ) M1M2_PR
NEW li1 ( 26050 162010 ) L1M1_PR_MR
NEW met1 ( 36570 47770 ) M1M2_PR
NEW met1 ( 39790 47770 ) M1M2_PR
NEW met2 ( 40710 18020 ) M2M3_PR_M
NEW li1 ( 37490 139230 ) L1M1_PR_MR
NEW met1 ( 36570 139230 ) M1M2_PR
NEW met1 ( 38870 139230 ) M1M2_PR
NEW met2 ( 156170 18020 ) M2M3_PR_M
NEW li1 ( 156170 17510 ) L1M1_PR_MR
NEW met1 ( 156170 17510 ) M1M2_PR
NEW met1 ( 156170 17510 ) RECT ( -355 -70 0 70 ) ;
- net711 ( ANTENNA_output711_A DIODE ) ( output711 A ) ( _759_ X ) + USE SIGNAL
+ ROUTED met1 ( 48070 56270 ) ( 53130 * )
NEW met1 ( 53130 150110 ) ( 54050 * )
NEW met1 ( 51750 150110 ) ( 53130 * )
NEW met2 ( 51750 150110 ) ( * 172890 )
NEW met2 ( 53130 56270 ) ( * 150110 )
NEW li1 ( 51750 172890 ) L1M1_PR_MR
NEW met1 ( 51750 172890 ) M1M2_PR
NEW li1 ( 48070 56270 ) L1M1_PR_MR
NEW met1 ( 53130 56270 ) M1M2_PR
NEW li1 ( 54050 150110 ) L1M1_PR_MR
NEW met1 ( 53130 150110 ) M1M2_PR
NEW met1 ( 51750 150110 ) M1M2_PR
NEW met1 ( 51750 172890 ) RECT ( -355 -70 0 70 ) ;
- net712 ( ANTENNA_output712_A DIODE ) ( output712 A ) ( _669_ X ) + USE SIGNAL
+ ROUTED met1 ( 121670 26010 ) ( 122130 * )
NEW met2 ( 121670 25500 ) ( * 26010 )
NEW met3 ( 121670 24820 ) ( * 25500 )
NEW met3 ( 103270 24820 ) ( 121670 * )
NEW met2 ( 103270 14790 ) ( * 24820 )
NEW met2 ( 118910 24820 ) ( * 44030 )
NEW met1 ( 48070 14450 ) ( * 15130 )
NEW met1 ( 48070 15130 ) ( 49875 * )
NEW met1 ( 49875 15130 ) ( * 15470 )
NEW met1 ( 49875 15470 ) ( 58190 * )
NEW met1 ( 58190 15130 ) ( * 15470 )
NEW met1 ( 58190 15130 ) ( 63710 * )
NEW met1 ( 63710 14790 ) ( * 15130 )
NEW met1 ( 36110 14450 ) ( 48070 * )
NEW met1 ( 63710 14790 ) ( 103270 * )
NEW li1 ( 36110 14450 ) L1M1_PR_MR
NEW li1 ( 122130 26010 ) L1M1_PR_MR
NEW met1 ( 121670 26010 ) M1M2_PR
NEW met2 ( 121670 25500 ) M2M3_PR_M
NEW met2 ( 103270 24820 ) M2M3_PR_M
NEW met1 ( 103270 14790 ) M1M2_PR
NEW li1 ( 118910 44030 ) L1M1_PR_MR
NEW met1 ( 118910 44030 ) M1M2_PR
NEW met2 ( 118910 24820 ) M2M3_PR_M
NEW met1 ( 118910 44030 ) RECT ( -355 -70 0 70 )
NEW met3 ( 118910 24820 ) RECT ( -800 -150 0 150 ) ;
- net713 ( output713 A ) ( _325_ X ) + USE SIGNAL
+ ROUTED met2 ( 19090 51170 ) ( * 53210 )
NEW met1 ( 17710 53210 ) ( 19090 * )
NEW li1 ( 19090 51170 ) L1M1_PR_MR
NEW met1 ( 19090 51170 ) M1M2_PR
NEW met1 ( 19090 53210 ) M1M2_PR
NEW li1 ( 17710 53210 ) L1M1_PR_MR
NEW met1 ( 19090 51170 ) RECT ( -355 -70 0 70 ) ;
- net72 ( input72 X ) ( _580_ A ) ( _708_ A ) + USE SIGNAL
+ ROUTED met1 ( 122590 163710 ) ( * 164050 )
NEW met1 ( 116610 164050 ) ( 122590 * )
NEW met1 ( 116610 163710 ) ( * 164050 )
NEW met1 ( 152950 169490 ) ( * 169830 )
NEW met1 ( 149270 169490 ) ( 152950 * )
NEW met2 ( 149270 163710 ) ( * 169490 )
NEW met1 ( 161690 172890 ) ( * 173230 )
NEW met1 ( 153410 173230 ) ( 161690 * )
NEW met2 ( 153410 169150 ) ( * 173230 )
NEW met1 ( 152950 169150 ) ( 153410 * )
NEW met1 ( 152950 169150 ) ( * 169490 )
NEW met1 ( 122590 163710 ) ( 149270 * )
NEW li1 ( 116610 163710 ) L1M1_PR_MR
NEW li1 ( 152950 169830 ) L1M1_PR_MR
NEW met1 ( 149270 169490 ) M1M2_PR
NEW met1 ( 149270 163710 ) M1M2_PR
NEW li1 ( 161690 172890 ) L1M1_PR_MR
NEW met1 ( 153410 173230 ) M1M2_PR
NEW met1 ( 153410 169150 ) M1M2_PR ;
- net73 ( ANTENNA__709__A DIODE ) ( ANTENNA__581__A DIODE ) ( input73 X ) ( _581_ A ) ( _709_ A ) + USE SIGNAL
+ ROUTED met2 ( 179630 173060 ) ( * 174590 )
NEW met1 ( 179630 174590 ) ( 183310 * )
NEW met3 ( 55660 171700 ) ( * 173060 )
NEW met3 ( 55660 173060 ) ( 179630 * )
NEW met1 ( 39790 171870 ) ( 42090 * )
NEW met2 ( 42090 171700 ) ( * 171870 )
NEW met1 ( 12190 178330 ) ( 14950 * )
NEW met2 ( 14950 175610 ) ( * 178330 )
NEW met1 ( 14950 175610 ) ( 38870 * )
NEW met2 ( 38870 171870 ) ( * 175610 )
NEW met1 ( 38870 171870 ) ( 39790 * )
NEW met2 ( 14030 153510 ) ( * 154020 )
NEW met3 ( 14030 154020 ) ( 20010 * )
NEW met2 ( 20010 154020 ) ( * 166260 )
NEW met2 ( 20010 166260 ) ( 20470 * )
NEW met2 ( 20470 166260 ) ( * 175270 )
NEW met1 ( 20470 175270 ) ( * 175610 )
NEW met1 ( 14030 150110 ) ( 20930 * )
NEW met2 ( 14030 150110 ) ( * 153510 )
NEW met3 ( 42090 171700 ) ( 55660 * )
NEW met2 ( 179630 173060 ) M2M3_PR_M
NEW met1 ( 179630 174590 ) M1M2_PR
NEW li1 ( 183310 174590 ) L1M1_PR_MR
NEW li1 ( 39790 171870 ) L1M1_PR_MR
NEW met1 ( 42090 171870 ) M1M2_PR
NEW met2 ( 42090 171700 ) M2M3_PR_M
NEW li1 ( 12190 178330 ) L1M1_PR_MR
NEW met1 ( 14950 178330 ) M1M2_PR
NEW met1 ( 14950 175610 ) M1M2_PR
NEW met1 ( 38870 175610 ) M1M2_PR
NEW met1 ( 38870 171870 ) M1M2_PR
NEW li1 ( 14030 153510 ) L1M1_PR_MR
NEW met1 ( 14030 153510 ) M1M2_PR
NEW met2 ( 14030 154020 ) M2M3_PR_M
NEW met2 ( 20010 154020 ) M2M3_PR_M
NEW met1 ( 20470 175270 ) M1M2_PR
NEW li1 ( 20930 150110 ) L1M1_PR_MR
NEW met1 ( 14030 150110 ) M1M2_PR
NEW met1 ( 14030 153510 ) RECT ( -355 -70 0 70 ) ;
- net74 ( input74 X ) ( _536_ A ) ( _664_ A ) + USE SIGNAL
+ ROUTED met1 ( 151570 109990 ) ( 158010 * )
NEW met2 ( 156630 167620 ) ( 158010 * )
NEW met2 ( 156630 167620 ) ( * 168130 )
NEW met2 ( 156170 168130 ) ( 156630 * )
NEW met2 ( 156170 168130 ) ( * 183430 )
NEW met1 ( 155250 183430 ) ( 156170 * )
NEW met1 ( 157550 115430 ) ( 158010 * )
NEW met2 ( 158010 109990 ) ( * 115430 )
NEW met2 ( 158010 115430 ) ( * 167620 )
NEW met1 ( 158010 109990 ) M1M2_PR
NEW li1 ( 151570 109990 ) L1M1_PR_MR
NEW met1 ( 156170 183430 ) M1M2_PR
NEW li1 ( 155250 183430 ) L1M1_PR_MR
NEW li1 ( 157550 115430 ) L1M1_PR_MR
NEW met1 ( 158010 115430 ) M1M2_PR ;
- net75 ( ANTENNA__710__A DIODE ) ( ANTENNA__582__A DIODE ) ( input75 X ) ( _582_ A ) ( _710_ A ) + USE SIGNAL
+ ROUTED met1 ( 172730 125630 ) ( 178710 * )
NEW met1 ( 178710 129370 ) ( 179630 * )
NEW met2 ( 178710 125630 ) ( * 129370 )
NEW met1 ( 173650 134810 ) ( 178710 * )
NEW met2 ( 178710 129370 ) ( * 134810 )
NEW met2 ( 172730 107270 ) ( * 125630 )
NEW met1 ( 14950 11730 ) ( 19550 * )
NEW met2 ( 19550 10370 ) ( * 11730 )
NEW met1 ( 19550 10370 ) ( 56810 * )
NEW met1 ( 145130 106930 ) ( * 107270 )
NEW met1 ( 145130 107270 ) ( 172730 * )
NEW met2 ( 56810 10370 ) ( * 106930 )
NEW met2 ( 109710 106420 ) ( * 106930 )
NEW met3 ( 109710 106420 ) ( 117990 * )
NEW met2 ( 117990 106420 ) ( * 106930 )
NEW met1 ( 56810 106930 ) ( 109710 * )
NEW met1 ( 117990 106930 ) ( 145130 * )
NEW met1 ( 172730 107270 ) M1M2_PR
NEW li1 ( 178710 125630 ) L1M1_PR_MR
NEW met1 ( 172730 125630 ) M1M2_PR
NEW li1 ( 179630 129370 ) L1M1_PR_MR
NEW met1 ( 178710 129370 ) M1M2_PR
NEW met1 ( 178710 125630 ) M1M2_PR
NEW li1 ( 173650 134810 ) L1M1_PR_MR
NEW met1 ( 178710 134810 ) M1M2_PR
NEW li1 ( 176870 134810 ) L1M1_PR_MR
NEW li1 ( 14950 11730 ) L1M1_PR_MR
NEW met1 ( 19550 11730 ) M1M2_PR
NEW met1 ( 19550 10370 ) M1M2_PR
NEW met1 ( 56810 10370 ) M1M2_PR
NEW met1 ( 56810 106930 ) M1M2_PR
NEW met1 ( 109710 106930 ) M1M2_PR
NEW met2 ( 109710 106420 ) M2M3_PR_M
NEW met2 ( 117990 106420 ) M2M3_PR_M
NEW met1 ( 117990 106930 ) M1M2_PR
NEW met1 ( 178710 125630 ) RECT ( -595 -70 0 70 )
NEW met1 ( 176870 134810 ) RECT ( -595 -70 0 70 ) ;
- net76 ( ANTENNA__711__A DIODE ) ( ANTENNA__583__A DIODE ) ( input76 X ) ( _583_ A ) ( _711_ A ) + USE SIGNAL
+ ROUTED met2 ( 159850 102510 ) ( * 110400 )
NEW met2 ( 159850 110400 ) ( 160770 * )
NEW met1 ( 66010 101490 ) ( * 102510 )
NEW met1 ( 10810 101490 ) ( 66010 * )
NEW met1 ( 66010 102510 ) ( 159850 * )
NEW met1 ( 160770 155550 ) ( 162150 * )
NEW met2 ( 163070 155550 ) ( * 162010 )
NEW met1 ( 162150 155550 ) ( 163070 * )
NEW met1 ( 155710 162690 ) ( 163070 * )
NEW met1 ( 163070 162010 ) ( * 162690 )
NEW met2 ( 156170 162690 ) ( * 167450 )
NEW met2 ( 160770 110400 ) ( * 155550 )
NEW li1 ( 10810 101490 ) L1M1_PR_MR
NEW met1 ( 159850 102510 ) M1M2_PR
NEW li1 ( 162150 155550 ) L1M1_PR_MR
NEW met1 ( 160770 155550 ) M1M2_PR
NEW li1 ( 163070 162010 ) L1M1_PR_MR
NEW met1 ( 163070 162010 ) M1M2_PR
NEW met1 ( 163070 155550 ) M1M2_PR
NEW li1 ( 155710 162690 ) L1M1_PR_MR
NEW li1 ( 156170 167450 ) L1M1_PR_MR
NEW met1 ( 156170 167450 ) M1M2_PR
NEW met1 ( 156170 162690 ) M1M2_PR
NEW met1 ( 163070 162010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 156170 167450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 156170 162690 ) RECT ( -595 -70 0 70 ) ;
- net77 ( input77 X ) ( _584_ A ) ( _712_ A ) + USE SIGNAL
+ ROUTED met1 ( 20930 91290 ) ( 23230 * )
NEW met2 ( 23230 91290 ) ( * 97070 )
NEW met1 ( 23230 97070 ) ( 48070 * )
NEW met1 ( 20010 82110 ) ( 23230 * )
NEW met2 ( 23230 82110 ) ( * 91290 )
NEW li1 ( 20930 91290 ) L1M1_PR_MR
NEW met1 ( 23230 91290 ) M1M2_PR
NEW met1 ( 23230 97070 ) M1M2_PR
NEW li1 ( 48070 97070 ) L1M1_PR_MR
NEW li1 ( 20010 82110 ) L1M1_PR_MR
NEW met1 ( 23230 82110 ) M1M2_PR ;
- net78 ( ANTENNA__713__A DIODE ) ( ANTENNA__585__A DIODE ) ( input78 X ) ( _585_ A ) ( _713_ A ) + USE SIGNAL
+ ROUTED met2 ( 13110 15130 ) ( * 15300 )
NEW met3 ( 162380 15300 ) ( * 15980 )
NEW met3 ( 162380 15980 ) ( 166980 * )
NEW met3 ( 13110 15300 ) ( 162380 * )
NEW met1 ( 165830 155550 ) ( 166290 * )
NEW met2 ( 166290 154020 ) ( * 155550 )
NEW met3 ( 166290 154020 ) ( 166980 * )
NEW met1 ( 166290 164390 ) ( 169050 * )
NEW met2 ( 169050 158270 ) ( * 164390 )
NEW met2 ( 168590 158270 ) ( 169050 * )
NEW met2 ( 168590 155550 ) ( * 158270 )
NEW met1 ( 166290 155550 ) ( 168590 * )
NEW met1 ( 175490 156230 ) ( * 156570 )
NEW met1 ( 168590 156230 ) ( 175490 * )
NEW met1 ( 175490 156230 ) ( 186530 * )
NEW met4 ( 166980 15980 ) ( * 154020 )
NEW li1 ( 13110 15130 ) L1M1_PR_MR
NEW met1 ( 13110 15130 ) M1M2_PR
NEW met2 ( 13110 15300 ) M2M3_PR_M
NEW met3 ( 166980 15980 ) M3M4_PR_M
NEW li1 ( 165830 155550 ) L1M1_PR_MR
NEW met1 ( 166290 155550 ) M1M2_PR
NEW met2 ( 166290 154020 ) M2M3_PR_M
NEW met3 ( 166980 154020 ) M3M4_PR_M
NEW li1 ( 166290 164390 ) L1M1_PR_MR
NEW met1 ( 169050 164390 ) M1M2_PR
NEW met1 ( 168590 155550 ) M1M2_PR
NEW li1 ( 175490 156570 ) L1M1_PR_MR
NEW met1 ( 168590 156230 ) M1M2_PR
NEW li1 ( 186530 156230 ) L1M1_PR_MR
NEW met1 ( 13110 15130 ) RECT ( -355 -70 0 70 )
NEW met2 ( 168590 156230 ) RECT ( -70 -485 70 0 ) ;
- net79 ( input79 X ) ( _586_ A ) ( _714_ A ) + USE SIGNAL
+ ROUTED met1 ( 167670 185810 ) ( 168130 * )
NEW met2 ( 167670 179350 ) ( * 185810 )
NEW met2 ( 167210 179350 ) ( 167670 * )
NEW met2 ( 167210 175270 ) ( * 179350 )
NEW met2 ( 166750 175270 ) ( 167210 * )
NEW met2 ( 166750 159630 ) ( * 175270 )
NEW met1 ( 166750 159630 ) ( 167670 * )
NEW met1 ( 175490 180370 ) ( 175950 * )
NEW met1 ( 175490 180370 ) ( * 180710 )
NEW met1 ( 175030 180710 ) ( 175490 * )
NEW met1 ( 175030 180710 ) ( * 181050 )
NEW met1 ( 167670 181050 ) ( 175030 * )
NEW li1 ( 168130 185810 ) L1M1_PR_MR
NEW met1 ( 167670 185810 ) M1M2_PR
NEW met1 ( 166750 159630 ) M1M2_PR
NEW li1 ( 167670 159630 ) L1M1_PR_MR
NEW li1 ( 175950 180370 ) L1M1_PR_MR
NEW met1 ( 167670 181050 ) M1M2_PR
NEW met2 ( 167670 181050 ) RECT ( -70 -485 70 0 ) ;
- net8 ( input8 X ) ( _637_ A ) ( _765_ A ) + USE SIGNAL
+ ROUTED met1 ( 64630 172550 ) ( * 172890 )
NEW met1 ( 64630 172550 ) ( 65550 * )
NEW met2 ( 65550 172380 ) ( * 172550 )
NEW met3 ( 56810 172380 ) ( 65550 * )
NEW met2 ( 56810 145350 ) ( * 172380 )
NEW met2 ( 70610 167450 ) ( * 172380 )
NEW met3 ( 65550 172380 ) ( 70610 * )
NEW met1 ( 10350 145350 ) ( 56810 * )
NEW li1 ( 10350 145350 ) L1M1_PR_MR
NEW li1 ( 64630 172890 ) L1M1_PR_MR
NEW met1 ( 65550 172550 ) M1M2_PR
NEW met2 ( 65550 172380 ) M2M3_PR_M
NEW met2 ( 56810 172380 ) M2M3_PR_M
NEW met1 ( 56810 145350 ) M1M2_PR
NEW li1 ( 70610 167450 ) L1M1_PR_MR
NEW met1 ( 70610 167450 ) M1M2_PR
NEW met2 ( 70610 172380 ) M2M3_PR_M
NEW met1 ( 70610 167450 ) RECT ( -355 -70 0 70 ) ;
- net80 ( input80 X ) ( _587_ A ) ( _715_ A ) + USE SIGNAL
+ ROUTED met1 ( 97290 35870 ) ( 99130 * )
NEW met2 ( 97290 28390 ) ( * 35870 )
NEW met1 ( 101430 33490 ) ( * 33830 )
NEW met1 ( 97290 33490 ) ( 101430 * )
NEW li1 ( 99130 35870 ) L1M1_PR_MR
NEW met1 ( 97290 35870 ) M1M2_PR
NEW li1 ( 97290 28390 ) L1M1_PR_MR
NEW met1 ( 97290 28390 ) M1M2_PR
NEW li1 ( 101430 33830 ) L1M1_PR_MR
NEW met1 ( 97290 33490 ) M1M2_PR
NEW met1 ( 97290 28390 ) RECT ( -355 -70 0 70 )
NEW met2 ( 97290 33490 ) RECT ( -70 -485 70 0 ) ;
- net81 ( ANTENNA__716__A DIODE ) ( ANTENNA__588__A DIODE ) ( input81 X ) ( _588_ A ) ( _716_ A ) + USE SIGNAL
+ ROUTED met2 ( 115230 18530 ) ( * 19890 )
NEW met1 ( 8050 18530 ) ( 115230 * )
NEW met1 ( 148810 19890 ) ( * 20570 )
NEW met1 ( 153870 33830 ) ( 156630 * )
NEW met2 ( 156630 20910 ) ( * 33830 )
NEW met1 ( 156170 20910 ) ( 156630 * )
NEW li1 ( 156170 19890 ) ( * 20910 )
NEW met1 ( 151110 19890 ) ( 156170 * )
NEW met1 ( 151110 19550 ) ( * 19890 )
NEW met1 ( 148810 19550 ) ( 151110 * )
NEW met1 ( 148810 19550 ) ( * 19890 )
NEW met1 ( 147430 41310 ) ( 156630 * )
NEW met2 ( 156630 33830 ) ( * 41310 )
NEW met1 ( 115230 19890 ) ( 148810 * )
NEW li1 ( 8050 18530 ) L1M1_PR_MR
NEW met1 ( 115230 18530 ) M1M2_PR
NEW met1 ( 115230 19890 ) M1M2_PR
NEW li1 ( 148810 20570 ) L1M1_PR_MR
NEW li1 ( 153870 33830 ) L1M1_PR_MR
NEW met1 ( 156630 33830 ) M1M2_PR
NEW met1 ( 156630 20910 ) M1M2_PR
NEW li1 ( 156170 20910 ) L1M1_PR_MR
NEW li1 ( 156170 19890 ) L1M1_PR_MR
NEW li1 ( 147430 41310 ) L1M1_PR_MR
NEW met1 ( 156630 41310 ) M1M2_PR
NEW li1 ( 152950 41310 ) L1M1_PR_MR
NEW met1 ( 152950 41310 ) RECT ( -595 -70 0 70 ) ;
- net82 ( input82 X ) ( _589_ A ) ( _717_ A ) + USE SIGNAL
+ ROUTED met2 ( 47150 75650 ) ( * 77010 )
NEW met1 ( 18630 75650 ) ( 47150 * )
NEW met1 ( 47150 69530 ) ( 49450 * )
NEW met2 ( 47150 69530 ) ( * 75650 )
NEW li1 ( 47150 77010 ) L1M1_PR_MR
NEW met1 ( 47150 77010 ) M1M2_PR
NEW met1 ( 47150 75650 ) M1M2_PR
NEW li1 ( 18630 75650 ) L1M1_PR_MR
NEW li1 ( 49450 69530 ) L1M1_PR_MR
NEW met1 ( 47150 69530 ) M1M2_PR
NEW met1 ( 47150 77010 ) RECT ( -355 -70 0 70 ) ;
- net83 ( input83 X ) ( _590_ A ) ( _718_ A ) + USE SIGNAL
+ ROUTED met1 ( 98670 120870 ) ( 100050 * )
NEW met1 ( 87630 115430 ) ( 100050 * )
NEW met2 ( 100050 115430 ) ( * 120870 )
NEW met2 ( 97750 182580 ) ( * 183770 )
NEW met3 ( 97060 182580 ) ( 97750 * )
NEW met4 ( 97060 156740 ) ( * 182580 )
NEW met3 ( 97060 156740 ) ( 100050 * )
NEW met1 ( 92230 183770 ) ( 97750 * )
NEW met2 ( 100050 120870 ) ( * 156740 )
NEW li1 ( 92230 183770 ) L1M1_PR_MR
NEW li1 ( 98670 120870 ) L1M1_PR_MR
NEW met1 ( 100050 120870 ) M1M2_PR
NEW li1 ( 87630 115430 ) L1M1_PR_MR
NEW met1 ( 100050 115430 ) M1M2_PR
NEW met1 ( 97750 183770 ) M1M2_PR
NEW met2 ( 97750 182580 ) M2M3_PR_M
NEW met3 ( 97060 182580 ) M3M4_PR_M
NEW met3 ( 97060 156740 ) M3M4_PR_M
NEW met2 ( 100050 156740 ) M2M3_PR_M ;
- net84 ( ANTENNA__719__A DIODE ) ( ANTENNA__591__A DIODE ) ( input84 X ) ( _591_ A ) ( _719_ A ) + USE SIGNAL
+ ROUTED met2 ( 91310 62100 ) ( 91770 * )
NEW met2 ( 96370 120870 ) ( * 123930 )
NEW met1 ( 91770 120870 ) ( 96370 * )
NEW met1 ( 96370 125630 ) ( 96830 * )
NEW met2 ( 96370 123930 ) ( * 125630 )
NEW met1 ( 89010 131410 ) ( 96370 * )
NEW met2 ( 96370 125630 ) ( * 131410 )
NEW met1 ( 85790 131410 ) ( * 131750 )
NEW met1 ( 85790 131410 ) ( 89010 * )
NEW met2 ( 91770 62100 ) ( * 120870 )
NEW met2 ( 91310 48300 ) ( * 62100 )
NEW met2 ( 58650 15810 ) ( * 15980 )
NEW met3 ( 58650 15980 ) ( 91770 * )
NEW met2 ( 91770 15980 ) ( * 48300 )
NEW met2 ( 91310 48300 ) ( 91770 * )
NEW li1 ( 96370 123930 ) L1M1_PR_MR
NEW met1 ( 96370 123930 ) M1M2_PR
NEW met1 ( 96370 120870 ) M1M2_PR
NEW met1 ( 91770 120870 ) M1M2_PR
NEW li1 ( 96830 125630 ) L1M1_PR_MR
NEW met1 ( 96370 125630 ) M1M2_PR
NEW li1 ( 89010 131410 ) L1M1_PR_MR
NEW met1 ( 96370 131410 ) M1M2_PR
NEW li1 ( 85790 131750 ) L1M1_PR_MR
NEW li1 ( 58650 15810 ) L1M1_PR_MR
NEW met1 ( 58650 15810 ) M1M2_PR
NEW met2 ( 58650 15980 ) M2M3_PR_M
NEW met2 ( 91770 15980 ) M2M3_PR_M
NEW met1 ( 96370 123930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 58650 15810 ) RECT ( -355 -70 0 70 ) ;
- net85 ( ANTENNA__665__A DIODE ) ( ANTENNA__537__A DIODE ) ( input85 X ) ( _537_ A ) ( _665_ A ) + USE SIGNAL
+ ROUTED met2 ( 109710 18700 ) ( * 20230 )
NEW met1 ( 124430 15130 ) ( 124890 * )
NEW met2 ( 124430 15130 ) ( * 18700 )
NEW met1 ( 125350 27710 ) ( 128570 * )
NEW met2 ( 125350 20060 ) ( * 27710 )
NEW met3 ( 124660 20060 ) ( 125350 * )
NEW met3 ( 124660 18700 ) ( * 20060 )
NEW met3 ( 124430 18700 ) ( 124660 * )
NEW met1 ( 140530 31110 ) ( * 31450 )
NEW met1 ( 131330 31110 ) ( 140530 * )
NEW met2 ( 131330 27710 ) ( * 31110 )
NEW met1 ( 128570 27710 ) ( 131330 * )
NEW met1 ( 140530 35870 ) ( 143750 * )
NEW met2 ( 140530 31450 ) ( * 35870 )
NEW met3 ( 109710 18700 ) ( 124430 * )
NEW met1 ( 35190 17850 ) ( 48300 * )
NEW met1 ( 48300 17170 ) ( * 17850 )
NEW met1 ( 48300 17170 ) ( 58650 * )
NEW met2 ( 58650 17170 ) ( * 20230 )
NEW met1 ( 58650 19890 ) ( * 20230 )
NEW met1 ( 58650 19890 ) ( 67390 * )
NEW met1 ( 67390 19890 ) ( * 20230 )
NEW met1 ( 67390 20230 ) ( 109710 * )
NEW met1 ( 109710 20230 ) M1M2_PR
NEW met2 ( 109710 18700 ) M2M3_PR_M
NEW li1 ( 124890 15130 ) L1M1_PR_MR
NEW met1 ( 124430 15130 ) M1M2_PR
NEW met2 ( 124430 18700 ) M2M3_PR_M
NEW li1 ( 128570 27710 ) L1M1_PR_MR
NEW met1 ( 125350 27710 ) M1M2_PR
NEW met2 ( 125350 20060 ) M2M3_PR_M
NEW li1 ( 140530 31450 ) L1M1_PR_MR
NEW met1 ( 131330 31110 ) M1M2_PR
NEW met1 ( 131330 27710 ) M1M2_PR
NEW li1 ( 143750 35870 ) L1M1_PR_MR
NEW met1 ( 140530 35870 ) M1M2_PR
NEW met1 ( 140530 31450 ) M1M2_PR
NEW li1 ( 35190 17850 ) L1M1_PR_MR
NEW met1 ( 58650 17170 ) M1M2_PR
NEW met1 ( 58650 20230 ) M1M2_PR
NEW met1 ( 140530 31450 ) RECT ( -595 -70 0 70 ) ;
- net86 ( input86 X ) ( _592_ A ) ( _720_ A ) + USE SIGNAL
+ ROUTED met2 ( 72910 39270 ) ( * 47770 )
NEW met1 ( 66930 47770 ) ( 72910 * )
NEW met1 ( 67850 30770 ) ( 72910 * )
NEW met2 ( 72910 30770 ) ( * 39270 )
NEW li1 ( 72910 39270 ) L1M1_PR_MR
NEW met1 ( 72910 39270 ) M1M2_PR
NEW met1 ( 72910 47770 ) M1M2_PR
NEW li1 ( 66930 47770 ) L1M1_PR_MR
NEW li1 ( 67850 30770 ) L1M1_PR_MR
NEW met1 ( 72910 30770 ) M1M2_PR
NEW met1 ( 72910 39270 ) RECT ( -355 -70 0 70 ) ;
- net87 ( input87 X ) ( _593_ A ) ( _721_ A ) + USE SIGNAL
+ ROUTED met1 ( 179630 96730 ) ( * 97070 )
NEW met1 ( 179630 97070 ) ( 187910 * )
NEW met2 ( 187910 91290 ) ( * 97070 )
NEW met1 ( 177330 97070 ) ( * 97410 )
NEW met1 ( 177330 97070 ) ( 179630 * )
NEW li1 ( 179630 96730 ) L1M1_PR_MR
NEW met1 ( 187910 97070 ) M1M2_PR
NEW li1 ( 187910 91290 ) L1M1_PR_MR
NEW met1 ( 187910 91290 ) M1M2_PR
NEW li1 ( 177330 97410 ) L1M1_PR_MR
NEW met1 ( 187910 91290 ) RECT ( -355 -70 0 70 ) ;
- net88 ( input88 X ) ( _594_ A ) ( _722_ A ) + USE SIGNAL
+ ROUTED met2 ( 142830 27540 ) ( * 27710 )
NEW met1 ( 142830 27710 ) ( * 28050 )
NEW met1 ( 132250 28050 ) ( 142830 * )
NEW met1 ( 132250 27710 ) ( * 28050 )
NEW met3 ( 142830 27540 ) ( 144900 * )
NEW met1 ( 160770 20570 ) ( 163070 * )
NEW met2 ( 160770 20570 ) ( * 21420 )
NEW met3 ( 158930 21420 ) ( 160770 * )
NEW met3 ( 158930 21420 ) ( * 22100 )
NEW met3 ( 157780 22100 ) ( 158930 * )
NEW met4 ( 157780 22100 ) ( * 47940 )
NEW met3 ( 157780 47940 ) ( 175030 * )
NEW met2 ( 175030 47770 ) ( * 47940 )
NEW met1 ( 175030 47770 ) ( 176410 * )
NEW met3 ( 144900 26860 ) ( * 27540 )
NEW met3 ( 144900 26860 ) ( 157780 * )
NEW met2 ( 142830 27540 ) M2M3_PR_M
NEW met1 ( 142830 27710 ) M1M2_PR
NEW li1 ( 132250 27710 ) L1M1_PR_MR
NEW li1 ( 163070 20570 ) L1M1_PR_MR
NEW met1 ( 160770 20570 ) M1M2_PR
NEW met2 ( 160770 21420 ) M2M3_PR_M
NEW met3 ( 157780 22100 ) M3M4_PR_M
NEW met3 ( 157780 47940 ) M3M4_PR_M
NEW met2 ( 175030 47940 ) M2M3_PR_M
NEW met1 ( 175030 47770 ) M1M2_PR
NEW li1 ( 176410 47770 ) L1M1_PR_MR
NEW met3 ( 157780 26860 ) M3M4_PR_M
NEW met4 ( 157780 26860 ) RECT ( -150 -800 150 0 ) ;
- net89 ( input89 X ) ( _595_ A ) ( _723_ A ) + USE SIGNAL
+ ROUTED met1 ( 171350 17510 ) ( 180090 * )
NEW met1 ( 171350 17170 ) ( * 17510 )
NEW met1 ( 168130 17170 ) ( 171350 * )
NEW met2 ( 168130 17170 ) ( * 20060 )
NEW met2 ( 168130 20060 ) ( 168590 * )
NEW met2 ( 168590 20060 ) ( * 30260 )
NEW met3 ( 164910 30260 ) ( 168590 * )
NEW met2 ( 164910 30260 ) ( * 32980 )
NEW met3 ( 164910 32980 ) ( 165140 * )
NEW met4 ( 165140 32980 ) ( * 39100 )
NEW met3 ( 165140 39100 ) ( 167210 * )
NEW met2 ( 167210 39100 ) ( * 46750 )
NEW met1 ( 164450 46750 ) ( 167210 * )
NEW met1 ( 180090 17510 ) ( 182850 * )
NEW li1 ( 180090 17510 ) L1M1_PR_MR
NEW met1 ( 168130 17170 ) M1M2_PR
NEW met2 ( 168590 30260 ) M2M3_PR_M
NEW met2 ( 164910 30260 ) M2M3_PR_M
NEW met2 ( 164910 32980 ) M2M3_PR_M
NEW met3 ( 165140 32980 ) M3M4_PR_M
NEW met3 ( 165140 39100 ) M3M4_PR_M
NEW met2 ( 167210 39100 ) M2M3_PR_M
NEW met1 ( 167210 46750 ) M1M2_PR
NEW li1 ( 164450 46750 ) L1M1_PR_MR
NEW li1 ( 182850 17510 ) L1M1_PR_MR
NEW met3 ( 164910 32980 ) RECT ( -390 -150 0 150 ) ;
- net9 ( input9 X ) ( _638_ A ) ( _766_ A ) + USE SIGNAL
+ ROUTED met1 ( 149270 186150 ) ( 149730 * )
NEW met1 ( 148350 145690 ) ( 149730 * )
NEW met1 ( 142830 140590 ) ( 149730 * )
NEW met2 ( 149730 140590 ) ( * 145690 )
NEW met2 ( 149730 145690 ) ( * 186150 )
NEW met1 ( 149730 186150 ) M1M2_PR
NEW li1 ( 149270 186150 ) L1M1_PR_MR
NEW li1 ( 148350 145690 ) L1M1_PR_MR
NEW met1 ( 149730 145690 ) M1M2_PR
NEW li1 ( 142830 140590 ) L1M1_PR_MR
NEW met1 ( 149730 140590 ) M1M2_PR ;
- net90 ( ANTENNA__724__A DIODE ) ( ANTENNA__596__A DIODE ) ( input90 X ) ( _596_ A ) ( _724_ A ) + USE SIGNAL
+ ROUTED met1 ( 77050 33830 ) ( 83030 * )
NEW met1 ( 81650 28730 ) ( 82110 * )
NEW met2 ( 82110 28730 ) ( * 33830 )
NEW met1 ( 82110 28390 ) ( 83950 * )
NEW met1 ( 82110 28390 ) ( * 28730 )
NEW met1 ( 87170 15130 ) ( 90850 * )
NEW met2 ( 87170 15130 ) ( * 25500 )
NEW met2 ( 86710 25500 ) ( 87170 * )
NEW met2 ( 86710 25500 ) ( * 28390 )
NEW met1 ( 83950 28390 ) ( 86710 * )
NEW met2 ( 77050 33830 ) ( * 69190 )
NEW met1 ( 42090 69190 ) ( * 70210 )
NEW met1 ( 9890 70210 ) ( 42090 * )
NEW met1 ( 42090 69190 ) ( 77050 * )
NEW li1 ( 9890 70210 ) L1M1_PR_MR
NEW met1 ( 77050 69190 ) M1M2_PR
NEW li1 ( 83030 33830 ) L1M1_PR_MR
NEW met1 ( 77050 33830 ) M1M2_PR
NEW li1 ( 81650 28730 ) L1M1_PR_MR
NEW met1 ( 82110 28730 ) M1M2_PR
NEW met1 ( 82110 33830 ) M1M2_PR
NEW li1 ( 83950 28390 ) L1M1_PR_MR
NEW li1 ( 90850 15130 ) L1M1_PR_MR
NEW met1 ( 87170 15130 ) M1M2_PR
NEW met1 ( 86710 28390 ) M1M2_PR
NEW met1 ( 82110 33830 ) RECT ( -595 -70 0 70 ) ;
- net91 ( ANTENNA__725__A DIODE ) ( ANTENNA__597__A DIODE ) ( input91 X ) ( _597_ A ) ( _725_ A ) + USE SIGNAL
+ ROUTED met1 ( 177330 76670 ) ( 177790 * )
NEW met2 ( 177330 63580 ) ( * 76670 )
NEW met3 ( 173420 63580 ) ( 177330 * )
NEW met3 ( 173420 62900 ) ( * 63580 )
NEW met3 ( 173190 62900 ) ( 173420 * )
NEW met1 ( 177790 76670 ) ( 180550 * )
NEW met2 ( 184230 76670 ) ( * 77350 )
NEW met1 ( 180550 76670 ) ( 184230 * )
NEW met1 ( 184230 80410 ) ( 190210 * )
NEW met2 ( 184230 77350 ) ( * 80410 )
NEW met4 ( 177100 14620 ) ( * 58140 )
NEW met3 ( 175030 58140 ) ( 177100 * )
NEW met2 ( 175030 58140 ) ( * 61710 )
NEW met1 ( 173190 61710 ) ( 175030 * )
NEW met2 ( 173190 61710 ) ( * 62900 )
NEW met2 ( 23230 14620 ) ( * 15810 )
NEW met1 ( 22770 15810 ) ( 23230 * )
NEW met3 ( 23230 14620 ) ( 177100 * )
NEW li1 ( 177790 76670 ) L1M1_PR_MR
NEW met1 ( 177330 76670 ) M1M2_PR
NEW met2 ( 177330 63580 ) M2M3_PR_M
NEW met2 ( 173190 62900 ) M2M3_PR_M
NEW li1 ( 180550 76670 ) L1M1_PR_MR
NEW li1 ( 184230 77350 ) L1M1_PR_MR
NEW met1 ( 184230 77350 ) M1M2_PR
NEW met1 ( 184230 76670 ) M1M2_PR
NEW li1 ( 190210 80410 ) L1M1_PR_MR
NEW met1 ( 184230 80410 ) M1M2_PR
NEW met3 ( 177100 14620 ) M3M4_PR_M
NEW met3 ( 177100 58140 ) M3M4_PR_M
NEW met2 ( 175030 58140 ) M2M3_PR_M
NEW met1 ( 175030 61710 ) M1M2_PR
NEW met1 ( 173190 61710 ) M1M2_PR
NEW met2 ( 23230 14620 ) M2M3_PR_M
NEW met1 ( 23230 15810 ) M1M2_PR
NEW li1 ( 22770 15810 ) L1M1_PR_MR
NEW met1 ( 184230 77350 ) RECT ( -355 -70 0 70 ) ;
- net92 ( input92 X ) ( _598_ A ) ( _726_ A ) + USE SIGNAL
+ ROUTED met1 ( 152490 167450 ) ( 153410 * )
NEW met2 ( 153410 162350 ) ( * 167450 )
NEW met1 ( 153410 162350 ) ( 162150 * )
NEW met2 ( 162150 152830 ) ( * 162350 )
NEW met1 ( 162150 152830 ) ( 175490 * )
NEW met1 ( 152950 180370 ) ( 158470 * )
NEW met2 ( 152950 167450 ) ( * 180370 )
NEW met2 ( 152950 167450 ) ( 153410 * )
NEW li1 ( 152490 167450 ) L1M1_PR_MR
NEW met1 ( 153410 167450 ) M1M2_PR
NEW met1 ( 153410 162350 ) M1M2_PR
NEW met1 ( 162150 162350 ) M1M2_PR
NEW met1 ( 162150 152830 ) M1M2_PR
NEW li1 ( 175490 152830 ) L1M1_PR_MR
NEW li1 ( 158470 180370 ) L1M1_PR_MR
NEW met1 ( 152950 180370 ) M1M2_PR ;
- net93 ( ANTENNA__727__A DIODE ) ( ANTENNA__599__A DIODE ) ( input93 X ) ( _599_ A ) ( _727_ A ) + USE SIGNAL
+ ROUTED met2 ( 88090 8670 ) ( * 11390 )
NEW met1 ( 66010 11390 ) ( 88090 * )
NEW met1 ( 182390 20230 ) ( * 20570 )
NEW met1 ( 182390 20230 ) ( 184230 * )
NEW met2 ( 184230 15810 ) ( * 20230 )
NEW met1 ( 182850 15810 ) ( 184230 * )
NEW met1 ( 160310 45730 ) ( 185150 * )
NEW met2 ( 185150 37740 ) ( * 45730 )
NEW met3 ( 184460 37740 ) ( 185150 * )
NEW met4 ( 184460 30940 ) ( * 37740 )
NEW met3 ( 184460 30940 ) ( 184690 * )
NEW met2 ( 184690 20740 ) ( * 30940 )
NEW met2 ( 184230 20740 ) ( 184690 * )
NEW met2 ( 184230 20230 ) ( * 20740 )
NEW met2 ( 165830 45730 ) ( * 49470 )
NEW met1 ( 166290 42330 ) ( * 42670 )
NEW met1 ( 162150 42670 ) ( 166290 * )
NEW met2 ( 162150 42670 ) ( * 45730 )
NEW met2 ( 182850 8670 ) ( * 15810 )
NEW met1 ( 88090 8670 ) ( 182850 * )
NEW met1 ( 88090 8670 ) M1M2_PR
NEW met1 ( 88090 11390 ) M1M2_PR
NEW li1 ( 66010 11390 ) L1M1_PR_MR
NEW met1 ( 182850 8670 ) M1M2_PR
NEW li1 ( 182390 20570 ) L1M1_PR_MR
NEW met1 ( 184230 20230 ) M1M2_PR
NEW met1 ( 184230 15810 ) M1M2_PR
NEW met1 ( 182850 15810 ) M1M2_PR
NEW li1 ( 160310 45730 ) L1M1_PR_MR
NEW met1 ( 185150 45730 ) M1M2_PR
NEW met2 ( 185150 37740 ) M2M3_PR_M
NEW met3 ( 184460 37740 ) M3M4_PR_M
NEW met3 ( 184460 30940 ) M3M4_PR_M
NEW met2 ( 184690 30940 ) M2M3_PR_M
NEW li1 ( 165830 49470 ) L1M1_PR_MR
NEW met1 ( 165830 49470 ) M1M2_PR
NEW met1 ( 165830 45730 ) M1M2_PR
NEW li1 ( 166290 42330 ) L1M1_PR_MR
NEW met1 ( 162150 42670 ) M1M2_PR
NEW met1 ( 162150 45730 ) M1M2_PR
NEW met3 ( 184460 30940 ) RECT ( -390 -150 0 150 )
NEW met1 ( 165830 49470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 165830 45730 ) RECT ( -595 -70 0 70 )
NEW met1 ( 162150 45730 ) RECT ( -595 -70 0 70 ) ;
- net94 ( ANTENNA__728__A DIODE ) ( ANTENNA__600__A DIODE ) ( input94 X ) ( _600_ A ) ( _728_ A ) + USE SIGNAL
+ ROUTED met1 ( 10350 83130 ) ( 10810 * )
NEW met2 ( 31970 15810 ) ( * 15980 )
NEW met3 ( 30820 15980 ) ( 31970 * )
NEW met4 ( 30820 15980 ) ( * 43180 )
NEW met3 ( 10810 43180 ) ( 30820 * )
NEW met1 ( 38870 15470 ) ( * 15810 )
NEW met1 ( 31970 15810 ) ( 38870 * )
NEW met1 ( 38410 17510 ) ( 39330 * )
NEW met2 ( 39330 15810 ) ( * 17510 )
NEW met1 ( 38870 15810 ) ( 39330 * )
NEW met1 ( 44850 16830 ) ( * 17170 )
NEW met1 ( 39330 17170 ) ( 44850 * )
NEW met1 ( 39330 17170 ) ( * 17510 )
NEW met2 ( 10810 43180 ) ( * 83130 )
NEW met1 ( 44850 16830 ) ( 55430 * )
NEW met1 ( 10810 83130 ) M1M2_PR
NEW li1 ( 10350 83130 ) L1M1_PR_MR
NEW li1 ( 55430 16830 ) L1M1_PR_MR
NEW li1 ( 31970 15810 ) L1M1_PR_MR
NEW met1 ( 31970 15810 ) M1M2_PR
NEW met2 ( 31970 15980 ) M2M3_PR_M
NEW met3 ( 30820 15980 ) M3M4_PR_M
NEW met3 ( 30820 43180 ) M3M4_PR_M
NEW met2 ( 10810 43180 ) M2M3_PR_M
NEW li1 ( 38870 15470 ) L1M1_PR_MR
NEW li1 ( 38410 17510 ) L1M1_PR_MR
NEW met1 ( 39330 17510 ) M1M2_PR
NEW met1 ( 39330 15810 ) M1M2_PR
NEW met1 ( 31970 15810 ) RECT ( -355 -70 0 70 ) ;
- net95 ( ANTENNA__729__A DIODE ) ( ANTENNA__601__A DIODE ) ( input95 X ) ( _601_ A ) ( _729_ A ) + USE SIGNAL
+ ROUTED met2 ( 47610 27540 ) ( * 27710 )
NEW met3 ( 46690 27540 ) ( 47610 * )
NEW met2 ( 46690 27540 ) ( * 27710 )
NEW met1 ( 45310 27710 ) ( 46690 * )
NEW met1 ( 45310 27710 ) ( * 28270 )
NEW met1 ( 45310 28270 ) ( 45770 * )
NEW met2 ( 51750 26690 ) ( * 26860 )
NEW met3 ( 51750 26860 ) ( 90850 * )
NEW met2 ( 90850 26860 ) ( * 29070 )
NEW met1 ( 48530 27710 ) ( 51750 * )
NEW met2 ( 51750 26860 ) ( * 27710 )
NEW met1 ( 51750 26010 ) ( * 26690 )
NEW met1 ( 48070 26010 ) ( 51750 * )
NEW met1 ( 47610 27710 ) ( 48530 * )
NEW met2 ( 158010 26690 ) ( * 29070 )
NEW met1 ( 158010 26690 ) ( 189750 * )
NEW met2 ( 189750 26690 ) ( * 33150 )
NEW met1 ( 90850 29070 ) ( 158010 * )
NEW li1 ( 48070 26010 ) L1M1_PR_MR
NEW met1 ( 47610 27710 ) M1M2_PR
NEW met2 ( 47610 27540 ) M2M3_PR_M
NEW met2 ( 46690 27540 ) M2M3_PR_M
NEW met1 ( 46690 27710 ) M1M2_PR
NEW li1 ( 45770 28270 ) L1M1_PR_MR
NEW li1 ( 51750 26690 ) L1M1_PR_MR
NEW met1 ( 51750 26690 ) M1M2_PR
NEW met2 ( 51750 26860 ) M2M3_PR_M
NEW met2 ( 90850 26860 ) M2M3_PR_M
NEW met1 ( 90850 29070 ) M1M2_PR
NEW li1 ( 48530 27710 ) L1M1_PR_MR
NEW met1 ( 51750 27710 ) M1M2_PR
NEW met1 ( 158010 29070 ) M1M2_PR
NEW met1 ( 158010 26690 ) M1M2_PR
NEW met1 ( 189750 26690 ) M1M2_PR
NEW li1 ( 189750 33150 ) L1M1_PR_MR
NEW met1 ( 189750 33150 ) M1M2_PR
NEW met1 ( 51750 26690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 189750 33150 ) RECT ( -355 -70 0 70 ) ;
- net96 ( input96 X ) ( _538_ A ) ( _666_ A ) + USE SIGNAL
+ ROUTED met1 ( 181010 107610 ) ( 183310 * )
NEW met2 ( 181010 104550 ) ( * 107610 )
NEW met1 ( 176410 104550 ) ( 181010 * )
NEW met1 ( 183310 107610 ) ( 185610 * )
NEW met2 ( 186070 162180 ) ( 186530 * )
NEW met2 ( 186530 162180 ) ( * 167450 )
NEW met1 ( 183310 167450 ) ( 186530 * )
NEW met2 ( 183310 167450 ) ( * 177310 )
NEW met1 ( 179630 177310 ) ( 183310 * )
NEW met1 ( 179630 177310 ) ( * 177650 )
NEW met2 ( 185610 134300 ) ( 186070 * )
NEW met2 ( 185610 107610 ) ( * 134300 )
NEW met2 ( 186070 134300 ) ( * 162180 )
NEW li1 ( 183310 107610 ) L1M1_PR_MR
NEW met1 ( 181010 107610 ) M1M2_PR
NEW met1 ( 181010 104550 ) M1M2_PR
NEW li1 ( 176410 104550 ) L1M1_PR_MR
NEW met1 ( 185610 107610 ) M1M2_PR
NEW met1 ( 186530 167450 ) M1M2_PR
NEW met1 ( 183310 167450 ) M1M2_PR
NEW met1 ( 183310 177310 ) M1M2_PR
NEW li1 ( 179630 177650 ) L1M1_PR_MR ;
- net97 ( ANTENNA__730__A DIODE ) ( ANTENNA__602__A DIODE ) ( input97 X ) ( _602_ A ) ( _730_ A ) + USE SIGNAL
+ ROUTED met2 ( 9430 91630 ) ( * 94010 )
NEW met2 ( 71530 158700 ) ( * 180030 )
NEW met2 ( 71530 158700 ) ( 72910 * )
NEW met2 ( 72910 99790 ) ( * 158700 )
NEW met1 ( 22770 98430 ) ( 27370 * )
NEW met1 ( 27370 98430 ) ( * 98770 )
NEW met1 ( 27370 98770 ) ( 35190 * )
NEW met1 ( 35190 98770 ) ( * 99790 )
NEW met2 ( 20010 98430 ) ( * 99110 )
NEW met1 ( 20010 98430 ) ( 22770 * )
NEW met2 ( 19550 89250 ) ( * 95540 )
NEW met2 ( 19550 95540 ) ( 20010 * )
NEW met2 ( 20010 95540 ) ( * 98430 )
NEW met1 ( 9430 94010 ) ( 19550 * )
NEW met1 ( 35190 99790 ) ( 72910 * )
NEW met1 ( 9430 94010 ) M1M2_PR
NEW li1 ( 9430 91630 ) L1M1_PR_MR
NEW met1 ( 9430 91630 ) M1M2_PR
NEW met1 ( 72910 99790 ) M1M2_PR
NEW li1 ( 71530 180030 ) L1M1_PR_MR
NEW met1 ( 71530 180030 ) M1M2_PR
NEW li1 ( 22770 98430 ) L1M1_PR_MR
NEW li1 ( 20010 99110 ) L1M1_PR_MR
NEW met1 ( 20010 99110 ) M1M2_PR
NEW met1 ( 20010 98430 ) M1M2_PR
NEW li1 ( 19550 89250 ) L1M1_PR_MR
NEW met1 ( 19550 89250 ) M1M2_PR
NEW met1 ( 19550 94010 ) M1M2_PR
NEW met1 ( 9430 91630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 71530 180030 ) RECT ( -355 -70 0 70 )
NEW met1 ( 20010 99110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19550 89250 ) RECT ( -355 -70 0 70 )
NEW met2 ( 19550 94010 ) RECT ( -70 -485 70 0 ) ;
- net98 ( ANTENNA__731__A DIODE ) ( ANTENNA__603__A DIODE ) ( input98 X ) ( _603_ A ) ( _731_ A ) + USE SIGNAL
+ ROUTED met1 ( 160310 159970 ) ( 161690 * )
NEW met2 ( 161690 159970 ) ( * 167450 )
NEW met1 ( 161690 160990 ) ( 169510 * )
NEW met1 ( 169510 158950 ) ( 170890 * )
NEW met1 ( 10810 113730 ) ( 11730 * )
NEW met2 ( 11730 113730 ) ( * 116110 )
NEW met1 ( 168590 150110 ) ( 169050 * )
NEW met2 ( 168590 115770 ) ( * 150110 )
NEW met1 ( 169050 150110 ) ( 169510 * )
NEW met2 ( 169510 150110 ) ( * 160990 )
NEW met1 ( 144900 115770 ) ( 168590 * )
NEW met1 ( 144900 115770 ) ( * 116110 )
NEW met1 ( 11730 116110 ) ( 144900 * )
NEW li1 ( 160310 159970 ) L1M1_PR_MR
NEW met1 ( 161690 159970 ) M1M2_PR
NEW li1 ( 161690 167450 ) L1M1_PR_MR
NEW met1 ( 161690 167450 ) M1M2_PR
NEW met1 ( 169510 160990 ) M1M2_PR
NEW met1 ( 161690 160990 ) M1M2_PR
NEW li1 ( 170890 158950 ) L1M1_PR_MR
NEW met1 ( 169510 158950 ) M1M2_PR
NEW li1 ( 10810 113730 ) L1M1_PR_MR
NEW met1 ( 11730 113730 ) M1M2_PR
NEW met1 ( 11730 116110 ) M1M2_PR
NEW li1 ( 169050 150110 ) L1M1_PR_MR
NEW met1 ( 168590 150110 ) M1M2_PR
NEW met1 ( 168590 115770 ) M1M2_PR
NEW met1 ( 169510 150110 ) M1M2_PR
NEW met1 ( 161690 167450 ) RECT ( -355 -70 0 70 )
NEW met2 ( 161690 160990 ) RECT ( -70 -485 70 0 )
NEW met2 ( 169510 158950 ) RECT ( -70 0 70 485 ) ;
- net99 ( ANTENNA__732__A DIODE ) ( ANTENNA__604__A DIODE ) ( input99 X ) ( _604_ A ) ( _732_ A ) + USE SIGNAL
+ ROUTED met1 ( 120750 39270 ) ( 123970 * )
NEW met2 ( 123970 16830 ) ( * 39270 )
NEW met1 ( 123970 16830 ) ( 128110 * )
NEW met1 ( 127650 131750 ) ( 131790 * )
NEW met2 ( 131790 131750 ) ( * 133790 )
NEW met1 ( 122590 121890 ) ( 123510 * )
NEW met2 ( 123510 121890 ) ( * 131750 )
NEW met1 ( 123510 131750 ) ( 127650 * )
NEW met1 ( 119370 123930 ) ( 119830 * )
NEW met2 ( 119830 121890 ) ( * 123930 )
NEW met1 ( 119830 121890 ) ( 122590 * )
NEW met2 ( 120750 39270 ) ( * 121890 )
NEW met1 ( 120750 39270 ) M1M2_PR
NEW met1 ( 123970 39270 ) M1M2_PR
NEW met1 ( 123970 16830 ) M1M2_PR
NEW li1 ( 128110 16830 ) L1M1_PR_MR
NEW li1 ( 127650 131750 ) L1M1_PR_MR
NEW met1 ( 131790 131750 ) M1M2_PR
NEW li1 ( 131790 133790 ) L1M1_PR_MR
NEW met1 ( 131790 133790 ) M1M2_PR
NEW li1 ( 122590 121890 ) L1M1_PR_MR
NEW met1 ( 123510 121890 ) M1M2_PR
NEW met1 ( 123510 131750 ) M1M2_PR
NEW li1 ( 119370 123930 ) L1M1_PR_MR
NEW met1 ( 119830 123930 ) M1M2_PR
NEW met1 ( 119830 121890 ) M1M2_PR
NEW met1 ( 120750 121890 ) M1M2_PR
NEW met1 ( 131790 133790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 120750 121890 ) RECT ( -595 -70 0 70 ) ;
- reset ( PIN reset ) ( ANTENNA_input304_A DIODE ) ( input304 A ) + USE SIGNAL
+ ROUTED met1 ( 14030 169830 ) ( 14490 * )
NEW met2 ( 14030 169830 ) ( * 170510 )
NEW met1 ( 2070 170510 ) ( 14030 * )
NEW met2 ( 2070 170510 ) ( * 196180 0 )
NEW met2 ( 40250 151470 ) ( * 154020 )
NEW met3 ( 25530 154020 ) ( 40250 * )
NEW met2 ( 25530 154020 ) ( * 159460 )
NEW met2 ( 25530 159460 ) ( 25990 * )
NEW met2 ( 25990 159460 ) ( * 169150 )
NEW met1 ( 18170 169150 ) ( 25990 * )
NEW li1 ( 18170 169150 ) ( * 169830 )
NEW met1 ( 14490 169830 ) ( 18170 * )
NEW li1 ( 14490 169830 ) L1M1_PR_MR
NEW met1 ( 14030 169830 ) M1M2_PR
NEW met1 ( 14030 170510 ) M1M2_PR
NEW met1 ( 2070 170510 ) M1M2_PR
NEW li1 ( 40250 151470 ) L1M1_PR_MR
NEW met1 ( 40250 151470 ) M1M2_PR
NEW met2 ( 40250 154020 ) M2M3_PR_M
NEW met2 ( 25530 154020 ) M2M3_PR_M
NEW met1 ( 25990 169150 ) M1M2_PR
NEW li1 ( 18170 169150 ) L1M1_PR_MR
NEW li1 ( 18170 169830 ) L1M1_PR_MR
NEW met1 ( 40250 151470 ) RECT ( -355 -70 0 70 ) ;
- reset_mem_req ( PIN reset_mem_req ) ( ANTENNA_input305_A DIODE ) ( input305 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 74970 ) ( * 75140 )
NEW met3 ( 186530 75140 ) ( 196420 * 0 )
NEW met1 ( 177330 74630 ) ( 186530 * )
NEW met1 ( 186530 74630 ) ( * 74970 )
NEW li1 ( 186530 74970 ) L1M1_PR_MR
NEW met1 ( 186530 74970 ) M1M2_PR
NEW met2 ( 186530 75140 ) M2M3_PR_M
NEW li1 ( 177330 74630 ) L1M1_PR_MR
NEW met1 ( 186530 74970 ) RECT ( -355 -70 0 70 ) ;
END NETS
END DESIGN