blob: dd263b0e6e735d913f3daf9808dc27984953ea88 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 2 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 3 ;
- chip_controller chip_controller + FIXED ( 200000 1500000 ) N ;
- core0 core + FIXED ( 1300000 100000 ) N ;
- custom_sram custom_sram + FIXED ( 700000 1800000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 -149840 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 -149840 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 1550160 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 1550160 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 1550160 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 1550160 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 1550160 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 1550160 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 1550160 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 1550160 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 150160 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 150160 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 150160 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -541550 -149840 ) ( -538450 30160 )
+ LAYER met4 ( -721550 -149840 ) ( -718450 30160 )
+ LAYER met4 ( -901550 -149840 ) ( -898450 30160 )
+ LAYER met4 ( -1081550 -149840 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -149840 ) ( -1258450 30160 )
+ LAYER met4 ( -1441550 -149840 ) ( -1438450 30160 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 30160 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 30160 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 30160 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 30160 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 -269840 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 -269840 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 -269840 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 -1669840 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 -1669840 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 -1669840 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 -1669840 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 -1669840 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 -1669840 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 -1669840 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 -1669840 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 -149840 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 -149840 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 1550160 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 1550160 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 1550160 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 1550160 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 1550160 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 1550160 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 1550160 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 1550160 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 150160 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 150160 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 150160 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -541550 -149840 ) ( -538450 30160 )
+ LAYER met4 ( -721550 -149840 ) ( -718450 30160 )
+ LAYER met4 ( -901550 -149840 ) ( -898450 30160 )
+ LAYER met4 ( -1081550 -149840 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -149840 ) ( -1258450 30160 )
+ LAYER met4 ( -1441550 -149840 ) ( -1438450 30160 )
+ LAYER met4 ( -1621550 -149840 ) ( -1618450 30160 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 30160 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 30160 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 30160 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 -269840 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 -269840 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 -269840 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 -1669840 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 -1669840 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 -1669840 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 -1669840 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 -1669840 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 -1669840 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 -1669840 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 -1669840 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 -1669840 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -969175 ) ( 1550 969175 )
+ LAYER met4 ( -181550 -969175 ) ( -178450 969175 )
+ LAYER met4 ( -361550 730825 ) ( -358450 969175 )
+ LAYER met4 ( -541550 730825 ) ( -538450 969175 )
+ LAYER met4 ( -721550 730825 ) ( -718450 969175 )
+ LAYER met4 ( -901550 730825 ) ( -898450 969175 )
+ LAYER met4 ( -1081550 730825 ) ( -1078450 969175 )
+ LAYER met4 ( -1261550 730825 ) ( -1258450 969175 )
+ LAYER met4 ( -1441550 730825 ) ( -1438450 969175 )
+ LAYER met4 ( -1621550 730825 ) ( -1618450 969175 )
+ LAYER met4 ( -1801550 730825 ) ( -1798450 969175 )
+ LAYER met4 ( -1981550 730825 ) ( -1978450 969175 )
+ LAYER met4 ( -2161550 -669175 ) ( -2158450 969175 )
+ LAYER met4 ( -2341550 -669175 ) ( -2338450 969175 )
+ LAYER met4 ( -2521550 -669175 ) ( -2518450 969175 )
+ LAYER met4 ( -2701550 -2607845 ) ( -2698450 969175 )
+ LAYER met4 ( 198030 -2603045 ) ( 201130 964375 )
+ LAYER met4 ( -2776950 -2603045 ) ( -2773850 964375 )
+ LAYER met4 ( -361550 -969175 ) ( -358450 -789175 )
+ LAYER met4 ( -541550 -969175 ) ( -538450 -789175 )
+ LAYER met4 ( -721550 -969175 ) ( -718450 -789175 )
+ LAYER met4 ( -901550 -969175 ) ( -898450 -789175 )
+ LAYER met4 ( -1081550 -969175 ) ( -1078450 -789175 )
+ LAYER met4 ( -1261550 -969175 ) ( -1258450 -789175 )
+ LAYER met4 ( -1441550 -969175 ) ( -1438450 -789175 )
+ LAYER met4 ( -1621550 -2607845 ) ( -1618450 -789175 )
+ LAYER met4 ( -1801550 -2607845 ) ( -1798450 -789175 )
+ LAYER met4 ( -1981550 -2607845 ) ( -1978450 -789175 )
+ LAYER met4 ( -2161550 -2607845 ) ( -2158450 -1089175 )
+ LAYER met4 ( -2341550 -2607845 ) ( -2338450 -1089175 )
+ LAYER met4 ( -2521550 -2607845 ) ( -2518450 -1089175 )
+ LAYER met4 ( -1550 -2607845 ) ( 1550 -2489175 )
+ LAYER met4 ( -181550 -2607845 ) ( -178450 -2489175 )
+ LAYER met4 ( -361550 -2607845 ) ( -358450 -2489175 )
+ LAYER met4 ( -541550 -2607845 ) ( -538450 -2489175 )
+ LAYER met4 ( -721550 -2607845 ) ( -718450 -2489175 )
+ LAYER met4 ( -901550 -2607845 ) ( -898450 -2489175 )
+ LAYER met4 ( -1081550 -2607845 ) ( -1078450 -2489175 )
+ LAYER met4 ( -1261550 -2607845 ) ( -1258450 -2489175 )
+ LAYER met4 ( -1441550 -2607845 ) ( -1438450 -2489175 )
+ LAYER met5 ( -2776950 961275 ) ( 201130 964375 )
+ LAYER met5 ( -2781750 892355 ) ( 205930 895455 )
+ LAYER met5 ( -2781750 712355 ) ( 205930 715455 )
+ LAYER met5 ( -2781750 532355 ) ( 205930 535455 )
+ LAYER met5 ( -2781750 352355 ) ( 205930 355455 )
+ LAYER met5 ( -2781750 172355 ) ( 205930 175455 )
+ LAYER met5 ( -2781750 -7645 ) ( 205930 -4545 )
+ LAYER met5 ( -2781750 -187645 ) ( 205930 -184545 )
+ LAYER met5 ( -2781750 -367645 ) ( 205930 -364545 )
+ LAYER met5 ( -2781750 -547645 ) ( 205930 -544545 )
+ LAYER met5 ( -2781750 -727645 ) ( 205930 -724545 )
+ LAYER met5 ( -2781750 -907645 ) ( 205930 -904545 )
+ LAYER met5 ( -2781750 -1087645 ) ( 205930 -1084545 )
+ LAYER met5 ( -2781750 -1267645 ) ( 205930 -1264545 )
+ LAYER met5 ( -2781750 -1447645 ) ( 205930 -1444545 )
+ LAYER met5 ( -2781750 -1627645 ) ( 205930 -1624545 )
+ LAYER met5 ( -2781750 -1807645 ) ( 205930 -1804545 )
+ LAYER met5 ( -2781750 -1987645 ) ( 205930 -1984545 )
+ LAYER met5 ( -2781750 -2167645 ) ( 205930 -2164545 )
+ LAYER met5 ( -2781750 -2347645 ) ( 205930 -2344545 )
+ LAYER met5 ( -2781750 -2527645 ) ( 205930 -2524545 )
+ LAYER met5 ( -2776950 -2603045 ) ( 201130 -2599945 )
+ FIXED ( 2747720 2579175 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -973975 ) ( 1550 973975 )
+ LAYER met4 ( -181550 -973975 ) ( -178450 973975 )
+ LAYER met4 ( -361550 726025 ) ( -358450 973975 )
+ LAYER met4 ( -541550 726025 ) ( -538450 973975 )
+ LAYER met4 ( -721550 726025 ) ( -718450 973975 )
+ LAYER met4 ( -901550 726025 ) ( -898450 973975 )
+ LAYER met4 ( -1081550 726025 ) ( -1078450 973975 )
+ LAYER met4 ( -1261550 726025 ) ( -1258450 973975 )
+ LAYER met4 ( -1441550 726025 ) ( -1438450 973975 )
+ LAYER met4 ( -1621550 726025 ) ( -1618450 973975 )
+ LAYER met4 ( -1801550 726025 ) ( -1798450 973975 )
+ LAYER met4 ( -1981550 726025 ) ( -1978450 973975 )
+ LAYER met4 ( -2161550 -673975 ) ( -2158450 973975 )
+ LAYER met4 ( -2341550 -673975 ) ( -2338450 973975 )
+ LAYER met4 ( -2521550 -673975 ) ( -2518450 973975 )
+ LAYER met4 ( -2701550 -2622245 ) ( -2698450 973975 )
+ LAYER met4 ( 189030 -2617445 ) ( 192130 969175 )
+ LAYER met4 ( -2805150 -2617445 ) ( -2802050 969175 )
+ LAYER met4 ( -361550 -973975 ) ( -358450 -793975 )
+ LAYER met4 ( -541550 -973975 ) ( -538450 -793975 )
+ LAYER met4 ( -721550 -973975 ) ( -718450 -793975 )
+ LAYER met4 ( -901550 -973975 ) ( -898450 -793975 )
+ LAYER met4 ( -1081550 -973975 ) ( -1078450 -793975 )
+ LAYER met4 ( -1261550 -973975 ) ( -1258450 -793975 )
+ LAYER met4 ( -1441550 -973975 ) ( -1438450 -793975 )
+ LAYER met4 ( -1621550 -2622245 ) ( -1618450 -793975 )
+ LAYER met4 ( -1801550 -2622245 ) ( -1798450 -793975 )
+ LAYER met4 ( -1981550 -2622245 ) ( -1978450 -793975 )
+ LAYER met4 ( -2161550 -2622245 ) ( -2158450 -1093975 )
+ LAYER met4 ( -2341550 -2622245 ) ( -2338450 -1093975 )
+ LAYER met4 ( -2521550 -2622245 ) ( -2518450 -1093975 )
+ LAYER met4 ( -1550 -2622245 ) ( 1550 -2493975 )
+ LAYER met4 ( -181550 -2622245 ) ( -178450 -2493975 )
+ LAYER met4 ( -361550 -2622245 ) ( -358450 -2493975 )
+ LAYER met4 ( -541550 -2622245 ) ( -538450 -2493975 )
+ LAYER met4 ( -721550 -2622245 ) ( -718450 -2493975 )
+ LAYER met4 ( -901550 -2622245 ) ( -898450 -2493975 )
+ LAYER met4 ( -1081550 -2622245 ) ( -1078450 -2493975 )
+ LAYER met4 ( -1261550 -2622245 ) ( -1258450 -2493975 )
+ LAYER met4 ( -1441550 -2622245 ) ( -1438450 -2493975 )
+ LAYER met5 ( -2805150 966075 ) ( 192130 969175 )
+ LAYER met5 ( -2809950 906155 ) ( 196930 909255 )
+ LAYER met5 ( -2809950 726155 ) ( 196930 729255 )
+ LAYER met5 ( -2809950 546155 ) ( 196930 549255 )
+ LAYER met5 ( -2809950 366155 ) ( 196930 369255 )
+ LAYER met5 ( -2809950 186155 ) ( 196930 189255 )
+ LAYER met5 ( -2809950 6155 ) ( 196930 9255 )
+ LAYER met5 ( -2809950 -173845 ) ( 196930 -170745 )
+ LAYER met5 ( -2809950 -353845 ) ( 196930 -350745 )
+ LAYER met5 ( -2809950 -533845 ) ( 196930 -530745 )
+ LAYER met5 ( -2809950 -713845 ) ( 196930 -710745 )
+ LAYER met5 ( -2809950 -893845 ) ( 196930 -890745 )
+ LAYER met5 ( -2809950 -1073845 ) ( 196930 -1070745 )
+ LAYER met5 ( -2809950 -1253845 ) ( 196930 -1250745 )
+ LAYER met5 ( -2809950 -1433845 ) ( 196930 -1430745 )
+ LAYER met5 ( -2809950 -1613845 ) ( 196930 -1610745 )
+ LAYER met5 ( -2809950 -1793845 ) ( 196930 -1790745 )
+ LAYER met5 ( -2809950 -1973845 ) ( 196930 -1970745 )
+ LAYER met5 ( -2809950 -2153845 ) ( 196930 -2150745 )
+ LAYER met5 ( -2809950 -2333845 ) ( 196930 -2330745 )
+ LAYER met5 ( -2809950 -2513845 ) ( 196930 -2510745 )
+ LAYER met5 ( -2805150 -2617445 ) ( 192130 -2614345 )
+ FIXED ( 2766320 2583975 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 -149840 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 1550160 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 1550160 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 1550160 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 1550160 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 1550160 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 1550160 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 1550160 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 1550160 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 1550160 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 1550160 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 150160 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 150160 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -475930 -149840 ) ( -472830 30160 )
+ LAYER met4 ( -655930 -149840 ) ( -652830 30160 )
+ LAYER met4 ( -835930 -149840 ) ( -832830 30160 )
+ LAYER met4 ( -1015930 -149840 ) ( -1012830 30160 )
+ LAYER met4 ( -1195930 -149840 ) ( -1192830 30160 )
+ LAYER met4 ( -1375930 -149840 ) ( -1372830 30160 )
+ LAYER met4 ( -1555930 -149840 ) ( -1552830 30160 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 30160 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 30160 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 30160 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 -269840 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 -269840 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 -1669840 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 -1669840 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 -1669840 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 -1669840 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 -1669840 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 -1669840 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 -1669840 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 -1669840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 -149840 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 1550160 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 1550160 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 1550160 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 1550160 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 1550160 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 1550160 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 1550160 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 1550160 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 1550160 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 1550160 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 1550160 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 150160 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 150160 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -466930 -149840 ) ( -463830 30160 )
+ LAYER met4 ( -646930 -149840 ) ( -643830 30160 )
+ LAYER met4 ( -826930 -149840 ) ( -823830 30160 )
+ LAYER met4 ( -1006930 -149840 ) ( -1003830 30160 )
+ LAYER met4 ( -1186930 -149840 ) ( -1183830 30160 )
+ LAYER met4 ( -1366930 -149840 ) ( -1363830 30160 )
+ LAYER met4 ( -1546930 -149840 ) ( -1543830 30160 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 30160 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 30160 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 30160 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 30160 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 -269840 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 -269840 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 -1669840 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 -1669840 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 -1669840 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 -1669840 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 -1669840 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 -1669840 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 -1669840 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 -1669840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -149840 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 -149840 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 1550160 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 1550160 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 1550160 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 1550160 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 1550160 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 1550160 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 1550160 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 1550160 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 1550160 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 1550160 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 150160 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 150160 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -493930 -149840 ) ( -490830 30160 )
+ LAYER met4 ( -673930 -149840 ) ( -670830 30160 )
+ LAYER met4 ( -853930 -149840 ) ( -850830 30160 )
+ LAYER met4 ( -1033930 -149840 ) ( -1030830 30160 )
+ LAYER met4 ( -1213930 -149840 ) ( -1210830 30160 )
+ LAYER met4 ( -1393930 -149840 ) ( -1390830 30160 )
+ LAYER met4 ( -1573930 -149840 ) ( -1570830 30160 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 30160 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 30160 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 30160 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 -269840 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 -269840 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 -1669840 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 -1669840 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 -1669840 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 -1669840 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 -1669840 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 -1669840 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 -1669840 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 -1669840 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 -1669840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 -149840 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 1550160 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 1550160 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 1550160 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 1550160 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 1550160 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 1550160 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 1550160 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 1550160 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 1550160 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 1550160 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 150160 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 150160 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -484930 -149840 ) ( -481830 30160 )
+ LAYER met4 ( -664930 -149840 ) ( -661830 30160 )
+ LAYER met4 ( -844930 -149840 ) ( -841830 30160 )
+ LAYER met4 ( -1024930 -149840 ) ( -1021830 30160 )
+ LAYER met4 ( -1204930 -149840 ) ( -1201830 30160 )
+ LAYER met4 ( -1384930 -149840 ) ( -1381830 30160 )
+ LAYER met4 ( -1564930 -149840 ) ( -1561830 30160 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 30160 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 30160 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 30160 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 -269840 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 -269840 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 -1669840 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 -1669840 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 -1669840 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 -1669840 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 -1669840 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 -1669840 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 -1669840 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 -1669840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2411440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2411440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2411440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2411440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2411440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2411440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2411440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2411440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2411440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2257840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2104240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1950640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 1610000 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 1610000 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 3310000 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 3310000 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 3310000 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 3310000 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 3310000 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 3310000 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 3310000 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 3310000 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 3310000 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 3310000 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 1910000 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 1910000 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 1910000 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 1610000 ) ( 2350520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 1610000 ) ( 2170520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 1610000 ) ( 1990520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 1610000 ) ( 1810520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 1610000 ) ( 1630520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 1610000 ) ( 1450520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 90000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 1610000 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 1610000 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 3310000 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 3310000 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 3310000 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 3310000 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 3310000 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 3310000 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 3310000 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 3310000 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 3310000 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 3310000 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 1910000 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 1910000 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 1910000 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 1610000 ) ( 2369120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 1610000 ) ( 2189120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 1610000 ) ( 2009120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 1610000 ) ( 1829120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 1610000 ) ( 1649120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 1610000 ) ( 1469120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 1610000 ) ( 1289120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 90000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 1610000 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 1610000 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 3310000 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 3310000 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 3310000 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 3310000 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 3310000 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 3310000 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 3310000 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 3310000 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 3310000 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 3310000 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 1910000 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 1910000 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 1910000 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 1610000 ) ( 2387720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 1610000 ) ( 2207720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 1610000 ) ( 2027720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 1610000 ) ( 1847720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 1610000 ) ( 1667720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 1610000 ) ( 1487720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 1610000 ) ( 1307720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 90000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 1610000 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 1610000 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 3310000 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 3310000 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 3310000 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 3310000 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 3310000 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 3310000 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 3310000 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 3310000 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 3310000 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 3310000 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 1910000 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 1910000 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 1910000 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 1610000 ) ( 2406320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 1610000 ) ( 2226320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 1610000 ) ( 2046320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 1610000 ) ( 1866320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 1610000 ) ( 1686320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 1610000 ) ( 1506320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 1610000 ) ( 1326320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 90000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 1610000 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 3310000 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 3310000 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 3310000 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 3310000 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 3310000 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 3310000 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 3310000 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 3310000 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 3310000 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 3310000 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 1910000 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 1910000 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 1610000 ) ( 2477720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 1610000 ) ( 2297720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 1610000 ) ( 2117720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 1610000 ) ( 1937720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 1610000 ) ( 1757720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 1610000 ) ( 1577720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 1610000 ) ( 1397720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 90000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 1610000 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 3310000 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 3310000 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 3310000 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 3310000 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 3310000 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 3310000 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 3310000 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 3310000 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 3310000 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 3310000 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 3310000 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 1910000 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 1910000 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 1610000 ) ( 2496320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 1610000 ) ( 2316320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 1610000 ) ( 2136320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 1610000 ) ( 1956320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 1610000 ) ( 1776320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 1610000 ) ( 1596320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 1610000 ) ( 1416320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 90000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2488240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2334640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2181040 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2027440 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2488240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2334640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2181040 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2027440 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2488240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2334640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2181040 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2027440 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2488240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2334640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2181040 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2027440 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2488240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2334640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2181040 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2027440 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2488240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2334640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2181040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2027440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2488240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2334640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2181040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2027440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2488240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2334640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2181040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2027440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 452240 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 298640 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 452240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 298640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 1610000 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 1610000 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 3310000 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 3310000 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 3310000 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 3310000 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 3310000 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 3310000 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 3310000 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 3310000 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 3310000 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 3310000 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 1910000 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 1910000 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 1610000 ) ( 2440520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 1610000 ) ( 2260520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 1610000 ) ( 2080520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 1610000 ) ( 1900520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 1610000 ) ( 1720520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 1610000 ) ( 1540520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 1610000 ) ( 1360520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 90000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 1610000 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 3310000 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 3310000 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 3310000 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 3310000 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 3310000 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 3310000 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 3310000 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 3310000 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 3310000 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 3310000 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 1910000 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 1910000 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 1610000 ) ( 2459120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 1610000 ) ( 2279120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 1610000 ) ( 2099120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 1610000 ) ( 1919120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 1610000 ) ( 1739120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 1610000 ) ( 1559120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 1610000 ) ( 1379120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 90000 ) ;
END SPECIALNETS
NETS 1161 ;
- addr0_to_sram\[0\] ( custom_sram a[0] ) ( chip_controller addr0_to_sram[0] ) + USE SIGNAL
+ ROUTED met3 ( 2499180 1835660 0 ) ( 2517810 * )
NEW met2 ( 226550 1485970 ) ( * 1500420 0 )
NEW met2 ( 506690 1475430 ) ( * 1485630 )
NEW met2 ( 2517810 1800470 ) ( * 1835660 )
NEW met1 ( 506690 1475430 ) ( 1301110 * )
NEW met1 ( 226550 1485970 ) ( 420900 * )
NEW met1 ( 420900 1485630 ) ( * 1485970 )
NEW met1 ( 420900 1485630 ) ( 506690 * )
NEW met2 ( 1301110 1475430 ) ( * 1800470 )
NEW met1 ( 1301110 1800470 ) ( 2517810 * )
NEW met1 ( 506690 1475430 ) M1M2_PR
NEW met2 ( 2517810 1835660 ) M2M3_PR_M
NEW met1 ( 226550 1485970 ) M1M2_PR
NEW met1 ( 506690 1485630 ) M1M2_PR
NEW met1 ( 2517810 1800470 ) M1M2_PR
NEW met1 ( 1301110 1475430 ) M1M2_PR
NEW met1 ( 1301110 1800470 ) M1M2_PR ;
- addr0_to_sram\[10\] ( custom_sram a[10] ) ( chip_controller addr0_to_sram[10] ) + USE SIGNAL
+ ROUTED met3 ( 192050 1571820 ) ( 200100 * 0 )
NEW met2 ( 1225670 1502970 ) ( * 1789250 )
NEW met2 ( 1431290 1789250 ) ( * 1800300 0 )
NEW met1 ( 1225670 1789250 ) ( 1431290 * )
NEW met2 ( 191130 1535100 ) ( 192050 * )
NEW met2 ( 192050 1535100 ) ( * 1571820 )
NEW met1 ( 191130 1503650 ) ( 200330 * )
NEW met1 ( 200330 1502970 ) ( * 1503650 )
NEW met2 ( 191130 1503650 ) ( * 1535100 )
NEW met1 ( 200330 1502970 ) ( 1225670 * )
NEW met2 ( 192050 1571820 ) M2M3_PR_M
NEW met1 ( 1225670 1502970 ) M1M2_PR
NEW met1 ( 1225670 1789250 ) M1M2_PR
NEW met1 ( 1431290 1789250 ) M1M2_PR
NEW met1 ( 191130 1503650 ) M1M2_PR ;
- addr0_to_sram\[11\] ( custom_sram a[11] ) ( chip_controller addr0_to_sram[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1578620 0 ) ( 608810 * )
NEW met2 ( 608810 1578620 ) ( * 1579130 )
NEW met2 ( 1656230 1673650 ) ( * 1800300 0 )
NEW met1 ( 608810 1579130 ) ( 1045810 * )
NEW met1 ( 1045810 1673650 ) ( 1656230 * )
NEW met2 ( 1045810 1579130 ) ( * 1673650 )
NEW met2 ( 608810 1578620 ) M2M3_PR_M
NEW met1 ( 608810 1579130 ) M1M2_PR
NEW met1 ( 1656230 1673650 ) M1M2_PR
NEW met1 ( 1045810 1579130 ) M1M2_PR
NEW met1 ( 1045810 1673650 ) M1M2_PR ;
- addr0_to_sram\[12\] ( custom_sram a[12] ) ( chip_controller addr0_to_sram[12] ) + USE SIGNAL
+ ROUTED met2 ( 1341590 3298340 ) ( * 3298510 )
NEW met2 ( 1341590 3298340 ) ( 1342970 * 0 )
NEW met3 ( 185610 1592220 ) ( 200100 * 0 )
NEW met2 ( 185610 1592220 ) ( * 3298510 )
NEW met1 ( 185610 3298510 ) ( 1341590 * )
NEW met1 ( 185610 3298510 ) M1M2_PR
NEW met1 ( 1341590 3298510 ) M1M2_PR
NEW met2 ( 185610 1592220 ) M2M3_PR_M ;
- addr0_to_sram\[13\] ( custom_sram a[13] ) ( chip_controller addr0_to_sram[13] ) + USE SIGNAL
+ ROUTED met3 ( 2499180 2406860 0 ) ( 2514590 * )
NEW met2 ( 293250 1489710 ) ( * 1500420 0 )
NEW met2 ( 2514590 1800130 ) ( * 2406860 )
NEW met2 ( 519570 1489710 ) ( * 1494300 )
NEW met1 ( 293250 1489710 ) ( 519570 * )
NEW met3 ( 519570 1494300 ) ( 1300650 * )
NEW met2 ( 1300650 1494300 ) ( * 1800130 )
NEW met1 ( 1300650 1800130 ) ( 2514590 * )
NEW met2 ( 2514590 2406860 ) M2M3_PR_M
NEW met1 ( 293250 1489710 ) M1M2_PR
NEW met1 ( 2514590 1800130 ) M1M2_PR
NEW met1 ( 519570 1489710 ) M1M2_PR
NEW met2 ( 519570 1494300 ) M2M3_PR_M
NEW met2 ( 1300650 1494300 ) M2M3_PR_M
NEW met1 ( 1300650 1800130 ) M1M2_PR ;
- addr0_to_sram\[14\] ( custom_sram a[14] ) ( chip_controller addr0_to_sram[14] ) + USE SIGNAL
+ ROUTED met2 ( 287270 1899580 0 ) ( 288650 * )
NEW met2 ( 288650 1899580 ) ( * 1900260 )
NEW met2 ( 288650 1900260 ) ( 289570 * )
NEW met2 ( 289570 1900260 ) ( * 3307350 )
NEW met2 ( 1514550 3299700 0 ) ( * 3307350 )
NEW met1 ( 289570 3307350 ) ( 1514550 * )
NEW met1 ( 289570 3307350 ) M1M2_PR
NEW met1 ( 1514550 3307350 ) M1M2_PR ;
- addr0_to_sram\[15\] ( custom_sram a[15] ) ( chip_controller addr0_to_sram[15] ) + USE SIGNAL
+ ROUTED met3 ( 190900 1613300 ) ( 200100 * 0 )
NEW met4 ( 190900 1613300 ) ( * 1900940 )
NEW met3 ( 2499180 2549660 0 ) ( 2514130 * )
NEW met2 ( 2514130 1806590 ) ( * 2549660 )
NEW met3 ( 190900 1900940 ) ( 698050 * )
NEW met2 ( 698050 1806590 ) ( * 1900940 )
NEW met1 ( 698050 1806590 ) ( 2514130 * )
NEW met3 ( 190900 1613300 ) M3M4_PR_M
NEW met3 ( 190900 1900940 ) M3M4_PR_M
NEW met2 ( 698050 1900940 ) M2M3_PR_M
NEW met1 ( 2514130 1806590 ) M1M2_PR
NEW met2 ( 2514130 2549660 ) M2M3_PR_M
NEW met1 ( 698050 1806590 ) M1M2_PR ;
- addr0_to_sram\[16\] ( custom_sram a[16] ) ( chip_controller addr0_to_sram[16] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2718810 ) ( * 2724420 )
NEW met3 ( 683330 2724420 ) ( 700580 * 0 )
NEW met3 ( 599380 1602420 0 ) ( 606050 * )
NEW met1 ( 606050 2718810 ) ( 683330 * )
NEW met2 ( 606050 1602420 ) ( * 2718810 )
NEW met1 ( 606050 2718810 ) M1M2_PR
NEW met1 ( 683330 2718810 ) M1M2_PR
NEW met2 ( 683330 2724420 ) M2M3_PR_M
NEW met2 ( 606050 1602420 ) M2M3_PR_M ;
- addr0_to_sram\[17\] ( custom_sram a[17] ) ( chip_controller addr0_to_sram[17] ) + USE SIGNAL
+ ROUTED met2 ( 320850 1490730 ) ( * 1500420 0 )
NEW met2 ( 683330 2773890 ) ( * 2774740 )
NEW met3 ( 683330 2774740 ) ( 700580 * 0 )
NEW met1 ( 320850 1490730 ) ( 605130 * )
NEW met1 ( 605130 2773890 ) ( 683330 * )
NEW met2 ( 605130 1490730 ) ( * 2773890 )
NEW met1 ( 320850 1490730 ) M1M2_PR
NEW met1 ( 605130 1490730 ) M1M2_PR
NEW met1 ( 605130 2773890 ) M1M2_PR
NEW met1 ( 683330 2773890 ) M1M2_PR
NEW met2 ( 683330 2774740 ) M2M3_PR_M ;
- addr0_to_sram\[18\] ( custom_sram a[18] ) ( chip_controller addr0_to_sram[18] ) + USE SIGNAL
+ ROUTED met1 ( 669070 2822170 ) ( 685170 * )
NEW met2 ( 685170 2822170 ) ( * 2824380 )
NEW met3 ( 685170 2824380 ) ( 700580 * 0 )
NEW met3 ( 599380 1617380 0 ) ( 608350 * )
NEW met2 ( 608350 1617380 ) ( * 1621290 )
NEW met2 ( 669070 1621290 ) ( * 2822170 )
NEW met1 ( 608350 1621290 ) ( 669070 * )
NEW met1 ( 669070 2822170 ) M1M2_PR
NEW met1 ( 685170 2822170 ) M1M2_PR
NEW met2 ( 685170 2824380 ) M2M3_PR_M
NEW met2 ( 608350 1617380 ) M2M3_PR_M
NEW met1 ( 608350 1621290 ) M1M2_PR
NEW met1 ( 669070 1621290 ) M1M2_PR ;
- addr0_to_sram\[19\] ( custom_sram a[19] ) ( chip_controller addr0_to_sram[19] ) + USE SIGNAL
+ ROUTED met3 ( 2498260 2618340 ) ( 2498490 * )
NEW met3 ( 2498260 2618340 ) ( * 2621060 0 )
NEW met3 ( 599380 1623500 0 ) ( 608350 * )
NEW met2 ( 608350 1623500 ) ( * 1628090 )
NEW met2 ( 2498490 1628090 ) ( * 2618340 )
NEW met1 ( 608350 1628090 ) ( 2498490 * )
NEW met2 ( 2498490 2618340 ) M2M3_PR_M
NEW met2 ( 608350 1623500 ) M2M3_PR_M
NEW met1 ( 608350 1628090 ) M1M2_PR
NEW met1 ( 2498490 1628090 ) M1M2_PR ;
- addr0_to_sram\[1\] ( custom_sram a[1] ) ( chip_controller addr0_to_sram[1] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2021810 ) ( * 2024700 )
NEW met3 ( 683330 2024700 ) ( 700580 * 0 )
NEW met2 ( 127650 1510450 ) ( * 2021810 )
NEW met1 ( 127650 2021810 ) ( 683330 * )
NEW met1 ( 127650 1510450 ) ( 158700 * )
NEW met1 ( 158700 1510110 ) ( * 1510450 )
NEW met1 ( 158700 1510110 ) ( 191590 * )
NEW met2 ( 191590 1507900 ) ( * 1510110 )
NEW met3 ( 191590 1507900 ) ( 200100 * 0 )
NEW met1 ( 127650 2021810 ) M1M2_PR
NEW met1 ( 683330 2021810 ) M1M2_PR
NEW met2 ( 683330 2024700 ) M2M3_PR_M
NEW met1 ( 127650 1510450 ) M1M2_PR
NEW met1 ( 191590 1510110 ) M1M2_PR
NEW met2 ( 191590 1507900 ) M2M3_PR_M ;
- addr0_to_sram\[2\] ( custom_sram a[2] ) ( chip_controller addr0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 214590 1899580 0 ) ( * 1911310 )
NEW met1 ( 214590 1911310 ) ( 220110 * )
NEW met2 ( 220110 1911310 ) ( * 2070090 )
NEW met2 ( 683330 2070090 ) ( * 2074340 )
NEW met3 ( 683330 2074340 ) ( 700580 * 0 )
NEW met1 ( 220110 2070090 ) ( 683330 * )
NEW met1 ( 214590 1911310 ) M1M2_PR
NEW met1 ( 220110 1911310 ) M1M2_PR
NEW met1 ( 220110 2070090 ) M1M2_PR
NEW met1 ( 683330 2070090 ) M1M2_PR
NEW met2 ( 683330 2074340 ) M2M3_PR_M ;
- addr0_to_sram\[3\] ( custom_sram a[3] ) ( chip_controller addr0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 863190 1800300 ) ( 868710 * 0 )
NEW met2 ( 863190 1502630 ) ( * 1800300 )
NEW met3 ( 199410 1518100 ) ( 200100 * 0 )
NEW met1 ( 199410 1502630 ) ( * 1502970 )
NEW met2 ( 199410 1502970 ) ( * 1518100 )
NEW met1 ( 199410 1502630 ) ( 863190 * )
NEW met1 ( 863190 1502630 ) M1M2_PR
NEW met2 ( 199410 1518100 ) M2M3_PR_M
NEW met1 ( 199410 1502970 ) M1M2_PR ;
- addr0_to_sram\[4\] ( custom_sram a[4] ) ( chip_controller addr0_to_sram[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1526940 0 ) ( 613870 * )
NEW met2 ( 613870 1526940 ) ( * 1530850 )
NEW met2 ( 956110 1530850 ) ( * 1798770 )
NEW met3 ( 2499180 1978460 0 ) ( 2516890 * )
NEW met2 ( 2516890 1798770 ) ( * 1978460 )
NEW met1 ( 613870 1530850 ) ( 956110 * )
NEW met1 ( 956110 1798770 ) ( 2516890 * )
NEW met2 ( 613870 1526940 ) M2M3_PR_M
NEW met1 ( 613870 1530850 ) M1M2_PR
NEW met1 ( 956110 1530850 ) M1M2_PR
NEW met1 ( 956110 1798770 ) M1M2_PR
NEW met1 ( 2516890 1798770 ) M1M2_PR
NEW met2 ( 2516890 1978460 ) M2M3_PR_M ;
- addr0_to_sram\[5\] ( custom_sram a[5] ) ( chip_controller addr0_to_sram[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1539860 0 ) ( 609270 * )
NEW met2 ( 609270 1539860 ) ( * 1545470 )
NEW met2 ( 683330 2270350 ) ( * 2274260 )
NEW met3 ( 683330 2274260 ) ( 700580 * 0 )
NEW met1 ( 609270 1545470 ) ( 658490 * )
NEW met2 ( 658490 1545470 ) ( * 2270350 )
NEW met1 ( 658490 2270350 ) ( 683330 * )
NEW met2 ( 609270 1539860 ) M2M3_PR_M
NEW met1 ( 609270 1545470 ) M1M2_PR
NEW met1 ( 683330 2270350 ) M1M2_PR
NEW met2 ( 683330 2274260 ) M2M3_PR_M
NEW met1 ( 658490 1545470 ) M1M2_PR
NEW met1 ( 658490 2270350 ) M1M2_PR ;
- addr0_to_sram\[6\] ( custom_sram a[6] ) ( chip_controller addr0_to_sram[6] ) + USE SIGNAL
+ ROUTED met1 ( 672290 2373710 ) ( 683330 * )
NEW met2 ( 683330 2373710 ) ( * 2374220 )
NEW met3 ( 683330 2374220 ) ( 700580 * 0 )
NEW met2 ( 672290 1493450 ) ( * 2373710 )
NEW met2 ( 253690 1493450 ) ( * 1500420 0 )
NEW met1 ( 253690 1493450 ) ( 672290 * )
NEW met1 ( 672290 1493450 ) M1M2_PR
NEW met1 ( 672290 2373710 ) M1M2_PR
NEW met1 ( 683330 2373710 ) M1M2_PR
NEW met2 ( 683330 2374220 ) M2M3_PR_M
NEW met1 ( 253690 1493450 ) M1M2_PR ;
- addr0_to_sram\[7\] ( custom_sram a[7] ) ( chip_controller addr0_to_sram[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1558900 0 ) ( 610190 * )
NEW met3 ( 2499180 2049860 0 ) ( 2505850 * )
NEW met2 ( 610190 1558900 ) ( * 1598170 )
NEW met2 ( 2505850 1598170 ) ( * 2049860 )
NEW met1 ( 610190 1598170 ) ( 2505850 * )
NEW met2 ( 610190 1558900 ) M2M3_PR_M
NEW met2 ( 2505850 2049860 ) M2M3_PR_M
NEW met1 ( 610190 1598170 ) M1M2_PR
NEW met1 ( 2505850 1598170 ) M1M2_PR ;
- addr0_to_sram\[8\] ( custom_sram a[8] ) ( chip_controller addr0_to_sram[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1563660 0 ) ( 609270 * )
NEW met2 ( 609270 1563660 ) ( * 1565870 )
NEW met2 ( 683330 2470270 ) ( * 2474180 )
NEW met3 ( 683330 2474180 ) ( 700580 * 0 )
NEW met1 ( 609270 1565870 ) ( 643770 * )
NEW met2 ( 643770 1565870 ) ( * 2470270 )
NEW met1 ( 643770 2470270 ) ( 683330 * )
NEW met2 ( 609270 1563660 ) M2M3_PR_M
NEW met1 ( 609270 1565870 ) M1M2_PR
NEW met1 ( 683330 2470270 ) M1M2_PR
NEW met2 ( 683330 2474180 ) M2M3_PR_M
NEW met1 ( 643770 1565870 ) M1M2_PR
NEW met1 ( 643770 2470270 ) M1M2_PR ;
- addr0_to_sram\[9\] ( custom_sram a[9] ) ( chip_controller addr0_to_sram[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1567060 0 ) ( 609270 * )
NEW met2 ( 609270 1567060 ) ( * 1572330 )
NEW met2 ( 1169550 3296810 ) ( * 3296980 )
NEW met2 ( 1169550 3296980 ) ( 1171390 * 0 )
NEW met1 ( 609270 1572330 ) ( 692990 * )
NEW met1 ( 692990 3292730 ) ( 1097100 * )
NEW met1 ( 1097100 3292730 ) ( * 3296810 )
NEW met1 ( 1097100 3296810 ) ( 1169550 * )
NEW met2 ( 692990 1572330 ) ( * 3292730 )
NEW met2 ( 609270 1567060 ) M2M3_PR_M
NEW met1 ( 609270 1572330 ) M1M2_PR
NEW met1 ( 692990 1572330 ) M1M2_PR
NEW met1 ( 692990 3292730 ) M1M2_PR
NEW met1 ( 1169550 3296810 ) M1M2_PR ;
- addr_to_core_mem\[0\] ( chip_controller addr_to_core_mem[0] ) + USE SIGNAL ;
- addr_to_core_mem\[10\] ( chip_controller addr_to_core_mem[10] ) + USE SIGNAL ;
- addr_to_core_mem\[11\] ( chip_controller addr_to_core_mem[11] ) + USE SIGNAL ;
- addr_to_core_mem\[12\] ( chip_controller addr_to_core_mem[12] ) + USE SIGNAL ;
- addr_to_core_mem\[13\] ( chip_controller addr_to_core_mem[13] ) + USE SIGNAL ;
- addr_to_core_mem\[14\] ( chip_controller addr_to_core_mem[14] ) + USE SIGNAL ;
- addr_to_core_mem\[15\] ( chip_controller addr_to_core_mem[15] ) + USE SIGNAL ;
- addr_to_core_mem\[16\] ( chip_controller addr_to_core_mem[16] ) + USE SIGNAL ;
- addr_to_core_mem\[17\] ( chip_controller addr_to_core_mem[17] ) + USE SIGNAL ;
- addr_to_core_mem\[18\] ( chip_controller addr_to_core_mem[18] ) + USE SIGNAL ;
- addr_to_core_mem\[19\] ( chip_controller addr_to_core_mem[19] ) + USE SIGNAL ;
- addr_to_core_mem\[1\] ( chip_controller addr_to_core_mem[1] ) + USE SIGNAL ;
- addr_to_core_mem\[2\] ( chip_controller addr_to_core_mem[2] ) + USE SIGNAL ;
- addr_to_core_mem\[3\] ( chip_controller addr_to_core_mem[3] ) + USE SIGNAL ;
- addr_to_core_mem\[4\] ( chip_controller addr_to_core_mem[4] ) + USE SIGNAL ;
- addr_to_core_mem\[5\] ( chip_controller addr_to_core_mem[5] ) + USE SIGNAL ;
- addr_to_core_mem\[6\] ( chip_controller addr_to_core_mem[6] ) + USE SIGNAL ;
- addr_to_core_mem\[7\] ( chip_controller addr_to_core_mem[7] ) + USE SIGNAL ;
- addr_to_core_mem\[8\] ( chip_controller addr_to_core_mem[8] ) + USE SIGNAL ;
- addr_to_core_mem\[9\] ( chip_controller addr_to_core_mem[9] ) + USE SIGNAL ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- clk ( custom_sram clk ) ( core0 clk ) ( chip_controller clk ) + USE SIGNAL
+ ROUTED met2 ( 1307090 1599700 ) ( 1308010 * 0 )
NEW met2 ( 1304330 1725000 ) ( 1307090 * )
NEW met2 ( 1307090 1599700 ) ( * 1725000 )
NEW met1 ( 697590 1796730 ) ( 1304330 * )
NEW met2 ( 1304330 1725000 ) ( * 1796730 )
NEW met2 ( 204010 1497870 ) ( * 1500420 0 )
NEW met1 ( 204010 1497870 ) ( 606510 * )
NEW met2 ( 606510 1497870 ) ( * 1822230 )
NEW met2 ( 697590 1822230 ) ( * 1824780 )
NEW met3 ( 697590 1824780 ) ( 700580 * 0 )
NEW met1 ( 606510 1822230 ) ( 697590 * )
NEW met2 ( 697590 1796730 ) ( * 1822230 )
NEW met1 ( 606510 1497870 ) M1M2_PR
NEW met1 ( 697590 1796730 ) M1M2_PR
NEW met1 ( 1304330 1796730 ) M1M2_PR
NEW met1 ( 204010 1497870 ) M1M2_PR
NEW met1 ( 606510 1822230 ) M1M2_PR
NEW met1 ( 697590 1822230 ) M1M2_PR
NEW met2 ( 697590 1824780 ) M2M3_PR_M ;
- core0_data_print\[0\] ( core0 hex_out[0] ) ( chip_controller core0_data_print[0] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 109140 ) ( * 120530 )
NEW met2 ( 217350 120530 ) ( * 1498210 )
NEW met1 ( 217350 120530 ) ( 1283630 * )
NEW met3 ( 1283630 109140 ) ( 1300420 * 0 )
NEW met3 ( 199410 1501100 ) ( 200100 * 0 )
NEW met2 ( 199410 1498210 ) ( * 1501100 )
NEW met1 ( 199410 1498210 ) ( 217350 * )
NEW met1 ( 217350 120530 ) M1M2_PR
NEW met1 ( 1283630 120530 ) M1M2_PR
NEW met2 ( 1283630 109140 ) M2M3_PR_M
NEW met1 ( 217350 1498210 ) M1M2_PR
NEW met2 ( 199410 1501100 ) M2M3_PR_M
NEW met1 ( 199410 1498210 ) M1M2_PR ;
- core0_data_print\[10\] ( core0 hex_out[10] ) ( chip_controller core0_data_print[10] ) + USE SIGNAL
+ ROUTED met1 ( 283130 1490390 ) ( 289570 * )
NEW met2 ( 283130 1490390 ) ( * 1500420 0 )
NEW met2 ( 289570 351730 ) ( * 1490390 )
NEW met2 ( 1283630 346460 ) ( * 351730 )
NEW met1 ( 289570 351730 ) ( 1283630 * )
NEW met3 ( 1283630 346460 ) ( 1300420 * 0 )
NEW met1 ( 289570 351730 ) M1M2_PR
NEW met1 ( 289570 1490390 ) M1M2_PR
NEW met1 ( 283130 1490390 ) M1M2_PR
NEW met1 ( 1283630 351730 ) M1M2_PR
NEW met2 ( 1283630 346460 ) M2M3_PR_M ;
- core0_data_print\[11\] ( core0 hex_out[11] ) ( chip_controller core0_data_print[11] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 346460 0 ) ( 2808300 * )
NEW met3 ( 601220 1770380 ) ( 614100 * )
NEW met3 ( 614100 1769700 ) ( * 1770380 )
NEW met3 ( 614100 1769700 ) ( 2808300 * )
NEW met2 ( 267950 1899580 0 ) ( * 1917260 )
NEW met3 ( 267950 1917260 ) ( 601220 * )
NEW met4 ( 2808300 346460 ) ( * 1769700 )
NEW met4 ( 601220 1770380 ) ( * 1917260 )
NEW met3 ( 601220 1770380 ) M3M4_PR_M
NEW met3 ( 2808300 1769700 ) M3M4_PR_M
NEW met3 ( 601220 1917260 ) M3M4_PR_M
NEW met3 ( 2808300 346460 ) M3M4_PR_M
NEW met2 ( 267950 1917260 ) M2M3_PR_M ;
- core0_data_print\[12\] ( core0 hex_out[12] ) ( chip_controller core0_data_print[12] ) + USE SIGNAL
+ ROUTED met2 ( 2795190 385900 ) ( 2796570 * )
NEW met3 ( 2796570 385900 ) ( 2797260 * )
NEW met3 ( 2797260 383180 0 ) ( * 385900 )
NEW met3 ( 198030 1596300 ) ( 200100 * 0 )
NEW met2 ( 1303410 1475260 ) ( * 1596980 )
NEW met3 ( 1303410 1596980 ) ( 2795190 * )
NEW met2 ( 198030 1475260 ) ( * 1596300 )
NEW met3 ( 198030 1475260 ) ( 1303410 * )
NEW li1 ( 2795190 1425110 ) ( * 1428170 )
NEW met2 ( 2795190 385900 ) ( * 1425110 )
NEW met2 ( 2795190 1428170 ) ( * 1596980 )
NEW met2 ( 2796570 385900 ) M2M3_PR_M
NEW met2 ( 198030 1596300 ) M2M3_PR_M
NEW met2 ( 2795190 1596980 ) M2M3_PR_M
NEW met2 ( 1303410 1475260 ) M2M3_PR_M
NEW met2 ( 1303410 1596980 ) M2M3_PR_M
NEW met2 ( 198030 1475260 ) M2M3_PR_M
NEW li1 ( 2795190 1425110 ) L1M1_PR_MR
NEW met1 ( 2795190 1425110 ) M1M2_PR
NEW li1 ( 2795190 1428170 ) L1M1_PR_MR
NEW met1 ( 2795190 1428170 ) M1M2_PR
NEW met1 ( 2795190 1425110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 2795190 1428170 ) RECT ( -355 -70 0 70 ) ;
- core0_data_print\[13\] ( core0 hex_out[13] ) ( chip_controller core0_data_print[13] ) + USE SIGNAL
+ ROUTED met2 ( 278530 1899580 0 ) ( * 1914540 )
NEW met2 ( 678730 1626220 ) ( * 1932050 )
NEW met3 ( 2799100 456620 0 ) ( 2810140 * )
NEW met1 ( 529230 1932050 ) ( 678730 * )
NEW met3 ( 278530 1914540 ) ( 529230 * )
NEW met2 ( 529230 1914540 ) ( * 1932050 )
NEW met3 ( 678730 1626220 ) ( 2810140 * )
NEW met4 ( 2810140 456620 ) ( * 1626220 )
NEW met1 ( 678730 1932050 ) M1M2_PR
NEW met2 ( 278530 1914540 ) M2M3_PR_M
NEW met2 ( 678730 1626220 ) M2M3_PR_M
NEW met3 ( 2810140 456620 ) M3M4_PR_M
NEW met3 ( 2810140 1626220 ) M3M4_PR_M
NEW met1 ( 529230 1932050 ) M1M2_PR
NEW met2 ( 529230 1914540 ) M2M3_PR_M ;
- core0_data_print\[14\] ( core0 hex_out[14] ) ( chip_controller core0_data_print[14] ) + USE SIGNAL
+ ROUTED met1 ( 298310 1490390 ) ( 303370 * )
NEW met2 ( 298310 1490390 ) ( * 1500420 0 )
NEW met2 ( 303370 462230 ) ( * 1490390 )
NEW met2 ( 1283630 456620 ) ( * 462230 )
NEW met1 ( 303370 462230 ) ( 1283630 * )
NEW met3 ( 1283630 456620 ) ( 1300420 * 0 )
NEW met1 ( 303370 462230 ) M1M2_PR
NEW met1 ( 303370 1490390 ) M1M2_PR
NEW met1 ( 298310 1490390 ) M1M2_PR
NEW met1 ( 1283630 462230 ) M1M2_PR
NEW met2 ( 1283630 456620 ) M2M3_PR_M ;
- core0_data_print\[15\] ( core0 hex_out[15] ) ( chip_controller core0_data_print[15] ) + USE SIGNAL
+ ROUTED met2 ( 292330 1899580 0 ) ( * 1918110 )
NEW met2 ( 1743170 1599700 0 ) ( * 1627410 )
NEW met2 ( 529690 1910290 ) ( * 1918110 )
NEW met1 ( 292330 1918110 ) ( 529690 * )
NEW met1 ( 529690 1910290 ) ( 636410 * )
NEW met1 ( 636410 1627410 ) ( 1743170 * )
NEW met2 ( 636410 1627410 ) ( * 1910290 )
NEW met1 ( 292330 1918110 ) M1M2_PR
NEW met1 ( 1743170 1627410 ) M1M2_PR
NEW met1 ( 529690 1918110 ) M1M2_PR
NEW met1 ( 529690 1910290 ) M1M2_PR
NEW met1 ( 636410 1627410 ) M1M2_PR
NEW met1 ( 636410 1910290 ) M1M2_PR ;
- core0_data_print\[16\] ( core0 hex_out[16] ) ( chip_controller core0_data_print[16] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 511020 ) ( * 517310 )
NEW met3 ( 599380 1604460 0 ) ( 608350 * )
NEW met2 ( 608350 1601910 ) ( * 1604460 )
NEW met2 ( 955650 517310 ) ( * 1601910 )
NEW met1 ( 955650 517310 ) ( 1283630 * )
NEW met3 ( 1283630 511020 ) ( 1300420 * 0 )
NEW met1 ( 608350 1601910 ) ( 955650 * )
NEW met1 ( 955650 517310 ) M1M2_PR
NEW met1 ( 1283630 517310 ) M1M2_PR
NEW met2 ( 1283630 511020 ) M2M3_PR_M
NEW met2 ( 608350 1604460 ) M2M3_PR_M
NEW met1 ( 608350 1601910 ) M1M2_PR
NEW met1 ( 955650 1601910 ) M1M2_PR ;
- core0_data_print\[17\] ( core0 hex_out[17] ) ( chip_controller core0_data_print[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1607860 0 ) ( 608350 * )
NEW met2 ( 608350 1607860 ) ( * 1608030 )
NEW met2 ( 1073410 98430 ) ( * 1608030 )
NEW met2 ( 1614370 98430 ) ( * 100300 0 )
NEW met1 ( 1073410 98430 ) ( 1614370 * )
NEW met1 ( 608350 1608030 ) ( 1073410 * )
NEW met1 ( 1073410 98430 ) M1M2_PR
NEW met2 ( 608350 1607860 ) M2M3_PR_M
NEW met1 ( 608350 1608030 ) M1M2_PR
NEW met1 ( 1073410 1608030 ) M1M2_PR
NEW met1 ( 1614370 98430 ) M1M2_PR ;
- core0_data_print\[18\] ( core0 hex_out[18] ) ( chip_controller core0_data_print[18] ) + USE SIGNAL
+ ROUTED met3 ( 182620 1630300 ) ( 200100 * 0 )
NEW met2 ( 2796110 565800 ) ( 2796570 * )
NEW met2 ( 2796570 550460 ) ( * 565800 )
NEW met3 ( 2796570 550460 ) ( 2797260 * )
NEW met3 ( 2797260 547740 0 ) ( * 550460 )
NEW met2 ( 1302490 1475940 ) ( * 1602590 )
NEW met1 ( 1302490 1602590 ) ( 2796110 * )
NEW met4 ( 182620 1475940 ) ( * 1630300 )
NEW met3 ( 182620 1475940 ) ( 1302490 * )
NEW met2 ( 2796110 565800 ) ( * 1602590 )
NEW met3 ( 182620 1630300 ) M3M4_PR_M
NEW met2 ( 2796570 550460 ) M2M3_PR_M
NEW met1 ( 2796110 1602590 ) M1M2_PR
NEW met2 ( 1302490 1475940 ) M2M3_PR_M
NEW met1 ( 1302490 1602590 ) M1M2_PR
NEW met3 ( 182620 1475940 ) M3M4_PR_M ;
- core0_data_print\[19\] ( core0 hex_out[19] ) ( chip_controller core0_data_print[19] ) + USE SIGNAL
+ ROUTED met3 ( 187910 1637780 ) ( 200100 * 0 )
NEW met2 ( 1824130 1599700 0 ) ( * 1619590 )
NEW met2 ( 1303870 1497190 ) ( * 1619590 )
NEW met1 ( 1303870 1619590 ) ( 1824130 * )
NEW met2 ( 187450 1535100 ) ( 187910 * )
NEW met2 ( 187910 1535100 ) ( * 1550910 )
NEW met1 ( 187910 1550910 ) ( * 1551930 )
NEW met2 ( 187910 1551930 ) ( * 1637780 )
NEW met2 ( 187450 1497190 ) ( * 1535100 )
NEW met1 ( 187450 1497190 ) ( 1303870 * )
NEW met2 ( 187910 1637780 ) M2M3_PR_M
NEW met1 ( 1824130 1619590 ) M1M2_PR
NEW met1 ( 1303870 1497190 ) M1M2_PR
NEW met1 ( 1303870 1619590 ) M1M2_PR
NEW met1 ( 187910 1550910 ) M1M2_PR
NEW met1 ( 187910 1551930 ) M1M2_PR
NEW met1 ( 187450 1497190 ) M1M2_PR ;
- core0_data_print\[1\] ( core0 hex_out[1] ) ( chip_controller core0_data_print[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1508580 0 ) ( 607890 * )
NEW met2 ( 607890 1504330 ) ( * 1508580 )
NEW met2 ( 1283630 126820 ) ( * 134470 )
NEW met3 ( 1283630 126820 ) ( 1300420 * 0 )
NEW met1 ( 607890 1504330 ) ( 811210 * )
NEW met2 ( 811210 134470 ) ( * 1504330 )
NEW met1 ( 811210 134470 ) ( 1283630 * )
NEW met2 ( 1283630 126820 ) M2M3_PR_M
NEW met2 ( 607890 1508580 ) M2M3_PR_M
NEW met1 ( 607890 1504330 ) M1M2_PR
NEW met1 ( 1283630 134470 ) M1M2_PR
NEW met1 ( 811210 134470 ) M1M2_PR
NEW met1 ( 811210 1504330 ) M1M2_PR ;
- core0_data_print\[20\] ( core0 hex_out[20] ) ( chip_controller core0_data_print[20] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1642540 ) ( * 1642710 )
NEW met3 ( 189750 1642540 ) ( 200100 * 0 )
NEW met2 ( 127190 783190 ) ( * 1642710 )
NEW met2 ( 1287770 566100 ) ( * 783190 )
NEW met1 ( 127190 1642710 ) ( 189750 * )
NEW met1 ( 127190 783190 ) ( 1287770 * )
NEW met3 ( 1287770 566100 ) ( 1300420 * 0 )
NEW met1 ( 127190 783190 ) M1M2_PR
NEW met1 ( 127190 1642710 ) M1M2_PR
NEW met1 ( 189750 1642710 ) M1M2_PR
NEW met2 ( 189750 1642540 ) M2M3_PR_M
NEW met2 ( 1287770 566100 ) M2M3_PR_M
NEW met1 ( 1287770 783190 ) M1M2_PR ;
- core0_data_print\[21\] ( core0 hex_out[21] ) ( chip_controller core0_data_print[21] ) + USE SIGNAL
+ ROUTED met3 ( 189060 1649340 ) ( 200100 * 0 )
NEW met3 ( 2797030 623900 ) ( 2797260 * )
NEW met3 ( 2797260 621180 0 ) ( * 623900 )
NEW met2 ( 1298350 1499740 ) ( * 1591030 )
NEW met1 ( 1298350 1591030 ) ( 2797030 * )
NEW met4 ( 189060 1559400 ) ( * 1649340 )
NEW met4 ( 189060 1559400 ) ( 190900 * )
NEW met4 ( 190900 1499740 ) ( * 1559400 )
NEW met3 ( 190900 1499740 ) ( 1298350 * )
NEW met2 ( 2797030 623900 ) ( * 1591030 )
NEW met3 ( 189060 1649340 ) M3M4_PR_M
NEW met2 ( 2797030 623900 ) M2M3_PR_M
NEW met1 ( 2797030 1591030 ) M1M2_PR
NEW met2 ( 1298350 1499740 ) M2M3_PR_M
NEW met1 ( 1298350 1591030 ) M1M2_PR
NEW met3 ( 190900 1499740 ) M3M4_PR_M ;
- core0_data_print\[22\] ( core0 hex_out[22] ) ( chip_controller core0_data_print[22] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 621180 ) ( * 627810 )
NEW met1 ( 346610 1490390 ) ( 350750 * )
NEW met2 ( 346610 1490390 ) ( * 1500420 0 )
NEW met2 ( 350750 627810 ) ( * 1490390 )
NEW met1 ( 350750 627810 ) ( 1283630 * )
NEW met3 ( 1283630 621180 ) ( 1300420 * 0 )
NEW met1 ( 1283630 627810 ) M1M2_PR
NEW met2 ( 1283630 621180 ) M2M3_PR_M
NEW met1 ( 350750 627810 ) M1M2_PR
NEW met1 ( 350750 1490390 ) M1M2_PR
NEW met1 ( 346610 1490390 ) M1M2_PR ;
- core0_data_print\[23\] ( core0 hex_out[23] ) ( chip_controller core0_data_print[23] ) + USE SIGNAL
+ ROUTED met1 ( 346150 1459450 ) ( 1302030 * )
NEW met2 ( 346150 1459450 ) ( * 1483500 )
NEW met2 ( 346150 1483500 ) ( 347070 * )
NEW met2 ( 347070 1483500 ) ( * 1500420 )
NEW met2 ( 347070 1500420 ) ( 348450 * 0 )
NEW met2 ( 1302030 1459450 ) ( * 1619250 )
NEW met2 ( 1904630 1599700 0 ) ( * 1619250 )
NEW met1 ( 1302030 1619250 ) ( 1904630 * )
NEW met1 ( 346150 1459450 ) M1M2_PR
NEW met1 ( 1302030 1459450 ) M1M2_PR
NEW met1 ( 1302030 1619250 ) M1M2_PR
NEW met1 ( 1904630 1619250 ) M1M2_PR ;
- core0_data_print\[24\] ( core0 hex_out[24] ) ( chip_controller core0_data_print[24] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1663450 ) ( * 1663620 )
NEW met3 ( 189750 1663620 ) ( 200100 * 0 )
NEW met3 ( 2797950 696660 ) ( 2798180 * )
NEW met3 ( 2798180 693940 0 ) ( * 696660 )
NEW met1 ( 174570 1663450 ) ( 189750 * )
NEW met2 ( 1302950 1476450 ) ( * 1601910 )
NEW met1 ( 1302950 1601910 ) ( 2797950 * )
NEW met2 ( 174570 1476450 ) ( * 1663450 )
NEW met1 ( 174570 1476450 ) ( 1302950 * )
NEW met2 ( 2797950 696660 ) ( * 1601910 )
NEW met1 ( 189750 1663450 ) M1M2_PR
NEW met2 ( 189750 1663620 ) M2M3_PR_M
NEW met2 ( 2797950 696660 ) M2M3_PR_M
NEW met1 ( 2797950 1601910 ) M1M2_PR
NEW met1 ( 174570 1663450 ) M1M2_PR
NEW met1 ( 1302950 1476450 ) M1M2_PR
NEW met1 ( 1302950 1601910 ) M1M2_PR
NEW met1 ( 174570 1476450 ) M1M2_PR ;
- core0_data_print\[25\] ( core0 hex_out[25] ) ( chip_controller core0_data_print[25] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1659540 0 ) ( 608810 * )
NEW met2 ( 608810 1656310 ) ( * 1659540 )
NEW met2 ( 1918890 1599700 ) ( 1920730 * 0 )
NEW met2 ( 1918890 1599700 ) ( * 1656310 )
NEW met1 ( 608810 1656310 ) ( 1918890 * )
NEW met2 ( 608810 1659540 ) M2M3_PR_M
NEW met1 ( 608810 1656310 ) M1M2_PR
NEW met1 ( 1918890 1656310 ) M1M2_PR ;
- core0_data_print\[26\] ( core0 hex_out[26] ) ( chip_controller core0_data_print[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1664300 0 ) ( 607890 * )
NEW met2 ( 607890 1663790 ) ( * 1664300 )
NEW met1 ( 607890 1663790 ) ( 1211410 * )
NEW met2 ( 1775370 98090 ) ( * 100300 0 )
NEW met1 ( 1211410 98090 ) ( 1775370 * )
NEW met2 ( 1211410 98090 ) ( * 1663790 )
NEW met2 ( 607890 1664300 ) M2M3_PR_M
NEW met1 ( 607890 1663790 ) M1M2_PR
NEW met1 ( 1211410 98090 ) M1M2_PR
NEW met1 ( 1211410 1663790 ) M1M2_PR
NEW met1 ( 1775370 98090 ) M1M2_PR ;
- core0_data_print\[27\] ( core0 hex_out[27] ) ( chip_controller core0_data_print[27] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 712300 ) ( * 717570 )
NEW met1 ( 367310 1490390 ) ( 372370 * )
NEW met2 ( 367310 1490390 ) ( * 1500420 0 )
NEW met2 ( 372370 717570 ) ( * 1490390 )
NEW met1 ( 372370 717570 ) ( 1283630 * )
NEW met3 ( 1283630 712300 ) ( 1300420 * 0 )
NEW met1 ( 1283630 717570 ) M1M2_PR
NEW met2 ( 1283630 712300 ) M2M3_PR_M
NEW met1 ( 372370 717570 ) M1M2_PR
NEW met1 ( 372370 1490390 ) M1M2_PR
NEW met1 ( 367310 1490390 ) M1M2_PR ;
- core0_data_print\[28\] ( core0 hex_out[28] ) ( chip_controller core0_data_print[28] ) + USE SIGNAL
+ ROUTED met1 ( 368690 1458770 ) ( 1301570 * )
NEW met2 ( 368690 1458770 ) ( * 1500420 0 )
NEW met2 ( 1301570 1458770 ) ( * 1618570 )
NEW met2 ( 1985130 1599700 0 ) ( * 1618570 )
NEW met1 ( 1301570 1618570 ) ( 1985130 * )
NEW met1 ( 368690 1458770 ) M1M2_PR
NEW met1 ( 1301570 1458770 ) M1M2_PR
NEW met1 ( 1301570 1618570 ) M1M2_PR
NEW met1 ( 1985130 1618570 ) M1M2_PR ;
- core0_data_print\[29\] ( core0 hex_out[29] ) ( chip_controller core0_data_print[29] ) + USE SIGNAL
+ ROUTED met2 ( 375590 1458430 ) ( * 1500420 0 )
NEW met2 ( 1285930 1458430 ) ( * 1599190 )
NEW met3 ( 2799100 749020 0 ) ( 2803470 * )
NEW met1 ( 375590 1458430 ) ( 1285930 * )
NEW met1 ( 1285930 1599190 ) ( 2803470 * )
NEW met2 ( 2803470 749020 ) ( * 1599190 )
NEW met1 ( 375590 1458430 ) M1M2_PR
NEW met1 ( 1285930 1458430 ) M1M2_PR
NEW met1 ( 1285930 1599190 ) M1M2_PR
NEW met2 ( 2803470 749020 ) M2M3_PR_M
NEW met1 ( 2803470 1599190 ) M1M2_PR ;
- core0_data_print\[2\] ( core0 hex_out[2] ) ( chip_controller core0_data_print[2] ) + USE SIGNAL
+ ROUTED met2 ( 2809910 104380 ) ( * 127330 )
NEW met1 ( 2808530 127330 ) ( 2809910 * )
NEW met2 ( 114770 104380 ) ( * 1911650 )
NEW met2 ( 217810 1899580 0 ) ( * 1911650 )
NEW met3 ( 2799100 145180 0 ) ( 2808530 * )
NEW met2 ( 2808530 127330 ) ( * 145180 )
NEW met3 ( 114770 104380 ) ( 2809910 * )
NEW met1 ( 114770 1911650 ) ( 217810 * )
NEW met2 ( 114770 104380 ) M2M3_PR_M
NEW met2 ( 2809910 104380 ) M2M3_PR_M
NEW met1 ( 2809910 127330 ) M1M2_PR
NEW met1 ( 2808530 127330 ) M1M2_PR
NEW met1 ( 114770 1911650 ) M1M2_PR
NEW met1 ( 217810 1911650 ) M1M2_PR
NEW met2 ( 2808530 145180 ) M2M3_PR_M ;
- core0_data_print\[30\] ( core0 hex_out[30] ) ( chip_controller core0_data_print[30] ) + USE SIGNAL
+ ROUTED met2 ( 1835630 100300 ) ( 1840230 * 0 )
NEW met1 ( 381110 1490390 ) ( 386170 * )
NEW met2 ( 381110 1490390 ) ( * 1500420 0 )
NEW met2 ( 386170 82790 ) ( * 1490390 )
NEW met2 ( 1835630 82790 ) ( * 100300 )
NEW met1 ( 386170 82790 ) ( 1835630 * )
NEW met1 ( 386170 82790 ) M1M2_PR
NEW met1 ( 386170 1490390 ) M1M2_PR
NEW met1 ( 381110 1490390 ) M1M2_PR
NEW met1 ( 1835630 82790 ) M1M2_PR ;
- core0_data_print\[31\] ( core0 hex_out[31] ) ( chip_controller core0_data_print[31] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 767380 0 ) ( 2811290 * )
NEW met2 ( 2811290 767380 ) ( * 772310 )
NEW met1 ( 2811290 772310 ) ( 2825550 * )
NEW met3 ( 599380 1684020 0 ) ( 607430 * )
NEW met2 ( 607430 1683850 ) ( * 1684020 )
NEW met2 ( 2825550 772310 ) ( * 1683850 )
NEW met1 ( 607430 1683850 ) ( 2825550 * )
NEW met2 ( 2811290 767380 ) M2M3_PR_M
NEW met1 ( 2811290 772310 ) M1M2_PR
NEW met1 ( 2825550 772310 ) M1M2_PR
NEW met2 ( 607430 1684020 ) M2M3_PR_M
NEW met1 ( 607430 1683850 ) M1M2_PR
NEW met1 ( 2825550 1683850 ) M1M2_PR ;
- core0_data_print\[3\] ( core0 hex_out[3] ) ( chip_controller core0_data_print[3] ) + USE SIGNAL
+ ROUTED met4 ( 1385980 102340 ) ( * 105060 )
NEW met3 ( 204700 105060 ) ( 1385980 * )
NEW met2 ( 1387130 102340 ) ( 1388510 * 0 )
NEW met3 ( 1385980 102340 ) ( 1387130 * )
NEW met3 ( 199410 1521500 ) ( 200100 * 0 )
NEW met2 ( 199410 1521500 ) ( 200330 * )
NEW met2 ( 200330 1498380 ) ( * 1521500 )
NEW met3 ( 200330 1498380 ) ( 204700 * )
NEW met4 ( 204700 105060 ) ( * 1498380 )
NEW met3 ( 204700 105060 ) M3M4_PR_M
NEW met3 ( 1385980 105060 ) M3M4_PR_M
NEW met3 ( 1385980 102340 ) M3M4_PR_M
NEW met2 ( 1387130 102340 ) M2M3_PR_M
NEW met2 ( 199410 1521500 ) M2M3_PR_M
NEW met2 ( 200330 1498380 ) M2M3_PR_M
NEW met3 ( 204700 1498380 ) M3M4_PR_M ;
- core0_data_print\[4\] ( core0 hex_out[4] ) ( chip_controller core0_data_print[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1529660 0 ) ( 607890 * )
NEW met2 ( 607890 1525070 ) ( * 1529660 )
NEW met2 ( 1404610 98770 ) ( * 100300 0 )
NEW met1 ( 1204510 98770 ) ( 1404610 * )
NEW met1 ( 607890 1525070 ) ( 1204510 * )
NEW met2 ( 1204510 98770 ) ( * 1525070 )
NEW met2 ( 607890 1529660 ) M2M3_PR_M
NEW met1 ( 607890 1525070 ) M1M2_PR
NEW met1 ( 1204510 98770 ) M1M2_PR
NEW met1 ( 1404610 98770 ) M1M2_PR
NEW met1 ( 1204510 1525070 ) M1M2_PR ;
- core0_data_print\[5\] ( core0 hex_out[5] ) ( chip_controller core0_data_print[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1544620 0 ) ( 613870 * )
NEW met3 ( 2799100 200260 0 ) ( 2809450 * )
NEW met2 ( 2809450 200260 ) ( * 205870 )
NEW met1 ( 2809450 205870 ) ( 2823250 * )
NEW met2 ( 613870 1544620 ) ( * 1595110 )
NEW met2 ( 2823250 205870 ) ( * 1595110 )
NEW met1 ( 613870 1595110 ) ( 2823250 * )
NEW met2 ( 613870 1544620 ) M2M3_PR_M
NEW met2 ( 2809450 200260 ) M2M3_PR_M
NEW met1 ( 2809450 205870 ) M1M2_PR
NEW met1 ( 2823250 205870 ) M1M2_PR
NEW met1 ( 613870 1595110 ) M1M2_PR
NEW met1 ( 2823250 1595110 ) M1M2_PR ;
- core0_data_print\[6\] ( core0 hex_out[6] ) ( chip_controller core0_data_print[6] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 273700 ) ( * 275910 )
NEW met1 ( 257370 1490050 ) ( 261970 * )
NEW met2 ( 257370 1490050 ) ( * 1500420 0 )
NEW met2 ( 261970 275910 ) ( * 1490050 )
NEW met1 ( 261970 275910 ) ( 1283630 * )
NEW met3 ( 1283630 273700 ) ( 1300420 * 0 )
NEW met1 ( 1283630 275910 ) M1M2_PR
NEW met2 ( 1283630 273700 ) M2M3_PR_M
NEW met1 ( 261970 275910 ) M1M2_PR
NEW met1 ( 261970 1490050 ) M1M2_PR
NEW met1 ( 257370 1490050 ) M1M2_PR ;
- core0_data_print\[7\] ( core0 hex_out[7] ) ( chip_controller core0_data_print[7] ) + USE SIGNAL
+ ROUTED met2 ( 109250 103020 ) ( * 1912330 )
NEW met2 ( 2809910 179400 ) ( 2810370 * )
NEW met2 ( 2810370 103020 ) ( * 179400 )
NEW met3 ( 2799100 236980 0 ) ( 2809910 * )
NEW met2 ( 2809910 179400 ) ( * 236980 )
NEW met3 ( 109250 103020 ) ( 2810370 * )
NEW met2 ( 240350 1899580 0 ) ( * 1912330 )
NEW met1 ( 109250 1912330 ) ( 240350 * )
NEW met2 ( 109250 103020 ) M2M3_PR_M
NEW met2 ( 2810370 103020 ) M2M3_PR_M
NEW met1 ( 109250 1912330 ) M1M2_PR
NEW met2 ( 2809910 236980 ) M2M3_PR_M
NEW met1 ( 240350 1912330 ) M1M2_PR ;
- core0_data_print\[8\] ( core0 hex_out[8] ) ( chip_controller core0_data_print[8] ) + USE SIGNAL
+ ROUTED met2 ( 1300190 1503650 ) ( * 1621970 )
NEW met2 ( 1614370 1599700 0 ) ( * 1621970 )
NEW met1 ( 1300190 1621970 ) ( 1614370 * )
NEW met1 ( 188830 1511130 ) ( 191130 * )
NEW li1 ( 191130 1511130 ) ( * 1535950 )
NEW met2 ( 191130 1535950 ) ( * 1554140 )
NEW met3 ( 191130 1554140 ) ( 200100 * 0 )
NEW met1 ( 207000 1503650 ) ( 1300190 * )
NEW met1 ( 188830 1503990 ) ( 207000 * )
NEW met1 ( 207000 1503650 ) ( * 1503990 )
NEW met2 ( 188830 1503990 ) ( * 1511130 )
NEW met1 ( 1300190 1503650 ) M1M2_PR
NEW met1 ( 1300190 1621970 ) M1M2_PR
NEW met1 ( 1614370 1621970 ) M1M2_PR
NEW met1 ( 188830 1511130 ) M1M2_PR
NEW li1 ( 191130 1511130 ) L1M1_PR_MR
NEW li1 ( 191130 1535950 ) L1M1_PR_MR
NEW met1 ( 191130 1535950 ) M1M2_PR
NEW met2 ( 191130 1554140 ) M2M3_PR_M
NEW met1 ( 188830 1503990 ) M1M2_PR
NEW met1 ( 191130 1535950 ) RECT ( -355 -70 0 70 ) ;
- core0_data_print\[9\] ( core0 hex_out[9] ) ( chip_controller core0_data_print[9] ) + USE SIGNAL
+ ROUTED met3 ( 196420 1562980 ) ( 200100 * 0 )
NEW met2 ( 1284550 1483420 ) ( * 1602420 )
NEW met3 ( 2799100 273700 0 ) ( 2807610 * )
NEW met3 ( 1284550 1602420 ) ( 2807610 * )
NEW met4 ( 196420 1483420 ) ( * 1562980 )
NEW met3 ( 196420 1483420 ) ( 1284550 * )
NEW met2 ( 2807610 273700 ) ( * 1602420 )
NEW met3 ( 196420 1562980 ) M3M4_PR_M
NEW met2 ( 1284550 1483420 ) M2M3_PR_M
NEW met2 ( 1284550 1602420 ) M2M3_PR_M
NEW met2 ( 2807610 273700 ) M2M3_PR_M
NEW met2 ( 2807610 1602420 ) M2M3_PR_M
NEW met3 ( 196420 1483420 ) M3M4_PR_M ;
- core0_is_mem_we ( core0 is_memory_we ) ( chip_controller we ) + USE SIGNAL
+ ROUTED met2 ( 219650 1487330 ) ( * 1500420 0 )
NEW met2 ( 286350 104210 ) ( * 1487330 )
NEW met2 ( 1324110 103530 ) ( * 103700 0 )
NEW li1 ( 1324110 103530 ) ( * 104210 )
NEW met1 ( 286350 104210 ) ( 1324110 * )
NEW met1 ( 219650 1487330 ) ( 286350 * )
NEW met1 ( 286350 104210 ) M1M2_PR
NEW met1 ( 219650 1487330 ) M1M2_PR
NEW met1 ( 286350 1487330 ) M1M2_PR
NEW li1 ( 1324110 103530 ) L1M1_PR_MR
NEW met1 ( 1324110 103530 ) M1M2_PR
NEW li1 ( 1324110 104210 ) L1M1_PR_MR
NEW met1 ( 1324110 103530 ) RECT ( -355 -70 0 70 ) ;
- core0_need_reset_mem_req ( core0 is_mem_req_reset ) ( chip_controller reset_mem_req ) + USE SIGNAL
+ ROUTED met1 ( 1284090 1553630 ) ( 1286850 * )
NEW met2 ( 222870 1486650 ) ( * 1500420 0 )
NEW met2 ( 1284090 1481550 ) ( * 1553630 )
NEW met2 ( 1286850 1553630 ) ( * 1592220 )
NEW met1 ( 532450 1481550 ) ( 1284090 * )
NEW met1 ( 222870 1486650 ) ( 532450 * )
NEW met2 ( 532450 1481550 ) ( * 1486650 )
NEW met4 ( 1419100 1592220 ) ( * 1597660 )
NEW met3 ( 1419100 1597660 ) ( 1419330 * )
NEW met2 ( 1419330 1597660 ) ( 1420710 * 0 )
NEW met3 ( 1286850 1592220 ) ( 1419100 * )
NEW met1 ( 1284090 1481550 ) M1M2_PR
NEW met1 ( 1284090 1553630 ) M1M2_PR
NEW met1 ( 1286850 1553630 ) M1M2_PR
NEW met1 ( 222870 1486650 ) M1M2_PR
NEW met2 ( 1286850 1592220 ) M2M3_PR_M
NEW met1 ( 532450 1481550 ) M1M2_PR
NEW met1 ( 532450 1486650 ) M1M2_PR
NEW met3 ( 1419100 1592220 ) M3M4_PR_M
NEW met3 ( 1419100 1597660 ) M3M4_PR_M
NEW met2 ( 1419330 1597660 ) M2M3_PR_M
NEW met3 ( 1419100 1597660 ) RECT ( -390 -150 0 150 ) ;
- core0_to_mem_address\[0\] ( core0 mem_addr_out[0] ) ( chip_controller addr_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 1436810 1599700 0 ) ( * 1607350 )
NEW met2 ( 229310 1484610 ) ( * 1500420 )
NEW met2 ( 227930 1500420 0 ) ( 229310 * )
NEW met1 ( 229310 1484610 ) ( 1045350 * )
NEW met2 ( 1045350 1484610 ) ( * 1607350 )
NEW met1 ( 1045350 1607350 ) ( 1436810 * )
NEW met1 ( 1436810 1607350 ) M1M2_PR
NEW met1 ( 229310 1484610 ) M1M2_PR
NEW met1 ( 1045350 1484610 ) M1M2_PR
NEW met1 ( 1045350 1607350 ) M1M2_PR ;
- core0_to_mem_address\[10\] ( core0 mem_addr_out[10] ) ( chip_controller addr_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 282670 365670 ) ( * 1483500 )
NEW met2 ( 280830 1483500 ) ( 282670 * )
NEW met2 ( 280830 1483500 ) ( * 1500420 )
NEW met2 ( 279450 1500420 0 ) ( 280830 * )
NEW met2 ( 1283630 364820 ) ( * 365670 )
NEW met1 ( 282670 365670 ) ( 1283630 * )
NEW met3 ( 1283630 364820 ) ( 1300420 * 0 )
NEW met1 ( 282670 365670 ) M1M2_PR
NEW met1 ( 1283630 365670 ) M1M2_PR
NEW met2 ( 1283630 364820 ) M2M3_PR_M ;
- core0_to_mem_address\[11\] ( core0 mem_addr_out[11] ) ( chip_controller addr_in[11] ) + USE SIGNAL
+ ROUTED met3 ( 195500 1580660 ) ( 200100 * 0 )
NEW met2 ( 1283630 1482740 ) ( * 1595620 )
NEW met2 ( 2794730 372600 ) ( 2796570 * )
NEW met2 ( 2796570 366180 ) ( * 372600 )
NEW met3 ( 2796570 366180 ) ( 2797260 * )
NEW met3 ( 2797260 364820 0 ) ( * 366180 )
NEW met3 ( 1283630 1595620 ) ( 2794730 * )
NEW met4 ( 195500 1482740 ) ( * 1580660 )
NEW met3 ( 195500 1482740 ) ( 1283630 * )
NEW li1 ( 2794730 1165350 ) ( * 1206490 )
NEW li1 ( 2794730 1354390 ) ( * 1355750 )
NEW met2 ( 2794730 1206490 ) ( * 1354390 )
NEW li1 ( 2794730 651950 ) ( * 674730 )
NEW met2 ( 2794730 372600 ) ( * 651950 )
NEW li1 ( 2794730 1025950 ) ( * 1027310 )
NEW met2 ( 2794730 674730 ) ( * 1025950 )
NEW met2 ( 2794730 1027310 ) ( * 1165350 )
NEW li1 ( 2794730 1424430 ) ( * 1440410 )
NEW met2 ( 2794730 1355750 ) ( * 1424430 )
NEW li1 ( 2794730 1521330 ) ( * 1536630 )
NEW met2 ( 2794730 1440410 ) ( * 1521330 )
NEW met2 ( 2794730 1536630 ) ( * 1595620 )
NEW met2 ( 1283630 1482740 ) M2M3_PR_M
NEW met3 ( 195500 1580660 ) M3M4_PR_M
NEW met2 ( 1283630 1595620 ) M2M3_PR_M
NEW met2 ( 2796570 366180 ) M2M3_PR_M
NEW met2 ( 2794730 1595620 ) M2M3_PR_M
NEW met3 ( 195500 1482740 ) M3M4_PR_M
NEW li1 ( 2794730 1165350 ) L1M1_PR_MR
NEW met1 ( 2794730 1165350 ) M1M2_PR
NEW li1 ( 2794730 1206490 ) L1M1_PR_MR
NEW met1 ( 2794730 1206490 ) M1M2_PR
NEW li1 ( 2794730 1354390 ) L1M1_PR_MR
NEW met1 ( 2794730 1354390 ) M1M2_PR
NEW li1 ( 2794730 1355750 ) L1M1_PR_MR
NEW met1 ( 2794730 1355750 ) M1M2_PR
NEW li1 ( 2794730 651950 ) L1M1_PR_MR
NEW met1 ( 2794730 651950 ) M1M2_PR
NEW li1 ( 2794730 674730 ) L1M1_PR_MR
NEW met1 ( 2794730 674730 ) M1M2_PR
NEW li1 ( 2794730 1025950 ) L1M1_PR_MR
NEW met1 ( 2794730 1025950 ) M1M2_PR
NEW li1 ( 2794730 1027310 ) L1M1_PR_MR
NEW met1 ( 2794730 1027310 ) M1M2_PR
NEW li1 ( 2794730 1424430 ) L1M1_PR_MR
NEW met1 ( 2794730 1424430 ) M1M2_PR
NEW li1 ( 2794730 1440410 ) L1M1_PR_MR
NEW met1 ( 2794730 1440410 ) M1M2_PR
NEW li1 ( 2794730 1521330 ) L1M1_PR_MR
NEW met1 ( 2794730 1521330 ) M1M2_PR
NEW li1 ( 2794730 1536630 ) L1M1_PR_MR
NEW met1 ( 2794730 1536630 ) M1M2_PR
NEW met1 ( 2794730 1165350 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1206490 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1354390 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1355750 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 651950 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 674730 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1025950 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1027310 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1424430 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1440410 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1521330 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1536630 ) RECT ( 0 -70 355 70 ) ;
- core0_to_mem_address\[12\] ( core0 mem_addr_out[12] ) ( chip_controller addr_in[12] ) + USE SIGNAL
+ ROUTED met3 ( 2796570 404260 ) ( 2797260 * )
NEW met3 ( 2797260 401540 0 ) ( * 404260 )
NEW met3 ( 188140 1594260 ) ( 200100 * 0 )
NEW met2 ( 1285010 1475770 ) ( * 1596300 )
NEW met2 ( 2795650 469200 ) ( 2796570 * )
NEW met2 ( 2796570 404260 ) ( * 469200 )
NEW met3 ( 1285010 1596300 ) ( 2795650 * )
NEW met3 ( 188140 1497700 ) ( 192510 * )
NEW met2 ( 192510 1475770 ) ( * 1497700 )
NEW met4 ( 188140 1497700 ) ( * 1594260 )
NEW met1 ( 192510 1475770 ) ( 1285010 * )
NEW met2 ( 2795650 469200 ) ( * 1596300 )
NEW met1 ( 1285010 1475770 ) M1M2_PR
NEW met2 ( 2796570 404260 ) M2M3_PR_M
NEW met3 ( 188140 1594260 ) M3M4_PR_M
NEW met2 ( 1285010 1596300 ) M2M3_PR_M
NEW met2 ( 2795650 1596300 ) M2M3_PR_M
NEW met3 ( 188140 1497700 ) M3M4_PR_M
NEW met2 ( 192510 1497700 ) M2M3_PR_M
NEW met1 ( 192510 1475770 ) M1M2_PR ;
- core0_to_mem_address\[13\] ( core0 mem_addr_out[13] ) ( chip_controller addr_in[13] ) + USE SIGNAL
+ ROUTED li1 ( 215510 1498890 ) ( * 1500590 )
NEW met3 ( 191130 1604460 ) ( 200100 * 0 )
NEW met2 ( 1304790 1498890 ) ( * 1531800 )
NEW met2 ( 1304790 1531800 ) ( 1306170 * )
NEW met1 ( 215510 1498890 ) ( 1304790 * )
NEW met2 ( 1306170 1531800 ) ( * 1608370 )
NEW met2 ( 1694870 1599700 0 ) ( * 1608370 )
NEW met1 ( 1306170 1608370 ) ( 1694870 * )
NEW met2 ( 191130 1559400 ) ( * 1604460 )
NEW met2 ( 190670 1559400 ) ( 191130 * )
NEW met2 ( 190670 1500590 ) ( * 1559400 )
NEW met1 ( 190670 1500590 ) ( 215510 * )
NEW li1 ( 215510 1500590 ) L1M1_PR_MR
NEW li1 ( 215510 1498890 ) L1M1_PR_MR
NEW met2 ( 191130 1604460 ) M2M3_PR_M
NEW met1 ( 1304790 1498890 ) M1M2_PR
NEW met1 ( 1306170 1608370 ) M1M2_PR
NEW met1 ( 1694870 1608370 ) M1M2_PR
NEW met1 ( 190670 1500590 ) M1M2_PR ;
- core0_to_mem_address\[14\] ( core0 mem_addr_out[14] ) ( chip_controller addr_in[14] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 474980 0 ) ( 2812670 * )
NEW met2 ( 2812670 474980 ) ( * 475150 )
NEW met1 ( 2812670 475150 ) ( 2829690 * )
NEW met3 ( 599380 1592900 0 ) ( 608350 * )
NEW met2 ( 608350 1592900 ) ( * 1594770 )
NEW met2 ( 2829690 475150 ) ( * 1594770 )
NEW met1 ( 608350 1594770 ) ( 2829690 * )
NEW met2 ( 2812670 474980 ) M2M3_PR_M
NEW met1 ( 2812670 475150 ) M1M2_PR
NEW met1 ( 2829690 475150 ) M1M2_PR
NEW met2 ( 608350 1592900 ) M2M3_PR_M
NEW met1 ( 608350 1594770 ) M1M2_PR
NEW met1 ( 2829690 1594770 ) M1M2_PR ;
- core0_to_mem_address\[15\] ( core0 mem_addr_out[15] ) ( chip_controller addr_in[15] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 511020 0 ) ( 2809450 * )
NEW met2 ( 312110 1484780 ) ( * 1500420 0 )
NEW met3 ( 312110 1484780 ) ( 1232570 * )
NEW met2 ( 1232570 1484780 ) ( * 1598510 )
NEW met1 ( 1232570 1598510 ) ( 2809450 * )
NEW met2 ( 2809450 511020 ) ( * 1598510 )
NEW met2 ( 2809450 511020 ) M2M3_PR_M
NEW met2 ( 312110 1484780 ) M2M3_PR_M
NEW met1 ( 2809450 1598510 ) M1M2_PR
NEW met2 ( 1232570 1484780 ) M2M3_PR_M
NEW met1 ( 1232570 1598510 ) M1M2_PR ;
- core0_to_mem_address\[16\] ( core0 mem_addr_out[16] ) ( chip_controller addr_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 301070 1899580 0 ) ( * 1907230 )
NEW met2 ( 1598270 84490 ) ( * 100300 0 )
NEW met1 ( 618470 84490 ) ( 1598270 * )
NEW met1 ( 301070 1907230 ) ( 618470 * )
NEW met2 ( 618470 84490 ) ( * 1907230 )
NEW met1 ( 301070 1907230 ) M1M2_PR
NEW met1 ( 618470 84490 ) M1M2_PR
NEW met1 ( 1598270 84490 ) M1M2_PR
NEW met1 ( 618470 1907230 ) M1M2_PR ;
- core0_to_mem_address\[17\] ( core0 mem_addr_out[17] ) ( chip_controller addr_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 1630470 97410 ) ( * 100300 0 )
NEW met2 ( 322690 1485630 ) ( * 1500420 0 )
NEW met2 ( 376510 97410 ) ( * 1485630 )
NEW met1 ( 376510 97410 ) ( 1630470 * )
NEW met1 ( 322690 1485630 ) ( 376510 * )
NEW met1 ( 376510 97410 ) M1M2_PR
NEW met1 ( 1630470 97410 ) M1M2_PR
NEW met1 ( 322690 1485630 ) M1M2_PR
NEW met1 ( 376510 1485630 ) M1M2_PR ;
- core0_to_mem_address\[18\] ( core0 mem_addr_out[18] ) ( chip_controller addr_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 318550 1899580 0 ) ( 319010 * )
NEW met2 ( 319010 1899580 ) ( * 1899750 )
NEW li1 ( 574770 1899750 ) ( * 1901110 )
NEW met1 ( 574770 1901110 ) ( 603290 * )
NEW met1 ( 319010 1899750 ) ( 574770 * )
NEW met2 ( 1808030 1599700 0 ) ( * 1611770 )
NEW met1 ( 603290 1611770 ) ( 1808030 * )
NEW met2 ( 603290 1611770 ) ( * 1901110 )
NEW met1 ( 319010 1899750 ) M1M2_PR
NEW met1 ( 603290 1611770 ) M1M2_PR
NEW li1 ( 574770 1899750 ) L1M1_PR_MR
NEW li1 ( 574770 1901110 ) L1M1_PR_MR
NEW met1 ( 603290 1901110 ) M1M2_PR
NEW met1 ( 1808030 1611770 ) M1M2_PR ;
- core0_to_mem_address\[19\] ( core0 mem_addr_out[19] ) ( chip_controller addr_in[19] ) + USE SIGNAL
+ ROUTED met2 ( 783150 1487330 ) ( * 1603950 )
NEW met2 ( 1840230 1599700 0 ) ( * 1603950 )
NEW li1 ( 366390 1485290 ) ( * 1487330 )
NEW met1 ( 329590 1485290 ) ( 366390 * )
NEW met2 ( 329590 1485290 ) ( * 1500420 0 )
NEW met1 ( 366390 1487330 ) ( 783150 * )
NEW met1 ( 783150 1603950 ) ( 1840230 * )
NEW met1 ( 783150 1487330 ) M1M2_PR
NEW met1 ( 783150 1603950 ) M1M2_PR
NEW met1 ( 1840230 1603950 ) M1M2_PR
NEW li1 ( 366390 1487330 ) L1M1_PR_MR
NEW li1 ( 366390 1485290 ) L1M1_PR_MR
NEW met1 ( 329590 1485290 ) M1M2_PR ;
- core0_to_mem_address\[1\] ( core0 mem_addr_out[1] ) ( chip_controller addr_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 1340210 103530 ) ( * 103700 0 )
NEW li1 ( 1340210 103530 ) ( * 104550 )
NEW met1 ( 238050 104550 ) ( 1340210 * )
NEW met1 ( 232990 1489710 ) ( 238050 * )
NEW met2 ( 232990 1489710 ) ( * 1500420 0 )
NEW met2 ( 238050 104550 ) ( * 1489710 )
NEW li1 ( 1340210 103530 ) L1M1_PR_MR
NEW met1 ( 1340210 103530 ) M1M2_PR
NEW li1 ( 1340210 104550 ) L1M1_PR_MR
NEW met1 ( 238050 104550 ) M1M2_PR
NEW met1 ( 238050 1489710 ) M1M2_PR
NEW met1 ( 232990 1489710 ) M1M2_PR
NEW met1 ( 1340210 103530 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_address\[2\] ( core0 mem_addr_out[2] ) ( chip_controller addr_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 218270 165410 ) ( * 1499910 )
NEW met2 ( 1283630 163540 ) ( * 165410 )
NEW met1 ( 218270 165410 ) ( 1283630 * )
NEW met3 ( 1283630 163540 ) ( 1300420 * 0 )
NEW met3 ( 200100 1508580 ) ( * 1509260 0 )
NEW met3 ( 198950 1508580 ) ( 200100 * )
NEW met2 ( 198950 1499910 ) ( * 1508580 )
NEW met1 ( 198950 1499910 ) ( 218270 * )
NEW met1 ( 218270 165410 ) M1M2_PR
NEW met1 ( 218270 1499910 ) M1M2_PR
NEW met1 ( 1283630 165410 ) M1M2_PR
NEW met2 ( 1283630 163540 ) M2M3_PR_M
NEW met2 ( 198950 1508580 ) M2M3_PR_M
NEW met1 ( 198950 1499910 ) M1M2_PR ;
- core0_to_mem_address\[3\] ( core0 mem_addr_out[3] ) ( chip_controller addr_in[3] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1521500 0 ) ( 607430 * )
NEW met2 ( 607430 1521500 ) ( * 1524730 )
NEW met1 ( 607430 1524730 ) ( 1142410 * )
NEW met2 ( 1142410 1524730 ) ( * 1607010 )
NEW met2 ( 1517310 1599700 0 ) ( * 1607010 )
NEW met1 ( 1142410 1607010 ) ( 1517310 * )
NEW met2 ( 607430 1521500 ) M2M3_PR_M
NEW met1 ( 607430 1524730 ) M1M2_PR
NEW met1 ( 1142410 1524730 ) M1M2_PR
NEW met1 ( 1142410 1607010 ) M1M2_PR
NEW met1 ( 1517310 1607010 ) M1M2_PR ;
- core0_to_mem_address\[4\] ( core0 mem_addr_out[4] ) ( chip_controller addr_in[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1528300 0 ) ( 610190 * )
NEW met2 ( 610190 1528300 ) ( * 1531530 )
NEW met2 ( 1533410 1599700 0 ) ( * 1606670 )
NEW met1 ( 610190 1531530 ) ( 1135510 * )
NEW met2 ( 1135510 1531530 ) ( * 1606670 )
NEW met1 ( 1135510 1606670 ) ( 1533410 * )
NEW met2 ( 610190 1528300 ) M2M3_PR_M
NEW met1 ( 610190 1531530 ) M1M2_PR
NEW met1 ( 1533410 1606670 ) M1M2_PR
NEW met1 ( 1135510 1531530 ) M1M2_PR
NEW met1 ( 1135510 1606670 ) M1M2_PR ;
- core0_to_mem_address\[5\] ( core0 mem_addr_out[5] ) ( chip_controller addr_in[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1541220 0 ) ( 608810 * )
NEW met2 ( 608810 1539010 ) ( * 1541220 )
NEW met1 ( 608810 1539010 ) ( 1032010 * )
NEW met2 ( 1418870 103020 ) ( 1420710 * 0 )
NEW met2 ( 1418870 102850 ) ( * 103020 )
NEW li1 ( 1418870 102850 ) ( * 103870 )
NEW met1 ( 1032010 103870 ) ( 1418870 * )
NEW met2 ( 1032010 103870 ) ( * 1539010 )
NEW met2 ( 608810 1541220 ) M2M3_PR_M
NEW met1 ( 608810 1539010 ) M1M2_PR
NEW met1 ( 1032010 103870 ) M1M2_PR
NEW met1 ( 1032010 1539010 ) M1M2_PR
NEW li1 ( 1418870 102850 ) L1M1_PR_MR
NEW met1 ( 1418870 102850 ) M1M2_PR
NEW li1 ( 1418870 103870 ) L1M1_PR_MR
NEW met1 ( 1418870 102850 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_address\[6\] ( core0 mem_addr_out[6] ) ( chip_controller addr_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 292060 ) ( * 296650 )
NEW met1 ( 261510 296650 ) ( 1283630 * )
NEW met3 ( 1283630 292060 ) ( 1300420 * 0 )
NEW met1 ( 255530 1489710 ) ( 261510 * )
NEW met2 ( 255530 1489710 ) ( * 1500420 0 )
NEW met2 ( 261510 296650 ) ( * 1489710 )
NEW met1 ( 1283630 296650 ) M1M2_PR
NEW met2 ( 1283630 292060 ) M2M3_PR_M
NEW met1 ( 261510 296650 ) M1M2_PR
NEW met1 ( 261510 1489710 ) M1M2_PR
NEW met1 ( 255530 1489710 ) M1M2_PR ;
- core0_to_mem_address\[7\] ( core0 mem_addr_out[7] ) ( chip_controller addr_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 1288690 1483250 ) ( * 1621630 )
NEW met3 ( 2799100 255340 0 ) ( 2802090 * )
NEW met1 ( 1288690 1621630 ) ( 2802090 * )
NEW met1 ( 177790 1545810 ) ( 189290 * )
NEW met2 ( 189290 1545810 ) ( * 1547340 )
NEW met3 ( 189290 1547340 ) ( 200100 * 0 )
NEW met2 ( 177790 1483250 ) ( * 1545810 )
NEW met1 ( 177790 1483250 ) ( 1288690 * )
NEW met2 ( 2802090 255340 ) ( * 1621630 )
NEW met1 ( 1288690 1483250 ) M1M2_PR
NEW met1 ( 1288690 1621630 ) M1M2_PR
NEW met2 ( 2802090 255340 ) M2M3_PR_M
NEW met1 ( 2802090 1621630 ) M1M2_PR
NEW met1 ( 177790 1545810 ) M1M2_PR
NEW met1 ( 189290 1545810 ) M1M2_PR
NEW met2 ( 189290 1547340 ) M2M3_PR_M
NEW met1 ( 177790 1483250 ) M1M2_PR ;
- core0_to_mem_address\[8\] ( core0 mem_addr_out[8] ) ( chip_controller addr_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 1497530 100300 ) ( 1501210 * 0 )
NEW met2 ( 247250 1899580 0 ) ( * 1915050 )
NEW met1 ( 174110 1915050 ) ( 247250 * )
NEW met1 ( 174110 71230 ) ( 1497530 * )
NEW met2 ( 1497530 71230 ) ( * 100300 )
NEW met2 ( 174110 71230 ) ( * 1915050 )
NEW met1 ( 174110 71230 ) M1M2_PR
NEW met1 ( 174110 1915050 ) M1M2_PR
NEW met1 ( 247250 1915050 ) M1M2_PR
NEW met1 ( 1497530 71230 ) M1M2_PR ;
- core0_to_mem_address\[9\] ( core0 mem_addr_out[9] ) ( chip_controller addr_in[9] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 292060 0 ) ( 2809220 * )
NEW met3 ( 261970 1939700 ) ( 638020 * )
NEW met2 ( 257830 1899580 0 ) ( * 1911310 )
NEW met1 ( 257830 1911310 ) ( 261970 * )
NEW met2 ( 261970 1911310 ) ( * 1939700 )
NEW met3 ( 638020 1625540 ) ( 2809220 * )
NEW met4 ( 2809220 292060 ) ( * 1625540 )
NEW met4 ( 638020 1625540 ) ( * 1939700 )
NEW met3 ( 2809220 292060 ) M3M4_PR_M
NEW met3 ( 2809220 1625540 ) M3M4_PR_M
NEW met2 ( 261970 1939700 ) M2M3_PR_M
NEW met3 ( 638020 1939700 ) M3M4_PR_M
NEW met1 ( 257830 1911310 ) M1M2_PR
NEW met1 ( 261970 1911310 ) M1M2_PR
NEW met3 ( 638020 1625540 ) M3M4_PR_M ;
- core0_to_mem_data\[0\] ( core0 mem_data_out[0] ) ( chip_controller wr_data[0] ) + USE SIGNAL
+ ROUTED met2 ( 207690 1899580 0 ) ( * 1904510 )
NEW met2 ( 1452910 1599700 0 ) ( * 1613470 )
NEW met1 ( 207690 1904510 ) ( 698970 * )
NEW met1 ( 698970 1613470 ) ( 1452910 * )
NEW met2 ( 698970 1613470 ) ( * 1904510 )
NEW met1 ( 207690 1904510 ) M1M2_PR
NEW met1 ( 698970 1613470 ) M1M2_PR
NEW met1 ( 698970 1904510 ) M1M2_PR
NEW met1 ( 1452910 1613470 ) M1M2_PR ;
- core0_to_mem_data\[100\] ( core0 mem_data_out[100] ) ( chip_controller wr_data[100] ) + USE SIGNAL
+ ROUTED met2 ( 1289150 1334500 ) ( * 1784830 )
NEW met2 ( 634570 1788740 ) ( 635030 * )
NEW met2 ( 635030 1784830 ) ( * 1788740 )
NEW met1 ( 635030 1784830 ) ( 1289150 * )
NEW met3 ( 1289150 1334500 ) ( 1300420 * 0 )
NEW met3 ( 599380 1834300 0 ) ( 613870 * )
NEW met2 ( 613870 1834130 ) ( * 1834300 )
NEW met1 ( 613870 1834130 ) ( 634570 * )
NEW met2 ( 634570 1788740 ) ( * 1834130 )
NEW met2 ( 1289150 1334500 ) M2M3_PR_M
NEW met1 ( 1289150 1784830 ) M1M2_PR
NEW met1 ( 635030 1784830 ) M1M2_PR
NEW met2 ( 613870 1834300 ) M2M3_PR_M
NEW met1 ( 613870 1834130 ) M1M2_PR
NEW met1 ( 634570 1834130 ) M1M2_PR ;
- core0_to_mem_data\[101\] ( core0 mem_data_out[101] ) ( chip_controller wr_data[101] ) + USE SIGNAL
+ ROUTED met2 ( 1289610 1352860 ) ( * 1778710 )
NEW met3 ( 1289610 1352860 ) ( 1300420 * 0 )
NEW met2 ( 656190 1778710 ) ( * 1835830 )
NEW met1 ( 656190 1778710 ) ( 1289610 * )
NEW met3 ( 599380 1839060 0 ) ( 612950 * )
NEW met2 ( 612950 1835830 ) ( * 1839060 )
NEW met1 ( 612950 1835830 ) ( 656190 * )
NEW met2 ( 1289610 1352860 ) M2M3_PR_M
NEW met1 ( 1289610 1778710 ) M1M2_PR
NEW met1 ( 656190 1835830 ) M1M2_PR
NEW met1 ( 656190 1778710 ) M1M2_PR
NEW met2 ( 612950 1839060 ) M2M3_PR_M
NEW met1 ( 612950 1835830 ) M1M2_PR ;
- core0_to_mem_data\[102\] ( core0 mem_data_out[102] ) ( chip_controller wr_data[102] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1856230 ) ( * 1857420 )
NEW met3 ( 189750 1857420 ) ( 200100 * 0 )
NEW met2 ( 1283630 1389580 ) ( * 1393490 )
NEW met1 ( 134090 1856230 ) ( 189750 * )
NEW met2 ( 134090 1393490 ) ( * 1856230 )
NEW met1 ( 134090 1393490 ) ( 1283630 * )
NEW met3 ( 1283630 1389580 ) ( 1300420 * 0 )
NEW met1 ( 189750 1856230 ) M1M2_PR
NEW met2 ( 189750 1857420 ) M2M3_PR_M
NEW met1 ( 1283630 1393490 ) M1M2_PR
NEW met2 ( 1283630 1389580 ) M2M3_PR_M
NEW met1 ( 134090 1856230 ) M1M2_PR
NEW met1 ( 134090 1393490 ) M1M2_PR ;
- core0_to_mem_data\[103\] ( core0 mem_data_out[103] ) ( chip_controller wr_data[103] ) + USE SIGNAL
+ ROUTED met4 ( 953580 1803020 ) ( * 1805740 )
NEW met2 ( 2549550 88230 ) ( * 100300 0 )
NEW met1 ( 1003950 88230 ) ( 2549550 * )
NEW met3 ( 953580 1803020 ) ( 1003950 * )
NEW met2 ( 1003950 88230 ) ( * 1803020 )
NEW met3 ( 599380 1842460 0 ) ( 614100 * )
NEW met4 ( 614100 1805740 ) ( * 1842460 )
NEW met3 ( 614100 1805740 ) ( 953580 * )
NEW met3 ( 953580 1805740 ) M3M4_PR_M
NEW met3 ( 953580 1803020 ) M3M4_PR_M
NEW met1 ( 1003950 88230 ) M1M2_PR
NEW met1 ( 2549550 88230 ) M1M2_PR
NEW met2 ( 1003950 1803020 ) M2M3_PR_M
NEW met3 ( 614100 1842460 ) M3M4_PR_M
NEW met3 ( 614100 1805740 ) M3M4_PR_M ;
- core0_to_mem_data\[104\] ( core0 mem_data_out[104] ) ( chip_controller wr_data[104] ) + USE SIGNAL
+ ROUTED met2 ( 2566110 88060 ) ( * 100300 0 )
NEW met3 ( 617780 88060 ) ( 2566110 * )
NEW met2 ( 547170 1899580 0 ) ( 548090 * )
NEW met2 ( 548090 1899580 ) ( * 1900260 )
NEW met3 ( 548090 1900260 ) ( 617780 * )
NEW met4 ( 617780 88060 ) ( * 1900260 )
NEW met3 ( 617780 88060 ) M3M4_PR_M
NEW met2 ( 2566110 88060 ) M2M3_PR_M
NEW met2 ( 548090 1900260 ) M2M3_PR_M
NEW met3 ( 617780 1900260 ) M3M4_PR_M ;
- core0_to_mem_data\[105\] ( core0 mem_data_out[105] ) ( chip_controller wr_data[105] ) + USE SIGNAL
+ ROUTED met2 ( 763370 1485460 ) ( * 1600890 )
NEW met3 ( 2799100 1389580 0 ) ( 2812670 * )
NEW met2 ( 549010 1485460 ) ( * 1500420 0 )
NEW met3 ( 549010 1485460 ) ( 763370 * )
NEW met1 ( 763370 1600890 ) ( 2812670 * )
NEW met2 ( 2812670 1389580 ) ( * 1600890 )
NEW met2 ( 763370 1485460 ) M2M3_PR_M
NEW met1 ( 763370 1600890 ) M1M2_PR
NEW met2 ( 2812670 1389580 ) M2M3_PR_M
NEW met1 ( 2812670 1600890 ) M1M2_PR
NEW met2 ( 549010 1485460 ) M2M3_PR_M ;
- core0_to_mem_data\[106\] ( core0 mem_data_out[106] ) ( chip_controller wr_data[106] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1863710 ) ( * 1867620 )
NEW met3 ( 189290 1867620 ) ( 200100 * 0 )
NEW met2 ( 1283630 1407940 ) ( * 1414230 )
NEW met1 ( 147430 1863710 ) ( 189290 * )
NEW met2 ( 147430 1414230 ) ( * 1863710 )
NEW met1 ( 147430 1414230 ) ( 1283630 * )
NEW met3 ( 1283630 1407940 ) ( 1300420 * 0 )
NEW met1 ( 189290 1863710 ) M1M2_PR
NEW met2 ( 189290 1867620 ) M2M3_PR_M
NEW met1 ( 1283630 1414230 ) M1M2_PR
NEW met2 ( 1283630 1407940 ) M2M3_PR_M
NEW met1 ( 147430 1863710 ) M1M2_PR
NEW met1 ( 147430 1414230 ) M1M2_PR ;
- core0_to_mem_data\[107\] ( core0 mem_data_out[107] ) ( chip_controller wr_data[107] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1850620 0 ) ( 607890 * )
NEW met2 ( 607890 1849430 ) ( * 1850620 )
NEW met2 ( 1283630 1443980 ) ( * 1448910 )
NEW met2 ( 679190 1448910 ) ( * 1849430 )
NEW met1 ( 607890 1849430 ) ( 679190 * )
NEW met1 ( 679190 1448910 ) ( 1283630 * )
NEW met3 ( 1283630 1443980 ) ( 1300420 * 0 )
NEW met2 ( 607890 1850620 ) M2M3_PR_M
NEW met1 ( 607890 1849430 ) M1M2_PR
NEW met1 ( 679190 1448910 ) M1M2_PR
NEW met1 ( 679190 1849430 ) M1M2_PR
NEW met1 ( 1283630 1448910 ) M1M2_PR
NEW met2 ( 1283630 1443980 ) M2M3_PR_M ;
- core0_to_mem_data\[108\] ( core0 mem_data_out[108] ) ( chip_controller wr_data[108] ) + USE SIGNAL
+ ROUTED met2 ( 2630510 89420 ) ( * 100300 0 )
NEW met2 ( 584890 1906380 ) ( * 1910460 )
NEW met3 ( 584890 1910460 ) ( 603980 * )
NEW met3 ( 603980 89420 ) ( 2630510 * )
NEW met2 ( 552230 1899580 0 ) ( * 1906380 )
NEW met3 ( 552230 1906380 ) ( 584890 * )
NEW met4 ( 603980 89420 ) ( * 1910460 )
NEW met3 ( 603980 89420 ) M3M4_PR_M
NEW met2 ( 2630510 89420 ) M2M3_PR_M
NEW met2 ( 584890 1906380 ) M2M3_PR_M
NEW met2 ( 584890 1910460 ) M2M3_PR_M
NEW met3 ( 603980 1910460 ) M3M4_PR_M
NEW met2 ( 552230 1906380 ) M2M3_PR_M ;
- core0_to_mem_data\[109\] ( core0 mem_data_out[109] ) ( chip_controller wr_data[109] ) + USE SIGNAL
+ ROUTED met2 ( 790050 1488180 ) ( * 1589330 )
NEW met3 ( 2799100 1407940 0 ) ( 2814970 * )
NEW met2 ( 562810 1488180 ) ( * 1500420 0 )
NEW met3 ( 562810 1488180 ) ( 790050 * )
NEW met1 ( 790050 1589330 ) ( 2814970 * )
NEW met2 ( 2814970 1407940 ) ( * 1589330 )
NEW met2 ( 790050 1488180 ) M2M3_PR_M
NEW met1 ( 790050 1589330 ) M1M2_PR
NEW met2 ( 2814970 1407940 ) M2M3_PR_M
NEW met1 ( 2814970 1589330 ) M1M2_PR
NEW met2 ( 562810 1488180 ) M2M3_PR_M ;
- core0_to_mem_data\[10\] ( core0 mem_data_out[10] ) ( chip_controller wr_data[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1576580 0 ) ( 609270 * )
NEW met2 ( 609270 1576580 ) ( * 1579470 )
NEW met1 ( 609270 1579470 ) ( * 1579810 )
NEW met2 ( 1281790 1579810 ) ( * 1600210 )
NEW met2 ( 1629550 1599700 ) ( 1630470 * 0 )
NEW met2 ( 1629550 1599700 ) ( * 1600210 )
NEW met1 ( 609270 1579810 ) ( 1281790 * )
NEW met1 ( 1281790 1600210 ) ( 1629550 * )
NEW met2 ( 609270 1576580 ) M2M3_PR_M
NEW met1 ( 609270 1579470 ) M1M2_PR
NEW met1 ( 1281790 1579810 ) M1M2_PR
NEW met1 ( 1281790 1600210 ) M1M2_PR
NEW met1 ( 1629550 1600210 ) M1M2_PR ;
- core0_to_mem_data\[110\] ( core0 mem_data_out[110] ) ( chip_controller wr_data[110] ) + USE SIGNAL
+ ROUTED met2 ( 566490 1486310 ) ( * 1500420 0 )
NEW met2 ( 804310 1486650 ) ( * 1601570 )
NEW met1 ( 566490 1486310 ) ( 614100 * )
NEW met1 ( 614100 1486310 ) ( * 1486650 )
NEW met1 ( 614100 1486650 ) ( 804310 * )
NEW met2 ( 2662710 1599700 0 ) ( * 1601570 )
NEW met1 ( 804310 1601570 ) ( 2662710 * )
NEW met1 ( 566490 1486310 ) M1M2_PR
NEW met1 ( 804310 1486650 ) M1M2_PR
NEW met1 ( 804310 1601570 ) M1M2_PR
NEW met1 ( 2662710 1601570 ) M1M2_PR ;
- core0_to_mem_data\[111\] ( core0 mem_data_out[111] ) ( chip_controller wr_data[111] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1858780 0 ) ( 609730 * )
NEW met2 ( 2691230 100300 ) ( 2694910 * 0 )
NEW met4 ( 1286620 81940 ) ( * 1809820 )
NEW met2 ( 2691230 81940 ) ( * 100300 )
NEW met3 ( 1286620 81940 ) ( 2691230 * )
NEW met2 ( 609270 1831580 ) ( 609730 * )
NEW met2 ( 609270 1812540 ) ( * 1831580 )
NEW met3 ( 609270 1812540 ) ( 641700 * )
NEW met3 ( 641700 1809820 ) ( * 1812540 )
NEW met2 ( 609730 1831580 ) ( * 1858780 )
NEW met3 ( 641700 1809820 ) ( 1286620 * )
NEW met2 ( 609730 1858780 ) M2M3_PR_M
NEW met3 ( 1286620 81940 ) M3M4_PR_M
NEW met3 ( 1286620 1809820 ) M3M4_PR_M
NEW met2 ( 2691230 81940 ) M2M3_PR_M
NEW met2 ( 609270 1812540 ) M2M3_PR_M ;
- core0_to_mem_data\[112\] ( core0 mem_data_out[112] ) ( chip_controller wr_data[112] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1477810 ) ( * 1480700 )
NEW met1 ( 703570 1477810 ) ( 1283630 * )
NEW met3 ( 1283630 1480700 ) ( 1300420 * 0 )
NEW met2 ( 562810 1899580 0 ) ( * 1906550 )
NEW met1 ( 562810 1906550 ) ( 703570 * )
NEW met2 ( 703570 1477810 ) ( * 1906550 )
NEW met1 ( 703570 1477810 ) M1M2_PR
NEW met1 ( 1283630 1477810 ) M1M2_PR
NEW met2 ( 1283630 1480700 ) M2M3_PR_M
NEW met1 ( 703570 1906550 ) M1M2_PR
NEW met1 ( 562810 1906550 ) M1M2_PR ;
- core0_to_mem_data\[113\] ( core0 mem_data_out[113] ) ( chip_controller wr_data[113] ) + USE SIGNAL
+ ROUTED met3 ( 190210 1876460 ) ( 200100 * 0 )
NEW met2 ( 190210 1876460 ) ( * 1902470 )
NEW met1 ( 190210 1902470 ) ( 657110 * )
NEW met2 ( 657110 1611940 ) ( * 1902470 )
NEW met2 ( 2678810 1599700 0 ) ( * 1611940 )
NEW met3 ( 657110 1611940 ) ( 2678810 * )
NEW met2 ( 190210 1876460 ) M2M3_PR_M
NEW met1 ( 190210 1902470 ) M1M2_PR
NEW met2 ( 657110 1611940 ) M2M3_PR_M
NEW met1 ( 657110 1902470 ) M1M2_PR
NEW met2 ( 2678810 1611940 ) M2M3_PR_M ;
- core0_to_mem_data\[114\] ( core0 mem_data_out[114] ) ( chip_controller wr_data[114] ) + USE SIGNAL
+ ROUTED met3 ( 188830 1877820 ) ( 200100 * 0 )
NEW met2 ( 188830 1877820 ) ( * 1910630 )
NEW met2 ( 2694910 1599700 0 ) ( * 1611260 )
NEW met1 ( 188830 1910630 ) ( 649750 * )
NEW met2 ( 649750 1611260 ) ( * 1910630 )
NEW met3 ( 649750 1611260 ) ( 2694910 * )
NEW met2 ( 188830 1877820 ) M2M3_PR_M
NEW met1 ( 188830 1910630 ) M1M2_PR
NEW met2 ( 2694910 1611260 ) M2M3_PR_M
NEW met2 ( 649750 1611260 ) M2M3_PR_M
NEW met1 ( 649750 1910630 ) M1M2_PR ;
- core0_to_mem_data\[115\] ( core0 mem_data_out[115] ) ( chip_controller wr_data[115] ) + USE SIGNAL
+ ROUTED met1 ( 572930 1911650 ) ( 602370 * )
NEW met2 ( 572930 1899580 0 ) ( * 1911650 )
NEW met2 ( 2725730 1599700 ) ( 2727110 * 0 )
NEW met2 ( 2725730 1599700 ) ( * 1777690 )
NEW met1 ( 602370 1777690 ) ( 2725730 * )
NEW met2 ( 602370 1777690 ) ( * 1911650 )
NEW met1 ( 602370 1777690 ) M1M2_PR
NEW met1 ( 602370 1911650 ) M1M2_PR
NEW met1 ( 572930 1911650 ) M1M2_PR
NEW met1 ( 2725730 1777690 ) M1M2_PR ;
- core0_to_mem_data\[116\] ( core0 mem_data_out[116] ) ( chip_controller wr_data[116] ) + USE SIGNAL
+ ROUTED met2 ( 1286850 1531870 ) ( * 1535780 )
NEW met2 ( 580290 1491410 ) ( * 1500420 0 )
NEW met1 ( 825010 1531870 ) ( 1286850 * )
NEW met3 ( 1286850 1535780 ) ( 1300420 * 0 )
NEW met1 ( 580290 1491410 ) ( 825010 * )
NEW met2 ( 825010 1491410 ) ( * 1531870 )
NEW met1 ( 1286850 1531870 ) M1M2_PR
NEW met2 ( 1286850 1535780 ) M2M3_PR_M
NEW met1 ( 580290 1491410 ) M1M2_PR
NEW met1 ( 825010 1531870 ) M1M2_PR
NEW met1 ( 825010 1491410 ) M1M2_PR ;
- core0_to_mem_data\[117\] ( core0 mem_data_out[117] ) ( chip_controller wr_data[117] ) + USE SIGNAL
+ ROUTED met2 ( 2711010 90270 ) ( * 100300 0 )
NEW met2 ( 123970 90270 ) ( * 1876970 )
NEW met2 ( 188370 1876970 ) ( * 1883260 )
NEW met3 ( 188370 1883260 ) ( 200100 * 0 )
NEW met1 ( 123970 90270 ) ( 2711010 * )
NEW met1 ( 123970 1876970 ) ( 188370 * )
NEW met1 ( 123970 90270 ) M1M2_PR
NEW met1 ( 2711010 90270 ) M1M2_PR
NEW met1 ( 123970 1876970 ) M1M2_PR
NEW met1 ( 188370 1876970 ) M1M2_PR
NEW met2 ( 188370 1883260 ) M2M3_PR_M ;
- core0_to_mem_data\[118\] ( core0 mem_data_out[118] ) ( chip_controller wr_data[118] ) + USE SIGNAL
+ ROUTED met2 ( 130870 68850 ) ( * 1883770 )
NEW met2 ( 187910 1883770 ) ( * 1885300 )
NEW met3 ( 187910 1885300 ) ( 200100 * 0 )
NEW met2 ( 2739530 100300 ) ( 2743210 * 0 )
NEW met1 ( 130870 1883770 ) ( 187910 * )
NEW met1 ( 130870 68850 ) ( 2739530 * )
NEW met2 ( 2739530 68850 ) ( * 100300 )
NEW met1 ( 130870 68850 ) M1M2_PR
NEW met1 ( 130870 1883770 ) M1M2_PR
NEW met1 ( 187910 1883770 ) M1M2_PR
NEW met2 ( 187910 1885300 ) M2M3_PR_M
NEW met1 ( 2739530 68850 ) M1M2_PR ;
- core0_to_mem_data\[119\] ( core0 mem_data_out[119] ) ( chip_controller wr_data[119] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1874420 0 ) ( 609270 * )
NEW met3 ( 2799100 1462340 0 ) ( 2802550 * )
NEW met2 ( 2802550 1462340 ) ( * 1804890 )
NEW met2 ( 609270 1849200 ) ( * 1874420 )
NEW met2 ( 608810 1849200 ) ( 609270 * )
NEW met2 ( 608810 1819850 ) ( * 1849200 )
NEW li1 ( 608810 1805570 ) ( * 1819850 )
NEW met1 ( 608810 1805230 ) ( * 1805570 )
NEW met1 ( 608810 1805230 ) ( 641700 * )
NEW met1 ( 641700 1804890 ) ( * 1805230 )
NEW met1 ( 641700 1804890 ) ( 2802550 * )
NEW met2 ( 609270 1874420 ) M2M3_PR_M
NEW met1 ( 2802550 1804890 ) M1M2_PR
NEW met2 ( 2802550 1462340 ) M2M3_PR_M
NEW li1 ( 608810 1819850 ) L1M1_PR_MR
NEW met1 ( 608810 1819850 ) M1M2_PR
NEW li1 ( 608810 1805570 ) L1M1_PR_MR
NEW met1 ( 608810 1819850 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[11\] ( core0 mem_data_out[11] ) ( chip_controller wr_data[11] ) + USE SIGNAL
+ ROUTED met3 ( 192510 1590860 ) ( 200100 * 0 )
NEW met2 ( 1662670 1599700 0 ) ( * 1614150 )
NEW met2 ( 1298810 1503310 ) ( * 1614150 )
NEW met1 ( 1298810 1614150 ) ( 1662670 * )
NEW met1 ( 192510 1503310 ) ( 199870 * )
NEW li1 ( 199870 1503310 ) ( 200790 * )
NEW met2 ( 192510 1503310 ) ( * 1590860 )
NEW met1 ( 200790 1503310 ) ( 1298810 * )
NEW met2 ( 192510 1590860 ) M2M3_PR_M
NEW met1 ( 1662670 1614150 ) M1M2_PR
NEW met1 ( 1298810 1503310 ) M1M2_PR
NEW met1 ( 1298810 1614150 ) M1M2_PR
NEW met1 ( 192510 1503310 ) M1M2_PR
NEW li1 ( 199870 1503310 ) L1M1_PR_MR
NEW li1 ( 200790 1503310 ) L1M1_PR_MR ;
- core0_to_mem_data\[120\] ( core0 mem_data_out[120] ) ( chip_controller wr_data[120] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1480700 0 ) ( 2814050 * )
NEW li1 ( 589490 1896690 ) ( * 1899750 )
NEW met2 ( 589490 1899580 ) ( * 1899750 )
NEW met2 ( 588570 1899580 0 ) ( 589490 * )
NEW met1 ( 589490 1896690 ) ( 691150 * )
NEW met1 ( 691150 1597150 ) ( 2814050 * )
NEW met2 ( 2814050 1480700 ) ( * 1597150 )
NEW met2 ( 691150 1597150 ) ( * 1896690 )
NEW met2 ( 2814050 1480700 ) M2M3_PR_M
NEW li1 ( 589490 1896690 ) L1M1_PR_MR
NEW li1 ( 589490 1899750 ) L1M1_PR_MR
NEW met1 ( 589490 1899750 ) M1M2_PR
NEW met1 ( 691150 1597150 ) M1M2_PR
NEW met1 ( 691150 1896690 ) M1M2_PR
NEW met1 ( 2814050 1597150 ) M1M2_PR
NEW met1 ( 589490 1899750 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[121\] ( core0 mem_data_out[121] ) ( chip_controller wr_data[121] ) + USE SIGNAL
+ ROUTED met3 ( 191130 1888700 ) ( 200100 * 0 )
NEW met2 ( 191130 1888700 ) ( * 1905530 )
NEW met1 ( 191130 1905530 ) ( 642390 * )
NEW met2 ( 642390 1610580 ) ( * 1905530 )
NEW met2 ( 2775410 1599700 0 ) ( * 1610580 )
NEW met3 ( 642390 1610580 ) ( 2775410 * )
NEW met2 ( 191130 1888700 ) M2M3_PR_M
NEW met1 ( 191130 1905530 ) M1M2_PR
NEW met2 ( 642390 1610580 ) M2M3_PR_M
NEW met1 ( 642390 1905530 ) M1M2_PR
NEW met2 ( 2775410 1610580 ) M2M3_PR_M ;
- core0_to_mem_data\[122\] ( core0 mem_data_out[122] ) ( chip_controller wr_data[122] ) + USE SIGNAL
+ ROUTED met1 ( 594550 1899750 ) ( 600990 * )
NEW met2 ( 594550 1899580 ) ( * 1899750 )
NEW met2 ( 593630 1899580 0 ) ( 594550 * )
NEW met2 ( 2789210 1599700 ) ( 2791510 * 0 )
NEW met2 ( 2787830 1725000 ) ( 2789210 * )
NEW met2 ( 2789210 1599700 ) ( * 1725000 )
NEW met2 ( 2787830 1725000 ) ( * 1797750 )
NEW met1 ( 600990 1797750 ) ( 2787830 * )
NEW met2 ( 600990 1797750 ) ( * 1899750 )
NEW met1 ( 600990 1797750 ) M1M2_PR
NEW met1 ( 600990 1899750 ) M1M2_PR
NEW met1 ( 594550 1899750 ) M1M2_PR
NEW met1 ( 2787830 1797750 ) M1M2_PR ;
- core0_to_mem_data\[123\] ( core0 mem_data_out[123] ) ( chip_controller wr_data[123] ) + USE SIGNAL
+ ROUTED met2 ( 594090 1500420 0 ) ( 595010 * )
NEW met2 ( 595010 1497700 ) ( * 1500420 )
NEW met3 ( 595010 1497700 ) ( 598460 * )
NEW met4 ( 598460 1586100 ) ( 600300 * )
NEW met4 ( 600300 1586100 ) ( * 1593580 )
NEW met3 ( 600300 1593580 ) ( 601220 * )
NEW met3 ( 601220 1593580 ) ( * 1594260 )
NEW met4 ( 598460 1497700 ) ( * 1586100 )
NEW met3 ( 601220 1594260 ) ( 2800710 * )
NEW met3 ( 2799100 1517420 0 ) ( 2801170 * )
NEW met2 ( 2801170 1517420 ) ( * 1536460 )
NEW met2 ( 2800710 1536460 ) ( 2801170 * )
NEW met2 ( 2800710 1536460 ) ( * 1594260 )
NEW met2 ( 595010 1497700 ) M2M3_PR_M
NEW met3 ( 598460 1497700 ) M3M4_PR_M
NEW met3 ( 600300 1593580 ) M3M4_PR_M
NEW met2 ( 2800710 1594260 ) M2M3_PR_M
NEW met2 ( 2801170 1517420 ) M2M3_PR_M ;
- core0_to_mem_data\[124\] ( core0 mem_data_out[124] ) ( chip_controller wr_data[124] ) + USE SIGNAL
+ ROUTED met2 ( 595470 1500420 0 ) ( 596850 * )
NEW met2 ( 596850 1498380 ) ( * 1500420 )
NEW met3 ( 596850 1498380 ) ( 599380 * )
NEW met3 ( 599380 1497700 ) ( * 1498380 )
NEW met4 ( 599380 1582700 ) ( 601220 * )
NEW met4 ( 601220 1582700 ) ( * 1594940 )
NEW met4 ( 599380 1497700 ) ( * 1582700 )
NEW met3 ( 601220 1594940 ) ( 2801170 * )
NEW met3 ( 2799100 1554140 0 ) ( 2801170 * )
NEW met2 ( 2801170 1554140 ) ( * 1594940 )
NEW met2 ( 596850 1498380 ) M2M3_PR_M
NEW met3 ( 599380 1497700 ) M3M4_PR_M
NEW met3 ( 601220 1594940 ) M3M4_PR_M
NEW met2 ( 2801170 1594940 ) M2M3_PR_M
NEW met2 ( 2801170 1554140 ) M2M3_PR_M ;
- core0_to_mem_data\[125\] ( core0 mem_data_out[125] ) ( chip_controller wr_data[125] ) + USE SIGNAL
+ ROUTED met2 ( 1284090 1572500 ) ( * 1573010 )
NEW met3 ( 192970 1890060 ) ( 200100 * 0 )
NEW met2 ( 192970 1890060 ) ( * 1902810 )
NEW met1 ( 650670 1573010 ) ( 1284090 * )
NEW met3 ( 1284090 1572500 ) ( 1300420 * 0 )
NEW met1 ( 192970 1902810 ) ( 650670 * )
NEW met2 ( 650670 1573010 ) ( * 1902810 )
NEW met1 ( 1284090 1573010 ) M1M2_PR
NEW met2 ( 1284090 1572500 ) M2M3_PR_M
NEW met2 ( 192970 1890060 ) M2M3_PR_M
NEW met1 ( 192970 1902810 ) M1M2_PR
NEW met1 ( 650670 1573010 ) M1M2_PR
NEW met1 ( 650670 1902810 ) M1M2_PR ;
- core0_to_mem_data\[126\] ( core0 mem_data_out[126] ) ( chip_controller wr_data[126] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1572500 0 ) ( 2808530 * )
NEW met3 ( 200100 1894820 ) ( * 1895500 0 )
NEW met3 ( 198490 1894820 ) ( 200100 * )
NEW met2 ( 198490 1893970 ) ( * 1894820 )
NEW met2 ( 671370 1604290 ) ( * 1893970 )
NEW met2 ( 2808530 1572500 ) ( * 1604290 )
NEW met1 ( 198490 1893970 ) ( 671370 * )
NEW met1 ( 671370 1604290 ) ( 2808530 * )
NEW met2 ( 2808530 1572500 ) M2M3_PR_M
NEW met2 ( 198490 1894820 ) M2M3_PR_M
NEW met1 ( 198490 1893970 ) M1M2_PR
NEW met1 ( 671370 1604290 ) M1M2_PR
NEW met1 ( 671370 1893970 ) M1M2_PR
NEW met1 ( 2808530 1604290 ) M1M2_PR ;
- core0_to_mem_data\[127\] ( core0 mem_data_out[127] ) ( chip_controller wr_data[127] ) + USE SIGNAL
+ ROUTED met2 ( 2791510 96900 ) ( * 100300 0 )
NEW met2 ( 96370 96900 ) ( * 1898390 )
NEW met2 ( 189750 1898390 ) ( * 1898900 )
NEW met3 ( 189750 1898900 ) ( 200100 * 0 )
NEW met3 ( 96370 96900 ) ( 2791510 * )
NEW met1 ( 96370 1898390 ) ( 189750 * )
NEW met2 ( 96370 96900 ) M2M3_PR_M
NEW met2 ( 2791510 96900 ) M2M3_PR_M
NEW met1 ( 96370 1898390 ) M1M2_PR
NEW met1 ( 189750 1898390 ) M1M2_PR
NEW met2 ( 189750 1898900 ) M2M3_PR_M ;
- core0_to_mem_data\[12\] ( core0 mem_data_out[12] ) ( chip_controller wr_data[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1586780 0 ) ( 608350 * )
NEW met2 ( 608350 1586780 ) ( * 1586950 )
NEW met2 ( 1262470 1586950 ) ( * 1606330 )
NEW met1 ( 608350 1586950 ) ( 1262470 * )
NEW met2 ( 1678770 1599700 0 ) ( * 1606330 )
NEW met1 ( 1262470 1606330 ) ( 1678770 * )
NEW met2 ( 608350 1586780 ) M2M3_PR_M
NEW met1 ( 608350 1586950 ) M1M2_PR
NEW met1 ( 1262470 1586950 ) M1M2_PR
NEW met1 ( 1262470 1606330 ) M1M2_PR
NEW met1 ( 1678770 1606330 ) M1M2_PR ;
- core0_to_mem_data\[13\] ( core0 mem_data_out[13] ) ( chip_controller wr_data[13] ) + USE SIGNAL
+ ROUTED met3 ( 192970 1609900 ) ( 200100 * 0 )
NEW met2 ( 1286850 1497020 ) ( * 1518610 )
NEW met1 ( 1285470 1518610 ) ( 1286850 * )
NEW met2 ( 1285470 1518610 ) ( * 1619930 )
NEW met2 ( 1710970 1599700 0 ) ( * 1619930 )
NEW met1 ( 1285470 1619930 ) ( 1710970 * )
NEW met1 ( 192050 1534590 ) ( 192970 * )
NEW met2 ( 192050 1511980 ) ( * 1534590 )
NEW met2 ( 192970 1534590 ) ( * 1609900 )
NEW met3 ( 200100 1497020 ) ( 1286850 * )
NEW met3 ( 192050 1511980 ) ( 193200 * )
NEW met3 ( 193200 1509940 ) ( * 1511980 )
NEW met3 ( 193200 1509940 ) ( 200100 * )
NEW met4 ( 200100 1497020 ) ( * 1509940 )
NEW met2 ( 192970 1609900 ) M2M3_PR_M
NEW met2 ( 1286850 1497020 ) M2M3_PR_M
NEW met1 ( 1286850 1518610 ) M1M2_PR
NEW met1 ( 1285470 1518610 ) M1M2_PR
NEW met1 ( 1285470 1619930 ) M1M2_PR
NEW met1 ( 1710970 1619930 ) M1M2_PR
NEW met1 ( 192970 1534590 ) M1M2_PR
NEW met1 ( 192050 1534590 ) M1M2_PR
NEW met2 ( 192050 1511980 ) M2M3_PR_M
NEW met3 ( 200100 1497020 ) M3M4_PR_M
NEW met3 ( 200100 1509940 ) M3M4_PR_M ;
- core0_to_mem_data\[14\] ( core0 mem_data_out[14] ) ( chip_controller wr_data[14] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 474980 ) ( * 475830 )
NEW met2 ( 309350 1500420 ) ( 310270 * 0 )
NEW met2 ( 309350 475830 ) ( * 1500420 )
NEW met1 ( 309350 475830 ) ( 1283630 * )
NEW met3 ( 1283630 474980 ) ( 1300420 * 0 )
NEW met1 ( 309350 475830 ) M1M2_PR
NEW met1 ( 1283630 475830 ) M1M2_PR
NEW met2 ( 1283630 474980 ) M2M3_PR_M ;
- core0_to_mem_data\[15\] ( core0 mem_data_out[15] ) ( chip_controller wr_data[15] ) + USE SIGNAL
+ ROUTED met2 ( 1566070 92310 ) ( * 100300 0 )
NEW met2 ( 317170 92310 ) ( * 1483500 )
NEW met2 ( 316710 1483500 ) ( 317170 * )
NEW met2 ( 316710 1483500 ) ( * 1500420 )
NEW met2 ( 315790 1500420 0 ) ( 316710 * )
NEW met1 ( 317170 92310 ) ( 1566070 * )
NEW met1 ( 317170 92310 ) M1M2_PR
NEW met1 ( 1566070 92310 ) M1M2_PR ;
- core0_to_mem_data\[16\] ( core0 mem_data_out[16] ) ( chip_controller wr_data[16] ) + USE SIGNAL
+ ROUTED met2 ( 309810 1899580 0 ) ( * 1916410 )
NEW met2 ( 1756970 1599700 ) ( 1759270 * 0 )
NEW met2 ( 1752830 1725000 ) ( 1756970 * )
NEW met2 ( 1756970 1599700 ) ( * 1725000 )
NEW met2 ( 1752830 1725000 ) ( * 1797070 )
NEW met1 ( 309810 1916410 ) ( 601450 * )
NEW met1 ( 601450 1797070 ) ( 1752830 * )
NEW met2 ( 601450 1797070 ) ( * 1916410 )
NEW met1 ( 309810 1916410 ) M1M2_PR
NEW met1 ( 601450 1797070 ) M1M2_PR
NEW met1 ( 601450 1916410 ) M1M2_PR
NEW met1 ( 1752830 1797070 ) M1M2_PR ;
- core0_to_mem_data\[17\] ( core0 mem_data_out[17] ) ( chip_controller wr_data[17] ) + USE SIGNAL
+ ROUTED met2 ( 316710 1899580 0 ) ( * 1915220 )
NEW met2 ( 514050 1915220 ) ( * 1934260 )
NEW met3 ( 2799100 529380 0 ) ( 2803010 * )
NEW met3 ( 514050 1934260 ) ( 631580 * )
NEW met3 ( 316710 1915220 ) ( 514050 * )
NEW met3 ( 631580 1624860 ) ( 2803010 * )
NEW met2 ( 2803010 529380 ) ( * 1624860 )
NEW met4 ( 631580 1624860 ) ( * 1934260 )
NEW met2 ( 514050 1934260 ) M2M3_PR_M
NEW met2 ( 316710 1915220 ) M2M3_PR_M
NEW met2 ( 514050 1915220 ) M2M3_PR_M
NEW met2 ( 2803010 529380 ) M2M3_PR_M
NEW met2 ( 2803010 1624860 ) M2M3_PR_M
NEW met3 ( 631580 1934260 ) M3M4_PR_M
NEW met3 ( 631580 1624860 ) M3M4_PR_M ;
- core0_to_mem_data\[18\] ( core0 mem_data_out[18] ) ( chip_controller wr_data[18] ) + USE SIGNAL
+ ROUTED met3 ( 2796570 568820 ) ( 2797260 * )
NEW met3 ( 2797260 566100 0 ) ( * 568820 )
NEW met1 ( 330970 1945310 ) ( 642850 * )
NEW met2 ( 325450 1899580 0 ) ( * 1911310 )
NEW met1 ( 325450 1911310 ) ( 330970 * )
NEW met2 ( 330970 1911310 ) ( * 1945310 )
NEW met2 ( 642850 1625030 ) ( * 1945310 )
NEW met1 ( 642850 1625030 ) ( 2796570 * )
NEW met2 ( 2796570 568820 ) ( * 1625030 )
NEW met2 ( 2796570 568820 ) M2M3_PR_M
NEW met1 ( 2796570 1625030 ) M1M2_PR
NEW met1 ( 330970 1945310 ) M1M2_PR
NEW met1 ( 642850 1945310 ) M1M2_PR
NEW met1 ( 325450 1911310 ) M1M2_PR
NEW met1 ( 330970 1911310 ) M1M2_PR
NEW met1 ( 642850 1625030 ) M1M2_PR ;
- core0_to_mem_data\[19\] ( core0 mem_data_out[19] ) ( chip_controller wr_data[19] ) + USE SIGNAL
+ ROUTED met2 ( 683790 1874930 ) ( * 1899070 )
NEW met1 ( 683330 1874930 ) ( 683790 * )
NEW li1 ( 683330 1870170 ) ( * 1874930 )
NEW met1 ( 683330 1870170 ) ( 683790 * )
NEW met2 ( 683790 85170 ) ( * 1870170 )
NEW met2 ( 1678770 85170 ) ( * 100300 0 )
NEW met1 ( 683790 85170 ) ( 1678770 * )
NEW met1 ( 330970 1899070 ) ( * 1899410 )
NEW met2 ( 330970 1899410 ) ( * 1899580 )
NEW met2 ( 330510 1899580 0 ) ( 330970 * )
NEW met1 ( 330970 1899070 ) ( 683790 * )
NEW met1 ( 683790 85170 ) M1M2_PR
NEW met1 ( 683790 1899070 ) M1M2_PR
NEW met1 ( 683790 1874930 ) M1M2_PR
NEW li1 ( 683330 1874930 ) L1M1_PR_MR
NEW li1 ( 683330 1870170 ) L1M1_PR_MR
NEW met1 ( 683790 1870170 ) M1M2_PR
NEW met1 ( 1678770 85170 ) M1M2_PR
NEW met1 ( 330970 1899410 ) M1M2_PR ;
- core0_to_mem_data\[1\] ( core0 mem_data_out[1] ) ( chip_controller wr_data[1] ) + USE SIGNAL
+ ROUTED met2 ( 212750 1899580 0 ) ( * 1904850 )
NEW met1 ( 212750 1904850 ) ( 690690 * )
NEW met2 ( 1485110 1599700 0 ) ( * 1613130 )
NEW met1 ( 690690 1613130 ) ( 1485110 * )
NEW met2 ( 690690 1613130 ) ( * 1904850 )
NEW met1 ( 212750 1904850 ) M1M2_PR
NEW met1 ( 690690 1613130 ) M1M2_PR
NEW met1 ( 690690 1904850 ) M1M2_PR
NEW met1 ( 1485110 1613130 ) M1M2_PR ;
- core0_to_mem_data\[20\] ( core0 mem_data_out[20] ) ( chip_controller wr_data[20] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 584460 ) ( * 586330 )
NEW met1 ( 616630 586330 ) ( 1283630 * )
NEW met3 ( 1283630 584460 ) ( 1300420 * 0 )
NEW met2 ( 334190 1899580 0 ) ( * 1908250 )
NEW met1 ( 334190 1908250 ) ( 616630 * )
NEW met2 ( 616630 586330 ) ( * 1908250 )
NEW met1 ( 1283630 586330 ) M1M2_PR
NEW met2 ( 1283630 584460 ) M2M3_PR_M
NEW met1 ( 616630 586330 ) M1M2_PR
NEW met1 ( 334190 1908250 ) M1M2_PR
NEW met1 ( 616630 1908250 ) M1M2_PR ;
- core0_to_mem_data\[21\] ( core0 mem_data_out[21] ) ( chip_controller wr_data[21] ) + USE SIGNAL
+ ROUTED met2 ( 344770 1488010 ) ( * 1500420 0 )
NEW met1 ( 344770 1488010 ) ( 845710 * )
NEW met2 ( 845710 1488010 ) ( * 1604970 )
NEW met2 ( 1872430 1599700 0 ) ( * 1604970 )
NEW met1 ( 845710 1604970 ) ( 1872430 * )
NEW met1 ( 344770 1488010 ) M1M2_PR
NEW met1 ( 845710 1488010 ) M1M2_PR
NEW met1 ( 845710 1604970 ) M1M2_PR
NEW met1 ( 1872430 1604970 ) M1M2_PR ;
- core0_to_mem_data\[22\] ( core0 mem_data_out[22] ) ( chip_controller wr_data[22] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 639540 ) ( * 641410 )
NEW met2 ( 351210 1899580 0 ) ( * 1908930 )
NEW met1 ( 351210 1908930 ) ( 616170 * )
NEW met1 ( 616170 641410 ) ( 1283630 * )
NEW met3 ( 1283630 639540 ) ( 1300420 * 0 )
NEW met2 ( 616170 641410 ) ( * 1908930 )
NEW met1 ( 1283630 641410 ) M1M2_PR
NEW met2 ( 1283630 639540 ) M2M3_PR_M
NEW met1 ( 351210 1908930 ) M1M2_PR
NEW met1 ( 616170 641410 ) M1M2_PR
NEW met1 ( 616170 1908930 ) M1M2_PR ;
- core0_to_mem_data\[23\] ( core0 mem_data_out[23] ) ( chip_controller wr_data[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1652740 0 ) ( 608350 * )
NEW met2 ( 608350 1649510 ) ( * 1652740 )
NEW met2 ( 1190710 90610 ) ( * 1649510 )
NEW met1 ( 608350 1649510 ) ( 1190710 * )
NEW met2 ( 1694870 90610 ) ( * 100300 0 )
NEW met1 ( 1190710 90610 ) ( 1694870 * )
NEW met2 ( 608350 1652740 ) M2M3_PR_M
NEW met1 ( 608350 1649510 ) M1M2_PR
NEW met1 ( 1190710 90610 ) M1M2_PR
NEW met1 ( 1190710 1649510 ) M1M2_PR
NEW met1 ( 1694870 90610 ) M1M2_PR ;
- core0_to_mem_data\[24\] ( core0 mem_data_out[24] ) ( chip_controller wr_data[24] ) + USE SIGNAL
+ ROUTED met2 ( 1727070 92650 ) ( * 100300 0 )
NEW met1 ( 358570 92650 ) ( 1727070 * )
NEW met2 ( 358570 92650 ) ( * 1500420 0 )
NEW met1 ( 1727070 92650 ) M1M2_PR
NEW met1 ( 358570 92650 ) M1M2_PR ;
- core0_to_mem_data\[25\] ( core0 mem_data_out[25] ) ( chip_controller wr_data[25] ) + USE SIGNAL
+ ROUTED met2 ( 1934530 1599700 ) ( 1936830 * 0 )
NEW met2 ( 1932230 1725000 ) ( 1934530 * )
NEW met2 ( 1934530 1599700 ) ( * 1725000 )
NEW met2 ( 1932230 1725000 ) ( * 1784150 )
NEW met2 ( 361790 1899580 0 ) ( * 1917430 )
NEW met1 ( 361790 1917430 ) ( 601910 * )
NEW met1 ( 601910 1784150 ) ( 1932230 * )
NEW met2 ( 601910 1784150 ) ( * 1917430 )
NEW met1 ( 601910 1784150 ) M1M2_PR
NEW met1 ( 601910 1917430 ) M1M2_PR
NEW met1 ( 1932230 1784150 ) M1M2_PR
NEW met1 ( 361790 1917430 ) M1M2_PR ;
- core0_to_mem_data\[26\] ( core0 mem_data_out[26] ) ( chip_controller wr_data[26] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 675580 ) ( * 676090 )
NEW li1 ( 573390 1909950 ) ( * 1912330 )
NEW met1 ( 573390 1912330 ) ( 600070 * )
NEW li1 ( 600070 1910970 ) ( * 1912330 )
NEW met1 ( 600070 1910970 ) ( 605590 * )
NEW met1 ( 605590 676090 ) ( 1283630 * )
NEW met3 ( 1283630 675580 ) ( 1300420 * 0 )
NEW met2 ( 368690 1899580 0 ) ( * 1909950 )
NEW met1 ( 368690 1909950 ) ( 573390 * )
NEW met2 ( 605590 676090 ) ( * 1910970 )
NEW met1 ( 605590 676090 ) M1M2_PR
NEW met1 ( 1283630 676090 ) M1M2_PR
NEW met2 ( 1283630 675580 ) M2M3_PR_M
NEW li1 ( 573390 1909950 ) L1M1_PR_MR
NEW li1 ( 573390 1912330 ) L1M1_PR_MR
NEW li1 ( 600070 1912330 ) L1M1_PR_MR
NEW li1 ( 600070 1910970 ) L1M1_PR_MR
NEW met1 ( 605590 1910970 ) M1M2_PR
NEW met1 ( 368690 1909950 ) M1M2_PR ;
- core0_to_mem_data\[27\] ( core0 mem_data_out[27] ) ( chip_controller wr_data[27] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1673820 0 ) ( 608810 * )
NEW met2 ( 608810 1670250 ) ( * 1673820 )
NEW met2 ( 1176450 91290 ) ( * 1670250 )
NEW met1 ( 608810 1670250 ) ( 1176450 * )
NEW met2 ( 1791470 91290 ) ( * 100300 0 )
NEW met1 ( 1176450 91290 ) ( 1791470 * )
NEW met2 ( 608810 1673820 ) M2M3_PR_M
NEW met1 ( 608810 1670250 ) M1M2_PR
NEW met1 ( 1176450 91290 ) M1M2_PR
NEW met1 ( 1176450 1670250 ) M1M2_PR
NEW met1 ( 1791470 91290 ) M1M2_PR ;
- core0_to_mem_data\[28\] ( core0 mem_data_out[28] ) ( chip_controller wr_data[28] ) + USE SIGNAL
+ ROUTED met1 ( 397670 1488350 ) ( * 1488690 )
NEW met1 ( 374210 1488350 ) ( 397670 * )
NEW met2 ( 374210 1488350 ) ( * 1500420 0 )
NEW met2 ( 997050 1488690 ) ( * 1605650 )
NEW met1 ( 397670 1488690 ) ( 997050 * )
NEW met2 ( 2001230 1599700 0 ) ( * 1605650 )
NEW met1 ( 997050 1605650 ) ( 2001230 * )
NEW met1 ( 374210 1488350 ) M1M2_PR
NEW met1 ( 997050 1488690 ) M1M2_PR
NEW met1 ( 997050 1605650 ) M1M2_PR
NEW met1 ( 2001230 1605650 ) M1M2_PR ;
- core0_to_mem_data\[29\] ( core0 mem_data_out[29] ) ( chip_controller wr_data[29] ) + USE SIGNAL
+ ROUTED met2 ( 1824130 86530 ) ( * 100300 0 )
NEW met2 ( 389390 1899580 0 ) ( * 1926610 )
NEW met2 ( 688390 86530 ) ( * 1926610 )
NEW met1 ( 389390 1926610 ) ( 688390 * )
NEW met1 ( 688390 86530 ) ( 1824130 * )
NEW met1 ( 389390 1926610 ) M1M2_PR
NEW met1 ( 688390 86530 ) M1M2_PR
NEW met1 ( 688390 1926610 ) M1M2_PR
NEW met1 ( 1824130 86530 ) M1M2_PR ;
- core0_to_mem_data\[2\] ( core0 mem_data_out[2] ) ( chip_controller wr_data[2] ) + USE SIGNAL
+ ROUTED met2 ( 1352630 100300 ) ( 1356310 * 0 )
NEW met2 ( 1352630 77690 ) ( * 100300 )
NEW met1 ( 188830 77690 ) ( 1352630 * )
NEW met3 ( 189290 1516060 ) ( 200100 * 0 )
NEW met2 ( 188830 77690 ) ( * 1462800 )
NEW met2 ( 188830 1462800 ) ( 189290 * )
NEW met2 ( 189290 1462800 ) ( * 1516060 )
NEW met1 ( 188830 77690 ) M1M2_PR
NEW met1 ( 1352630 77690 ) M1M2_PR
NEW met2 ( 189290 1516060 ) M2M3_PR_M ;
- core0_to_mem_data\[30\] ( core0 mem_data_out[30] ) ( chip_controller wr_data[30] ) + USE SIGNAL
+ ROUTED met2 ( 391230 1899580 0 ) ( * 1927630 )
NEW met2 ( 1283630 749020 ) ( * 751910 )
NEW met1 ( 391230 1927630 ) ( 651590 * )
NEW met2 ( 651590 751910 ) ( * 1927630 )
NEW met1 ( 651590 751910 ) ( 1283630 * )
NEW met3 ( 1283630 749020 ) ( 1300420 * 0 )
NEW met1 ( 391230 1927630 ) M1M2_PR
NEW met1 ( 1283630 751910 ) M1M2_PR
NEW met2 ( 1283630 749020 ) M2M3_PR_M
NEW met1 ( 651590 1927630 ) M1M2_PR
NEW met1 ( 651590 751910 ) M1M2_PR ;
- core0_to_mem_data\[31\] ( core0 mem_data_out[31] ) ( chip_controller wr_data[31] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 785740 ) ( * 786590 )
NEW met3 ( 599380 1690140 0 ) ( 608350 * )
NEW met2 ( 608350 1685210 ) ( * 1690140 )
NEW met2 ( 901370 786590 ) ( * 1685210 )
NEW met1 ( 901370 786590 ) ( 1283630 * )
NEW met3 ( 1283630 785740 ) ( 1300420 * 0 )
NEW met1 ( 608350 1685210 ) ( 901370 * )
NEW met1 ( 901370 786590 ) M1M2_PR
NEW met1 ( 1283630 786590 ) M1M2_PR
NEW met2 ( 1283630 785740 ) M2M3_PR_M
NEW met2 ( 608350 1690140 ) M2M3_PR_M
NEW met1 ( 608350 1685210 ) M1M2_PR
NEW met1 ( 901370 1685210 ) M1M2_PR ;
- core0_to_mem_data\[32\] ( core0 mem_data_out[32] ) ( chip_controller wr_data[32] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1704930 ) ( * 1706460 )
NEW met3 ( 189290 1706460 ) ( 200100 * 0 )
NEW met2 ( 1289150 804100 ) ( * 976310 )
NEW met1 ( 141450 976310 ) ( 1289150 * )
NEW met3 ( 1289150 804100 ) ( 1300420 * 0 )
NEW met2 ( 141450 976310 ) ( * 1704930 )
NEW met1 ( 141450 1704930 ) ( 189290 * )
NEW met2 ( 1289150 804100 ) M2M3_PR_M
NEW met1 ( 1289150 976310 ) M1M2_PR
NEW met1 ( 189290 1704930 ) M1M2_PR
NEW met2 ( 189290 1706460 ) M2M3_PR_M
NEW met1 ( 141450 976310 ) M1M2_PR
NEW met1 ( 141450 1704930 ) M1M2_PR ;
- core0_to_mem_data\[33\] ( core0 mem_data_out[33] ) ( chip_controller wr_data[33] ) + USE SIGNAL
+ ROUTED met1 ( 401350 1490390 ) ( 406410 * )
NEW met2 ( 401350 1490390 ) ( * 1500420 0 )
NEW met2 ( 406410 827730 ) ( * 1490390 )
NEW met2 ( 1283630 821780 ) ( * 827730 )
NEW met1 ( 406410 827730 ) ( 1283630 * )
NEW met3 ( 1283630 821780 ) ( 1290300 * )
NEW met3 ( 1290300 821780 ) ( * 822460 )
NEW met3 ( 1290300 822460 ) ( 1300420 * 0 )
NEW met1 ( 406410 827730 ) M1M2_PR
NEW met1 ( 406410 1490390 ) M1M2_PR
NEW met1 ( 401350 1490390 ) M1M2_PR
NEW met1 ( 1283630 827730 ) M1M2_PR
NEW met2 ( 1283630 821780 ) M2M3_PR_M ;
- core0_to_mem_data\[34\] ( core0 mem_data_out[34] ) ( chip_controller wr_data[34] ) + USE SIGNAL
+ ROUTED met2 ( 394450 1899580 0 ) ( * 1911310 )
NEW met1 ( 394450 1911310 ) ( 399510 * )
NEW met2 ( 399510 1911310 ) ( * 1936810 )
NEW met3 ( 2799100 822460 0 ) ( 2804390 * )
NEW met1 ( 399510 1936810 ) ( 650210 * )
NEW met2 ( 650210 1624690 ) ( * 1936810 )
NEW met1 ( 650210 1624690 ) ( 2804390 * )
NEW met2 ( 2804390 822460 ) ( * 1624690 )
NEW met1 ( 399510 1936810 ) M1M2_PR
NEW met1 ( 394450 1911310 ) M1M2_PR
NEW met1 ( 399510 1911310 ) M1M2_PR
NEW met2 ( 2804390 822460 ) M2M3_PR_M
NEW met1 ( 2804390 1624690 ) M1M2_PR
NEW met1 ( 650210 1936810 ) M1M2_PR
NEW met1 ( 650210 1624690 ) M1M2_PR ;
- core0_to_mem_data\[35\] ( core0 mem_data_out[35] ) ( chip_controller wr_data[35] ) + USE SIGNAL
+ ROUTED met2 ( 401350 1899580 0 ) ( * 1911990 )
NEW met1 ( 401350 1911990 ) ( 406870 * )
NEW met2 ( 406870 1911990 ) ( * 1941570 )
NEW met3 ( 2799100 840820 0 ) ( 2804850 * )
NEW met1 ( 406870 1941570 ) ( 657570 * )
NEW met2 ( 657570 1625370 ) ( * 1941570 )
NEW met1 ( 657570 1625370 ) ( 2804850 * )
NEW met2 ( 2804850 840820 ) ( * 1625370 )
NEW met1 ( 406870 1941570 ) M1M2_PR
NEW met1 ( 401350 1911990 ) M1M2_PR
NEW met1 ( 406870 1911990 ) M1M2_PR
NEW met2 ( 2804850 840820 ) M2M3_PR_M
NEW met1 ( 2804850 1625370 ) M1M2_PR
NEW met1 ( 657570 1941570 ) M1M2_PR
NEW met1 ( 657570 1625370 ) M1M2_PR ;
- core0_to_mem_data\[36\] ( core0 mem_data_out[36] ) ( chip_controller wr_data[36] ) + USE SIGNAL
+ ROUTED met2 ( 405950 1488350 ) ( * 1500420 )
NEW met2 ( 405950 1500420 ) ( 406870 * 0 )
NEW met2 ( 990610 1488350 ) ( * 1603270 )
NEW met2 ( 2130490 1599700 0 ) ( * 1603270 )
NEW met1 ( 405950 1488350 ) ( 990610 * )
NEW met1 ( 990610 1603270 ) ( 2130490 * )
NEW met1 ( 405950 1488350 ) M1M2_PR
NEW met1 ( 990610 1488350 ) M1M2_PR
NEW met1 ( 990610 1603270 ) M1M2_PR
NEW met1 ( 2130490 1603270 ) M1M2_PR ;
- core0_to_mem_data\[37\] ( core0 mem_data_out[37] ) ( chip_controller wr_data[37] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 859180 0 ) ( 2805310 * )
NEW met2 ( 190210 1711390 ) ( * 1715300 )
NEW met3 ( 190210 1715300 ) ( 200100 * 0 )
NEW met2 ( 1290070 1476110 ) ( * 1616190 )
NEW met1 ( 175030 1711390 ) ( 190210 * )
NEW met1 ( 1290070 1616190 ) ( 2805310 * )
NEW met2 ( 175030 1476110 ) ( * 1711390 )
NEW met1 ( 175030 1476110 ) ( 1290070 * )
NEW met2 ( 2805310 859180 ) ( * 1616190 )
NEW met1 ( 1290070 1476110 ) M1M2_PR
NEW met2 ( 2805310 859180 ) M2M3_PR_M
NEW met1 ( 190210 1711390 ) M1M2_PR
NEW met2 ( 190210 1715300 ) M2M3_PR_M
NEW met1 ( 1290070 1616190 ) M1M2_PR
NEW met1 ( 2805310 1616190 ) M1M2_PR
NEW met1 ( 175030 1711390 ) M1M2_PR
NEW met1 ( 175030 1476110 ) M1M2_PR ;
- core0_to_mem_data\[38\] ( core0 mem_data_out[38] ) ( chip_controller wr_data[38] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1707820 0 ) ( 607430 * )
NEW met2 ( 607430 1705610 ) ( * 1707820 )
NEW met2 ( 2146130 1599700 ) ( 2146590 * 0 )
NEW met2 ( 2146130 1599700 ) ( * 1672970 )
NEW met1 ( 845710 1672970 ) ( 2146130 * )
NEW met1 ( 607430 1705610 ) ( 845710 * )
NEW met2 ( 845710 1672970 ) ( * 1705610 )
NEW met1 ( 2146130 1672970 ) M1M2_PR
NEW met2 ( 607430 1707820 ) M2M3_PR_M
NEW met1 ( 607430 1705610 ) M1M2_PR
NEW met1 ( 845710 1672970 ) M1M2_PR
NEW met1 ( 845710 1705610 ) M1M2_PR ;
- core0_to_mem_data\[39\] ( core0 mem_data_out[39] ) ( chip_controller wr_data[39] ) + USE SIGNAL
+ ROUTED met2 ( 415150 1484270 ) ( * 1500420 0 )
NEW met2 ( 1176910 1483930 ) ( * 1605990 )
NEW met1 ( 469200 1483930 ) ( * 1484270 )
NEW met1 ( 415150 1484270 ) ( 469200 * )
NEW met1 ( 469200 1483930 ) ( 1176910 * )
NEW met2 ( 2162690 1599700 0 ) ( * 1605990 )
NEW met1 ( 1176910 1605990 ) ( 2162690 * )
NEW met1 ( 415150 1484270 ) M1M2_PR
NEW met1 ( 1176910 1483930 ) M1M2_PR
NEW met1 ( 1176910 1605990 ) M1M2_PR
NEW met1 ( 2162690 1605990 ) M1M2_PR ;
- core0_to_mem_data\[3\] ( core0 mem_data_out[3] ) ( chip_controller wr_data[3] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 181900 ) ( * 186150 )
NEW met1 ( 620310 186150 ) ( 1283630 * )
NEW met3 ( 1283630 181900 ) ( 1300420 * 0 )
NEW met2 ( 230230 1899580 0 ) ( * 1906890 )
NEW met1 ( 230230 1906890 ) ( 620310 * )
NEW met2 ( 620310 186150 ) ( * 1906890 )
NEW met1 ( 1283630 186150 ) M1M2_PR
NEW met2 ( 1283630 181900 ) M2M3_PR_M
NEW met1 ( 620310 186150 ) M1M2_PR
NEW met1 ( 230230 1906890 ) M1M2_PR
NEW met1 ( 620310 1906890 ) M1M2_PR ;
- core0_to_mem_data\[40\] ( core0 mem_data_out[40] ) ( chip_controller wr_data[40] ) + USE SIGNAL
+ ROUTED met2 ( 410090 1899580 0 ) ( * 1929500 )
NEW met3 ( 410090 1929500 ) ( 611570 * )
NEW met2 ( 1904630 87550 ) ( * 100300 0 )
NEW met1 ( 611570 87550 ) ( 1904630 * )
NEW met2 ( 611570 87550 ) ( * 1929500 )
NEW met2 ( 410090 1929500 ) M2M3_PR_M
NEW met1 ( 611570 87550 ) M1M2_PR
NEW met2 ( 611570 1929500 ) M2M3_PR_M
NEW met1 ( 1904630 87550 ) M1M2_PR ;
- core0_to_mem_data\[41\] ( core0 mem_data_out[41] ) ( chip_controller wr_data[41] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 895220 0 ) ( 2810830 * )
NEW met2 ( 2810830 895220 ) ( * 895390 )
NEW met1 ( 2810830 895390 ) ( 2826010 * )
NEW met3 ( 183770 1724140 ) ( 200100 * 0 )
NEW met2 ( 1286390 1460470 ) ( * 1615510 )
NEW met2 ( 2826010 895390 ) ( * 1615510 )
NEW met1 ( 183770 1460470 ) ( 1286390 * )
NEW met1 ( 1286390 1615510 ) ( 2826010 * )
NEW met2 ( 183770 1460470 ) ( * 1724140 )
NEW met1 ( 183770 1460470 ) M1M2_PR
NEW met1 ( 1286390 1460470 ) M1M2_PR
NEW met2 ( 2810830 895220 ) M2M3_PR_M
NEW met1 ( 2810830 895390 ) M1M2_PR
NEW met1 ( 2826010 895390 ) M1M2_PR
NEW met2 ( 183770 1724140 ) M2M3_PR_M
NEW met1 ( 1286390 1615510 ) M1M2_PR
NEW met1 ( 2826010 1615510 ) M1M2_PR ;
- core0_to_mem_data\[42\] ( core0 mem_data_out[42] ) ( chip_controller wr_data[42] ) + USE SIGNAL
+ ROUTED met1 ( 2800250 972570 ) ( 2808530 * )
NEW met3 ( 599380 1714620 0 ) ( 608350 * )
NEW met2 ( 608350 1711390 ) ( * 1714620 )
NEW met3 ( 2799100 913580 0 ) ( 2808530 * )
NEW met2 ( 2808530 913580 ) ( * 972570 )
NEW met1 ( 608350 1711390 ) ( 2800250 * )
NEW met2 ( 2800250 972570 ) ( * 1711390 )
NEW met1 ( 2800250 972570 ) M1M2_PR
NEW met1 ( 2808530 972570 ) M1M2_PR
NEW met2 ( 608350 1714620 ) M2M3_PR_M
NEW met1 ( 608350 1711390 ) M1M2_PR
NEW met2 ( 2808530 913580 ) M2M3_PR_M
NEW met1 ( 2800250 1711390 ) M1M2_PR ;
- core0_to_mem_data\[43\] ( core0 mem_data_out[43] ) ( chip_controller wr_data[43] ) + USE SIGNAL
+ ROUTED met2 ( 416990 1899580 0 ) ( * 1901110 )
NEW li1 ( 574310 1901110 ) ( * 1903490 )
NEW met1 ( 574310 1903490 ) ( 586270 * )
NEW met2 ( 586270 1903490 ) ( * 1906380 )
NEW met3 ( 586270 1906380 ) ( 604670 * )
NEW met2 ( 1952930 75310 ) ( * 100300 0 )
NEW met1 ( 416990 1901110 ) ( 574310 * )
NEW met1 ( 604670 75310 ) ( 1952930 * )
NEW met2 ( 604670 75310 ) ( * 1906380 )
NEW met1 ( 416990 1901110 ) M1M2_PR
NEW met1 ( 604670 75310 ) M1M2_PR
NEW li1 ( 574310 1901110 ) L1M1_PR_MR
NEW li1 ( 574310 1903490 ) L1M1_PR_MR
NEW met1 ( 586270 1903490 ) M1M2_PR
NEW met2 ( 586270 1906380 ) M2M3_PR_M
NEW met2 ( 604670 1906380 ) M2M3_PR_M
NEW met1 ( 1952930 75310 ) M1M2_PR ;
- core0_to_mem_data\[44\] ( core0 mem_data_out[44] ) ( chip_controller wr_data[44] ) + USE SIGNAL
+ ROUTED met2 ( 1969030 93330 ) ( * 100300 0 )
NEW met1 ( 451950 93330 ) ( 1969030 * )
NEW met1 ( 426650 1485290 ) ( 451950 * )
NEW met2 ( 426650 1485290 ) ( * 1500420 )
NEW met2 ( 426650 1500420 ) ( 427110 * 0 )
NEW met2 ( 451950 93330 ) ( * 1485290 )
NEW met1 ( 451950 93330 ) M1M2_PR
NEW met1 ( 1969030 93330 ) M1M2_PR
NEW met1 ( 451950 1485290 ) M1M2_PR
NEW met1 ( 426650 1485290 ) M1M2_PR ;
- core0_to_mem_data\[45\] ( core0 mem_data_out[45] ) ( chip_controller wr_data[45] ) + USE SIGNAL
+ ROUTED met2 ( 428950 1487670 ) ( * 1500420 0 )
NEW met1 ( 428950 1487670 ) ( 907350 * )
NEW met2 ( 907350 1487670 ) ( * 1602930 )
NEW met2 ( 2194890 1599700 0 ) ( * 1602930 )
NEW met1 ( 907350 1602930 ) ( 2194890 * )
NEW met1 ( 428950 1487670 ) M1M2_PR
NEW met1 ( 907350 1487670 ) M1M2_PR
NEW met1 ( 907350 1602930 ) M1M2_PR
NEW met1 ( 2194890 1602930 ) M1M2_PR ;
- core0_to_mem_data\[46\] ( core0 mem_data_out[46] ) ( chip_controller wr_data[46] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1724140 0 ) ( 608810 * )
NEW met2 ( 608810 1718190 ) ( * 1724140 )
NEW met3 ( 2799100 950300 0 ) ( 2811750 * )
NEW met2 ( 2830150 1024590 ) ( * 1718190 )
NEW met1 ( 608810 1718190 ) ( 2830150 * )
NEW met2 ( 2811750 950300 ) ( * 1024590 )
NEW met1 ( 2811750 1024590 ) ( 2830150 * )
NEW met2 ( 608810 1724140 ) M2M3_PR_M
NEW met1 ( 608810 1718190 ) M1M2_PR
NEW met2 ( 2811750 950300 ) M2M3_PR_M
NEW met1 ( 2830150 1024590 ) M1M2_PR
NEW met1 ( 2830150 1718190 ) M1M2_PR
NEW met1 ( 2811750 1024590 ) M1M2_PR ;
- core0_to_mem_data\[47\] ( core0 mem_data_out[47] ) ( chip_controller wr_data[47] ) + USE SIGNAL
+ ROUTED met2 ( 1170010 1483590 ) ( * 1604630 )
NEW met2 ( 2210990 1599700 0 ) ( * 1604630 )
NEW met1 ( 432630 1483590 ) ( * 1483930 )
NEW met2 ( 432630 1483930 ) ( * 1500420 0 )
NEW met1 ( 432630 1483590 ) ( 1170010 * )
NEW met1 ( 1170010 1604630 ) ( 2210990 * )
NEW met1 ( 1170010 1483590 ) M1M2_PR
NEW met1 ( 1170010 1604630 ) M1M2_PR
NEW met1 ( 2210990 1604630 ) M1M2_PR
NEW met1 ( 432630 1483930 ) M1M2_PR ;
- core0_to_mem_data\[48\] ( core0 mem_data_out[48] ) ( chip_controller wr_data[48] ) + USE SIGNAL
+ ROUTED met2 ( 976810 1489540 ) ( * 1596810 )
NEW met2 ( 439530 1489540 ) ( * 1500420 0 )
NEW met3 ( 439530 1489540 ) ( 976810 * )
NEW met1 ( 976810 1596810 ) ( 2810830 * )
NEW met3 ( 2799100 987020 0 ) ( 2810830 * )
NEW met2 ( 2810830 987020 ) ( * 1596810 )
NEW met2 ( 976810 1489540 ) M2M3_PR_M
NEW met1 ( 976810 1596810 ) M1M2_PR
NEW met1 ( 2810830 1596810 ) M1M2_PR
NEW met2 ( 439530 1489540 ) M2M3_PR_M
NEW met2 ( 2810830 987020 ) M2M3_PR_M ;
- core0_to_mem_data\[49\] ( core0 mem_data_out[49] ) ( chip_controller wr_data[49] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1732130 ) ( * 1736380 )
NEW met3 ( 188830 1736380 ) ( 200100 * 0 )
NEW met2 ( 1274430 1459790 ) ( * 1617210 )
NEW met2 ( 2227090 1599700 0 ) ( * 1617210 )
NEW met1 ( 175490 1732130 ) ( 188830 * )
NEW met1 ( 175490 1459790 ) ( 1274430 * )
NEW met1 ( 1274430 1617210 ) ( 2227090 * )
NEW met2 ( 175490 1459790 ) ( * 1732130 )
NEW met1 ( 188830 1732130 ) M1M2_PR
NEW met2 ( 188830 1736380 ) M2M3_PR_M
NEW met1 ( 1274430 1459790 ) M1M2_PR
NEW met1 ( 1274430 1617210 ) M1M2_PR
NEW met1 ( 2227090 1617210 ) M1M2_PR
NEW met1 ( 175490 1459790 ) M1M2_PR
NEW met1 ( 175490 1732130 ) M1M2_PR ;
- core0_to_mem_data\[4\] ( core0 mem_data_out[4] ) ( chip_controller wr_data[4] ) + USE SIGNAL
+ ROUTED met2 ( 664470 1612450 ) ( * 1930690 )
NEW met2 ( 1549510 1599700 0 ) ( * 1612450 )
NEW met1 ( 235290 1930690 ) ( 664470 * )
NEW met2 ( 235290 1899580 0 ) ( * 1930690 )
NEW met1 ( 664470 1612450 ) ( 1549510 * )
NEW met1 ( 664470 1930690 ) M1M2_PR
NEW met1 ( 664470 1612450 ) M1M2_PR
NEW met1 ( 1549510 1612450 ) M1M2_PR
NEW met1 ( 235290 1930690 ) M1M2_PR ;
- core0_to_mem_data\[50\] ( core0 mem_data_out[50] ) ( chip_controller wr_data[50] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1735700 0 ) ( 609270 * )
NEW met2 ( 609270 1728390 ) ( * 1735700 )
NEW met2 ( 1287770 895220 ) ( * 1728390 )
NEW met1 ( 609270 1728390 ) ( 1287770 * )
NEW met3 ( 1287770 895220 ) ( 1300420 * 0 )
NEW met2 ( 609270 1735700 ) M2M3_PR_M
NEW met1 ( 609270 1728390 ) M1M2_PR
NEW met2 ( 1287770 895220 ) M2M3_PR_M
NEW met1 ( 1287770 1728390 ) M1M2_PR ;
- core0_to_mem_data\[51\] ( core0 mem_data_out[51] ) ( chip_controller wr_data[51] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1737060 0 ) ( 607890 * )
NEW met2 ( 607890 1732810 ) ( * 1737060 )
NEW met2 ( 2017330 91630 ) ( * 100300 0 )
NEW met2 ( 962550 91630 ) ( * 1732810 )
NEW met1 ( 607890 1732810 ) ( 962550 * )
NEW met1 ( 962550 91630 ) ( 2017330 * )
NEW met2 ( 607890 1737060 ) M2M3_PR_M
NEW met1 ( 607890 1732810 ) M1M2_PR
NEW met1 ( 962550 91630 ) M1M2_PR
NEW met1 ( 962550 1732810 ) M1M2_PR
NEW met1 ( 2017330 91630 ) M1M2_PR ;
- core0_to_mem_data\[52\] ( core0 mem_data_out[52] ) ( chip_controller wr_data[52] ) + USE SIGNAL
+ ROUTED met3 ( 200100 1746580 0 ) ( * 1747260 )
NEW met2 ( 2049530 95540 ) ( * 100300 0 )
NEW met3 ( 170660 1747260 ) ( 200100 * )
NEW met3 ( 170660 95540 ) ( 2049530 * )
NEW met4 ( 170660 95540 ) ( * 1747260 )
NEW met2 ( 2049530 95540 ) M2M3_PR_M
NEW met3 ( 170660 95540 ) M3M4_PR_M
NEW met3 ( 170660 1747260 ) M3M4_PR_M ;
- core0_to_mem_data\[53\] ( core0 mem_data_out[53] ) ( chip_controller wr_data[53] ) + USE SIGNAL
+ ROUTED met1 ( 181010 1745730 ) ( 190210 * )
NEW met2 ( 190210 1745730 ) ( * 1748620 )
NEW met3 ( 190210 1748620 ) ( 200100 * 0 )
NEW met2 ( 2066090 94690 ) ( * 100300 0 )
NEW met1 ( 181010 94690 ) ( 2066090 * )
NEW met2 ( 181010 94690 ) ( * 1745730 )
NEW met1 ( 181010 94690 ) M1M2_PR
NEW met1 ( 181010 1745730 ) M1M2_PR
NEW met1 ( 190210 1745730 ) M1M2_PR
NEW met2 ( 190210 1748620 ) M2M3_PR_M
NEW met1 ( 2066090 94690 ) M1M2_PR ;
- core0_to_mem_data\[54\] ( core0 mem_data_out[54] ) ( chip_controller wr_data[54] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 913580 ) ( * 917490 )
NEW met2 ( 434470 1899580 0 ) ( 434930 * )
NEW met2 ( 434930 1899580 ) ( * 1900090 )
NEW met1 ( 434930 1900090 ) ( 692530 * )
NEW met1 ( 692530 917490 ) ( 1283630 * )
NEW met3 ( 1283630 913580 ) ( 1300420 * 0 )
NEW met2 ( 692530 917490 ) ( * 1900090 )
NEW met1 ( 692530 917490 ) M1M2_PR
NEW met1 ( 692530 1900090 ) M1M2_PR
NEW met1 ( 1283630 917490 ) M1M2_PR
NEW met2 ( 1283630 913580 ) M2M3_PR_M
NEW met1 ( 434930 1900090 ) M1M2_PR ;
- core0_to_mem_data\[55\] ( core0 mem_data_out[55] ) ( chip_controller wr_data[55] ) + USE SIGNAL
+ ROUTED li1 ( 478170 1484270 ) ( * 1489370 )
NEW met2 ( 1246370 1484270 ) ( * 1605310 )
NEW met1 ( 463450 1489030 ) ( * 1489370 )
NEW met1 ( 452870 1489030 ) ( 463450 * )
NEW met2 ( 452870 1489030 ) ( * 1500420 0 )
NEW met1 ( 463450 1489370 ) ( 478170 * )
NEW met1 ( 478170 1484270 ) ( 1246370 * )
NEW met2 ( 2275390 1599700 0 ) ( * 1605310 )
NEW met1 ( 1246370 1605310 ) ( 2275390 * )
NEW li1 ( 478170 1489370 ) L1M1_PR_MR
NEW li1 ( 478170 1484270 ) L1M1_PR_MR
NEW met1 ( 1246370 1484270 ) M1M2_PR
NEW met1 ( 1246370 1605310 ) M1M2_PR
NEW met1 ( 452870 1489030 ) M1M2_PR
NEW met1 ( 2275390 1605310 ) M1M2_PR ;
- core0_to_mem_data\[56\] ( core0 mem_data_out[56] ) ( chip_controller wr_data[56] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1753380 ) ( * 1753550 )
NEW met3 ( 189750 1753380 ) ( 200100 * 0 )
NEW met1 ( 162150 1753550 ) ( 189750 * )
NEW met2 ( 2098290 95370 ) ( * 100300 0 )
NEW met1 ( 162150 95370 ) ( 2098290 * )
NEW met2 ( 162150 95370 ) ( * 1753550 )
NEW met1 ( 189750 1753550 ) M1M2_PR
NEW met2 ( 189750 1753380 ) M2M3_PR_M
NEW met1 ( 162150 95370 ) M1M2_PR
NEW met1 ( 162150 1753550 ) M1M2_PR
NEW met1 ( 2098290 95370 ) M1M2_PR ;
- core0_to_mem_data\[57\] ( core0 mem_data_out[57] ) ( chip_controller wr_data[57] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1746580 0 ) ( 607430 * )
NEW met2 ( 607430 1746580 ) ( * 1746750 )
NEW met2 ( 1283630 968660 ) ( * 972570 )
NEW met1 ( 607430 1746750 ) ( 921610 * )
NEW met1 ( 921610 972570 ) ( 1283630 * )
NEW met3 ( 1283630 968660 ) ( 1300420 * 0 )
NEW met2 ( 921610 972570 ) ( * 1746750 )
NEW met2 ( 607430 1746580 ) M2M3_PR_M
NEW met1 ( 607430 1746750 ) M1M2_PR
NEW met1 ( 1283630 972570 ) M1M2_PR
NEW met2 ( 1283630 968660 ) M2M3_PR_M
NEW met1 ( 921610 972570 ) M1M2_PR
NEW met1 ( 921610 1746750 ) M1M2_PR ;
- core0_to_mem_data\[58\] ( core0 mem_data_out[58] ) ( chip_controller wr_data[58] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1751340 0 ) ( 608810 * )
NEW met2 ( 608810 1745730 ) ( * 1751340 )
NEW met3 ( 2799100 1042100 0 ) ( 2811750 * )
NEW met1 ( 2811750 1131690 ) ( 2826470 * )
NEW met2 ( 2811750 1042100 ) ( * 1131690 )
NEW met2 ( 2826470 1131690 ) ( * 1745730 )
NEW met1 ( 608810 1745730 ) ( 2826470 * )
NEW met2 ( 608810 1751340 ) M2M3_PR_M
NEW met1 ( 608810 1745730 ) M1M2_PR
NEW met1 ( 2826470 1745730 ) M1M2_PR
NEW met2 ( 2811750 1042100 ) M2M3_PR_M
NEW met1 ( 2811750 1131690 ) M1M2_PR
NEW met1 ( 2826470 1131690 ) M1M2_PR ;
- core0_to_mem_data\[59\] ( core0 mem_data_out[59] ) ( chip_controller wr_data[59] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1753890 ) ( * 1756780 )
NEW met3 ( 189290 1756780 ) ( 200100 * 0 )
NEW met2 ( 108330 1007250 ) ( * 1753890 )
NEW met2 ( 1283630 1005380 ) ( * 1007250 )
NEW met1 ( 108330 1753890 ) ( 189290 * )
NEW met1 ( 108330 1007250 ) ( 1283630 * )
NEW met3 ( 1283630 1005380 ) ( 1300420 * 0 )
NEW met1 ( 108330 1753890 ) M1M2_PR
NEW met1 ( 189290 1753890 ) M1M2_PR
NEW met2 ( 189290 1756780 ) M2M3_PR_M
NEW met1 ( 108330 1007250 ) M1M2_PR
NEW met1 ( 1283630 1007250 ) M1M2_PR
NEW met2 ( 1283630 1005380 ) M2M3_PR_M ;
- core0_to_mem_data\[5\] ( core0 mem_data_out[5] ) ( chip_controller wr_data[5] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 236980 ) ( * 241230 )
NEW met1 ( 193430 241230 ) ( 1283630 * )
NEW met3 ( 1283630 236980 ) ( 1300420 * 0 )
NEW met3 ( 193430 1540540 ) ( 200100 * 0 )
NEW met2 ( 193430 241230 ) ( * 1540540 )
NEW met1 ( 193430 241230 ) M1M2_PR
NEW met1 ( 1283630 241230 ) M1M2_PR
NEW met2 ( 1283630 236980 ) M2M3_PR_M
NEW met2 ( 193430 1540540 ) M2M3_PR_M ;
- core0_to_mem_data\[60\] ( core0 mem_data_out[60] ) ( chip_controller wr_data[60] ) + USE SIGNAL
+ ROUTED li1 ( 492890 1485970 ) ( * 1489030 )
NEW met2 ( 464830 1489030 ) ( * 1500420 )
NEW met2 ( 463450 1500420 0 ) ( 464830 * )
NEW met1 ( 464830 1489030 ) ( 492890 * )
NEW met1 ( 492890 1485970 ) ( 517500 * )
NEW met1 ( 517500 1485630 ) ( * 1485970 )
NEW met1 ( 517500 1485630 ) ( 727950 * )
NEW met2 ( 727950 1485630 ) ( * 1614830 )
NEW met2 ( 2291490 1599700 0 ) ( * 1614830 )
NEW met1 ( 727950 1614830 ) ( 2291490 * )
NEW li1 ( 492890 1489030 ) L1M1_PR_MR
NEW li1 ( 492890 1485970 ) L1M1_PR_MR
NEW met1 ( 464830 1489030 ) M1M2_PR
NEW met1 ( 727950 1485630 ) M1M2_PR
NEW met1 ( 727950 1614830 ) M1M2_PR
NEW met1 ( 2291490 1614830 ) M1M2_PR ;
- core0_to_mem_data\[61\] ( core0 mem_data_out[61] ) ( chip_controller wr_data[61] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1042100 ) ( * 1048730 )
NEW met1 ( 450110 1918790 ) ( 645610 * )
NEW met2 ( 450110 1899580 0 ) ( * 1918790 )
NEW met1 ( 643310 1894650 ) ( 645610 * )
NEW met2 ( 643310 1048730 ) ( * 1894650 )
NEW met2 ( 645610 1894650 ) ( * 1918790 )
NEW met1 ( 643310 1048730 ) ( 1283630 * )
NEW met3 ( 1283630 1042100 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1048730 ) M1M2_PR
NEW met2 ( 1283630 1042100 ) M2M3_PR_M
NEW met1 ( 450110 1918790 ) M1M2_PR
NEW met1 ( 645610 1918790 ) M1M2_PR
NEW met1 ( 643310 1048730 ) M1M2_PR
NEW met1 ( 643310 1894650 ) M1M2_PR
NEW met1 ( 645610 1894650 ) M1M2_PR ;
- core0_to_mem_data\[62\] ( core0 mem_data_out[62] ) ( chip_controller wr_data[62] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1078140 ) ( * 1083070 )
NEW met1 ( 467590 1083070 ) ( 1283630 * )
NEW met3 ( 1283630 1078140 ) ( 1300420 * 0 )
NEW met2 ( 467590 1083070 ) ( * 1483500 )
NEW met2 ( 466210 1483500 ) ( 467590 * )
NEW met2 ( 466210 1483500 ) ( * 1500420 )
NEW met2 ( 465290 1500420 0 ) ( 466210 * )
NEW met1 ( 1283630 1083070 ) M1M2_PR
NEW met2 ( 1283630 1078140 ) M2M3_PR_M
NEW met1 ( 467590 1083070 ) M1M2_PR ;
- core0_to_mem_data\[63\] ( core0 mem_data_out[63] ) ( chip_controller wr_data[63] ) + USE SIGNAL
+ ROUTED li1 ( 480010 1485290 ) ( * 1485970 )
NEW met1 ( 470350 1485970 ) ( 480010 * )
NEW met2 ( 470350 1485970 ) ( * 1500420 0 )
NEW li1 ( 2306670 1596130 ) ( * 1599530 )
NEW met2 ( 2306670 1599530 ) ( * 1599700 )
NEW met2 ( 2306670 1599700 ) ( 2308050 * 0 )
NEW met1 ( 480010 1485290 ) ( 517500 * )
NEW met1 ( 517500 1484950 ) ( * 1485290 )
NEW met1 ( 517500 1484950 ) ( 630890 * )
NEW met2 ( 630890 1484950 ) ( * 1596130 )
NEW met1 ( 630890 1596130 ) ( 2306670 * )
NEW li1 ( 480010 1485290 ) L1M1_PR_MR
NEW li1 ( 480010 1485970 ) L1M1_PR_MR
NEW met1 ( 470350 1485970 ) M1M2_PR
NEW li1 ( 2306670 1596130 ) L1M1_PR_MR
NEW li1 ( 2306670 1599530 ) L1M1_PR_MR
NEW met1 ( 2306670 1599530 ) M1M2_PR
NEW met1 ( 630890 1484950 ) M1M2_PR
NEW met1 ( 630890 1596130 ) M1M2_PR
NEW met1 ( 2306670 1599530 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[64\] ( core0 mem_data_out[64] ) ( chip_controller wr_data[64] ) + USE SIGNAL
+ ROUTED li1 ( 516810 1486990 ) ( 517270 * )
NEW li1 ( 516810 1484950 ) ( * 1486990 )
NEW met1 ( 473570 1484950 ) ( 516810 * )
NEW met2 ( 473570 1484950 ) ( * 1500420 0 )
NEW met2 ( 2324150 1599700 0 ) ( * 1602250 )
NEW met1 ( 517270 1486990 ) ( 824550 * )
NEW met2 ( 824550 1486990 ) ( * 1602250 )
NEW met1 ( 824550 1602250 ) ( 2324150 * )
NEW li1 ( 517270 1486990 ) L1M1_PR_MR
NEW li1 ( 516810 1484950 ) L1M1_PR_MR
NEW met1 ( 473570 1484950 ) M1M2_PR
NEW met1 ( 2324150 1602250 ) M1M2_PR
NEW met1 ( 824550 1486990 ) M1M2_PR
NEW met1 ( 824550 1602250 ) M1M2_PR ;
- core0_to_mem_data\[65\] ( core0 mem_data_out[65] ) ( chip_controller wr_data[65] ) + USE SIGNAL
+ ROUTED li1 ( 510370 1486990 ) ( * 1490050 )
NEW met1 ( 477250 1486990 ) ( 510370 * )
NEW met2 ( 477250 1486990 ) ( * 1500420 0 )
NEW met2 ( 1280870 1490050 ) ( * 1603610 )
NEW met2 ( 2340250 1599700 0 ) ( * 1603610 )
NEW met1 ( 510370 1490050 ) ( 1280870 * )
NEW met1 ( 1280870 1603610 ) ( 2340250 * )
NEW li1 ( 510370 1490050 ) L1M1_PR_MR
NEW li1 ( 510370 1486990 ) L1M1_PR_MR
NEW met1 ( 477250 1486990 ) M1M2_PR
NEW met1 ( 1280870 1490050 ) M1M2_PR
NEW met1 ( 1280870 1603610 ) M1M2_PR
NEW met1 ( 2340250 1603610 ) M1M2_PR ;
- core0_to_mem_data\[66\] ( core0 mem_data_out[66] ) ( chip_controller wr_data[66] ) + USE SIGNAL
+ ROUTED met2 ( 458850 1899580 0 ) ( * 1913350 )
NEW met1 ( 458850 1913350 ) ( 629050 * )
NEW met2 ( 2354050 1599700 ) ( 2356350 * 0 )
NEW met2 ( 2353130 1725000 ) ( 2354050 * )
NEW met2 ( 2354050 1599700 ) ( * 1725000 )
NEW met1 ( 629050 1783810 ) ( 2353130 * )
NEW met2 ( 2353130 1725000 ) ( * 1783810 )
NEW met2 ( 629050 1783810 ) ( * 1913350 )
NEW met1 ( 458850 1913350 ) M1M2_PR
NEW met1 ( 629050 1783810 ) M1M2_PR
NEW met1 ( 629050 1913350 ) M1M2_PR
NEW met1 ( 2353130 1783810 ) M1M2_PR ;
- core0_to_mem_data\[67\] ( core0 mem_data_out[67] ) ( chip_controller wr_data[67] ) + USE SIGNAL
+ ROUTED met2 ( 482310 1117750 ) ( * 1500420 0 )
NEW met2 ( 1283630 1114860 ) ( * 1117750 )
NEW met1 ( 482310 1117750 ) ( 1283630 * )
NEW met3 ( 1283630 1114860 ) ( 1300420 * 0 )
NEW met1 ( 482310 1117750 ) M1M2_PR
NEW met1 ( 1283630 1117750 ) M1M2_PR
NEW met2 ( 1283630 1114860 ) M2M3_PR_M ;
- core0_to_mem_data\[68\] ( core0 mem_data_out[68] ) ( chip_controller wr_data[68] ) + USE SIGNAL
+ ROUTED met2 ( 488290 93670 ) ( * 1483500 )
NEW met2 ( 486910 1483500 ) ( 488290 * )
NEW met2 ( 486910 1483500 ) ( * 1500420 )
NEW met2 ( 485530 1500420 0 ) ( 486910 * )
NEW met2 ( 2178790 93670 ) ( * 100300 0 )
NEW met1 ( 488290 93670 ) ( 2178790 * )
NEW met1 ( 488290 93670 ) M1M2_PR
NEW met1 ( 2178790 93670 ) M1M2_PR ;
- core0_to_mem_data\[69\] ( core0 mem_data_out[69] ) ( chip_controller wr_data[69] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1766300 0 ) ( 608810 * )
NEW met2 ( 608810 1759670 ) ( * 1766300 )
NEW met1 ( 608810 1759670 ) ( 1294210 * )
NEW met2 ( 2194430 100300 ) ( 2194890 * 0 )
NEW met2 ( 1294210 77010 ) ( * 1759670 )
NEW met1 ( 1294210 77010 ) ( 2194430 * )
NEW met2 ( 2194430 77010 ) ( * 100300 )
NEW met2 ( 608810 1766300 ) M2M3_PR_M
NEW met1 ( 608810 1759670 ) M1M2_PR
NEW met1 ( 1294210 1759670 ) M1M2_PR
NEW met1 ( 1294210 77010 ) M1M2_PR
NEW met1 ( 2194430 77010 ) M1M2_PR ;
- core0_to_mem_data\[6\] ( core0 mem_data_out[6] ) ( chip_controller wr_data[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1557540 0 ) ( 608810 * )
NEW met2 ( 608810 1557540 ) ( * 1559070 )
NEW met2 ( 1270750 1559070 ) ( * 1600550 )
NEW met1 ( 608810 1559070 ) ( 1270750 * )
NEW met2 ( 1582170 1599700 0 ) ( * 1600550 )
NEW met1 ( 1270750 1600550 ) ( 1582170 * )
NEW met2 ( 608810 1557540 ) M2M3_PR_M
NEW met1 ( 608810 1559070 ) M1M2_PR
NEW met1 ( 1270750 1559070 ) M1M2_PR
NEW met1 ( 1270750 1600550 ) M1M2_PR
NEW met1 ( 1582170 1600550 ) M1M2_PR ;
- core0_to_mem_data\[70\] ( core0 mem_data_out[70] ) ( chip_controller wr_data[70] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1771060 0 ) ( 608350 * )
NEW met2 ( 608350 1766470 ) ( * 1771060 )
NEW met1 ( 608350 1766470 ) ( 2363250 * )
NEW met2 ( 2372450 1599700 0 ) ( * 1609730 )
NEW met1 ( 2363250 1609730 ) ( 2372450 * )
NEW met2 ( 2363250 1609730 ) ( * 1766470 )
NEW met2 ( 608350 1771060 ) M2M3_PR_M
NEW met1 ( 608350 1766470 ) M1M2_PR
NEW met1 ( 2363250 1766470 ) M1M2_PR
NEW met1 ( 2372450 1609730 ) M1M2_PR
NEW met1 ( 2363250 1609730 ) M1M2_PR ;
- core0_to_mem_data\[71\] ( core0 mem_data_out[71] ) ( chip_controller wr_data[71] ) + USE SIGNAL
+ ROUTED met2 ( 2210990 95710 ) ( * 100300 0 )
NEW met2 ( 122590 95710 ) ( * 1780410 )
NEW met2 ( 188830 1780410 ) ( * 1786700 )
NEW met3 ( 188830 1786700 ) ( 200100 * 0 )
NEW met1 ( 122590 95710 ) ( 2210990 * )
NEW met1 ( 122590 1780410 ) ( 188830 * )
NEW met1 ( 122590 95710 ) M1M2_PR
NEW met1 ( 2210990 95710 ) M1M2_PR
NEW met1 ( 122590 1780410 ) M1M2_PR
NEW met1 ( 188830 1780410 ) M1M2_PR
NEW met2 ( 188830 1786700 ) M2M3_PR_M ;
- core0_to_mem_data\[72\] ( core0 mem_data_out[72] ) ( chip_controller wr_data[72] ) + USE SIGNAL
+ ROUTED met2 ( 2243190 96050 ) ( * 100300 0 )
NEW met2 ( 189290 1787550 ) ( * 1790100 )
NEW met3 ( 189290 1790100 ) ( 200100 * 0 )
NEW met1 ( 135470 96050 ) ( 2243190 * )
NEW met2 ( 135470 96050 ) ( * 1787550 )
NEW met1 ( 135470 1787550 ) ( 189290 * )
NEW met1 ( 2243190 96050 ) M1M2_PR
NEW met1 ( 189290 1787550 ) M1M2_PR
NEW met2 ( 189290 1790100 ) M2M3_PR_M
NEW met1 ( 135470 96050 ) M1M2_PR
NEW met1 ( 135470 1787550 ) M1M2_PR ;
- core0_to_mem_data\[73\] ( core0 mem_data_out[73] ) ( chip_controller wr_data[73] ) + USE SIGNAL
+ ROUTED met3 ( 189980 1794860 ) ( 200100 * 0 )
NEW met4 ( 189980 1794860 ) ( * 1909100 )
NEW met2 ( 663550 1626050 ) ( * 1909100 )
NEW met3 ( 2799100 1114860 0 ) ( 2806230 * )
NEW met3 ( 189980 1909100 ) ( 663550 * )
NEW met1 ( 663550 1626050 ) ( 2806230 * )
NEW met2 ( 2806230 1114860 ) ( * 1626050 )
NEW met3 ( 189980 1794860 ) M3M4_PR_M
NEW met3 ( 189980 1909100 ) M3M4_PR_M
NEW met1 ( 663550 1626050 ) M1M2_PR
NEW met2 ( 663550 1909100 ) M2M3_PR_M
NEW met2 ( 2806230 1114860 ) M2M3_PR_M
NEW met1 ( 2806230 1626050 ) M1M2_PR ;
- core0_to_mem_data\[74\] ( core0 mem_data_out[74] ) ( chip_controller wr_data[74] ) + USE SIGNAL
+ ROUTED met2 ( 470810 1899580 0 ) ( * 1919980 )
NEW met3 ( 470810 1919980 ) ( 618010 * )
NEW met2 ( 2291490 88570 ) ( * 100300 0 )
NEW met1 ( 618010 88570 ) ( 2291490 * )
NEW met2 ( 618010 88570 ) ( * 1919980 )
NEW met2 ( 470810 1919980 ) M2M3_PR_M
NEW met1 ( 618010 88570 ) M1M2_PR
NEW met2 ( 618010 1919980 ) M2M3_PR_M
NEW met1 ( 2291490 88570 ) M1M2_PR ;
- core0_to_mem_data\[75\] ( core0 mem_data_out[75] ) ( chip_controller wr_data[75] ) + USE SIGNAL
+ ROUTED met2 ( 2308050 91970 ) ( * 100300 0 )
NEW met3 ( 599380 1787380 0 ) ( 607430 * )
NEW met2 ( 607430 1787210 ) ( * 1787380 )
NEW met2 ( 1163110 91970 ) ( * 1787210 )
NEW met1 ( 1163110 91970 ) ( 2308050 * )
NEW met1 ( 607430 1787210 ) ( 1163110 * )
NEW met1 ( 1163110 91970 ) M1M2_PR
NEW met1 ( 2308050 91970 ) M1M2_PR
NEW met2 ( 607430 1787380 ) M2M3_PR_M
NEW met1 ( 607430 1787210 ) M1M2_PR
NEW met1 ( 1163110 1787210 ) M1M2_PR ;
- core0_to_mem_data\[76\] ( core0 mem_data_out[76] ) ( chip_controller wr_data[76] ) + USE SIGNAL
+ ROUTED met3 ( 188140 1798260 ) ( 200100 * 0 )
NEW met4 ( 188140 1798260 ) ( * 1932220 )
NEW met2 ( 671830 1625710 ) ( * 1932220 )
NEW met3 ( 2799100 1133220 0 ) ( 2808530 * )
NEW met2 ( 2808530 1133220 ) ( * 1134750 )
NEW met1 ( 2808530 1134750 ) ( 2817730 * )
NEW met2 ( 2817730 1134750 ) ( * 1625710 )
NEW met3 ( 188140 1932220 ) ( 671830 * )
NEW met1 ( 671830 1625710 ) ( 2817730 * )
NEW met3 ( 188140 1932220 ) M3M4_PR_M
NEW met2 ( 671830 1932220 ) M2M3_PR_M
NEW met3 ( 188140 1798260 ) M3M4_PR_M
NEW met1 ( 671830 1625710 ) M1M2_PR
NEW met2 ( 2808530 1133220 ) M2M3_PR_M
NEW met1 ( 2808530 1134750 ) M1M2_PR
NEW met1 ( 2817730 1134750 ) M1M2_PR
NEW met1 ( 2817730 1625710 ) M1M2_PR ;
- core0_to_mem_data\[77\] ( core0 mem_data_out[77] ) ( chip_controller wr_data[77] ) + USE SIGNAL
+ ROUTED met2 ( 2340250 94350 ) ( * 100300 0 )
NEW met1 ( 501170 1489030 ) ( 507150 * )
NEW met2 ( 501170 1489030 ) ( * 1500420 0 )
NEW met2 ( 507150 94350 ) ( * 1489030 )
NEW met1 ( 507150 94350 ) ( 2340250 * )
NEW met1 ( 507150 94350 ) M1M2_PR
NEW met1 ( 2340250 94350 ) M1M2_PR
NEW met1 ( 507150 1489030 ) M1M2_PR
NEW met1 ( 501170 1489030 ) M1M2_PR ;
- core0_to_mem_data\[78\] ( core0 mem_data_out[78] ) ( chip_controller wr_data[78] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1169940 0 ) ( * 1172660 )
NEW met3 ( 2799100 1172660 ) ( 2799790 * )
NEW met2 ( 486450 1899580 0 ) ( 487830 * )
NEW met2 ( 487830 1899580 ) ( * 1939530 )
NEW met2 ( 684710 1626390 ) ( * 1939530 )
NEW met1 ( 487830 1939530 ) ( 684710 * )
NEW met1 ( 684710 1626390 ) ( 2799790 * )
NEW met2 ( 2799790 1172660 ) ( * 1626390 )
NEW met1 ( 487830 1939530 ) M1M2_PR
NEW met1 ( 684710 1939530 ) M1M2_PR
NEW met2 ( 2799790 1172660 ) M2M3_PR_M
NEW met1 ( 684710 1626390 ) M1M2_PR
NEW met1 ( 2799790 1626390 ) M1M2_PR ;
- core0_to_mem_data\[79\] ( core0 mem_data_out[79] ) ( chip_controller wr_data[79] ) + USE SIGNAL
+ ROUTED met2 ( 491510 1899580 0 ) ( * 1919470 )
NEW met2 ( 2404650 1599700 0 ) ( * 1609900 )
NEW met1 ( 491510 1919470 ) ( 637330 * )
NEW met3 ( 637330 1609900 ) ( 2404650 * )
NEW met2 ( 637330 1609900 ) ( * 1919470 )
NEW met1 ( 491510 1919470 ) M1M2_PR
NEW met2 ( 2404650 1609900 ) M2M3_PR_M
NEW met1 ( 637330 1919470 ) M1M2_PR
NEW met2 ( 637330 1609900 ) M2M3_PR_M ;
- core0_to_mem_data\[7\] ( core0 mem_data_out[7] ) ( chip_controller wr_data[7] ) + USE SIGNAL
+ ROUTED met2 ( 1467630 103020 ) ( 1469010 * 0 )
NEW met2 ( 1467630 102850 ) ( * 103020 )
NEW li1 ( 1467630 102850 ) ( * 105230 )
NEW met1 ( 348450 105230 ) ( 1467630 * )
NEW met2 ( 269330 1488350 ) ( * 1500420 0 )
NEW met1 ( 269330 1488350 ) ( 348450 * )
NEW met2 ( 348450 105230 ) ( * 1488350 )
NEW li1 ( 1467630 102850 ) L1M1_PR_MR
NEW met1 ( 1467630 102850 ) M1M2_PR
NEW li1 ( 1467630 105230 ) L1M1_PR_MR
NEW met1 ( 348450 105230 ) M1M2_PR
NEW met1 ( 269330 1488350 ) M1M2_PR
NEW met1 ( 348450 1488350 ) M1M2_PR
NEW met1 ( 1467630 102850 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[80\] ( core0 mem_data_out[80] ) ( chip_controller wr_data[80] ) + USE SIGNAL
+ ROUTED met2 ( 89010 75650 ) ( * 1801830 )
NEW met2 ( 189290 1801830 ) ( * 1803700 )
NEW met3 ( 189290 1803700 ) ( 200100 * 0 )
NEW met2 ( 2366930 100300 ) ( 2372450 * 0 )
NEW met1 ( 89010 1801830 ) ( 189290 * )
NEW met1 ( 89010 75650 ) ( 2366930 * )
NEW met2 ( 2366930 75650 ) ( * 100300 )
NEW met1 ( 89010 75650 ) M1M2_PR
NEW met1 ( 89010 1801830 ) M1M2_PR
NEW met1 ( 189290 1801830 ) M1M2_PR
NEW met2 ( 189290 1803700 ) M2M3_PR_M
NEW met1 ( 2366930 75650 ) M1M2_PR ;
- core0_to_mem_data\[81\] ( core0 mem_data_out[81] ) ( chip_controller wr_data[81] ) + USE SIGNAL
+ ROUTED met2 ( 500250 1899580 0 ) ( 501170 * )
NEW met2 ( 587190 1899580 ) ( * 1901620 )
NEW met2 ( 2388550 83470 ) ( * 100300 0 )
NEW met1 ( 627210 83470 ) ( 2388550 * )
NEW met3 ( 501170 1899580 ) ( 587190 * )
NEW met3 ( 587190 1901620 ) ( 627210 * )
NEW met2 ( 627210 83470 ) ( * 1901620 )
NEW met2 ( 501170 1899580 ) M2M3_PR_M
NEW met2 ( 587190 1899580 ) M2M3_PR_M
NEW met2 ( 587190 1901620 ) M2M3_PR_M
NEW met1 ( 627210 83470 ) M1M2_PR
NEW met1 ( 2388550 83470 ) M1M2_PR
NEW met2 ( 627210 1901620 ) M2M3_PR_M ;
- core0_to_mem_data\[82\] ( core0 mem_data_out[82] ) ( chip_controller wr_data[82] ) + USE SIGNAL
+ ROUTED met2 ( 502090 1899580 0 ) ( 502550 * )
NEW met2 ( 502550 1899580 ) ( * 1935110 )
NEW met2 ( 2792890 1242000 ) ( 2794270 * )
NEW met1 ( 502550 1935110 ) ( 691610 * )
NEW met1 ( 691610 1626730 ) ( 2792890 * )
NEW met2 ( 2792890 1242000 ) ( * 1626730 )
NEW met2 ( 691610 1626730 ) ( * 1935110 )
NEW met2 ( 2794270 1205810 ) ( 2794730 * )
NEW met1 ( 2794730 1205810 ) ( 2799330 * )
NEW met2 ( 2799330 1205300 ) ( * 1205810 )
NEW met3 ( 2799100 1205300 ) ( 2799330 * )
NEW met3 ( 2799100 1205300 ) ( * 1206660 0 )
NEW met2 ( 2794270 1205810 ) ( * 1242000 )
NEW met1 ( 502550 1935110 ) M1M2_PR
NEW met1 ( 691610 1935110 ) M1M2_PR
NEW met1 ( 691610 1626730 ) M1M2_PR
NEW met1 ( 2792890 1626730 ) M1M2_PR
NEW met1 ( 2794730 1205810 ) M1M2_PR
NEW met1 ( 2799330 1205810 ) M1M2_PR
NEW met2 ( 2799330 1205300 ) M2M3_PR_M ;
- core0_to_mem_data\[83\] ( core0 mem_data_out[83] ) ( chip_controller wr_data[83] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1242700 0 ) ( 2806690 * )
NEW met3 ( 188370 1809140 ) ( 200100 * 0 )
NEW met2 ( 188370 1809140 ) ( * 1869900 )
NEW met2 ( 187910 1869900 ) ( 188370 * )
NEW met2 ( 187910 1869900 ) ( * 1871190 )
NEW met1 ( 186990 1871190 ) ( 187910 * )
NEW met1 ( 186990 1871190 ) ( * 1872210 )
NEW met2 ( 186990 1872210 ) ( * 1903490 )
NEW li1 ( 573850 1903490 ) ( * 1909950 )
NEW met1 ( 573850 1909950 ) ( 602830 * )
NEW met1 ( 614790 1639310 ) ( * 1639990 )
NEW met1 ( 602830 1639990 ) ( 614790 * )
NEW met1 ( 614790 1639310 ) ( 2806690 * )
NEW met1 ( 186990 1903490 ) ( 573850 * )
NEW met2 ( 2806690 1242700 ) ( * 1639310 )
NEW met2 ( 602830 1639990 ) ( * 1909950 )
NEW met1 ( 602830 1639990 ) M1M2_PR
NEW met2 ( 2806690 1242700 ) M2M3_PR_M
NEW met1 ( 2806690 1639310 ) M1M2_PR
NEW met2 ( 188370 1809140 ) M2M3_PR_M
NEW met1 ( 187910 1871190 ) M1M2_PR
NEW met1 ( 186990 1872210 ) M1M2_PR
NEW met1 ( 186990 1903490 ) M1M2_PR
NEW li1 ( 573850 1903490 ) L1M1_PR_MR
NEW li1 ( 573850 1909950 ) L1M1_PR_MR
NEW met1 ( 602830 1909950 ) M1M2_PR ;
- core0_to_mem_data\[84\] ( core0 mem_data_out[84] ) ( chip_controller wr_data[84] ) + USE SIGNAL
+ ROUTED met3 ( 190670 1815940 ) ( 200100 * 0 )
NEW met2 ( 190670 1815940 ) ( * 1943950 )
NEW met2 ( 677810 1642030 ) ( * 1943950 )
NEW met2 ( 2436850 1599700 0 ) ( * 1642030 )
NEW met1 ( 190670 1943950 ) ( 677810 * )
NEW met1 ( 677810 1642030 ) ( 2436850 * )
NEW met1 ( 190670 1943950 ) M1M2_PR
NEW met1 ( 677810 1642030 ) M1M2_PR
NEW met1 ( 677810 1943950 ) M1M2_PR
NEW met1 ( 2436850 1642030 ) M1M2_PR
NEW met2 ( 190670 1815940 ) M2M3_PR_M ;
- core0_to_mem_data\[85\] ( core0 mem_data_out[85] ) ( chip_controller wr_data[85] ) + USE SIGNAL
+ ROUTED met3 ( 192510 1817300 ) ( 200100 * 0 )
NEW met2 ( 192510 1817300 ) ( * 1869900 )
NEW met2 ( 192510 1869900 ) ( 192970 * )
NEW met2 ( 192970 1869900 ) ( * 1889550 )
NEW met1 ( 192050 1889550 ) ( 192970 * )
NEW li1 ( 192050 1889550 ) ( * 1893970 )
NEW met2 ( 192050 1893970 ) ( * 1905870 )
NEW met1 ( 192050 1905870 ) ( 630430 * )
NEW met2 ( 2469050 1599700 0 ) ( * 1613300 )
NEW met3 ( 630430 1613300 ) ( 2469050 * )
NEW met2 ( 630430 1613300 ) ( * 1905870 )
NEW met2 ( 192510 1817300 ) M2M3_PR_M
NEW met1 ( 192970 1889550 ) M1M2_PR
NEW li1 ( 192050 1889550 ) L1M1_PR_MR
NEW li1 ( 192050 1893970 ) L1M1_PR_MR
NEW met1 ( 192050 1893970 ) M1M2_PR
NEW met1 ( 192050 1905870 ) M1M2_PR
NEW met2 ( 630430 1613300 ) M2M3_PR_M
NEW met1 ( 630430 1905870 ) M1M2_PR
NEW met2 ( 2469050 1613300 ) M2M3_PR_M
NEW met1 ( 192050 1893970 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[86\] ( core0 mem_data_out[86] ) ( chip_controller wr_data[86] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1261060 0 ) ( 2812210 * )
NEW met2 ( 510830 1899580 0 ) ( * 1914030 )
NEW met1 ( 510830 1914030 ) ( 517270 * )
NEW met2 ( 517270 1914030 ) ( * 1933410 )
NEW met1 ( 517270 1933410 ) ( 615250 * )
NEW met1 ( 615250 1639650 ) ( 2812210 * )
NEW met2 ( 2812210 1261060 ) ( * 1639650 )
NEW met2 ( 615250 1639650 ) ( * 1933410 )
NEW met1 ( 517270 1933410 ) M1M2_PR
NEW met2 ( 2812210 1261060 ) M2M3_PR_M
NEW met1 ( 2812210 1639650 ) M1M2_PR
NEW met1 ( 510830 1914030 ) M1M2_PR
NEW met1 ( 517270 1914030 ) M1M2_PR
NEW met1 ( 615250 1639650 ) M1M2_PR
NEW met1 ( 615250 1933410 ) M1M2_PR ;
- core0_to_mem_data\[87\] ( core0 mem_data_out[87] ) ( chip_controller wr_data[87] ) + USE SIGNAL
+ ROUTED met2 ( 2420750 95030 ) ( * 100300 0 )
NEW met2 ( 516810 1489370 ) ( * 1489540 )
NEW met2 ( 516350 1489540 ) ( 516810 * )
NEW met2 ( 516350 1489540 ) ( * 1500420 )
NEW met2 ( 514970 1500420 0 ) ( 516350 * )
NEW met1 ( 520950 95030 ) ( 2420750 * )
NEW met2 ( 517730 1485290 ) ( * 1489370 )
NEW met2 ( 517730 1485290 ) ( 518190 * )
NEW met1 ( 518190 1485290 ) ( 520950 * )
NEW met1 ( 516810 1489370 ) ( 517730 * )
NEW met2 ( 520950 95030 ) ( * 1485290 )
NEW met1 ( 2420750 95030 ) M1M2_PR
NEW met1 ( 516810 1489370 ) M1M2_PR
NEW met1 ( 520950 95030 ) M1M2_PR
NEW met1 ( 517730 1489370 ) M1M2_PR
NEW met1 ( 518190 1485290 ) M1M2_PR
NEW met1 ( 520950 1485290 ) M1M2_PR ;
- core0_to_mem_data\[88\] ( core0 mem_data_out[88] ) ( chip_controller wr_data[88] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1279420 0 ) ( * 1282140 )
NEW met3 ( 2799100 1282140 ) ( 2799330 * )
NEW met2 ( 2799330 1282140 ) ( * 1804550 )
NEW met3 ( 599380 1808460 0 ) ( 607890 * )
NEW met2 ( 607890 1804550 ) ( * 1808460 )
NEW met1 ( 607890 1804550 ) ( 2799330 * )
NEW met2 ( 2799330 1282140 ) M2M3_PR_M
NEW met1 ( 2799330 1804550 ) M1M2_PR
NEW met2 ( 607890 1808460 ) M2M3_PR_M
NEW met1 ( 607890 1804550 ) M1M2_PR ;
- core0_to_mem_data\[89\] ( core0 mem_data_out[89] ) ( chip_controller wr_data[89] ) + USE SIGNAL
+ ROUTED met1 ( 190210 1857250 ) ( 192970 * )
NEW met2 ( 192970 1829540 ) ( * 1857250 )
NEW met3 ( 192970 1829540 ) ( 200100 * 0 )
NEW met2 ( 190210 1857250 ) ( * 1869900 )
NEW met2 ( 189750 1869900 ) ( 190210 * )
NEW met2 ( 189750 1869900 ) ( * 1883770 )
NEW met1 ( 188370 1883770 ) ( 189750 * )
NEW met2 ( 188370 1883770 ) ( * 1903150 )
NEW met2 ( 2517350 1599700 0 ) ( * 1612620 )
NEW met1 ( 188370 1903150 ) ( 629510 * )
NEW met3 ( 629510 1612620 ) ( 2517350 * )
NEW met2 ( 629510 1612620 ) ( * 1903150 )
NEW met1 ( 190210 1857250 ) M1M2_PR
NEW met1 ( 192970 1857250 ) M1M2_PR
NEW met2 ( 192970 1829540 ) M2M3_PR_M
NEW met1 ( 189750 1883770 ) M1M2_PR
NEW met1 ( 188370 1883770 ) M1M2_PR
NEW met1 ( 188370 1903150 ) M1M2_PR
NEW met2 ( 2517350 1612620 ) M2M3_PR_M
NEW met2 ( 629510 1612620 ) M2M3_PR_M
NEW met1 ( 629510 1903150 ) M1M2_PR ;
- core0_to_mem_data\[8\] ( core0 mem_data_out[8] ) ( chip_controller wr_data[8] ) + USE SIGNAL
+ ROUTED met3 ( 202860 1560260 ) ( * 1561620 0 )
NEW met2 ( 1517310 94860 ) ( * 100300 0 )
NEW met3 ( 202860 94860 ) ( 1517310 * )
NEW met4 ( 202860 1545600 ) ( * 1560260 )
NEW met4 ( 202860 1518100 ) ( 204700 * )
NEW met4 ( 204700 1518100 ) ( * 1545600 )
NEW met4 ( 202860 1545600 ) ( 204700 * )
NEW met4 ( 202860 94860 ) ( * 1518100 )
NEW met3 ( 202860 94860 ) M3M4_PR_M
NEW met3 ( 202860 1560260 ) M3M4_PR_M
NEW met2 ( 1517310 94860 ) M2M3_PR_M ;
- core0_to_mem_data\[90\] ( core0 mem_data_out[90] ) ( chip_controller wr_data[90] ) + USE SIGNAL
+ ROUTED met2 ( 567410 1485290 ) ( * 1491580 )
NEW met3 ( 567410 1491580 ) ( 601910 * )
NEW met2 ( 601910 1491580 ) ( * 1531700 )
NEW met3 ( 601910 1531700 ) ( 606050 * )
NEW met2 ( 606050 1531700 ) ( * 1594430 )
NEW li1 ( 2532530 1594430 ) ( * 1598170 )
NEW met2 ( 2532530 1598170 ) ( * 1598340 )
NEW met2 ( 2532530 1598340 ) ( 2533450 * 0 )
NEW met2 ( 522790 1485290 ) ( * 1490220 )
NEW met2 ( 522790 1490220 ) ( 523250 * )
NEW met2 ( 523250 1490220 ) ( * 1500420 0 )
NEW met1 ( 522790 1485290 ) ( 567410 * )
NEW met1 ( 606050 1594430 ) ( 2532530 * )
NEW met1 ( 567410 1485290 ) M1M2_PR
NEW met2 ( 567410 1491580 ) M2M3_PR_M
NEW met2 ( 601910 1491580 ) M2M3_PR_M
NEW met2 ( 601910 1531700 ) M2M3_PR_M
NEW met2 ( 606050 1531700 ) M2M3_PR_M
NEW met1 ( 606050 1594430 ) M1M2_PR
NEW li1 ( 2532530 1594430 ) L1M1_PR_MR
NEW li1 ( 2532530 1598170 ) L1M1_PR_MR
NEW met1 ( 2532530 1598170 ) M1M2_PR
NEW met1 ( 522790 1485290 ) M1M2_PR
NEW met1 ( 2532530 1598170 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[91\] ( core0 mem_data_out[91] ) ( chip_controller wr_data[91] ) + USE SIGNAL
+ ROUTED met2 ( 670450 1789590 ) ( * 1814750 )
NEW met2 ( 1288230 1261060 ) ( * 1789590 )
NEW met3 ( 1288230 1261060 ) ( 1300420 * 0 )
NEW met1 ( 670450 1789590 ) ( 1288230 * )
NEW met3 ( 599380 1816620 0 ) ( 613870 * )
NEW met2 ( 613870 1814750 ) ( * 1816620 )
NEW met1 ( 613870 1814750 ) ( 670450 * )
NEW met2 ( 1288230 1261060 ) M2M3_PR_M
NEW met1 ( 670450 1814750 ) M1M2_PR
NEW met1 ( 670450 1789590 ) M1M2_PR
NEW met1 ( 1288230 1789590 ) M1M2_PR
NEW met2 ( 613870 1816620 ) M2M3_PR_M
NEW met1 ( 613870 1814750 ) M1M2_PR ;
- core0_to_mem_data\[92\] ( core0 mem_data_out[92] ) ( chip_controller wr_data[92] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1297780 ) ( * 1304070 )
NEW met2 ( 528770 1500420 0 ) ( 529690 * )
NEW met2 ( 529690 1304070 ) ( * 1500420 )
NEW met1 ( 529690 1304070 ) ( 1283630 * )
NEW met3 ( 1283630 1297780 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1304070 ) M1M2_PR
NEW met2 ( 1283630 1297780 ) M2M3_PR_M
NEW met1 ( 529690 1304070 ) M1M2_PR ;
- core0_to_mem_data\[93\] ( core0 mem_data_out[93] ) ( chip_controller wr_data[93] ) + USE SIGNAL
+ ROUTED met2 ( 1959830 82450 ) ( * 87550 )
NEW met2 ( 2469050 87550 ) ( * 100300 0 )
NEW met1 ( 1959830 87550 ) ( 2469050 * )
NEW met2 ( 530150 1500420 0 ) ( 530610 * )
NEW met2 ( 530610 82450 ) ( * 1500420 )
NEW met1 ( 530610 82450 ) ( 1959830 * )
NEW met1 ( 1959830 87550 ) M1M2_PR
NEW met1 ( 1959830 82450 ) M1M2_PR
NEW met1 ( 2469050 87550 ) M1M2_PR
NEW met1 ( 530610 82450 ) M1M2_PR ;
- core0_to_mem_data\[94\] ( core0 mem_data_out[94] ) ( chip_controller wr_data[94] ) + USE SIGNAL
+ ROUTED met4 ( 686780 105740 ) ( * 1940380 )
NEW met3 ( 531070 1940380 ) ( 686780 * )
NEW met2 ( 2484690 102340 ) ( 2485150 * 0 )
NEW met3 ( 2484460 102340 ) ( 2484690 * )
NEW met4 ( 2484460 102340 ) ( * 105740 )
NEW met3 ( 686780 105740 ) ( 2484460 * )
NEW met2 ( 524630 1899580 0 ) ( * 1914370 )
NEW met1 ( 524630 1914370 ) ( 531070 * )
NEW met2 ( 531070 1914370 ) ( * 1940380 )
NEW met3 ( 686780 105740 ) M3M4_PR_M
NEW met3 ( 686780 1940380 ) M3M4_PR_M
NEW met2 ( 531070 1940380 ) M2M3_PR_M
NEW met2 ( 2484690 102340 ) M2M3_PR_M
NEW met3 ( 2484460 102340 ) M3M4_PR_M
NEW met3 ( 2484460 105740 ) M3M4_PR_M
NEW met1 ( 524630 1914370 ) M1M2_PR
NEW met1 ( 531070 1914370 ) M1M2_PR
NEW met3 ( 2484690 102340 ) RECT ( 0 -150 390 150 ) ;
- core0_to_mem_data\[95\] ( core0 mem_data_out[95] ) ( chip_controller wr_data[95] ) + USE SIGNAL
+ ROUTED met3 ( 194350 1839740 ) ( 200100 * 0 )
NEW met2 ( 194350 1839740 ) ( * 1937490 )
NEW met3 ( 2799100 1297780 0 ) ( 2807150 * )
NEW met1 ( 629970 1641690 ) ( 639630 * )
NEW met1 ( 639630 1640670 ) ( * 1641690 )
NEW met1 ( 194350 1937490 ) ( 629970 * )
NEW met1 ( 639630 1640670 ) ( 2807150 * )
NEW met2 ( 2807150 1297780 ) ( * 1640670 )
NEW met2 ( 629970 1641690 ) ( * 1937490 )
NEW met2 ( 194350 1839740 ) M2M3_PR_M
NEW met1 ( 194350 1937490 ) M1M2_PR
NEW met1 ( 2807150 1640670 ) M1M2_PR
NEW met2 ( 2807150 1297780 ) M2M3_PR_M
NEW met1 ( 629970 1641690 ) M1M2_PR
NEW met1 ( 629970 1937490 ) M1M2_PR ;
- core0_to_mem_data\[96\] ( core0 mem_data_out[96] ) ( chip_controller wr_data[96] ) + USE SIGNAL
+ ROUTED met2 ( 2596010 1599700 ) ( 2598310 * 0 )
NEW met2 ( 2594630 1725000 ) ( 2596010 * )
NEW met2 ( 2596010 1599700 ) ( * 1725000 )
NEW met2 ( 2594630 1725000 ) ( * 1791290 )
NEW met2 ( 655730 1791290 ) ( * 1822570 )
NEW met1 ( 655730 1791290 ) ( 2594630 * )
NEW met3 ( 599380 1822740 0 ) ( 613870 * )
NEW met2 ( 613870 1822570 ) ( * 1822740 )
NEW met1 ( 613870 1822570 ) ( 655730 * )
NEW met1 ( 2594630 1791290 ) M1M2_PR
NEW met1 ( 655730 1822570 ) M1M2_PR
NEW met1 ( 655730 1791290 ) M1M2_PR
NEW met2 ( 613870 1822740 ) M2M3_PR_M
NEW met1 ( 613870 1822570 ) M1M2_PR ;
- core0_to_mem_data\[97\] ( core0 mem_data_out[97] ) ( chip_controller wr_data[97] ) + USE SIGNAL
+ ROUTED li1 ( 566030 1485970 ) ( * 1491410 )
NEW met2 ( 2614410 1599700 0 ) ( * 1601230 )
NEW met2 ( 544870 1491410 ) ( * 1500420 )
NEW met2 ( 543950 1500420 0 ) ( 544870 * )
NEW met1 ( 544870 1491410 ) ( 566030 * )
NEW met1 ( 566030 1485970 ) ( 721050 * )
NEW met2 ( 721050 1485970 ) ( * 1601230 )
NEW met1 ( 721050 1601230 ) ( 2614410 * )
NEW li1 ( 566030 1491410 ) L1M1_PR_MR
NEW li1 ( 566030 1485970 ) L1M1_PR_MR
NEW met1 ( 2614410 1601230 ) M1M2_PR
NEW met1 ( 544870 1491410 ) M1M2_PR
NEW met1 ( 721050 1485970 ) M1M2_PR
NEW met1 ( 721050 1601230 ) M1M2_PR ;
- core0_to_mem_data\[98\] ( core0 mem_data_out[98] ) ( chip_controller wr_data[98] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1316140 ) ( * 1317670 )
NEW met1 ( 545790 1489710 ) ( 551310 * )
NEW met2 ( 545790 1489710 ) ( * 1500420 0 )
NEW met2 ( 551310 1317670 ) ( * 1489710 )
NEW met1 ( 551310 1317670 ) ( 1283630 * )
NEW met3 ( 1283630 1316140 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1317670 ) M1M2_PR
NEW met2 ( 1283630 1316140 ) M2M3_PR_M
NEW met1 ( 551310 1317670 ) M1M2_PR
NEW met1 ( 551310 1489710 ) M1M2_PR
NEW met1 ( 545790 1489710 ) M1M2_PR ;
- core0_to_mem_data\[99\] ( core0 mem_data_out[99] ) ( chip_controller wr_data[99] ) + USE SIGNAL
+ ROUTED met2 ( 2836590 1334330 ) ( * 1804210 )
NEW met3 ( 2799100 1334500 0 ) ( 2808530 * )
NEW met2 ( 2808530 1334330 ) ( * 1334500 )
NEW met1 ( 2808530 1334330 ) ( 2836590 * )
NEW met3 ( 599380 1830900 0 ) ( 609730 * )
NEW met2 ( 609730 1804210 ) ( * 1830900 )
NEW met1 ( 609730 1804210 ) ( 2836590 * )
NEW met1 ( 2836590 1334330 ) M1M2_PR
NEW met1 ( 2836590 1804210 ) M1M2_PR
NEW met2 ( 2808530 1334500 ) M2M3_PR_M
NEW met1 ( 2808530 1334330 ) M1M2_PR
NEW met2 ( 609730 1830900 ) M2M3_PR_M
NEW met1 ( 609730 1804210 ) M1M2_PR ;
- core0_to_mem_data\[9\] ( core0 mem_data_out[9] ) ( chip_controller wr_data[9] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 309740 0 ) ( 2813130 * )
NEW met2 ( 278070 1484100 ) ( * 1500420 0 )
NEW met3 ( 278070 1484100 ) ( 637330 * )
NEW met2 ( 637330 1484100 ) ( * 1588990 )
NEW met1 ( 637330 1588990 ) ( 2813130 * )
NEW met2 ( 2813130 309740 ) ( * 1588990 )
NEW met2 ( 2813130 309740 ) M2M3_PR_M
NEW met2 ( 278070 1484100 ) M2M3_PR_M
NEW met1 ( 2813130 1588990 ) M1M2_PR
NEW met2 ( 637330 1484100 ) M2M3_PR_M
NEW met1 ( 637330 1588990 ) M1M2_PR ;
- csb0_to_sram ( custom_sram csb0_to_sram ) ( chip_controller csb0_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 683330 1924740 ) ( 700580 * 0 )
NEW met3 ( 599380 1502460 0 ) ( 607890 * )
NEW met2 ( 607890 1500250 ) ( * 1502460 )
NEW met2 ( 683330 1802850 ) ( * 1924740 )
NEW met1 ( 607890 1500250 ) ( 710930 * )
NEW met1 ( 683330 1802850 ) ( 710930 * )
NEW met2 ( 710930 1500250 ) ( * 1802850 )
NEW met2 ( 683330 1924740 ) M2M3_PR_M
NEW met2 ( 607890 1502460 ) M2M3_PR_M
NEW met1 ( 607890 1500250 ) M1M2_PR
NEW met1 ( 683330 1802850 ) M1M2_PR
NEW met1 ( 710930 1500250 ) M1M2_PR
NEW met1 ( 710930 1802850 ) M1M2_PR ;
- data_out_to_core\[0\] ( core0 read_interactive_value[0] ) ( chip_controller data_out_to_core[0] ) + USE SIGNAL
+ ROUTED met2 ( 1469010 1599700 0 ) ( * 1609730 )
NEW met2 ( 229770 1495490 ) ( * 1500420 0 )
NEW met2 ( 1304790 1495490 ) ( * 1495660 )
NEW met2 ( 1304790 1495660 ) ( 1306630 * )
NEW met1 ( 229770 1495490 ) ( 1304790 * )
NEW met2 ( 1306630 1495660 ) ( * 1609730 )
NEW met1 ( 1306630 1609730 ) ( 1469010 * )
NEW met1 ( 1469010 1609730 ) M1M2_PR
NEW met1 ( 229770 1495490 ) M1M2_PR
NEW met1 ( 1304790 1495490 ) M1M2_PR
NEW met1 ( 1306630 1609730 ) M1M2_PR ;
- data_out_to_core\[10\] ( core0 read_interactive_value[10] ) ( chip_controller data_out_to_core[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1573860 0 ) ( 609730 * )
NEW met2 ( 609730 1573860 ) ( * 1579470 )
NEW met2 ( 1267530 1579470 ) ( * 1599870 )
NEW met2 ( 1645190 1599700 ) ( 1646570 * 0 )
NEW met2 ( 1645190 1599700 ) ( * 1599870 )
NEW met1 ( 609730 1579470 ) ( 1267530 * )
NEW met1 ( 1267530 1599870 ) ( 1645190 * )
NEW met2 ( 609730 1573860 ) M2M3_PR_M
NEW met1 ( 609730 1579470 ) M1M2_PR
NEW met1 ( 1267530 1579470 ) M1M2_PR
NEW met1 ( 1267530 1599870 ) M1M2_PR
NEW met1 ( 1645190 1599870 ) M1M2_PR ;
- data_out_to_core\[11\] ( core0 read_interactive_value[11] ) ( chip_controller data_out_to_core[11] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 401540 ) ( * 406810 )
NEW met2 ( 224250 406810 ) ( * 1496510 )
NEW met3 ( 191590 1582020 ) ( 200100 * 0 )
NEW met1 ( 224250 406810 ) ( 1283630 * )
NEW met3 ( 1283630 401540 ) ( 1300420 * 0 )
NEW met1 ( 186530 1524390 ) ( 190210 * )
NEW met2 ( 190210 1524390 ) ( * 1535610 )
NEW met1 ( 190210 1535610 ) ( 191590 * )
NEW met2 ( 191590 1535610 ) ( * 1582020 )
NEW met2 ( 186530 1509940 ) ( 186990 * )
NEW met2 ( 186990 1496510 ) ( * 1509940 )
NEW met2 ( 186530 1509940 ) ( * 1524390 )
NEW met1 ( 186990 1496510 ) ( 224250 * )
NEW met1 ( 224250 406810 ) M1M2_PR
NEW met1 ( 1283630 406810 ) M1M2_PR
NEW met2 ( 1283630 401540 ) M2M3_PR_M
NEW met1 ( 224250 1496510 ) M1M2_PR
NEW met2 ( 191590 1582020 ) M2M3_PR_M
NEW met1 ( 186530 1524390 ) M1M2_PR
NEW met1 ( 190210 1524390 ) M1M2_PR
NEW met1 ( 190210 1535610 ) M1M2_PR
NEW met1 ( 191590 1535610 ) M1M2_PR
NEW met1 ( 186990 1496510 ) M1M2_PR ;
- data_out_to_core\[12\] ( core0 read_interactive_value[12] ) ( chip_controller data_out_to_core[12] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 419900 0 ) ( 2809910 * )
NEW met2 ( 2809910 419900 ) ( * 420410 )
NEW met1 ( 2809910 420410 ) ( 2823710 * )
NEW met2 ( 189750 1594430 ) ( * 1597660 )
NEW met3 ( 189750 1597660 ) ( 200100 * 0 )
NEW met2 ( 1272590 1461490 ) ( * 1601060 )
NEW met2 ( 2823710 420410 ) ( * 1601060 )
NEW met1 ( 158470 1461490 ) ( 1272590 * )
NEW met2 ( 158470 1461490 ) ( * 1594430 )
NEW met1 ( 158470 1594430 ) ( 189750 * )
NEW met3 ( 1272590 1601060 ) ( 2823710 * )
NEW met1 ( 1272590 1461490 ) M1M2_PR
NEW met2 ( 2809910 419900 ) M2M3_PR_M
NEW met1 ( 2809910 420410 ) M1M2_PR
NEW met1 ( 2823710 420410 ) M1M2_PR
NEW met1 ( 189750 1594430 ) M1M2_PR
NEW met2 ( 189750 1597660 ) M2M3_PR_M
NEW met2 ( 1272590 1601060 ) M2M3_PR_M
NEW met2 ( 2823710 1601060 ) M2M3_PR_M
NEW met1 ( 158470 1461490 ) M1M2_PR
NEW met1 ( 158470 1594430 ) M1M2_PR ;
- data_out_to_core\[13\] ( core0 read_interactive_value[13] ) ( chip_controller data_out_to_core[13] ) + USE SIGNAL
+ ROUTED met2 ( 280370 1899580 0 ) ( * 1906210 )
NEW met2 ( 704030 1902980 ) ( * 1906210 )
NEW met2 ( 704030 1902980 ) ( 705410 * )
NEW met2 ( 1283630 438260 ) ( * 441490 )
NEW met1 ( 280370 1906210 ) ( 704030 * )
NEW met1 ( 705410 441490 ) ( 1283630 * )
NEW met3 ( 1283630 438260 ) ( 1300420 * 0 )
NEW met2 ( 705410 441490 ) ( * 1902980 )
NEW met1 ( 280370 1906210 ) M1M2_PR
NEW met1 ( 705410 441490 ) M1M2_PR
NEW met1 ( 704030 1906210 ) M1M2_PR
NEW met1 ( 1283630 441490 ) M1M2_PR
NEW met2 ( 1283630 438260 ) M2M3_PR_M ;
- data_out_to_core\[14\] ( core0 read_interactive_value[14] ) ( chip_controller data_out_to_core[14] ) + USE SIGNAL
+ ROUTED met2 ( 1547670 103020 ) ( 1549510 * 0 )
NEW met2 ( 1547670 102850 ) ( * 103020 )
NEW li1 ( 1547670 102850 ) ( * 105570 )
NEW met2 ( 300150 1488690 ) ( * 1500420 0 )
NEW met1 ( 348910 105570 ) ( 1547670 * )
NEW met1 ( 300150 1488690 ) ( 348910 * )
NEW met2 ( 348910 105570 ) ( * 1488690 )
NEW li1 ( 1547670 102850 ) L1M1_PR_MR
NEW met1 ( 1547670 102850 ) M1M2_PR
NEW li1 ( 1547670 105570 ) L1M1_PR_MR
NEW met1 ( 300150 1488690 ) M1M2_PR
NEW met1 ( 348910 105570 ) M1M2_PR
NEW met1 ( 348910 1488690 ) M1M2_PR
NEW met1 ( 1547670 102850 ) RECT ( -355 -70 0 70 ) ;
- data_out_to_core\[15\] ( core0 read_interactive_value[15] ) ( chip_controller data_out_to_core[15] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 492660 ) ( * 496570 )
NEW met2 ( 294170 1899580 0 ) ( * 1923890 )
NEW met2 ( 675510 496570 ) ( * 1923890 )
NEW met1 ( 294170 1923890 ) ( 675510 * )
NEW met1 ( 675510 496570 ) ( 1283630 * )
NEW met3 ( 1283630 492660 ) ( 1300420 * 0 )
NEW met1 ( 294170 1923890 ) M1M2_PR
NEW met1 ( 675510 496570 ) M1M2_PR
NEW met1 ( 675510 1923890 ) M1M2_PR
NEW met1 ( 1283630 496570 ) M1M2_PR
NEW met2 ( 1283630 492660 ) M2M3_PR_M ;
- data_out_to_core\[16\] ( core0 read_interactive_value[16] ) ( chip_controller data_out_to_core[16] ) + USE SIGNAL
+ ROUTED met2 ( 302910 1899580 0 ) ( * 1919810 )
NEW met2 ( 664010 1612110 ) ( * 1919810 )
NEW met1 ( 302910 1919810 ) ( 664010 * )
NEW met2 ( 1775370 1599700 0 ) ( * 1612110 )
NEW met1 ( 664010 1612110 ) ( 1775370 * )
NEW met1 ( 302910 1919810 ) M1M2_PR
NEW met1 ( 664010 1919810 ) M1M2_PR
NEW met1 ( 664010 1612110 ) M1M2_PR
NEW met1 ( 1775370 1612110 ) M1M2_PR ;
- data_out_to_core\[17\] ( core0 read_interactive_value[17] ) ( chip_controller data_out_to_core[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1609220 0 ) ( 608810 * )
NEW met2 ( 608810 1604630 ) ( * 1609220 )
NEW met2 ( 1283630 529380 ) ( * 531250 )
NEW met1 ( 608810 1604630 ) ( 852150 * )
NEW met2 ( 852150 531250 ) ( * 1604630 )
NEW met1 ( 852150 531250 ) ( 1283630 * )
NEW met3 ( 1283630 529380 ) ( 1300420 * 0 )
NEW met2 ( 608810 1609220 ) M2M3_PR_M
NEW met1 ( 608810 1604630 ) M1M2_PR
NEW met1 ( 1283630 531250 ) M1M2_PR
NEW met2 ( 1283630 529380 ) M2M3_PR_M
NEW met1 ( 852150 531250 ) M1M2_PR
NEW met1 ( 852150 1604630 ) M1M2_PR ;
- data_out_to_core\[18\] ( core0 read_interactive_value[18] ) ( chip_controller data_out_to_core[18] ) + USE SIGNAL
+ ROUTED met2 ( 1662670 85850 ) ( * 100300 0 )
NEW met2 ( 319930 1899580 0 ) ( * 1921850 )
NEW met1 ( 319930 1921850 ) ( 617550 * )
NEW met1 ( 617550 85850 ) ( 1662670 * )
NEW met2 ( 617550 85850 ) ( * 1921850 )
NEW met1 ( 319930 1921850 ) M1M2_PR
NEW met1 ( 1662670 85850 ) M1M2_PR
NEW met1 ( 617550 85850 ) M1M2_PR
NEW met1 ( 617550 1921850 ) M1M2_PR ;
- data_out_to_core\[19\] ( core0 read_interactive_value[19] ) ( chip_controller data_out_to_core[19] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 547740 ) ( * 551990 )
NEW met2 ( 330970 551990 ) ( * 1500420 0 )
NEW met1 ( 330970 551990 ) ( 1283630 * )
NEW met3 ( 1283630 547740 ) ( 1300420 * 0 )
NEW met1 ( 1283630 551990 ) M1M2_PR
NEW met2 ( 1283630 547740 ) M2M3_PR_M
NEW met1 ( 330970 551990 ) M1M2_PR ;
- data_out_to_core\[1\] ( core0 read_interactive_value[1] ) ( chip_controller data_out_to_core[1] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 145180 ) ( * 151470 )
NEW met1 ( 236670 1490390 ) ( 240810 * )
NEW met2 ( 236670 1490390 ) ( * 1500420 0 )
NEW met2 ( 240810 151470 ) ( * 1490390 )
NEW met1 ( 240810 151470 ) ( 1283630 * )
NEW met3 ( 1283630 145180 ) ( 1300420 * 0 )
NEW met1 ( 1283630 151470 ) M1M2_PR
NEW met2 ( 1283630 145180 ) M2M3_PR_M
NEW met1 ( 240810 151470 ) M1M2_PR
NEW met1 ( 240810 1490390 ) M1M2_PR
NEW met1 ( 236670 1490390 ) M1M2_PR ;
- data_out_to_core\[20\] ( core0 read_interactive_value[20] ) ( chip_controller data_out_to_core[20] ) + USE SIGNAL
+ ROUTED met3 ( 187450 1644580 ) ( 200100 * 0 )
NEW li1 ( 224710 1495490 ) ( * 1496510 )
NEW met2 ( 1856330 1599700 0 ) ( * 1608030 )
NEW met1 ( 224710 1496510 ) ( 1296050 * )
NEW met2 ( 1296050 1496510 ) ( * 1608030 )
NEW met1 ( 1296050 1608030 ) ( 1856330 * )
NEW met2 ( 187450 1551420 ) ( 188370 * )
NEW met2 ( 188370 1511470 ) ( * 1551420 )
NEW met1 ( 188370 1511470 ) ( 189750 * )
NEW met2 ( 187450 1551420 ) ( * 1644580 )
NEW met2 ( 189750 1495490 ) ( * 1510790 )
NEW li1 ( 189750 1510790 ) ( * 1511470 )
NEW met1 ( 189750 1495490 ) ( 224710 * )
NEW met2 ( 187450 1644580 ) M2M3_PR_M
NEW li1 ( 224710 1495490 ) L1M1_PR_MR
NEW li1 ( 224710 1496510 ) L1M1_PR_MR
NEW met1 ( 1856330 1608030 ) M1M2_PR
NEW met1 ( 1296050 1496510 ) M1M2_PR
NEW met1 ( 1296050 1608030 ) M1M2_PR
NEW met1 ( 188370 1511470 ) M1M2_PR
NEW li1 ( 189750 1511470 ) L1M1_PR_MR
NEW li1 ( 189750 1510790 ) L1M1_PR_MR
NEW met1 ( 189750 1510790 ) M1M2_PR
NEW met1 ( 189750 1495490 ) M1M2_PR
NEW met1 ( 189750 1510790 ) RECT ( -355 -70 0 70 ) ;
- data_out_to_core\[21\] ( core0 read_interactive_value[21] ) ( chip_controller data_out_to_core[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1635060 0 ) ( 610190 * )
NEW met2 ( 610190 1628430 ) ( * 1635060 )
NEW met3 ( 2799100 639540 0 ) ( 2814970 * )
NEW met2 ( 2814970 636650 ) ( * 639540 )
NEW met1 ( 610190 1628430 ) ( 2843950 * )
NEW met1 ( 2814970 636650 ) ( 2843950 * )
NEW met2 ( 2843950 636650 ) ( * 1628430 )
NEW met2 ( 610190 1635060 ) M2M3_PR_M
NEW met1 ( 610190 1628430 ) M1M2_PR
NEW met2 ( 2814970 639540 ) M2M3_PR_M
NEW met1 ( 2814970 636650 ) M1M2_PR
NEW met1 ( 2843950 1628430 ) M1M2_PR
NEW met1 ( 2843950 636650 ) M1M2_PR ;
- data_out_to_core\[22\] ( core0 read_interactive_value[22] ) ( chip_controller data_out_to_core[22] ) + USE SIGNAL
+ ROUTED met2 ( 2816350 657900 ) ( * 1639990 )
NEW met1 ( 636870 1640330 ) ( 638710 * )
NEW met1 ( 638710 1639990 ) ( * 1640330 )
NEW met1 ( 344770 1940890 ) ( 636870 * )
NEW met1 ( 638710 1639990 ) ( 2816350 * )
NEW met2 ( 341090 1899580 0 ) ( * 1911310 )
NEW met1 ( 341090 1911310 ) ( 344770 * )
NEW met2 ( 344770 1911310 ) ( * 1940890 )
NEW met3 ( 2799100 657900 0 ) ( 2816350 * )
NEW met2 ( 636870 1640330 ) ( * 1940890 )
NEW met1 ( 2816350 1639990 ) M1M2_PR
NEW met2 ( 2816350 657900 ) M2M3_PR_M
NEW met1 ( 344770 1940890 ) M1M2_PR
NEW met1 ( 636870 1640330 ) M1M2_PR
NEW met1 ( 636870 1940890 ) M1M2_PR
NEW met1 ( 341090 1911310 ) M1M2_PR
NEW met1 ( 344770 1911310 ) M1M2_PR ;
- data_out_to_core\[23\] ( core0 read_interactive_value[23] ) ( chip_controller data_out_to_core[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1643220 0 ) ( 608350 * )
NEW met2 ( 608350 1642370 ) ( * 1643220 )
NEW met2 ( 1267070 63410 ) ( * 1642370 )
NEW met1 ( 608350 1642370 ) ( 1267070 * )
NEW met2 ( 1704530 100300 ) ( 1710970 * 0 )
NEW met1 ( 1267070 63410 ) ( 1704530 * )
NEW met2 ( 1704530 63410 ) ( * 100300 )
NEW met2 ( 608350 1643220 ) M2M3_PR_M
NEW met1 ( 608350 1642370 ) M1M2_PR
NEW met1 ( 1267070 1642370 ) M1M2_PR
NEW met1 ( 1267070 63410 ) M1M2_PR
NEW met1 ( 1704530 63410 ) M1M2_PR ;
- data_out_to_core\[24\] ( core0 read_interactive_value[24] ) ( chip_controller data_out_to_core[24] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1663110 ) ( * 1664980 )
NEW met3 ( 189290 1664980 ) ( 200100 * 0 )
NEW met2 ( 1741790 103020 ) ( 1743170 * 0 )
NEW met2 ( 1741790 102850 ) ( * 103020 )
NEW li1 ( 1741790 102850 ) ( * 106250 )
NEW met2 ( 103270 106250 ) ( * 1663110 )
NEW met1 ( 103270 1663110 ) ( 189290 * )
NEW met1 ( 103270 106250 ) ( 1741790 * )
NEW met1 ( 103270 106250 ) M1M2_PR
NEW met1 ( 103270 1663110 ) M1M2_PR
NEW met1 ( 189290 1663110 ) M1M2_PR
NEW met2 ( 189290 1664980 ) M2M3_PR_M
NEW li1 ( 1741790 102850 ) L1M1_PR_MR
NEW met1 ( 1741790 102850 ) M1M2_PR
NEW li1 ( 1741790 106250 ) L1M1_PR_MR
NEW met1 ( 1741790 102850 ) RECT ( -355 -70 0 70 ) ;
- data_out_to_core\[25\] ( core0 read_interactive_value[25] ) ( chip_controller data_out_to_core[25] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1670250 ) ( * 1670420 )
NEW met3 ( 189750 1670420 ) ( 200100 * 0 )
NEW met2 ( 1274890 1461150 ) ( * 1618910 )
NEW met2 ( 1952930 1599700 0 ) ( * 1618910 )
NEW met1 ( 160770 1670250 ) ( 189750 * )
NEW met1 ( 160770 1461150 ) ( 1274890 * )
NEW met1 ( 1274890 1618910 ) ( 1952930 * )
NEW met2 ( 160770 1461150 ) ( * 1670250 )
NEW met1 ( 189750 1670250 ) M1M2_PR
NEW met2 ( 189750 1670420 ) M2M3_PR_M
NEW met1 ( 1274890 1461150 ) M1M2_PR
NEW met1 ( 1274890 1618910 ) M1M2_PR
NEW met1 ( 1952930 1618910 ) M1M2_PR
NEW met1 ( 160770 1461150 ) M1M2_PR
NEW met1 ( 160770 1670250 ) M1M2_PR ;
- data_out_to_core\[26\] ( core0 read_interactive_value[26] ) ( chip_controller data_out_to_core[26] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 693940 ) ( * 696830 )
NEW met1 ( 363630 1920490 ) ( 703110 * )
NEW met1 ( 703110 696830 ) ( 1283630 * )
NEW met3 ( 1283630 693940 ) ( 1300420 * 0 )
NEW met2 ( 363630 1899580 0 ) ( * 1920490 )
NEW met2 ( 703110 696830 ) ( * 1920490 )
NEW met1 ( 703110 696830 ) M1M2_PR
NEW met1 ( 703110 1920490 ) M1M2_PR
NEW met1 ( 1283630 696830 ) M1M2_PR
NEW met2 ( 1283630 693940 ) M2M3_PR_M
NEW met1 ( 363630 1920490 ) M1M2_PR ;
- data_out_to_core\[27\] ( core0 read_interactive_value[27] ) ( chip_controller data_out_to_core[27] ) + USE SIGNAL
+ ROUTED met2 ( 94990 94010 ) ( * 1676710 )
NEW met2 ( 189290 1676710 ) ( * 1679260 )
NEW met3 ( 189290 1679260 ) ( 200100 * 0 )
NEW met2 ( 1808030 94010 ) ( * 100300 0 )
NEW met1 ( 94990 94010 ) ( 1808030 * )
NEW met1 ( 94990 1676710 ) ( 189290 * )
NEW met1 ( 94990 94010 ) M1M2_PR
NEW met1 ( 94990 1676710 ) M1M2_PR
NEW met1 ( 189290 1676710 ) M1M2_PR
NEW met2 ( 189290 1679260 ) M2M3_PR_M
NEW met1 ( 1808030 94010 ) M1M2_PR ;
- data_out_to_core\[28\] ( core0 read_interactive_value[28] ) ( chip_controller data_out_to_core[28] ) + USE SIGNAL
+ ROUTED met2 ( 377430 1899580 0 ) ( * 1921170 )
NEW met2 ( 678270 1611090 ) ( * 1921170 )
NEW met2 ( 2017330 1599700 0 ) ( * 1611090 )
NEW met1 ( 377430 1921170 ) ( 678270 * )
NEW met1 ( 678270 1611090 ) ( 2017330 * )
NEW met1 ( 377430 1921170 ) M1M2_PR
NEW met1 ( 678270 1921170 ) M1M2_PR
NEW met1 ( 678270 1611090 ) M1M2_PR
NEW met1 ( 2017330 1611090 ) M1M2_PR ;
- data_out_to_core\[29\] ( core0 read_interactive_value[29] ) ( chip_controller data_out_to_core[29] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1677220 0 ) ( 607890 * )
NEW met2 ( 607890 1676710 ) ( * 1677220 )
NEW met2 ( 2049530 1599700 0 ) ( * 1673310 )
NEW met1 ( 1317670 1673310 ) ( 2049530 * )
NEW met1 ( 607890 1676710 ) ( 1317670 * )
NEW met2 ( 1317670 1673310 ) ( * 1676710 )
NEW met1 ( 2049530 1673310 ) M1M2_PR
NEW met2 ( 607890 1677220 ) M2M3_PR_M
NEW met1 ( 607890 1676710 ) M1M2_PR
NEW met1 ( 1317670 1673310 ) M1M2_PR
NEW met1 ( 1317670 1676710 ) M1M2_PR ;
- data_out_to_core\[2\] ( core0 read_interactive_value[2] ) ( chip_controller data_out_to_core[2] ) + USE SIGNAL
+ ROUTED met2 ( 1372410 103530 ) ( * 103700 0 )
NEW li1 ( 1372410 103530 ) ( * 104890 )
NEW met1 ( 252310 104890 ) ( 1372410 * )
NEW met1 ( 241730 1490390 ) ( 252310 * )
NEW met2 ( 241730 1490390 ) ( * 1500420 0 )
NEW met2 ( 252310 104890 ) ( * 1490390 )
NEW li1 ( 1372410 103530 ) L1M1_PR_MR
NEW met1 ( 1372410 103530 ) M1M2_PR
NEW li1 ( 1372410 104890 ) L1M1_PR_MR
NEW met1 ( 252310 104890 ) M1M2_PR
NEW met1 ( 252310 1490390 ) M1M2_PR
NEW met1 ( 241730 1490390 ) M1M2_PR
NEW met1 ( 1372410 103530 ) RECT ( -355 -70 0 70 ) ;
- data_out_to_core\[30\] ( core0 read_interactive_value[30] ) ( chip_controller data_out_to_core[30] ) + USE SIGNAL
+ ROUTED met2 ( 126730 1473050 ) ( * 1691330 )
NEW met2 ( 189290 1691330 ) ( * 1694900 )
NEW met3 ( 189290 1694900 ) ( 200100 * 0 )
NEW met2 ( 1288690 767380 ) ( * 1473050 )
NEW met3 ( 1288690 767380 ) ( 1300420 * 0 )
NEW met1 ( 126730 1691330 ) ( 189290 * )
NEW met1 ( 126730 1473050 ) ( 1288690 * )
NEW met1 ( 126730 1473050 ) M1M2_PR
NEW met2 ( 1288690 767380 ) M2M3_PR_M
NEW met1 ( 1288690 1473050 ) M1M2_PR
NEW met1 ( 126730 1691330 ) M1M2_PR
NEW met1 ( 189290 1691330 ) M1M2_PR
NEW met2 ( 189290 1694900 ) M2M3_PR_M ;
- data_out_to_core\[31\] ( core0 read_interactive_value[31] ) ( chip_controller data_out_to_core[31] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 785740 0 ) ( 2803930 * )
NEW met2 ( 189290 1697790 ) ( * 1699660 )
NEW met3 ( 189290 1699660 ) ( 200100 * 0 )
NEW met2 ( 1275810 1461830 ) ( * 1615850 )
NEW met1 ( 161230 1461830 ) ( 1275810 * )
NEW met1 ( 161230 1697790 ) ( 189290 * )
NEW met1 ( 1275810 1615850 ) ( 2803930 * )
NEW met2 ( 161230 1461830 ) ( * 1697790 )
NEW met2 ( 2803930 785740 ) ( * 1615850 )
NEW met1 ( 1275810 1461830 ) M1M2_PR
NEW met2 ( 2803930 785740 ) M2M3_PR_M
NEW met1 ( 189290 1697790 ) M1M2_PR
NEW met2 ( 189290 1699660 ) M2M3_PR_M
NEW met1 ( 1275810 1615850 ) M1M2_PR
NEW met1 ( 2803930 1615850 ) M1M2_PR
NEW met1 ( 161230 1461830 ) M1M2_PR
NEW met1 ( 161230 1697790 ) M1M2_PR ;
- data_out_to_core\[3\] ( core0 read_interactive_value[3] ) ( chip_controller data_out_to_core[3] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 200260 ) ( * 206890 )
NEW met3 ( 599380 1523540 0 ) ( 607890 * )
NEW met2 ( 607890 1519290 ) ( * 1523540 )
NEW met1 ( 620770 206890 ) ( 1283630 * )
NEW met3 ( 1283630 200260 ) ( 1300420 * 0 )
NEW met1 ( 607890 1519290 ) ( 620770 * )
NEW met2 ( 620770 206890 ) ( * 1519290 )
NEW met1 ( 1283630 206890 ) M1M2_PR
NEW met2 ( 1283630 200260 ) M2M3_PR_M
NEW met2 ( 607890 1523540 ) M2M3_PR_M
NEW met1 ( 607890 1519290 ) M1M2_PR
NEW met1 ( 620770 206890 ) M1M2_PR
NEW met1 ( 620770 1519290 ) M1M2_PR ;
- data_out_to_core\[4\] ( core0 read_interactive_value[4] ) ( chip_controller data_out_to_core[4] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 218620 ) ( * 220490 )
NEW met2 ( 983250 220490 ) ( * 1458090 )
NEW met1 ( 192050 1458090 ) ( 983250 * )
NEW met1 ( 983250 220490 ) ( 1283630 * )
NEW met3 ( 1283630 218620 ) ( 1300420 * 0 )
NEW met3 ( 191590 1530340 ) ( 200100 * 0 )
NEW met2 ( 191590 1511100 ) ( * 1530340 )
NEW met2 ( 191590 1511100 ) ( 192050 * )
NEW met2 ( 192050 1458090 ) ( * 1511100 )
NEW met1 ( 192050 1458090 ) M1M2_PR
NEW met1 ( 983250 220490 ) M1M2_PR
NEW met1 ( 983250 1458090 ) M1M2_PR
NEW met1 ( 1283630 220490 ) M1M2_PR
NEW met2 ( 1283630 218620 ) M2M3_PR_M
NEW met2 ( 191590 1530340 ) M2M3_PR_M ;
- data_out_to_core\[5\] ( core0 read_interactive_value[5] ) ( chip_controller data_out_to_core[5] ) + USE SIGNAL
+ ROUTED met2 ( 1436810 94180 ) ( * 100300 0 )
NEW met3 ( 203780 94180 ) ( 1436810 * )
NEW met3 ( 201020 1531700 0 ) ( * 1532380 )
NEW met3 ( 201020 1473220 ) ( 203780 * )
NEW met4 ( 201020 1473220 ) ( * 1532380 )
NEW met4 ( 203780 94180 ) ( * 1473220 )
NEW met3 ( 203780 94180 ) M3M4_PR_M
NEW met2 ( 1436810 94180 ) M2M3_PR_M
NEW met3 ( 201020 1532380 ) M3M4_PR_M
NEW met3 ( 201020 1473220 ) M3M4_PR_M
NEW met3 ( 203780 1473220 ) M3M4_PR_M ;
- data_out_to_core\[6\] ( core0 read_interactive_value[6] ) ( chip_controller data_out_to_core[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1552780 0 ) ( 608810 * )
NEW met2 ( 608810 1552610 ) ( * 1552780 )
NEW met2 ( 1452910 96390 ) ( * 100300 0 )
NEW met2 ( 1259250 96390 ) ( * 1552610 )
NEW met1 ( 608810 1552610 ) ( 1259250 * )
NEW met1 ( 1259250 96390 ) ( 1452910 * )
NEW met2 ( 608810 1552780 ) M2M3_PR_M
NEW met1 ( 608810 1552610 ) M1M2_PR
NEW met1 ( 1259250 96390 ) M1M2_PR
NEW met1 ( 1259250 1552610 ) M1M2_PR
NEW met1 ( 1452910 96390 ) M1M2_PR ;
- data_out_to_core\[7\] ( core0 read_interactive_value[7] ) ( chip_controller data_out_to_core[7] ) + USE SIGNAL
+ ROUTED met2 ( 1295130 1496170 ) ( * 1609050 )
NEW met2 ( 1598270 1599700 0 ) ( * 1609050 )
NEW met1 ( 1295130 1609050 ) ( 1598270 * )
NEW met3 ( 187910 1511300 ) ( 188140 * )
NEW met3 ( 188140 1511300 ) ( * 1515380 )
NEW met3 ( 188140 1515380 ) ( 188830 * )
NEW met2 ( 188830 1515380 ) ( * 1549380 )
NEW met3 ( 188830 1549380 ) ( 200100 * 0 )
NEW met2 ( 187910 1496170 ) ( * 1511300 )
NEW met1 ( 187910 1496170 ) ( 1295130 * )
NEW met1 ( 1295130 1496170 ) M1M2_PR
NEW met1 ( 1295130 1609050 ) M1M2_PR
NEW met1 ( 1598270 1609050 ) M1M2_PR
NEW met2 ( 187910 1511300 ) M2M3_PR_M
NEW met2 ( 188830 1515380 ) M2M3_PR_M
NEW met2 ( 188830 1549380 ) M2M3_PR_M
NEW met1 ( 187910 1496170 ) M1M2_PR ;
- data_out_to_core\[8\] ( core0 read_interactive_value[8] ) ( chip_controller data_out_to_core[8] ) + USE SIGNAL
+ ROUTED met2 ( 1286850 309740 ) ( * 1493620 )
NEW met3 ( 1286850 309740 ) ( 1300420 * 0 )
NEW met1 ( 194810 1523030 ) ( 198950 * )
NEW met2 ( 198950 1523030 ) ( * 1533230 )
NEW li1 ( 198950 1533230 ) ( * 1539350 )
NEW met2 ( 198950 1539350 ) ( * 1556180 )
NEW met3 ( 198950 1556180 ) ( 200100 * 0 )
NEW met2 ( 194810 1493620 ) ( * 1523030 )
NEW met3 ( 194810 1493620 ) ( 1286850 * )
NEW met2 ( 1286850 309740 ) M2M3_PR_M
NEW met2 ( 1286850 1493620 ) M2M3_PR_M
NEW met1 ( 194810 1523030 ) M1M2_PR
NEW met1 ( 198950 1523030 ) M1M2_PR
NEW li1 ( 198950 1533230 ) L1M1_PR_MR
NEW met1 ( 198950 1533230 ) M1M2_PR
NEW li1 ( 198950 1539350 ) L1M1_PR_MR
NEW met1 ( 198950 1539350 ) M1M2_PR
NEW met2 ( 198950 1556180 ) M2M3_PR_M
NEW met2 ( 194810 1493620 ) M2M3_PR_M
NEW met1 ( 198950 1533230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 198950 1539350 ) RECT ( -355 -70 0 70 ) ;
- data_out_to_core\[9\] ( core0 read_interactive_value[9] ) ( chip_controller data_out_to_core[9] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1559410 ) ( * 1565020 )
NEW met3 ( 189750 1565020 ) ( 200100 * 0 )
NEW met2 ( 1271670 1469650 ) ( * 1590350 )
NEW met3 ( 2799100 328100 0 ) ( 2815890 * )
NEW met2 ( 2815890 328100 ) ( * 1590350 )
NEW met1 ( 164450 1559410 ) ( 189750 * )
NEW met1 ( 1271670 1590350 ) ( 2815890 * )
NEW met2 ( 164450 1469650 ) ( * 1559410 )
NEW met1 ( 164450 1469650 ) ( 1271670 * )
NEW met1 ( 189750 1559410 ) M1M2_PR
NEW met2 ( 189750 1565020 ) M2M3_PR_M
NEW met1 ( 1271670 1469650 ) M1M2_PR
NEW met1 ( 1271670 1590350 ) M1M2_PR
NEW met2 ( 2815890 328100 ) M2M3_PR_M
NEW met1 ( 2815890 1590350 ) M1M2_PR
NEW met1 ( 164450 1559410 ) M1M2_PR
NEW met1 ( 164450 1469650 ) M1M2_PR ;
- data_to_core_mem\[0\] ( chip_controller data_to_core_mem[0] ) + USE SIGNAL ;
- data_to_core_mem\[10\] ( chip_controller data_to_core_mem[10] ) + USE SIGNAL ;
- data_to_core_mem\[11\] ( chip_controller data_to_core_mem[11] ) + USE SIGNAL ;
- data_to_core_mem\[12\] ( chip_controller data_to_core_mem[12] ) + USE SIGNAL ;
- data_to_core_mem\[13\] ( chip_controller data_to_core_mem[13] ) + USE SIGNAL ;
- data_to_core_mem\[14\] ( chip_controller data_to_core_mem[14] ) + USE SIGNAL ;
- data_to_core_mem\[15\] ( chip_controller data_to_core_mem[15] ) + USE SIGNAL ;
- data_to_core_mem\[16\] ( chip_controller data_to_core_mem[16] ) + USE SIGNAL ;
- data_to_core_mem\[17\] ( chip_controller data_to_core_mem[17] ) + USE SIGNAL ;
- data_to_core_mem\[18\] ( chip_controller data_to_core_mem[18] ) + USE SIGNAL ;
- data_to_core_mem\[19\] ( chip_controller data_to_core_mem[19] ) + USE SIGNAL ;
- data_to_core_mem\[1\] ( chip_controller data_to_core_mem[1] ) + USE SIGNAL ;
- data_to_core_mem\[20\] ( chip_controller data_to_core_mem[20] ) + USE SIGNAL ;
- data_to_core_mem\[21\] ( chip_controller data_to_core_mem[21] ) + USE SIGNAL ;
- data_to_core_mem\[22\] ( chip_controller data_to_core_mem[22] ) + USE SIGNAL ;
- data_to_core_mem\[23\] ( chip_controller data_to_core_mem[23] ) + USE SIGNAL ;
- data_to_core_mem\[24\] ( chip_controller data_to_core_mem[24] ) + USE SIGNAL ;
- data_to_core_mem\[25\] ( chip_controller data_to_core_mem[25] ) + USE SIGNAL ;
- data_to_core_mem\[26\] ( chip_controller data_to_core_mem[26] ) + USE SIGNAL ;
- data_to_core_mem\[27\] ( chip_controller data_to_core_mem[27] ) + USE SIGNAL ;
- data_to_core_mem\[28\] ( chip_controller data_to_core_mem[28] ) + USE SIGNAL ;
- data_to_core_mem\[29\] ( chip_controller data_to_core_mem[29] ) + USE SIGNAL ;
- data_to_core_mem\[2\] ( chip_controller data_to_core_mem[2] ) + USE SIGNAL ;
- data_to_core_mem\[30\] ( chip_controller data_to_core_mem[30] ) + USE SIGNAL ;
- data_to_core_mem\[31\] ( chip_controller data_to_core_mem[31] ) + USE SIGNAL ;
- data_to_core_mem\[3\] ( chip_controller data_to_core_mem[3] ) + USE SIGNAL ;
- data_to_core_mem\[4\] ( chip_controller data_to_core_mem[4] ) + USE SIGNAL ;
- data_to_core_mem\[5\] ( chip_controller data_to_core_mem[5] ) + USE SIGNAL ;
- data_to_core_mem\[6\] ( chip_controller data_to_core_mem[6] ) + USE SIGNAL ;
- data_to_core_mem\[7\] ( chip_controller data_to_core_mem[7] ) + USE SIGNAL ;
- data_to_core_mem\[8\] ( chip_controller data_to_core_mem[8] ) + USE SIGNAL ;
- data_to_core_mem\[9\] ( chip_controller data_to_core_mem[9] ) + USE SIGNAL ;
- din0_to_sram\[0\] ( custom_sram d[0] ) ( chip_controller din0_to_sram[0] ) + USE SIGNAL
+ ROUTED met1 ( 742210 1559410 ) ( 752330 * )
NEW met2 ( 742210 1502290 ) ( * 1559410 )
NEW met2 ( 752330 1800300 ) ( 756470 * 0 )
NEW met2 ( 752330 1559410 ) ( * 1800300 )
NEW met3 ( 199410 1502460 ) ( 200100 * 0 )
NEW met2 ( 199410 1502290 ) ( * 1502460 )
NEW met1 ( 199410 1502290 ) ( 742210 * )
NEW met1 ( 742210 1559410 ) M1M2_PR
NEW met1 ( 752330 1559410 ) M1M2_PR
NEW met1 ( 742210 1502290 ) M1M2_PR
NEW met2 ( 199410 1502460 ) M2M3_PR_M
NEW met1 ( 199410 1502290 ) M1M2_PR ;
- din0_to_sram\[10\] ( custom_sram d[10] ) ( chip_controller din0_to_sram[10] ) + USE SIGNAL
+ ROUTED met2 ( 663090 1789930 ) ( * 1923550 )
NEW met2 ( 1543530 1789930 ) ( * 1800300 0 )
NEW met1 ( 262890 1923550 ) ( 663090 * )
NEW met2 ( 262890 1899580 0 ) ( * 1923550 )
NEW met1 ( 663090 1789930 ) ( 1543530 * )
NEW met1 ( 663090 1923550 ) M1M2_PR
NEW met1 ( 663090 1789930 ) M1M2_PR
NEW met1 ( 1543530 1789930 ) M1M2_PR
NEW met1 ( 262890 1923550 ) M1M2_PR ;
- din0_to_sram\[11\] ( custom_sram d[11] ) ( chip_controller din0_to_sram[11] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2518550 ) ( * 2524500 )
NEW met3 ( 683330 2524500 ) ( 700580 * 0 )
NEW met2 ( 189290 1584060 ) ( * 1586950 )
NEW met3 ( 189290 1584060 ) ( 200100 * 0 )
NEW met1 ( 169970 2518550 ) ( 683330 * )
NEW met1 ( 169970 1586950 ) ( 189290 * )
NEW met2 ( 169970 1586950 ) ( * 2518550 )
NEW met1 ( 683330 2518550 ) M1M2_PR
NEW met2 ( 683330 2524500 ) M2M3_PR_M
NEW met1 ( 189290 1586950 ) M1M2_PR
NEW met2 ( 189290 1584060 ) M2M3_PR_M
NEW met1 ( 169970 2518550 ) M1M2_PR
NEW met1 ( 169970 1586950 ) M1M2_PR ;
- din0_to_sram\[12\] ( custom_sram d[12] ) ( chip_controller din0_to_sram[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1584740 0 ) ( 608350 * )
NEW met2 ( 608350 1584740 ) ( * 1586270 )
NEW li1 ( 1427610 3292390 ) ( * 3296810 )
NEW met2 ( 1427610 3296810 ) ( * 3296980 )
NEW met2 ( 1427610 3296980 ) ( 1428530 * 0 )
NEW met1 ( 620770 3292390 ) ( 1427610 * )
NEW met1 ( 608350 1586270 ) ( 620770 * )
NEW met2 ( 620770 1586270 ) ( * 3292390 )
NEW met2 ( 608350 1584740 ) M2M3_PR_M
NEW met1 ( 608350 1586270 ) M1M2_PR
NEW met1 ( 620770 3292390 ) M1M2_PR
NEW li1 ( 1427610 3292390 ) L1M1_PR_MR
NEW li1 ( 1427610 3296810 ) L1M1_PR_MR
NEW met1 ( 1427610 3296810 ) M1M2_PR
NEW met1 ( 620770 1586270 ) M1M2_PR
NEW met1 ( 1427610 3296810 ) RECT ( -355 -70 0 70 ) ;
- din0_to_sram\[13\] ( custom_sram d[13] ) ( chip_controller din0_to_sram[13] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2622250 ) ( * 2624460 )
NEW met3 ( 683330 2624460 ) ( 700580 * 0 )
NEW met2 ( 295090 1492770 ) ( * 1500420 0 )
NEW met1 ( 662170 2622250 ) ( 683330 * )
NEW met1 ( 295090 1492770 ) ( 662170 * )
NEW met2 ( 662170 1492770 ) ( * 2622250 )
NEW met1 ( 683330 2622250 ) M1M2_PR
NEW met2 ( 683330 2624460 ) M2M3_PR_M
NEW met1 ( 295090 1492770 ) M1M2_PR
NEW met1 ( 662170 2622250 ) M1M2_PR
NEW met1 ( 662170 1492770 ) M1M2_PR ;
- din0_to_sram\[14\] ( custom_sram d[14] ) ( chip_controller din0_to_sram[14] ) + USE SIGNAL
+ ROUTED met2 ( 301990 1488860 ) ( * 1500420 0 )
NEW met2 ( 762450 1488860 ) ( * 1627070 )
NEW met3 ( 2499180 2478260 0 ) ( 2513670 * )
NEW met2 ( 2513670 1627070 ) ( * 2478260 )
NEW met3 ( 301990 1488860 ) ( 762450 * )
NEW met1 ( 762450 1627070 ) ( 2513670 * )
NEW met2 ( 301990 1488860 ) M2M3_PR_M
NEW met2 ( 762450 1488860 ) M2M3_PR_M
NEW met1 ( 762450 1627070 ) M1M2_PR
NEW met1 ( 2513670 1627070 ) M1M2_PR
NEW met2 ( 2513670 2478260 ) M2M3_PR_M ;
- din0_to_sram\[15\] ( custom_sram d[15] ) ( chip_controller din0_to_sram[15] ) + USE SIGNAL
+ ROUTED met3 ( 192050 1615340 ) ( 200100 * 0 )
NEW met2 ( 192050 1615340 ) ( * 1893290 )
NEW met1 ( 192050 1893290 ) ( 690230 * )
NEW met2 ( 1881170 1792650 ) ( * 1800300 0 )
NEW met1 ( 690230 1792650 ) ( 1881170 * )
NEW met2 ( 690230 1792650 ) ( * 1893290 )
NEW met2 ( 192050 1615340 ) M2M3_PR_M
NEW met1 ( 192050 1893290 ) M1M2_PR
NEW met1 ( 690230 1792650 ) M1M2_PR
NEW met1 ( 690230 1893290 ) M1M2_PR
NEW met1 ( 1881170 1792650 ) M1M2_PR ;
- din0_to_sram\[16\] ( custom_sram d[16] ) ( chip_controller din0_to_sram[16] ) + USE SIGNAL
+ ROUTED met2 ( 304290 1899580 0 ) ( * 1924570 )
NEW met2 ( 684250 1793330 ) ( * 1924570 )
NEW met1 ( 304290 1924570 ) ( 684250 * )
NEW met2 ( 2106110 1793330 ) ( * 1800300 0 )
NEW met1 ( 684250 1793330 ) ( 2106110 * )
NEW met1 ( 304290 1924570 ) M1M2_PR
NEW met1 ( 684250 1924570 ) M1M2_PR
NEW met1 ( 684250 1793330 ) M1M2_PR
NEW met1 ( 2106110 1793330 ) M1M2_PR ;
- din0_to_sram\[17\] ( custom_sram d[17] ) ( chip_controller din0_to_sram[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1610580 0 ) ( 613870 * )
NEW met2 ( 2218810 1791630 ) ( * 1800300 0 )
NEW met2 ( 613870 1610580 ) ( 614790 * )
NEW met2 ( 614790 1610580 ) ( * 1791630 )
NEW met1 ( 614790 1791630 ) ( 2218810 * )
NEW met2 ( 613870 1610580 ) M2M3_PR_M
NEW met1 ( 2218810 1791630 ) M1M2_PR
NEW met1 ( 614790 1791630 ) M1M2_PR ;
- din0_to_sram\[18\] ( custom_sram d[18] ) ( chip_controller din0_to_sram[18] ) + USE SIGNAL
+ ROUTED met2 ( 321770 1899580 0 ) ( 323150 * )
NEW met2 ( 323150 1899580 ) ( * 1918200 )
NEW met2 ( 323150 1918200 ) ( 323610 * )
NEW met2 ( 323610 1918200 ) ( * 2870450 )
NEW met2 ( 683330 2870450 ) ( * 2874700 )
NEW met3 ( 683330 2874700 ) ( 700580 * 0 )
NEW met1 ( 323610 2870450 ) ( 683330 * )
NEW met1 ( 323610 2870450 ) M1M2_PR
NEW met1 ( 683330 2870450 ) M1M2_PR
NEW met2 ( 683330 2874700 ) M2M3_PR_M ;
- din0_to_sram\[19\] ( custom_sram d[19] ) ( chip_controller din0_to_sram[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1628940 0 ) ( 613870 * )
NEW met2 ( 613870 1762220 ) ( * 1762730 )
NEW met2 ( 613870 1747260 ) ( * 1752700 )
NEW met2 ( 613870 1741140 ) ( * 1745900 )
NEW met2 ( 1857250 3299700 0 ) ( * 3307690 )
NEW met2 ( 613870 1628940 ) ( * 1739100 )
NEW met2 ( 614330 1739100 ) ( * 1741140 )
NEW met2 ( 614330 1745900 ) ( * 1747260 )
NEW met2 ( 614330 1752700 ) ( * 1762220 )
NEW met2 ( 613870 1739100 ) ( 614330 * )
NEW met2 ( 613870 1741140 ) ( 614330 * )
NEW met2 ( 613870 1745900 ) ( 614330 * )
NEW met2 ( 613870 1747260 ) ( 614330 * )
NEW met2 ( 613870 1752700 ) ( 614330 * )
NEW met2 ( 613870 1762220 ) ( 614330 * )
NEW met1 ( 613870 1762730 ) ( 644230 * )
NEW met1 ( 644230 3307690 ) ( 1857250 * )
NEW met2 ( 644230 1762730 ) ( * 3307690 )
NEW met2 ( 613870 1628940 ) M2M3_PR_M
NEW met1 ( 613870 1762730 ) M1M2_PR
NEW met1 ( 1857250 3307690 ) M1M2_PR
NEW met1 ( 644230 1762730 ) M1M2_PR
NEW met1 ( 644230 3307690 ) M1M2_PR ;
- din0_to_sram\[1\] ( custom_sram d[1] ) ( chip_controller din0_to_sram[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1510620 0 ) ( 607430 * )
NEW met2 ( 607430 1510620 ) ( * 1510790 )
NEW met2 ( 741750 3298850 ) ( * 3299020 )
NEW met2 ( 741750 3299020 ) ( 743130 * 0 )
NEW met1 ( 702650 3298850 ) ( 741750 * )
NEW met1 ( 607430 1510790 ) ( 702650 * )
NEW met2 ( 702650 1510790 ) ( * 3298850 )
NEW met1 ( 702650 3298850 ) M1M2_PR
NEW met2 ( 607430 1510620 ) M2M3_PR_M
NEW met1 ( 607430 1510790 ) M1M2_PR
NEW met1 ( 702650 1510790 ) M1M2_PR
NEW met1 ( 741750 3298850 ) M1M2_PR ;
- din0_to_sram\[20\] ( custom_sram d[20] ) ( chip_controller din0_to_sram[20] ) + USE SIGNAL
+ ROUTED met3 ( 689770 2974660 ) ( 700580 * 0 )
NEW met2 ( 689770 1492090 ) ( * 2974660 )
NEW met2 ( 365930 1487330 ) ( * 1492090 )
NEW met1 ( 337410 1487330 ) ( 365930 * )
NEW met2 ( 337410 1487330 ) ( * 1500420 )
NEW met2 ( 337410 1500420 ) ( 337870 * 0 )
NEW met1 ( 365930 1492090 ) ( 689770 * )
NEW met1 ( 689770 1492090 ) M1M2_PR
NEW met2 ( 689770 2974660 ) M2M3_PR_M
NEW met1 ( 365930 1492090 ) M1M2_PR
NEW met1 ( 365930 1487330 ) M1M2_PR
NEW met1 ( 337410 1487330 ) M1M2_PR ;
- din0_to_sram\[21\] ( custom_sram d[21] ) ( chip_controller din0_to_sram[21] ) + USE SIGNAL
+ ROUTED met2 ( 2028830 3299700 0 ) ( * 3307010 )
NEW met2 ( 589490 1492940 ) ( * 1495660 )
NEW met1 ( 619850 3307010 ) ( 2028830 * )
NEW met2 ( 342930 1495660 ) ( * 1500420 0 )
NEW met3 ( 342930 1495660 ) ( 589490 * )
NEW met3 ( 589490 1492940 ) ( 619850 * )
NEW met2 ( 619850 1492940 ) ( * 3307010 )
NEW met1 ( 2028830 3307010 ) M1M2_PR
NEW met2 ( 589490 1495660 ) M2M3_PR_M
NEW met2 ( 589490 1492940 ) M2M3_PR_M
NEW met1 ( 619850 3307010 ) M1M2_PR
NEW met2 ( 342930 1495660 ) M2M3_PR_M
NEW met2 ( 619850 1492940 ) M2M3_PR_M ;
- din0_to_sram\[22\] ( custom_sram d[22] ) ( chip_controller din0_to_sram[22] ) + USE SIGNAL
+ ROUTED met3 ( 188830 1654780 ) ( 200100 * 0 )
NEW met2 ( 2114390 3299700 0 ) ( * 3305310 )
NEW met1 ( 186070 1705270 ) ( 188830 * )
NEW met2 ( 188830 1654780 ) ( * 1705270 )
NEW met2 ( 186070 1705270 ) ( * 3305310 )
NEW met1 ( 186070 3305310 ) ( 2114390 * )
NEW met2 ( 188830 1654780 ) M2M3_PR_M
NEW met1 ( 186070 3305310 ) M1M2_PR
NEW met1 ( 2114390 3305310 ) M1M2_PR
NEW met1 ( 186070 1705270 ) M1M2_PR
NEW met1 ( 188830 1705270 ) M1M2_PR ;
- din0_to_sram\[23\] ( custom_sram d[23] ) ( chip_controller din0_to_sram[23] ) + USE SIGNAL
+ ROUTED met3 ( 689310 3024300 ) ( 700580 * 0 )
NEW met2 ( 400890 1490050 ) ( * 1491750 )
NEW met2 ( 689310 1491750 ) ( * 3024300 )
NEW li1 ( 363630 1488690 ) ( * 1490050 )
NEW met1 ( 349830 1488690 ) ( 363630 * )
NEW met2 ( 349830 1488690 ) ( * 1500420 0 )
NEW met1 ( 363630 1490050 ) ( 400890 * )
NEW met1 ( 400890 1491750 ) ( 689310 * )
NEW met2 ( 689310 3024300 ) M2M3_PR_M
NEW met1 ( 400890 1490050 ) M1M2_PR
NEW met1 ( 400890 1491750 ) M1M2_PR
NEW met1 ( 689310 1491750 ) M1M2_PR
NEW li1 ( 363630 1490050 ) L1M1_PR_MR
NEW li1 ( 363630 1488690 ) L1M1_PR_MR
NEW met1 ( 349830 1488690 ) M1M2_PR ;
- din0_to_sram\[24\] ( custom_sram d[24] ) ( chip_controller din0_to_sram[24] ) + USE SIGNAL
+ ROUTED met3 ( 190210 1668380 ) ( 200100 * 0 )
NEW met2 ( 190670 1703740 ) ( * 1722610 )
NEW met2 ( 190210 1703740 ) ( 190670 * )
NEW met2 ( 190210 1668380 ) ( * 1703740 )
NEW met2 ( 683330 3070710 ) ( * 3074620 )
NEW met3 ( 683330 3074620 ) ( 700580 * 0 )
NEW met1 ( 177790 1722610 ) ( 190670 * )
NEW met2 ( 177790 1722610 ) ( * 3070710 )
NEW met1 ( 177790 3070710 ) ( 683330 * )
NEW met2 ( 190210 1668380 ) M2M3_PR_M
NEW met1 ( 190670 1722610 ) M1M2_PR
NEW met1 ( 683330 3070710 ) M1M2_PR
NEW met2 ( 683330 3074620 ) M2M3_PR_M
NEW met1 ( 177790 1722610 ) M1M2_PR
NEW met1 ( 177790 3070710 ) M1M2_PR ;
- din0_to_sram\[25\] ( custom_sram d[25] ) ( chip_controller din0_to_sram[25] ) + USE SIGNAL
+ ROUTED met2 ( 2199950 3299700 0 ) ( * 3305990 )
NEW met1 ( 358570 3305990 ) ( 2199950 * )
NEW met2 ( 356270 1899580 0 ) ( * 1911310 )
NEW met1 ( 356270 1911310 ) ( 358570 * )
NEW met2 ( 358570 1911310 ) ( * 3305990 )
NEW met1 ( 358570 3305990 ) M1M2_PR
NEW met1 ( 2199950 3305990 ) M1M2_PR
NEW met1 ( 356270 1911310 ) M1M2_PR
NEW met1 ( 358570 1911310 ) M1M2_PR ;
- din0_to_sram\[26\] ( custom_sram d[26] ) ( chip_controller din0_to_sram[26] ) + USE SIGNAL
+ ROUTED met2 ( 2443750 1791970 ) ( * 1800300 0 )
NEW met2 ( 361790 1496340 ) ( * 1500420 0 )
NEW met3 ( 361790 1496340 ) ( 1010850 * )
NEW met2 ( 1010850 1496340 ) ( * 1791970 )
NEW met1 ( 1010850 1791970 ) ( 2443750 * )
NEW met1 ( 2443750 1791970 ) M1M2_PR
NEW met2 ( 361790 1496340 ) M2M3_PR_M
NEW met2 ( 1010850 1496340 ) M2M3_PR_M
NEW met1 ( 1010850 1791970 ) M1M2_PR ;
- din0_to_sram\[27\] ( custom_sram d[27] ) ( chip_controller din0_to_sram[27] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1669060 0 ) ( 607890 * )
NEW met2 ( 607890 1669060 ) ( * 1669570 )
NEW met3 ( 2499180 3049460 0 ) ( 2504930 * )
NEW met2 ( 2504930 1669570 ) ( * 3049460 )
NEW met1 ( 607890 1669570 ) ( 2504930 * )
NEW met2 ( 607890 1669060 ) M2M3_PR_M
NEW met1 ( 607890 1669570 ) M1M2_PR
NEW met1 ( 2504930 1669570 ) M1M2_PR
NEW met2 ( 2504930 3049460 ) M2M3_PR_M ;
- din0_to_sram\[28\] ( custom_sram d[28] ) ( chip_controller din0_to_sram[28] ) + USE SIGNAL
+ ROUTED met2 ( 683330 3118990 ) ( * 3124260 )
NEW met3 ( 683330 3124260 ) ( 700580 * 0 )
NEW met2 ( 189290 1684020 ) ( * 1688270 )
NEW met3 ( 189290 1684020 ) ( 200100 * 0 )
NEW met1 ( 172270 3118990 ) ( 683330 * )
NEW met1 ( 172270 1688270 ) ( 189290 * )
NEW met2 ( 172270 1688270 ) ( * 3118990 )
NEW met1 ( 683330 3118990 ) M1M2_PR
NEW met2 ( 683330 3124260 ) M2M3_PR_M
NEW met1 ( 189290 1688270 ) M1M2_PR
NEW met2 ( 189290 1684020 ) M2M3_PR_M
NEW met1 ( 172270 3118990 ) M1M2_PR
NEW met1 ( 172270 1688270 ) M1M2_PR ;
- din0_to_sram\[29\] ( custom_sram d[29] ) ( chip_controller din0_to_sram[29] ) + USE SIGNAL
+ ROUTED met3 ( 2499180 3192260 0 ) ( 2512290 * )
NEW met1 ( 182390 1690310 ) ( 191130 * )
NEW met2 ( 191130 1689460 ) ( * 1690310 )
NEW met3 ( 191130 1689460 ) ( 200100 * 0 )
NEW met2 ( 182390 1690310 ) ( * 3291370 )
NEW met2 ( 2512290 3192260 ) ( * 3291370 )
NEW met1 ( 182390 3291370 ) ( 2512290 * )
NEW met1 ( 182390 3291370 ) M1M2_PR
NEW met2 ( 2512290 3192260 ) M2M3_PR_M
NEW met1 ( 2512290 3291370 ) M1M2_PR
NEW met1 ( 182390 1690310 ) M1M2_PR
NEW met1 ( 191130 1690310 ) M1M2_PR
NEW met2 ( 191130 1689460 ) M2M3_PR_M ;
- din0_to_sram\[2\] ( custom_sram d[2] ) ( chip_controller din0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 219650 1899580 0 ) ( 220570 * )
NEW met2 ( 220570 1899580 ) ( * 3309050 )
NEW met2 ( 914250 3299700 0 ) ( * 3309050 )
NEW met1 ( 220570 3309050 ) ( 914250 * )
NEW met1 ( 220570 3309050 ) M1M2_PR
NEW met1 ( 914250 3309050 ) M1M2_PR ;
- din0_to_sram\[30\] ( custom_sram d[30] ) ( chip_controller din0_to_sram[30] ) + USE SIGNAL
+ ROUTED met3 ( 687010 3174580 ) ( 700580 * 0 )
NEW met3 ( 599380 1680620 0 ) ( 607890 * )
NEW met2 ( 607890 1680620 ) ( * 1683510 )
NEW met2 ( 687010 3025490 ) ( * 3174580 )
NEW met1 ( 655270 3025490 ) ( 687010 * )
NEW met1 ( 607890 1683510 ) ( 655270 * )
NEW met2 ( 655270 1683510 ) ( * 3025490 )
NEW met1 ( 687010 3025490 ) M1M2_PR
NEW met2 ( 687010 3174580 ) M2M3_PR_M
NEW met2 ( 607890 1680620 ) M2M3_PR_M
NEW met1 ( 607890 1683510 ) M1M2_PR
NEW met1 ( 655270 3025490 ) M1M2_PR
NEW met1 ( 655270 1683510 ) M1M2_PR ;
- din0_to_sram\[31\] ( custom_sram d[31] ) ( chip_controller din0_to_sram[31] ) + USE SIGNAL
+ ROUTED met2 ( 683330 3270630 ) ( * 3274540 )
NEW met3 ( 683330 3274540 ) ( 700580 * 0 )
NEW met3 ( 191130 1701700 ) ( 200100 * 0 )
NEW met1 ( 189290 1888190 ) ( 191130 * )
NEW met2 ( 189290 1888190 ) ( * 1904510 )
NEW met1 ( 189290 1904510 ) ( 203550 * )
NEW met2 ( 191130 1701700 ) ( * 1888190 )
NEW met2 ( 203550 1904510 ) ( * 3270630 )
NEW met1 ( 203550 3270630 ) ( 683330 * )
NEW met1 ( 203550 3270630 ) M1M2_PR
NEW met1 ( 683330 3270630 ) M1M2_PR
NEW met2 ( 683330 3274540 ) M2M3_PR_M
NEW met2 ( 191130 1701700 ) M2M3_PR_M
NEW met1 ( 191130 1888190 ) M1M2_PR
NEW met1 ( 189290 1888190 ) M1M2_PR
NEW met1 ( 189290 1904510 ) M1M2_PR
NEW met1 ( 203550 1904510 ) M1M2_PR ;
- din0_to_sram\[3\] ( custom_sram d[3] ) ( chip_controller din0_to_sram[3] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1524900 0 ) ( 608350 * )
NEW met2 ( 608350 1524900 ) ( * 1531190 )
NEW met2 ( 980490 1800300 ) ( 981410 * 0 )
NEW met2 ( 980490 1531190 ) ( * 1800300 )
NEW met1 ( 608350 1531190 ) ( 980490 * )
NEW met2 ( 608350 1524900 ) M2M3_PR_M
NEW met1 ( 608350 1531190 ) M1M2_PR
NEW met1 ( 980490 1531190 ) M1M2_PR ;
- din0_to_sram\[4\] ( custom_sram d[4] ) ( chip_controller din0_to_sram[4] ) + USE SIGNAL
+ ROUTED met2 ( 1090430 1800300 ) ( 1093650 * 0 )
NEW met2 ( 1090430 1493790 ) ( * 1800300 )
NEW met2 ( 248630 1489370 ) ( * 1500420 0 )
NEW met2 ( 427110 1489370 ) ( * 1493790 )
NEW met1 ( 248630 1489370 ) ( 427110 * )
NEW met1 ( 427110 1493790 ) ( 1090430 * )
NEW met1 ( 1090430 1493790 ) M1M2_PR
NEW met1 ( 248630 1489370 ) M1M2_PR
NEW met1 ( 427110 1489370 ) M1M2_PR
NEW met1 ( 427110 1493790 ) M1M2_PR ;
- din0_to_sram\[5\] ( custom_sram d[5] ) ( chip_controller din0_to_sram[5] ) + USE SIGNAL
+ ROUTED met3 ( 687930 2324580 ) ( 700580 * 0 )
NEW met2 ( 687930 1921510 ) ( * 2324580 )
NEW met1 ( 195730 1921510 ) ( 687930 * )
NEW met1 ( 195730 1538670 ) ( 198950 * )
NEW met2 ( 198950 1533740 ) ( * 1538670 )
NEW met3 ( 198950 1533740 ) ( 200100 * 0 )
NEW met2 ( 195730 1538670 ) ( * 1921510 )
NEW met1 ( 195730 1921510 ) M1M2_PR
NEW met1 ( 687930 1921510 ) M1M2_PR
NEW met2 ( 687930 2324580 ) M2M3_PR_M
NEW met1 ( 195730 1538670 ) M1M2_PR
NEW met1 ( 198950 1538670 ) M1M2_PR
NEW met2 ( 198950 1533740 ) M2M3_PR_M ;
- din0_to_sram\[6\] ( custom_sram d[6] ) ( chip_controller din0_to_sram[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1554140 0 ) ( 608810 * )
NEW met2 ( 608810 1554140 ) ( * 1554310 )
NEW met2 ( 998430 3296810 ) ( * 3296980 )
NEW met2 ( 998430 3296980 ) ( 1000270 * 0 )
NEW met1 ( 608810 1554310 ) ( 623990 * )
NEW met1 ( 623990 3293070 ) ( 903900 * )
NEW met1 ( 903900 3293070 ) ( * 3296810 )
NEW met1 ( 903900 3296810 ) ( 998430 * )
NEW met2 ( 623990 1554310 ) ( * 3293070 )
NEW met2 ( 608810 1554140 ) M2M3_PR_M
NEW met1 ( 608810 1554310 ) M1M2_PR
NEW met1 ( 998430 3296810 ) M1M2_PR
NEW met1 ( 623990 1554310 ) M1M2_PR
NEW met1 ( 623990 3293070 ) M1M2_PR ;
- din0_to_sram\[7\] ( custom_sram d[7] ) ( chip_controller din0_to_sram[7] ) + USE SIGNAL
+ ROUTED met3 ( 2499180 2121260 0 ) ( 2516430 * )
NEW met2 ( 1273050 1460130 ) ( * 1799110 )
NEW met2 ( 2516430 1799110 ) ( * 2121260 )
NEW met1 ( 170890 1460130 ) ( 1273050 * )
NEW met1 ( 1273050 1799110 ) ( 2516430 * )
NEW met1 ( 170890 1546150 ) ( 187450 * )
NEW met2 ( 187450 1546150 ) ( * 1550740 )
NEW met3 ( 187450 1550740 ) ( 200100 * 0 )
NEW met2 ( 170890 1460130 ) ( * 1546150 )
NEW met1 ( 1273050 1460130 ) M1M2_PR
NEW met2 ( 2516430 2121260 ) M2M3_PR_M
NEW met1 ( 1273050 1799110 ) M1M2_PR
NEW met1 ( 2516430 1799110 ) M1M2_PR
NEW met1 ( 170890 1460130 ) M1M2_PR
NEW met1 ( 170890 1546150 ) M1M2_PR
NEW met1 ( 187450 1546150 ) M1M2_PR
NEW met2 ( 187450 1550740 ) M2M3_PR_M ;
- din0_to_sram\[8\] ( custom_sram d[8] ) ( chip_controller din0_to_sram[8] ) + USE SIGNAL
+ ROUTED met2 ( 1085830 3299700 0 ) ( * 3308710 )
NEW met1 ( 255070 3308710 ) ( 1085830 * )
NEW met2 ( 250930 1899580 0 ) ( * 1911310 )
NEW met1 ( 250930 1911310 ) ( 255070 * )
NEW met2 ( 255070 1911310 ) ( * 3308710 )
NEW met1 ( 1085830 3308710 ) M1M2_PR
NEW met1 ( 255070 3308710 ) M1M2_PR
NEW met1 ( 250930 1911310 ) M1M2_PR
NEW met1 ( 255070 1911310 ) M1M2_PR ;
- din0_to_sram\[9\] ( custom_sram d[9] ) ( chip_controller din0_to_sram[9] ) + USE SIGNAL
+ ROUTED met3 ( 196650 1568420 ) ( 200100 * 0 )
NEW met2 ( 1257410 3299700 0 ) ( * 3308030 )
NEW met2 ( 196650 1568420 ) ( * 3308030 )
NEW met1 ( 196650 3308030 ) ( 1257410 * )
NEW met2 ( 196650 1568420 ) M2M3_PR_M
NEW met1 ( 196650 3308030 ) M1M2_PR
NEW met1 ( 1257410 3308030 ) M1M2_PR ;
- dout0_to_sram\[0\] ( custom_sram q[0] ) ( chip_controller dout0_to_sram[0] ) + USE SIGNAL
+ ROUTED met2 ( 1273970 1499060 ) ( * 1799790 )
NEW met3 ( 2499180 1907060 0 ) ( 2517350 * )
NEW met2 ( 2517350 1799790 ) ( * 1907060 )
NEW met3 ( 227700 1497700 ) ( * 1499060 )
NEW met3 ( 227700 1499060 ) ( 1273970 * )
NEW met1 ( 1273970 1799790 ) ( 2517350 * )
NEW met3 ( 201940 1503140 ) ( * 1504500 0 )
NEW met4 ( 201940 1497700 ) ( * 1503140 )
NEW met3 ( 201940 1497700 ) ( 227700 * )
NEW met2 ( 1273970 1499060 ) M2M3_PR_M
NEW met1 ( 1273970 1799790 ) M1M2_PR
NEW met1 ( 2517350 1799790 ) M1M2_PR
NEW met2 ( 2517350 1907060 ) M2M3_PR_M
NEW met3 ( 201940 1503140 ) M3M4_PR_M
NEW met3 ( 201940 1497700 ) M3M4_PR_M ;
- dout0_to_sram\[10\] ( custom_sram q[10] ) ( chip_controller dout0_to_sram[10] ) + USE SIGNAL
+ ROUTED met3 ( 198490 1575220 ) ( 200100 * 0 )
NEW met3 ( 2499180 2335460 0 ) ( 2515050 * )
NEW met2 ( 1273510 1468970 ) ( * 1799450 )
NEW met2 ( 2515050 1799450 ) ( * 2335460 )
NEW met1 ( 1273510 1799450 ) ( 2515050 * )
NEW met2 ( 198490 1468970 ) ( * 1575220 )
NEW met1 ( 198490 1468970 ) ( 1273510 * )
NEW met2 ( 198490 1575220 ) M2M3_PR_M
NEW met1 ( 1273510 1468970 ) M1M2_PR
NEW met2 ( 2515050 2335460 ) M2M3_PR_M
NEW met1 ( 1273510 1799450 ) M1M2_PR
NEW met1 ( 2515050 1799450 ) M1M2_PR
NEW met1 ( 198490 1468970 ) M1M2_PR ;
- dout0_to_sram\[11\] ( custom_sram q[11] ) ( chip_controller dout0_to_sram[11] ) + USE SIGNAL
+ ROUTED met2 ( 1768930 1792310 ) ( * 1800300 0 )
NEW met1 ( 269790 1924910 ) ( 622150 * )
NEW met2 ( 269790 1899580 0 ) ( * 1924910 )
NEW met1 ( 622150 1792310 ) ( 1768930 * )
NEW met2 ( 622150 1792310 ) ( * 1924910 )
NEW met1 ( 1768930 1792310 ) M1M2_PR
NEW met1 ( 269790 1924910 ) M1M2_PR
NEW met1 ( 622150 1924910 ) M1M2_PR
NEW met1 ( 622150 1792310 ) M1M2_PR ;
- dout0_to_sram\[12\] ( custom_sram q[12] ) ( chip_controller dout0_to_sram[12] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2573970 ) ( * 2574820 )
NEW met3 ( 683330 2574820 ) ( 700580 * 0 )
NEW met2 ( 274850 1899580 0 ) ( 275310 * )
NEW met2 ( 275310 1899580 ) ( * 2573970 )
NEW met1 ( 275310 2573970 ) ( 683330 * )
NEW met1 ( 683330 2573970 ) M1M2_PR
NEW met2 ( 683330 2574820 ) M2M3_PR_M
NEW met1 ( 275310 2573970 ) M1M2_PR ;
- dout0_to_sram\[13\] ( custom_sram q[13] ) ( chip_controller dout0_to_sram[13] ) + USE SIGNAL
+ ROUTED met2 ( 283590 1899580 0 ) ( * 1911310 )
NEW met1 ( 283590 1911310 ) ( 289110 * )
NEW met2 ( 289110 1911310 ) ( * 2670530 )
NEW met2 ( 683330 2670530 ) ( * 2674780 )
NEW met3 ( 683330 2674780 ) ( 700580 * 0 )
NEW met1 ( 289110 2670530 ) ( 683330 * )
NEW met1 ( 283590 1911310 ) M1M2_PR
NEW met1 ( 289110 1911310 ) M1M2_PR
NEW met1 ( 289110 2670530 ) M1M2_PR
NEW met1 ( 683330 2670530 ) M1M2_PR
NEW met2 ( 683330 2674780 ) M2M3_PR_M ;
- dout0_to_sram\[14\] ( custom_sram q[14] ) ( chip_controller dout0_to_sram[14] ) + USE SIGNAL
+ ROUTED met2 ( 303830 1498210 ) ( * 1500420 0 )
NEW met2 ( 1600110 3299700 0 ) ( * 3308370 )
NEW met1 ( 634110 3308370 ) ( 1600110 * )
NEW met1 ( 303830 1498210 ) ( 324300 * )
NEW met1 ( 324300 1498210 ) ( * 1498550 )
NEW met1 ( 324300 1498550 ) ( 634110 * )
NEW met2 ( 634110 1498550 ) ( * 3308370 )
NEW met1 ( 303830 1498210 ) M1M2_PR
NEW met1 ( 634110 3308370 ) M1M2_PR
NEW met1 ( 1600110 3308370 ) M1M2_PR
NEW met1 ( 634110 1498550 ) M1M2_PR ;
- dout0_to_sram\[15\] ( custom_sram q[15] ) ( chip_controller dout0_to_sram[15] ) + USE SIGNAL
+ ROUTED met2 ( 296010 1899580 0 ) ( * 1920830 )
NEW met1 ( 296010 1920830 ) ( 621690 * )
NEW met2 ( 1993870 1792990 ) ( * 1800300 0 )
NEW met1 ( 621690 1792990 ) ( 1993870 * )
NEW met2 ( 621690 1792990 ) ( * 1920830 )
NEW met1 ( 296010 1920830 ) M1M2_PR
NEW met1 ( 621690 1920830 ) M1M2_PR
NEW met1 ( 621690 1792990 ) M1M2_PR
NEW met1 ( 1993870 1792990 ) M1M2_PR ;
- dout0_to_sram\[16\] ( custom_sram q[16] ) ( chip_controller dout0_to_sram[16] ) + USE SIGNAL
+ ROUTED met3 ( 193430 1623500 ) ( 200100 * 0 )
NEW met2 ( 193430 1623500 ) ( * 3291710 )
NEW li1 ( 1683830 3291710 ) ( * 3296810 )
NEW met2 ( 1683830 3296810 ) ( * 3296980 )
NEW met2 ( 1683830 3296980 ) ( 1685670 * 0 )
NEW met1 ( 193430 3291710 ) ( 1683830 * )
NEW met1 ( 193430 3291710 ) M1M2_PR
NEW met2 ( 193430 1623500 ) M2M3_PR_M
NEW li1 ( 1683830 3291710 ) L1M1_PR_MR
NEW li1 ( 1683830 3296810 ) L1M1_PR_MR
NEW met1 ( 1683830 3296810 ) M1M2_PR
NEW met1 ( 1683830 3296810 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[17\] ( custom_sram q[17] ) ( chip_controller dout0_to_sram[17] ) + USE SIGNAL
+ ROUTED li1 ( 1770310 3292050 ) ( * 3296810 )
NEW met2 ( 1770310 3296810 ) ( * 3296980 )
NEW met2 ( 1770310 3296980 ) ( 1771690 * 0 )
NEW met3 ( 599380 1612620 0 ) ( 608350 * )
NEW met2 ( 608350 1612620 ) ( * 1612790 )
NEW met1 ( 617090 3292050 ) ( 1770310 * )
NEW met1 ( 608350 1612790 ) ( 617090 * )
NEW met2 ( 617090 1612790 ) ( * 3292050 )
NEW li1 ( 1770310 3292050 ) L1M1_PR_MR
NEW li1 ( 1770310 3296810 ) L1M1_PR_MR
NEW met1 ( 1770310 3296810 ) M1M2_PR
NEW met2 ( 608350 1612620 ) M2M3_PR_M
NEW met1 ( 608350 1612790 ) M1M2_PR
NEW met1 ( 617090 3292050 ) M1M2_PR
NEW met1 ( 617090 1612790 ) M1M2_PR
NEW met1 ( 1770310 3296810 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[18\] ( custom_sram q[18] ) ( chip_controller dout0_to_sram[18] ) + USE SIGNAL
+ ROUTED met3 ( 686090 2924340 ) ( 700580 * 0 )
NEW met2 ( 686090 1492430 ) ( * 2924340 )
NEW met2 ( 329130 1492430 ) ( * 1500420 )
NEW met2 ( 327750 1500420 0 ) ( 329130 * )
NEW met1 ( 329130 1492430 ) ( 686090 * )
NEW met2 ( 686090 2924340 ) M2M3_PR_M
NEW met1 ( 686090 1492430 ) M1M2_PR
NEW met1 ( 329130 1492430 ) M1M2_PR ;
- dout0_to_sram\[19\] ( custom_sram q[19] ) ( chip_controller dout0_to_sram[19] ) + USE SIGNAL
+ ROUTED met3 ( 198030 1639820 ) ( 200100 * )
NEW met3 ( 200100 1639140 0 ) ( * 1639820 )
NEW met2 ( 1942810 3299700 0 ) ( * 3306330 )
NEW met2 ( 197110 1725000 ) ( 198030 * )
NEW met2 ( 198030 1639820 ) ( * 1725000 )
NEW met2 ( 197110 1725000 ) ( * 3306330 )
NEW met1 ( 197110 3306330 ) ( 1942810 * )
NEW met2 ( 198030 1639820 ) M2M3_PR_M
NEW met1 ( 197110 3306330 ) M1M2_PR
NEW met1 ( 1942810 3306330 ) M1M2_PR ;
- dout0_to_sram\[1\] ( custom_sram q[1] ) ( chip_controller dout0_to_sram[1] ) + USE SIGNAL
+ ROUTED met1 ( 235750 1476790 ) ( 626750 * )
NEW met2 ( 828690 3299700 0 ) ( * 3309390 )
NEW met1 ( 626750 3309390 ) ( 828690 * )
NEW met2 ( 235750 1476790 ) ( * 1483500 )
NEW met2 ( 235750 1483500 ) ( 237130 * )
NEW met2 ( 237130 1483500 ) ( * 1500420 )
NEW met2 ( 237130 1500420 ) ( 238510 * 0 )
NEW met2 ( 626750 1476790 ) ( * 3309390 )
NEW met1 ( 235750 1476790 ) M1M2_PR
NEW met1 ( 626750 1476790 ) M1M2_PR
NEW met1 ( 626750 3309390 ) M1M2_PR
NEW met1 ( 828690 3309390 ) M1M2_PR ;
- dout0_to_sram\[20\] ( custom_sram q[20] ) ( chip_controller dout0_to_sram[20] ) + USE SIGNAL
+ ROUTED met3 ( 2499180 2692460 0 ) ( 2513210 * )
NEW met2 ( 2513210 1800810 ) ( * 2692460 )
NEW met2 ( 332350 1899580 0 ) ( * 1916750 )
NEW met1 ( 332350 1916750 ) ( 614790 * )
NEW met1 ( 614790 1800810 ) ( 2513210 * )
NEW met2 ( 614790 1800810 ) ( * 1916750 )
NEW met2 ( 2513210 2692460 ) M2M3_PR_M
NEW met1 ( 2513210 1800810 ) M1M2_PR
NEW met1 ( 332350 1916750 ) M1M2_PR
NEW met1 ( 614790 1800810 ) M1M2_PR
NEW met1 ( 614790 1916750 ) M1M2_PR ;
- dout0_to_sram\[21\] ( custom_sram q[21] ) ( chip_controller dout0_to_sram[21] ) + USE SIGNAL
+ ROUTED met2 ( 514510 1911650 ) ( * 1935450 )
NEW met2 ( 662630 1806930 ) ( * 1935450 )
NEW met3 ( 2499180 2763860 0 ) ( 2512750 * )
NEW met2 ( 2512750 1806930 ) ( * 2763860 )
NEW met1 ( 514510 1935450 ) ( 662630 * )
NEW met2 ( 335570 1899580 0 ) ( * 1911650 )
NEW met1 ( 335570 1911650 ) ( 514510 * )
NEW met1 ( 662630 1806930 ) ( 2512750 * )
NEW met1 ( 514510 1935450 ) M1M2_PR
NEW met1 ( 662630 1935450 ) M1M2_PR
NEW met1 ( 514510 1911650 ) M1M2_PR
NEW met1 ( 662630 1806930 ) M1M2_PR
NEW met1 ( 2512750 1806930 ) M1M2_PR
NEW met2 ( 2512750 2763860 ) M2M3_PR_M
NEW met1 ( 335570 1911650 ) M1M2_PR ;
- dout0_to_sram\[22\] ( custom_sram q[22] ) ( chip_controller dout0_to_sram[22] ) + USE SIGNAL
+ ROUTED met3 ( 2499180 2835260 0 ) ( 2512290 * )
NEW met2 ( 2512290 1807270 ) ( * 2835260 )
NEW met1 ( 344310 1944970 ) ( 644690 * )
NEW met2 ( 344310 1899580 0 ) ( * 1944970 )
NEW met2 ( 644690 1807270 ) ( * 1944970 )
NEW met1 ( 644690 1807270 ) ( 2512290 * )
NEW met2 ( 2512290 2835260 ) M2M3_PR_M
NEW met1 ( 2512290 1807270 ) M1M2_PR
NEW met1 ( 344310 1944970 ) M1M2_PR
NEW met1 ( 644690 1944970 ) M1M2_PR
NEW met1 ( 644690 1807270 ) M1M2_PR ;
- dout0_to_sram\[23\] ( custom_sram q[23] ) ( chip_controller dout0_to_sram[23] ) + USE SIGNAL
+ ROUTED met3 ( 191590 1660220 ) ( 200100 * 0 )
NEW met2 ( 191590 1660220 ) ( * 1893630 )
NEW met2 ( 669990 1815260 ) ( 670450 * )
NEW met2 ( 669990 1793670 ) ( * 1815260 )
NEW met2 ( 670450 1815260 ) ( * 1893630 )
NEW met2 ( 2331050 1793670 ) ( * 1800300 0 )
NEW met1 ( 191590 1893630 ) ( 670450 * )
NEW met1 ( 669990 1793670 ) ( 2331050 * )
NEW met2 ( 191590 1660220 ) M2M3_PR_M
NEW met1 ( 191590 1893630 ) M1M2_PR
NEW met1 ( 669990 1793670 ) M1M2_PR
NEW met1 ( 670450 1893630 ) M1M2_PR
NEW met1 ( 2331050 1793670 ) M1M2_PR ;
- dout0_to_sram\[24\] ( custom_sram q[24] ) ( chip_controller dout0_to_sram[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1654780 0 ) ( 607890 * )
NEW met2 ( 607890 1654780 ) ( * 1655970 )
NEW met3 ( 2499180 2906660 0 ) ( 2513670 * )
NEW met1 ( 2501250 2478770 ) ( 2513670 * )
NEW met2 ( 2501250 1655970 ) ( * 2478770 )
NEW met2 ( 2513670 2478770 ) ( * 2906660 )
NEW met1 ( 607890 1655970 ) ( 2501250 * )
NEW met2 ( 607890 1654780 ) M2M3_PR_M
NEW met1 ( 607890 1655970 ) M1M2_PR
NEW met1 ( 2501250 1655970 ) M1M2_PR
NEW met2 ( 2513670 2906660 ) M2M3_PR_M
NEW met1 ( 2501250 2478770 ) M1M2_PR
NEW met1 ( 2513670 2478770 ) M1M2_PR ;
- dout0_to_sram\[25\] ( custom_sram q[25] ) ( chip_controller dout0_to_sram[25] ) + USE SIGNAL
+ ROUTED met2 ( 2285970 3299700 0 ) ( * 3305650 )
NEW met1 ( 358110 3305650 ) ( 2285970 * )
NEW met2 ( 358110 1899580 0 ) ( * 3305650 )
NEW met1 ( 358110 3305650 ) M1M2_PR
NEW met1 ( 2285970 3305650 ) M1M2_PR ;
- dout0_to_sram\[26\] ( custom_sram q[26] ) ( chip_controller dout0_to_sram[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1665660 0 ) ( 608350 * )
NEW met2 ( 608350 1665660 ) ( * 1669230 )
NEW met3 ( 2499180 2978060 0 ) ( 2505390 * )
NEW met2 ( 2505390 1669230 ) ( * 2978060 )
NEW met1 ( 608350 1669230 ) ( 2505390 * )
NEW met2 ( 608350 1665660 ) M2M3_PR_M
NEW met1 ( 608350 1669230 ) M1M2_PR
NEW met1 ( 2505390 1669230 ) M1M2_PR
NEW met2 ( 2505390 2978060 ) M2M3_PR_M ;
- dout0_to_sram\[27\] ( custom_sram q[27] ) ( chip_controller dout0_to_sram[27] ) + USE SIGNAL
+ ROUTED met3 ( 2498030 3118820 ) ( 2498260 * )
NEW met3 ( 2498260 3118820 ) ( * 3120860 0 )
NEW met3 ( 184690 1682660 ) ( 200100 * 0 )
NEW met2 ( 184690 1682660 ) ( * 1932900 )
NEW met2 ( 2498030 1807780 ) ( * 3118820 )
NEW met3 ( 184690 1932900 ) ( 652740 * )
NEW met4 ( 652740 1807780 ) ( * 1932900 )
NEW met3 ( 652740 1807780 ) ( 2498030 * )
NEW met2 ( 184690 1932900 ) M2M3_PR_M
NEW met2 ( 2498030 3118820 ) M2M3_PR_M
NEW met2 ( 184690 1682660 ) M2M3_PR_M
NEW met2 ( 2498030 1807780 ) M2M3_PR_M
NEW met3 ( 652740 1932900 ) M3M4_PR_M
NEW met3 ( 652740 1807780 ) M3M4_PR_M ;
- dout0_to_sram\[28\] ( custom_sram q[28] ) ( chip_controller dout0_to_sram[28] ) + USE SIGNAL
+ ROUTED met2 ( 2371530 3299700 0 ) ( * 3306670 )
NEW met1 ( 640550 3306670 ) ( 2371530 * )
NEW met2 ( 370530 1494980 ) ( * 1500420 0 )
NEW met3 ( 370530 1494980 ) ( 640550 * )
NEW met2 ( 640550 1494980 ) ( * 3306670 )
NEW met1 ( 640550 3306670 ) M1M2_PR
NEW met1 ( 2371530 3306670 ) M1M2_PR
NEW met2 ( 370530 1494980 ) M2M3_PR_M
NEW met2 ( 640550 1494980 ) M2M3_PR_M ;
- dout0_to_sram\[29\] ( custom_sram q[29] ) ( chip_controller dout0_to_sram[29] ) + USE SIGNAL
+ ROUTED met2 ( 377430 1482060 ) ( * 1500420 0 )
NEW met3 ( 377430 1482060 ) ( 624220 * )
NEW met2 ( 2457090 3299700 0 ) ( * 3305140 )
NEW met3 ( 624220 3305140 ) ( 2457090 * )
NEW met4 ( 624220 1482060 ) ( * 3305140 )
NEW met2 ( 377430 1482060 ) M2M3_PR_M
NEW met3 ( 624220 1482060 ) M3M4_PR_M
NEW met3 ( 624220 3305140 ) M3M4_PR_M
NEW met2 ( 2457090 3305140 ) M2M3_PR_M ;
- dout0_to_sram\[2\] ( custom_sram q[2] ) ( chip_controller dout0_to_sram[2] ) + USE SIGNAL
+ ROUTED met1 ( 190210 1566550 ) ( 198950 * )
NEW met2 ( 683330 2118370 ) ( * 2124660 )
NEW met3 ( 683330 2124660 ) ( 700580 * 0 )
NEW met2 ( 198950 1566550 ) ( * 2118370 )
NEW met1 ( 198950 2118370 ) ( 683330 * )
NEW met2 ( 189290 1539860 ) ( 190210 * )
NEW met2 ( 189290 1517930 ) ( * 1539860 )
NEW met1 ( 189290 1517930 ) ( 190210 * )
NEW met2 ( 190210 1512660 ) ( * 1517930 )
NEW met3 ( 190210 1512660 ) ( 200100 * 0 )
NEW met2 ( 190210 1539860 ) ( * 1566550 )
NEW met1 ( 190210 1566550 ) M1M2_PR
NEW met1 ( 198950 1566550 ) M1M2_PR
NEW met1 ( 198950 2118370 ) M1M2_PR
NEW met1 ( 683330 2118370 ) M1M2_PR
NEW met2 ( 683330 2124660 ) M2M3_PR_M
NEW met1 ( 189290 1517930 ) M1M2_PR
NEW met1 ( 190210 1517930 ) M1M2_PR
NEW met2 ( 190210 1512660 ) M2M3_PR_M ;
- dout0_to_sram\[30\] ( custom_sram q[30] ) ( chip_controller dout0_to_sram[30] ) + USE SIGNAL
+ ROUTED met3 ( 193890 1696260 ) ( 200100 * 0 )
NEW met2 ( 193890 1696260 ) ( * 2425390 )
NEW met3 ( 686550 3224220 ) ( 700580 * 0 )
NEW met2 ( 686550 2425390 ) ( * 3224220 )
NEW met1 ( 193890 2425390 ) ( 686550 * )
NEW met1 ( 193890 2425390 ) M1M2_PR
NEW met1 ( 686550 2425390 ) M1M2_PR
NEW met2 ( 193890 1696260 ) M2M3_PR_M
NEW met2 ( 686550 3224220 ) M2M3_PR_M ;
- dout0_to_sram\[31\] ( custom_sram q[31] ) ( chip_controller dout0_to_sram[31] ) + USE SIGNAL
+ ROUTED met2 ( 392610 1486820 ) ( * 1500420 )
NEW met2 ( 392610 1500420 ) ( 393070 * 0 )
NEW met3 ( 2499180 3263660 0 ) ( 2511830 * )
NEW met2 ( 2511830 1617890 ) ( * 3263660 )
NEW met3 ( 392610 1486820 ) ( 622150 * )
NEW met2 ( 622150 1486820 ) ( * 1617890 )
NEW met1 ( 622150 1617890 ) ( 2511830 * )
NEW met2 ( 392610 1486820 ) M2M3_PR_M
NEW met1 ( 2511830 1617890 ) M1M2_PR
NEW met2 ( 2511830 3263660 ) M2M3_PR_M
NEW met2 ( 622150 1486820 ) M2M3_PR_M
NEW met1 ( 622150 1617890 ) M1M2_PR ;
- dout0_to_sram\[3\] ( custom_sram q[3] ) ( chip_controller dout0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2173790 ) ( * 2174300 )
NEW met3 ( 683330 2174300 ) ( 700580 * 0 )
NEW met1 ( 194810 2173790 ) ( 683330 * )
NEW met3 ( 194810 1523540 ) ( 200100 * 0 )
NEW met2 ( 194810 1523540 ) ( * 2173790 )
NEW met1 ( 194810 2173790 ) M1M2_PR
NEW met1 ( 683330 2173790 ) M1M2_PR
NEW met2 ( 683330 2174300 ) M2M3_PR_M
NEW met2 ( 194810 1523540 ) M2M3_PR_M ;
- dout0_to_sram\[4\] ( custom_sram q[4] ) ( chip_controller dout0_to_sram[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1533060 0 ) ( 609730 * )
NEW met2 ( 609730 1533060 ) ( * 1538670 )
NEW met3 ( 688850 2224620 ) ( 700580 * 0 )
NEW met2 ( 688850 1803190 ) ( * 2224620 )
NEW met1 ( 609730 1538670 ) ( 711390 * )
NEW met1 ( 688850 1803190 ) ( 711390 * )
NEW met2 ( 711390 1538670 ) ( * 1803190 )
NEW met2 ( 609730 1533060 ) M2M3_PR_M
NEW met1 ( 609730 1538670 ) M1M2_PR
NEW met2 ( 688850 2224620 ) M2M3_PR_M
NEW met1 ( 688850 1803190 ) M1M2_PR
NEW met1 ( 711390 1538670 ) M1M2_PR
NEW met1 ( 711390 1803190 ) M1M2_PR ;
- dout0_to_sram\[5\] ( custom_sram q[5] ) ( chip_controller dout0_to_sram[5] ) + USE SIGNAL
+ ROUTED met1 ( 340170 1482230 ) ( 1201290 * )
NEW met2 ( 253230 1487670 ) ( * 1500420 )
NEW met2 ( 252310 1500420 0 ) ( 253230 * )
NEW met1 ( 253230 1487670 ) ( 340170 * )
NEW met2 ( 340170 1482230 ) ( * 1487670 )
NEW met2 ( 1201290 1800300 ) ( 1206350 * 0 )
NEW met2 ( 1201290 1482230 ) ( * 1800300 )
NEW met1 ( 340170 1482230 ) M1M2_PR
NEW met1 ( 1201290 1482230 ) M1M2_PR
NEW met1 ( 253230 1487670 ) M1M2_PR
NEW met1 ( 340170 1487670 ) M1M2_PR ;
- dout0_to_sram\[6\] ( custom_sram q[6] ) ( chip_controller dout0_to_sram[6] ) + USE SIGNAL
+ ROUTED met1 ( 675970 2421990 ) ( 683330 * )
NEW met2 ( 683330 2421990 ) ( * 2424540 )
NEW met3 ( 683330 2424540 ) ( 700580 * 0 )
NEW met2 ( 675970 1493110 ) ( * 2421990 )
NEW met2 ( 258750 1493110 ) ( * 1500420 0 )
NEW met1 ( 258750 1493110 ) ( 675970 * )
NEW met1 ( 675970 2421990 ) M1M2_PR
NEW met1 ( 683330 2421990 ) M1M2_PR
NEW met2 ( 683330 2424540 ) M2M3_PR_M
NEW met1 ( 675970 1493110 ) M1M2_PR
NEW met1 ( 258750 1493110 ) M1M2_PR ;
- dout0_to_sram\[7\] ( custom_sram q[7] ) ( chip_controller dout0_to_sram[7] ) + USE SIGNAL
+ ROUTED met3 ( 2499180 2192660 0 ) ( 2515970 * )
NEW met2 ( 2515970 1807610 ) ( * 2192660 )
NEW met1 ( 248170 1944290 ) ( 635950 * )
NEW met2 ( 242190 1899580 0 ) ( * 1911310 )
NEW met1 ( 242190 1911310 ) ( 248170 * )
NEW met2 ( 248170 1911310 ) ( * 1944290 )
NEW met2 ( 635950 1807610 ) ( * 1944290 )
NEW met1 ( 635950 1807610 ) ( 2515970 * )
NEW met1 ( 2515970 1807610 ) M1M2_PR
NEW met2 ( 2515970 2192660 ) M2M3_PR_M
NEW met1 ( 248170 1944290 ) M1M2_PR
NEW met1 ( 635950 1944290 ) M1M2_PR
NEW met1 ( 242190 1911310 ) M1M2_PR
NEW met1 ( 248170 1911310 ) M1M2_PR
NEW met1 ( 635950 1807610 ) M1M2_PR ;
- dout0_to_sram\[8\] ( custom_sram q[8] ) ( chip_controller dout0_to_sram[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1565700 0 ) ( 608810 * )
NEW met2 ( 608810 1565700 ) ( * 1566210 )
NEW met1 ( 608810 1566210 ) ( 1025110 * )
NEW met2 ( 1025110 1566210 ) ( * 1620270 )
NEW met1 ( 1025110 1620270 ) ( 1318130 * )
NEW met2 ( 1318130 1620270 ) ( * 1773300 )
NEW met2 ( 1318130 1773300 ) ( 1318590 * )
NEW met2 ( 1318590 1773300 ) ( * 1800300 0 )
NEW met2 ( 608810 1565700 ) M2M3_PR_M
NEW met1 ( 608810 1566210 ) M1M2_PR
NEW met1 ( 1025110 1566210 ) M1M2_PR
NEW met1 ( 1025110 1620270 ) M1M2_PR
NEW met1 ( 1318130 1620270 ) M1M2_PR ;
- dout0_to_sram\[9\] ( custom_sram q[9] ) ( chip_controller dout0_to_sram[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1570460 0 ) ( 608810 * )
NEW met2 ( 608810 1570460 ) ( * 1572670 )
NEW met3 ( 2499180 2264060 0 ) ( 2515510 * )
NEW met2 ( 2515510 1770210 ) ( * 2264060 )
NEW met1 ( 608810 1572670 ) ( 845250 * )
NEW met1 ( 845250 1770210 ) ( 2515510 * )
NEW met2 ( 845250 1572670 ) ( * 1770210 )
NEW met2 ( 608810 1570460 ) M2M3_PR_M
NEW met1 ( 608810 1572670 ) M1M2_PR
NEW met1 ( 2515510 1770210 ) M1M2_PR
NEW met2 ( 2515510 2264060 ) M2M3_PR_M
NEW met1 ( 845250 1572670 ) M1M2_PR
NEW met1 ( 845250 1770210 ) M1M2_PR ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) + USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) + USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) + USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) + USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) + USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) + USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) + USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) + USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) + USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) + USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) + USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) + USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) + USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) + USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) + USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) + USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) + USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) + USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) + USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) + USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) + USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) + USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) + USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) + USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) + USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) + USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) + USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) + USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) + USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) + USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) + USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) + USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) + USE SIGNAL ;
- is_loading_memory_into_core ( chip_controller is_loading_memory_into_core ) + USE SIGNAL ;
- is_mem_ready ( core0 is_mem_ready ) ( chip_controller ready ) + USE SIGNAL
+ ROUTED met2 ( 227470 113730 ) ( * 1483500 )
NEW met2 ( 226090 1483500 ) ( 227470 * )
NEW met2 ( 226090 1483500 ) ( * 1500420 )
NEW met2 ( 224710 1500420 0 ) ( 226090 * )
NEW met2 ( 1307090 102340 ) ( 1308010 * 0 )
NEW met3 ( 1304790 102340 ) ( 1307090 * )
NEW met2 ( 1304790 102340 ) ( * 113730 )
NEW met1 ( 227470 113730 ) ( 1304790 * )
NEW met1 ( 227470 113730 ) M1M2_PR
NEW met2 ( 1307090 102340 ) M2M3_PR_M
NEW met2 ( 1304790 102340 ) M2M3_PR_M
NEW met1 ( 1304790 113730 ) M1M2_PR ;
- is_mem_req ( core0 is_mem_req ) ( chip_controller requested ) + USE SIGNAL
+ ROUTED met2 ( 221030 1494810 ) ( * 1500420 0 )
NEW met1 ( 221030 1494810 ) ( 1295590 * )
NEW met2 ( 1295590 1494810 ) ( * 1610410 )
NEW met2 ( 1404610 1599700 0 ) ( * 1610410 )
NEW met1 ( 1295590 1610410 ) ( 1404610 * )
NEW met1 ( 221030 1494810 ) M1M2_PR
NEW met1 ( 1295590 1494810 ) M1M2_PR
NEW met1 ( 1295590 1610410 ) M1M2_PR
NEW met1 ( 1404610 1610410 ) M1M2_PR ;
- is_ready_dataout_core0 ( core0 read_interactive_ready ) ( chip_controller is_ready_dataout_core0 ) + USE SIGNAL
+ ROUTED met2 ( 215970 1495830 ) ( * 1500420 0 )
NEW met2 ( 1340210 1599700 0 ) ( * 1608710 )
NEW met1 ( 215970 1495830 ) ( 1299730 * )
NEW met2 ( 1299730 1495830 ) ( * 1608710 )
NEW met1 ( 1299730 1608710 ) ( 1340210 * )
NEW met1 ( 215970 1495830 ) M1M2_PR
NEW met1 ( 1340210 1608710 ) M1M2_PR
NEW met1 ( 1299730 1495830 ) M1M2_PR
NEW met1 ( 1299730 1608710 ) M1M2_PR ;
- is_ready_print_core0 ( core0 is_print_done ) ( chip_controller is_ready_print_core0 ) + USE SIGNAL
+ ROUTED met2 ( 212750 1494470 ) ( * 1500420 0 )
NEW met2 ( 1271210 1494470 ) ( * 1610070 )
NEW met1 ( 212750 1494470 ) ( 1271210 * )
NEW met2 ( 1388510 1599700 0 ) ( * 1610070 )
NEW met1 ( 1271210 1610070 ) ( 1388510 * )
NEW met1 ( 212750 1494470 ) M1M2_PR
NEW met1 ( 1271210 1494470 ) M1M2_PR
NEW met1 ( 1271210 1610070 ) M1M2_PR
NEW met1 ( 1388510 1610070 ) M1M2_PR ;
- la_data_in[0] ( PIN la_data_in[0] ) ( chip_controller la_data_in[0] ) + USE SIGNAL
+ ROUTED met1 ( 234830 1477810 ) ( 629050 * )
NEW met1 ( 231610 1490390 ) ( 234830 * )
NEW met2 ( 231610 1490390 ) ( * 1500420 0 )
NEW met2 ( 234830 1477810 ) ( * 1490390 )
NEW met2 ( 629050 82800 ) ( 629510 * )
NEW met2 ( 629510 2380 0 ) ( * 82800 )
NEW met2 ( 629050 82800 ) ( * 1477810 )
NEW met1 ( 234830 1477810 ) M1M2_PR
NEW met1 ( 629050 1477810 ) M1M2_PR
NEW met1 ( 234830 1490390 ) M1M2_PR
NEW met1 ( 231610 1490390 ) M1M2_PR ;
- la_data_in[100] ( PIN la_data_in[100] ) ( chip_controller la_data_in[100] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1842630 ) ( * 1847220 )
NEW met3 ( 188830 1847220 ) ( 200100 * 0 )
NEW met2 ( 2401430 850 ) ( * 1700 )
NEW met2 ( 2401430 1700 ) ( 2401890 * )
NEW met2 ( 2401890 1700 ) ( * 3060 )
NEW met2 ( 2401890 3060 ) ( 2402810 * )
NEW met2 ( 2402810 2380 0 ) ( * 3060 )
NEW met2 ( 113850 850 ) ( * 1842630 )
NEW met1 ( 113850 1842630 ) ( 188830 * )
NEW met1 ( 113850 850 ) ( 2401430 * )
NEW met1 ( 113850 850 ) M1M2_PR
NEW met1 ( 113850 1842630 ) M1M2_PR
NEW met1 ( 188830 1842630 ) M1M2_PR
NEW met2 ( 188830 1847220 ) M2M3_PR_M
NEW met1 ( 2401430 850 ) M1M2_PR ;
- la_data_in[101] ( PIN la_data_in[101] ) ( chip_controller la_data_in[101] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1849430 ) ( * 1850620 )
NEW met3 ( 189750 1850620 ) ( 200100 * 0 )
NEW met2 ( 2418910 510 ) ( * 1700 )
NEW met2 ( 2418910 1700 ) ( 2419370 * )
NEW met2 ( 2419370 1700 ) ( * 3060 )
NEW met2 ( 2419370 3060 ) ( 2420290 * )
NEW met2 ( 2420290 2380 0 ) ( * 3060 )
NEW met2 ( 116150 510 ) ( * 1849430 )
NEW met1 ( 116150 1849430 ) ( 189750 * )
NEW met1 ( 116150 510 ) ( 2418910 * )
NEW met1 ( 116150 510 ) M1M2_PR
NEW met1 ( 116150 1849430 ) M1M2_PR
NEW met1 ( 189750 1849430 ) M1M2_PR
NEW met2 ( 189750 1850620 ) M2M3_PR_M
NEW met1 ( 2418910 510 ) M1M2_PR ;
- la_data_in[102] ( PIN la_data_in[102] ) ( chip_controller la_data_in[102] ) + USE SIGNAL
+ ROUTED met3 ( 200100 1852660 ) ( * 1854020 0 )
NEW met2 ( 2438230 2380 0 ) ( * 3060 )
NEW met2 ( 2437310 3060 ) ( 2438230 * )
NEW met2 ( 2437310 2380 ) ( * 3060 )
NEW met2 ( 2435930 2380 ) ( 2437310 * )
NEW met2 ( 2435930 2380 ) ( * 52020 )
NEW met3 ( 178020 1852660 ) ( 200100 * )
NEW met3 ( 178020 52020 ) ( 2435930 * )
NEW met4 ( 178020 52020 ) ( * 1852660 )
NEW met2 ( 2435930 52020 ) M2M3_PR_M
NEW met3 ( 178020 1852660 ) M3M4_PR_M
NEW met3 ( 178020 52020 ) M3M4_PR_M ;
- la_data_in[103] ( PIN la_data_in[103] ) ( chip_controller la_data_in[103] ) + USE SIGNAL
+ ROUTED met3 ( 540270 1925420 ) ( 693450 * )
NEW met1 ( 693450 1870 ) ( 2449500 * )
NEW met1 ( 2449500 1870 ) ( * 2890 )
NEW met1 ( 2449500 2890 ) ( 2455710 * )
NEW met2 ( 2455710 2380 0 ) ( * 2890 )
NEW met2 ( 540270 1899580 0 ) ( * 1925420 )
NEW met2 ( 693450 1870 ) ( * 1925420 )
NEW met1 ( 693450 1870 ) M1M2_PR
NEW met2 ( 693450 1925420 ) M2M3_PR_M
NEW met2 ( 540270 1925420 ) M2M3_PR_M
NEW met1 ( 2455710 2890 ) M1M2_PR ;
- la_data_in[104] ( PIN la_data_in[104] ) ( chip_controller la_data_in[104] ) + USE SIGNAL
+ ROUTED met3 ( 608580 1771740 ) ( 614100 * )
NEW met3 ( 614100 1771060 ) ( * 1771740 )
NEW met3 ( 614100 1771060 ) ( 1121250 * )
NEW met2 ( 2473650 2380 0 ) ( * 17170 )
NEW met1 ( 1121250 17170 ) ( 2473650 * )
NEW met2 ( 1121250 17170 ) ( * 1771060 )
NEW met3 ( 599380 1843820 0 ) ( 608580 * )
NEW met4 ( 608580 1771740 ) ( * 1843820 )
NEW met3 ( 608580 1771740 ) M3M4_PR_M
NEW met1 ( 1121250 17170 ) M1M2_PR
NEW met2 ( 1121250 1771060 ) M2M3_PR_M
NEW met1 ( 2473650 17170 ) M1M2_PR
NEW met3 ( 608580 1843820 ) M3M4_PR_M ;
- la_data_in[105] ( PIN la_data_in[105] ) ( chip_controller la_data_in[105] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1856740 ) ( * 1862860 )
NEW met3 ( 189290 1862860 ) ( 200100 * 0 )
NEW met3 ( 178940 1856740 ) ( 189290 * )
NEW met3 ( 178940 51340 ) ( 2491130 * )
NEW met2 ( 2491130 2380 0 ) ( * 51340 )
NEW met4 ( 178940 51340 ) ( * 1856740 )
NEW met2 ( 189290 1856740 ) M2M3_PR_M
NEW met2 ( 189290 1862860 ) M2M3_PR_M
NEW met3 ( 178940 1856740 ) M3M4_PR_M
NEW met3 ( 178940 51340 ) M3M4_PR_M
NEW met2 ( 2491130 51340 ) M2M3_PR_M ;
- la_data_in[106] ( PIN la_data_in[106] ) ( chip_controller la_data_in[106] ) + USE SIGNAL
+ ROUTED met2 ( 2508150 1530 ) ( * 3060 )
NEW met2 ( 2508150 3060 ) ( 2509070 * )
NEW met2 ( 2509070 2380 0 ) ( * 3060 )
NEW met1 ( 551770 1530 ) ( 2508150 * )
NEW met2 ( 550850 1500420 0 ) ( 551770 * )
NEW met2 ( 551770 1530 ) ( * 1500420 )
NEW met1 ( 2508150 1530 ) M1M2_PR
NEW met1 ( 551770 1530 ) M1M2_PR ;
- la_data_in[107] ( PIN la_data_in[107] ) ( chip_controller la_data_in[107] ) + USE SIGNAL
+ ROUTED met2 ( 2527010 2380 0 ) ( * 18530 )
NEW met2 ( 2342550 82800 ) ( * 100810 )
NEW met2 ( 2342550 82800 ) ( 2343930 * )
NEW met2 ( 2343930 18530 ) ( * 82800 )
NEW met1 ( 556830 100810 ) ( 2342550 * )
NEW met1 ( 2343930 18530 ) ( 2527010 * )
NEW met1 ( 552690 1489710 ) ( 556830 * )
NEW met2 ( 552690 1489710 ) ( * 1500420 0 )
NEW met2 ( 556830 100810 ) ( * 1489710 )
NEW met1 ( 2343930 18530 ) M1M2_PR
NEW met1 ( 2342550 100810 ) M1M2_PR
NEW met1 ( 2527010 18530 ) M1M2_PR
NEW met1 ( 556830 100810 ) M1M2_PR
NEW met1 ( 556830 1489710 ) M1M2_PR
NEW met1 ( 552690 1489710 ) M1M2_PR ;
- la_data_in[108] ( PIN la_data_in[108] ) ( chip_controller la_data_in[108] ) + USE SIGNAL
+ ROUTED met2 ( 2539430 82800 ) ( * 99790 )
NEW met2 ( 2539430 82800 ) ( 2544490 * )
NEW met2 ( 2544490 2380 0 ) ( * 82800 )
NEW met1 ( 557290 99790 ) ( 2539430 * )
NEW met2 ( 557290 1500420 ) ( 557750 * 0 )
NEW met2 ( 557290 99790 ) ( * 1500420 )
NEW met1 ( 2539430 99790 ) M1M2_PR
NEW met1 ( 557290 99790 ) M1M2_PR ;
- la_data_in[109] ( PIN la_data_in[109] ) ( chip_controller la_data_in[109] ) + USE SIGNAL
+ ROUTED met2 ( 2518270 6630 ) ( * 14450 )
NEW met1 ( 565570 6630 ) ( 2518270 * )
NEW met2 ( 2562430 2380 0 ) ( * 14450 )
NEW met1 ( 2518270 14450 ) ( 2562430 * )
NEW met1 ( 561430 1489370 ) ( 565570 * )
NEW met2 ( 561430 1489370 ) ( * 1500420 0 )
NEW met2 ( 565570 6630 ) ( * 1489370 )
NEW met1 ( 2518270 6630 ) M1M2_PR
NEW met1 ( 2518270 14450 ) M1M2_PR
NEW met1 ( 565570 6630 ) M1M2_PR
NEW met1 ( 2562430 14450 ) M1M2_PR
NEW met1 ( 565570 1489370 ) M1M2_PR
NEW met1 ( 561430 1489370 ) M1M2_PR ;
- la_data_in[10] ( PIN la_data_in[10] ) ( chip_controller la_data_in[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1575220 0 ) ( 608810 * )
NEW met2 ( 608810 1573350 ) ( * 1575220 )
NEW met2 ( 806610 2380 0 ) ( * 20910 )
NEW met1 ( 800630 20910 ) ( 806610 * )
NEW met2 ( 800630 20910 ) ( * 1573350 )
NEW met1 ( 608810 1573350 ) ( 800630 * )
NEW met2 ( 608810 1575220 ) M2M3_PR_M
NEW met1 ( 608810 1573350 ) M1M2_PR
NEW met1 ( 806610 20910 ) M1M2_PR
NEW met1 ( 800630 20910 ) M1M2_PR
NEW met1 ( 800630 1573350 ) M1M2_PR ;
- la_data_in[110] ( PIN la_data_in[110] ) ( chip_controller la_data_in[110] ) + USE SIGNAL
+ ROUTED met2 ( 2456170 6290 ) ( * 14110 )
NEW met1 ( 565110 6290 ) ( 2456170 * )
NEW met2 ( 2579910 2380 0 ) ( * 14110 )
NEW met1 ( 2456170 14110 ) ( 2579910 * )
NEW met2 ( 564650 1500420 0 ) ( 565110 * )
NEW met2 ( 565110 6290 ) ( * 1500420 )
NEW met1 ( 565110 6290 ) M1M2_PR
NEW met1 ( 2456170 6290 ) M1M2_PR
NEW met1 ( 2456170 14110 ) M1M2_PR
NEW met1 ( 2579910 14110 ) M1M2_PR ;
- la_data_in[111] ( PIN la_data_in[111] ) ( chip_controller la_data_in[111] ) + USE SIGNAL
+ ROUTED met2 ( 2596470 1190 ) ( * 2380 )
NEW met2 ( 2596470 2380 ) ( 2596930 * )
NEW met2 ( 2596930 2380 ) ( * 3060 )
NEW met2 ( 2596930 3060 ) ( 2597850 * )
NEW met2 ( 2597850 2380 0 ) ( * 3060 )
NEW met1 ( 568330 1486650 ) ( 572470 * )
NEW met2 ( 568330 1486650 ) ( * 1500420 0 )
NEW met2 ( 572470 1190 ) ( * 1486650 )
NEW met1 ( 572470 1190 ) ( 2596470 * )
NEW met1 ( 572470 1190 ) M1M2_PR
NEW met1 ( 2596470 1190 ) M1M2_PR
NEW met1 ( 572470 1486650 ) M1M2_PR
NEW met1 ( 568330 1486650 ) M1M2_PR ;
- la_data_in[112] ( PIN la_data_in[112] ) ( chip_controller la_data_in[112] ) + USE SIGNAL
+ ROUTED met2 ( 2615330 2380 0 ) ( * 17850 )
NEW met2 ( 571550 101830 ) ( * 1500420 0 )
NEW met2 ( 2252850 17850 ) ( * 101830 )
NEW met1 ( 571550 101830 ) ( 2252850 * )
NEW met1 ( 2252850 17850 ) ( 2615330 * )
NEW met1 ( 571550 101830 ) M1M2_PR
NEW met1 ( 2252850 17850 ) M1M2_PR
NEW met1 ( 2252850 101830 ) M1M2_PR
NEW met1 ( 2615330 17850 ) M1M2_PR ;
- la_data_in[113] ( PIN la_data_in[113] ) ( chip_controller la_data_in[113] ) + USE SIGNAL
+ ROUTED met2 ( 2633270 2380 0 ) ( * 3060 )
NEW met2 ( 2632350 3060 ) ( 2633270 * )
NEW met2 ( 2632350 2380 ) ( * 3060 )
NEW met2 ( 2630970 2380 ) ( 2632350 * )
NEW met4 ( 2627980 102340 ) ( * 106420 )
NEW met3 ( 2627980 102340 ) ( 2628670 * )
NEW met2 ( 2628670 102340 ) ( 2629130 * )
NEW met2 ( 2629130 82800 ) ( * 102340 )
NEW met2 ( 2629130 82800 ) ( 2630970 * )
NEW met2 ( 2630970 2380 ) ( * 82800 )
NEW met3 ( 564190 1927460 ) ( 699660 * )
NEW met3 ( 699660 106420 ) ( 2627980 * )
NEW met2 ( 564190 1899580 0 ) ( * 1927460 )
NEW met4 ( 699660 106420 ) ( * 1927460 )
NEW met3 ( 699660 106420 ) M3M4_PR_M
NEW met3 ( 699660 1927460 ) M3M4_PR_M
NEW met3 ( 2627980 106420 ) M3M4_PR_M
NEW met3 ( 2627980 102340 ) M3M4_PR_M
NEW met2 ( 2628670 102340 ) M2M3_PR_M
NEW met2 ( 564190 1927460 ) M2M3_PR_M ;
- la_data_in[114] ( PIN la_data_in[114] ) ( chip_controller la_data_in[114] ) + USE SIGNAL
+ ROUTED met2 ( 566030 1899580 0 ) ( * 1904340 )
NEW met4 ( 672980 113220 ) ( * 1903660 )
NEW met2 ( 1302950 96900 ) ( * 113220 )
NEW met2 ( 1302950 96900 ) ( 1303410 * )
NEW met3 ( 672980 113220 ) ( 1302950 * )
NEW met2 ( 2650750 2380 0 ) ( * 34170 )
NEW met1 ( 1303410 34170 ) ( 2650750 * )
NEW met3 ( 566030 1904340 ) ( 614100 * )
NEW met3 ( 614100 1903660 ) ( * 1904340 )
NEW met3 ( 614100 1903660 ) ( 672980 * )
NEW met2 ( 1303410 34170 ) ( * 96900 )
NEW met3 ( 672980 113220 ) M3M4_PR_M
NEW met2 ( 566030 1904340 ) M2M3_PR_M
NEW met3 ( 672980 1903660 ) M3M4_PR_M
NEW met1 ( 1303410 34170 ) M1M2_PR
NEW met2 ( 1302950 113220 ) M2M3_PR_M
NEW met1 ( 2650750 34170 ) M1M2_PR ;
- la_data_in[115] ( PIN la_data_in[115] ) ( chip_controller la_data_in[115] ) + USE SIGNAL
+ ROUTED met2 ( 569710 1899580 0 ) ( * 1903660 )
NEW met3 ( 569710 1903660 ) ( 600530 * )
NEW met2 ( 600530 1902300 ) ( * 1903660 )
NEW met2 ( 681490 114580 ) ( * 1902300 )
NEW met3 ( 681490 114580 ) ( 1300420 * )
NEW met2 ( 2668690 2380 0 ) ( * 19380 )
NEW met3 ( 1300420 19380 ) ( 2668690 * )
NEW met3 ( 600530 1902300 ) ( 681490 * )
NEW met4 ( 1300420 19380 ) ( * 114580 )
NEW met2 ( 681490 114580 ) M2M3_PR_M
NEW met2 ( 569710 1903660 ) M2M3_PR_M
NEW met2 ( 600530 1903660 ) M2M3_PR_M
NEW met2 ( 600530 1902300 ) M2M3_PR_M
NEW met2 ( 681490 1902300 ) M2M3_PR_M
NEW met3 ( 1300420 19380 ) M3M4_PR_M
NEW met3 ( 1300420 114580 ) M3M4_PR_M
NEW met2 ( 2668690 19380 ) M2M3_PR_M ;
- la_data_in[116] ( PIN la_data_in[116] ) ( chip_controller la_data_in[116] ) + USE SIGNAL
+ ROUTED met3 ( 184460 1879860 ) ( 200100 * 0 )
NEW met3 ( 184460 79220 ) ( 2686170 * )
NEW met2 ( 2686170 2380 0 ) ( * 79220 )
NEW met4 ( 184460 79220 ) ( * 1879860 )
NEW met3 ( 184460 79220 ) M3M4_PR_M
NEW met3 ( 184460 1879860 ) M3M4_PR_M
NEW met2 ( 2686170 79220 ) M2M3_PR_M ;
- la_data_in[117] ( PIN la_data_in[117] ) ( chip_controller la_data_in[117] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1869660 0 ) ( 607430 * )
NEW met2 ( 607430 1864220 ) ( * 1869660 )
NEW met2 ( 2704110 2380 0 ) ( * 3060 )
NEW met2 ( 2703190 3060 ) ( 2704110 * )
NEW met2 ( 2703190 2380 ) ( * 3060 )
NEW met2 ( 2701810 2380 ) ( 2703190 * )
NEW met2 ( 2701810 2380 ) ( * 72420 )
NEW met3 ( 607430 1864220 ) ( 700580 * )
NEW met3 ( 700580 72420 ) ( 2701810 * )
NEW met4 ( 700580 72420 ) ( * 1864220 )
NEW met2 ( 607430 1869660 ) M2M3_PR_M
NEW met2 ( 607430 1864220 ) M2M3_PR_M
NEW met3 ( 700580 1864220 ) M3M4_PR_M
NEW met3 ( 700580 72420 ) M3M4_PR_M
NEW met2 ( 2701810 72420 ) M2M3_PR_M ;
- la_data_in[118] ( PIN la_data_in[118] ) ( chip_controller la_data_in[118] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1873060 0 ) ( 607430 * )
NEW met2 ( 607430 1871020 ) ( * 1873060 )
NEW met4 ( 666540 79900 ) ( * 1871020 )
NEW met2 ( 2722050 2380 0 ) ( * 79900 )
NEW met3 ( 607430 1871020 ) ( 666540 * )
NEW met3 ( 666540 79900 ) ( 2722050 * )
NEW met2 ( 607430 1873060 ) M2M3_PR_M
NEW met2 ( 607430 1871020 ) M2M3_PR_M
NEW met3 ( 666540 79900 ) M3M4_PR_M
NEW met3 ( 666540 1871020 ) M3M4_PR_M
NEW met2 ( 2722050 79900 ) M2M3_PR_M ;
- la_data_in[119] ( PIN la_data_in[119] ) ( chip_controller la_data_in[119] ) + USE SIGNAL
+ ROUTED met2 ( 581670 1899580 0 ) ( * 1928140 )
NEW met3 ( 581670 1928140 ) ( 695290 * )
NEW met3 ( 695290 115940 ) ( 1299500 * )
NEW met4 ( 1299500 58820 ) ( * 115940 )
NEW met3 ( 1299500 58820 ) ( 2739530 * )
NEW met2 ( 2739530 2380 0 ) ( * 58820 )
NEW met2 ( 695290 115940 ) ( * 1928140 )
NEW met2 ( 581670 1928140 ) M2M3_PR_M
NEW met2 ( 695290 115940 ) M2M3_PR_M
NEW met2 ( 695290 1928140 ) M2M3_PR_M
NEW met3 ( 1299500 115940 ) M3M4_PR_M
NEW met3 ( 1299500 58820 ) M3M4_PR_M
NEW met2 ( 2739530 58820 ) M2M3_PR_M ;
- la_data_in[11] ( PIN la_data_in[11] ) ( chip_controller la_data_in[11] ) + USE SIGNAL
+ ROUTED met3 ( 185150 1585420 ) ( 200100 * 0 )
NEW met2 ( 824550 2380 0 ) ( * 3060 )
NEW met2 ( 823630 3060 ) ( 824550 * )
NEW met2 ( 823630 2380 ) ( * 3060 )
NEW met2 ( 822250 2380 ) ( 823630 * )
NEW met2 ( 821330 82800 ) ( 822250 * )
NEW met2 ( 822250 2380 ) ( * 82800 )
NEW met2 ( 821330 82800 ) ( * 1478490 )
NEW met2 ( 185150 1478490 ) ( * 1585420 )
NEW met1 ( 185150 1478490 ) ( 821330 * )
NEW met2 ( 185150 1585420 ) M2M3_PR_M
NEW met1 ( 821330 1478490 ) M1M2_PR
NEW met1 ( 185150 1478490 ) M1M2_PR ;
- la_data_in[120] ( PIN la_data_in[120] ) ( chip_controller la_data_in[120] ) + USE SIGNAL
+ ROUTED met2 ( 585350 80750 ) ( * 1500420 0 )
NEW met2 ( 2757470 2380 0 ) ( * 17510 )
NEW met1 ( 2273550 17510 ) ( 2757470 * )
NEW met1 ( 585350 80750 ) ( 2273550 * )
NEW met2 ( 2273550 17510 ) ( * 80750 )
NEW met1 ( 585350 80750 ) M1M2_PR
NEW met1 ( 2273550 17510 ) M1M2_PR
NEW met1 ( 2757470 17510 ) M1M2_PR
NEW met1 ( 2273550 80750 ) M1M2_PR ;
- la_data_in[121] ( PIN la_data_in[121] ) ( chip_controller la_data_in[121] ) + USE SIGNAL
+ ROUTED li1 ( 591330 1897370 ) ( * 1899750 )
NEW met2 ( 591330 1899580 ) ( * 1899750 )
NEW met2 ( 590410 1899580 0 ) ( 591330 * )
NEW met2 ( 682410 115260 ) ( * 1897370 )
NEW met3 ( 682410 115260 ) ( 1301340 * )
NEW met2 ( 2774950 2380 0 ) ( * 17340 )
NEW met3 ( 1301340 17340 ) ( 2774950 * )
NEW met1 ( 591330 1897370 ) ( 682410 * )
NEW met4 ( 1301340 17340 ) ( * 115260 )
NEW met2 ( 682410 115260 ) M2M3_PR_M
NEW li1 ( 591330 1897370 ) L1M1_PR_MR
NEW li1 ( 591330 1899750 ) L1M1_PR_MR
NEW met1 ( 591330 1899750 ) M1M2_PR
NEW met1 ( 682410 1897370 ) M1M2_PR
NEW met3 ( 1301340 17340 ) M3M4_PR_M
NEW met3 ( 1301340 115260 ) M3M4_PR_M
NEW met2 ( 2774950 17340 ) M2M3_PR_M
NEW met1 ( 591330 1899750 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[122] ( PIN la_data_in[122] ) ( chip_controller la_data_in[122] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1878500 ) ( * 1879860 0 )
NEW met4 ( 672060 58140 ) ( * 1877140 )
NEW met2 ( 2792890 2380 0 ) ( * 58140 )
NEW met3 ( 599380 1878500 ) ( 614100 * )
NEW met3 ( 614100 1877140 ) ( * 1878500 )
NEW met3 ( 614100 1877140 ) ( 672060 * )
NEW met3 ( 672060 58140 ) ( 2792890 * )
NEW met3 ( 672060 58140 ) M3M4_PR_M
NEW met3 ( 672060 1877140 ) M3M4_PR_M
NEW met2 ( 2792890 58140 ) M2M3_PR_M ;
- la_data_in[123] ( PIN la_data_in[123] ) ( chip_controller la_data_in[123] ) + USE SIGNAL
+ ROUTED met2 ( 2810370 2380 0 ) ( * 17170 )
NEW met3 ( 596850 1902300 ) ( 597540 * )
NEW met2 ( 596850 1899580 ) ( * 1902300 )
NEW met2 ( 595470 1899580 0 ) ( 596850 * )
NEW met1 ( 2810370 17170 ) ( 2863730 * )
NEW met3 ( 597540 1776500 ) ( 2863730 * )
NEW met2 ( 2863730 17170 ) ( * 1776500 )
NEW met4 ( 597540 1776500 ) ( * 1902300 )
NEW met1 ( 2810370 17170 ) M1M2_PR
NEW met3 ( 597540 1776500 ) M3M4_PR_M
NEW met3 ( 597540 1902300 ) M3M4_PR_M
NEW met2 ( 596850 1902300 ) M2M3_PR_M
NEW met1 ( 2863730 17170 ) M1M2_PR
NEW met2 ( 2863730 1776500 ) M2M3_PR_M ;
- la_data_in[124] ( PIN la_data_in[124] ) ( chip_controller la_data_in[124] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1884620 0 ) ( 604900 * )
NEW met3 ( 604900 1883940 ) ( * 1884620 )
NEW met2 ( 2827850 82800 ) ( 2828310 * )
NEW met2 ( 2828310 2380 0 ) ( * 82800 )
NEW met2 ( 2827850 82800 ) ( * 1749300 )
NEW met3 ( 693220 1749300 ) ( 2827850 * )
NEW met3 ( 604900 1883940 ) ( 693220 * )
NEW met4 ( 693220 1749300 ) ( * 1883940 )
NEW met3 ( 693220 1749300 ) M3M4_PR_M
NEW met2 ( 2827850 1749300 ) M2M3_PR_M
NEW met3 ( 693220 1883940 ) M3M4_PR_M ;
- la_data_in[125] ( PIN la_data_in[125] ) ( chip_controller la_data_in[125] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1889380 0 ) ( 607430 * )
NEW met2 ( 607430 1884620 ) ( * 1889380 )
NEW met2 ( 2845790 2380 0 ) ( * 3060 )
NEW met2 ( 2844870 3060 ) ( 2845790 * )
NEW met2 ( 2844870 2380 ) ( * 3060 )
NEW met2 ( 2843490 2380 ) ( 2844870 * )
NEW met3 ( 694140 1770380 ) ( 2843030 * )
NEW met3 ( 607430 1884620 ) ( 694140 * )
NEW met2 ( 2843030 82800 ) ( 2843490 * )
NEW met2 ( 2843490 2380 ) ( * 82800 )
NEW met2 ( 2843030 82800 ) ( * 1770380 )
NEW met4 ( 694140 1770380 ) ( * 1884620 )
NEW met3 ( 694140 1770380 ) M3M4_PR_M
NEW met2 ( 607430 1889380 ) M2M3_PR_M
NEW met2 ( 607430 1884620 ) M2M3_PR_M
NEW met3 ( 694140 1884620 ) M3M4_PR_M
NEW met2 ( 2843030 1770380 ) M2M3_PR_M ;
- la_data_in[126] ( PIN la_data_in[126] ) ( chip_controller la_data_in[126] ) + USE SIGNAL
+ ROUTED met2 ( 599150 23970 ) ( * 1500420 0 )
NEW met2 ( 2863730 2380 0 ) ( * 11900 )
NEW met2 ( 2863730 11900 ) ( 2864190 * )
NEW met2 ( 2864190 11900 ) ( * 23970 )
NEW met1 ( 599150 23970 ) ( 2864190 * )
NEW met1 ( 599150 23970 ) M1M2_PR
NEW met1 ( 2864190 23970 ) M1M2_PR ;
- la_data_in[127] ( PIN la_data_in[127] ) ( chip_controller la_data_in[127] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1895500 0 ) ( 607430 * )
NEW met2 ( 607430 1890740 ) ( * 1895500 )
NEW met2 ( 2881670 2380 0 ) ( * 3060 )
NEW met2 ( 2880750 3060 ) ( 2881670 * )
NEW met2 ( 2880750 2380 ) ( * 3060 )
NEW met2 ( 2879370 2380 ) ( 2880750 * )
NEW met3 ( 701500 1748620 ) ( 2877530 * )
NEW met3 ( 607430 1890740 ) ( 701500 * )
NEW met2 ( 2877530 82800 ) ( 2879370 * )
NEW met2 ( 2879370 2380 ) ( * 82800 )
NEW met2 ( 2877530 82800 ) ( * 1748620 )
NEW met4 ( 701500 1748620 ) ( * 1890740 )
NEW met3 ( 701500 1748620 ) M3M4_PR_M
NEW met2 ( 607430 1895500 ) M2M3_PR_M
NEW met2 ( 607430 1890740 ) M2M3_PR_M
NEW met3 ( 701500 1890740 ) M3M4_PR_M
NEW met2 ( 2877530 1748620 ) M2M3_PR_M ;
- la_data_in[12] ( PIN la_data_in[12] ) ( chip_controller la_data_in[12] ) + USE SIGNAL
+ ROUTED met1 ( 180090 1594090 ) ( 190210 * )
NEW met2 ( 190210 1594090 ) ( * 1599700 )
NEW met3 ( 190210 1599700 ) ( 200100 * 0 )
NEW met2 ( 842030 2380 0 ) ( * 1479170 )
NEW met2 ( 180090 1479170 ) ( * 1594090 )
NEW met1 ( 180090 1479170 ) ( 842030 * )
NEW met1 ( 180090 1594090 ) M1M2_PR
NEW met1 ( 190210 1594090 ) M1M2_PR
NEW met2 ( 190210 1599700 ) M2M3_PR_M
NEW met1 ( 842030 1479170 ) M1M2_PR
NEW met1 ( 180090 1479170 ) M1M2_PR ;
- la_data_in[13] ( PIN la_data_in[13] ) ( chip_controller la_data_in[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1588140 0 ) ( 608350 * )
NEW met2 ( 608350 1587630 ) ( * 1588140 )
NEW met2 ( 859970 2380 0 ) ( * 50830 )
NEW met1 ( 608350 1587630 ) ( 755550 * )
NEW met2 ( 755550 50830 ) ( * 1587630 )
NEW met1 ( 755550 50830 ) ( 859970 * )
NEW met2 ( 608350 1588140 ) M2M3_PR_M
NEW met1 ( 608350 1587630 ) M1M2_PR
NEW met1 ( 859970 50830 ) M1M2_PR
NEW met1 ( 755550 50830 ) M1M2_PR
NEW met1 ( 755550 1587630 ) M1M2_PR ;
- la_data_in[14] ( PIN la_data_in[14] ) ( chip_controller la_data_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 877450 2380 0 ) ( * 27370 )
NEW met1 ( 305210 1490050 ) ( 310270 * )
NEW met2 ( 305210 1490050 ) ( * 1500420 0 )
NEW met2 ( 310270 27370 ) ( * 1490050 )
NEW met1 ( 310270 27370 ) ( 877450 * )
NEW met1 ( 310270 27370 ) M1M2_PR
NEW met1 ( 877450 27370 ) M1M2_PR
NEW met1 ( 310270 1490050 ) M1M2_PR
NEW met1 ( 305210 1490050 ) M1M2_PR ;
- la_data_in[15] ( PIN la_data_in[15] ) ( chip_controller la_data_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 895390 2380 0 ) ( * 3060 )
NEW met2 ( 894470 3060 ) ( 895390 * )
NEW met2 ( 894470 2380 ) ( * 3060 )
NEW met2 ( 893090 2380 ) ( 894470 * )
NEW met3 ( 599380 1599700 0 ) ( 608350 * )
NEW met2 ( 608350 1596810 ) ( * 1599700 )
NEW met2 ( 890330 82800 ) ( 893090 * )
NEW met2 ( 893090 2380 ) ( * 82800 )
NEW met2 ( 890330 82800 ) ( * 1596810 )
NEW met1 ( 608350 1596810 ) ( 890330 * )
NEW met2 ( 608350 1599700 ) M2M3_PR_M
NEW met1 ( 608350 1596810 ) M1M2_PR
NEW met1 ( 890330 1596810 ) M1M2_PR ;
- la_data_in[16] ( PIN la_data_in[16] ) ( chip_controller la_data_in[16] ) + USE SIGNAL
+ ROUTED met1 ( 181470 1621630 ) ( 188370 * )
NEW met2 ( 188370 1621630 ) ( * 1625540 )
NEW met3 ( 188370 1625540 ) ( 200100 * 0 )
NEW met2 ( 912870 2380 0 ) ( * 3060 )
NEW met2 ( 911950 3060 ) ( 912870 * )
NEW met2 ( 911950 2380 ) ( * 3060 )
NEW met2 ( 911030 2380 ) ( 911950 * )
NEW met2 ( 911030 2380 ) ( * 1481210 )
NEW met2 ( 181470 1481210 ) ( * 1621630 )
NEW met1 ( 181470 1481210 ) ( 911030 * )
NEW met1 ( 181470 1621630 ) M1M2_PR
NEW met1 ( 188370 1621630 ) M1M2_PR
NEW met2 ( 188370 1625540 ) M2M3_PR_M
NEW met1 ( 911030 1481210 ) M1M2_PR
NEW met1 ( 181470 1481210 ) M1M2_PR ;
- la_data_in[17] ( PIN la_data_in[17] ) ( chip_controller la_data_in[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1613980 0 ) ( 609730 * )
NEW met2 ( 609730 1608370 ) ( * 1613980 )
NEW met1 ( 609730 1608370 ) ( 714150 * )
NEW met2 ( 714150 51170 ) ( * 1608370 )
NEW met1 ( 714150 51170 ) ( 930810 * )
NEW met2 ( 930810 2380 0 ) ( * 51170 )
NEW met2 ( 609730 1613980 ) M2M3_PR_M
NEW met1 ( 609730 1608370 ) M1M2_PR
NEW met1 ( 714150 51170 ) M1M2_PR
NEW met1 ( 714150 1608370 ) M1M2_PR
NEW met1 ( 930810 51170 ) M1M2_PR ;
- la_data_in[18] ( PIN la_data_in[18] ) ( chip_controller la_data_in[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1618740 0 ) ( 608810 * )
NEW met2 ( 608810 1615510 ) ( * 1618740 )
NEW met2 ( 948750 2380 0 ) ( * 3060 )
NEW met2 ( 947830 3060 ) ( 948750 * )
NEW met2 ( 947830 2380 ) ( * 3060 )
NEW met2 ( 946450 2380 ) ( 947830 * )
NEW met2 ( 945530 82800 ) ( 946450 * )
NEW met2 ( 946450 2380 ) ( * 82800 )
NEW met1 ( 608810 1615510 ) ( 945530 * )
NEW met2 ( 945530 82800 ) ( * 1615510 )
NEW met2 ( 608810 1618740 ) M2M3_PR_M
NEW met1 ( 608810 1615510 ) M1M2_PR
NEW met1 ( 945530 1615510 ) M1M2_PR ;
- la_data_in[19] ( PIN la_data_in[19] ) ( chip_controller la_data_in[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1630300 0 ) ( 607890 * )
NEW met2 ( 607890 1629110 ) ( * 1630300 )
NEW met2 ( 966230 2380 0 ) ( * 1629110 )
NEW met1 ( 607890 1629110 ) ( 966230 * )
NEW met2 ( 607890 1630300 ) M2M3_PR_M
NEW met1 ( 607890 1629110 ) M1M2_PR
NEW met1 ( 966230 1629110 ) M1M2_PR ;
- la_data_in[1] ( PIN la_data_in[1] ) ( chip_controller la_data_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 646990 2380 0 ) ( * 21930 )
NEW met1 ( 240350 21930 ) ( 646990 * )
NEW met2 ( 239890 1500420 0 ) ( 240350 * )
NEW met2 ( 240350 21930 ) ( * 1500420 )
NEW met1 ( 240350 21930 ) M1M2_PR
NEW met1 ( 646990 21930 ) M1M2_PR ;
- la_data_in[20] ( PIN la_data_in[20] ) ( chip_controller la_data_in[20] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1631660 0 ) ( 608350 * )
NEW met2 ( 608350 1628770 ) ( * 1631660 )
NEW met2 ( 980030 82800 ) ( 984170 * )
NEW met2 ( 984170 2380 0 ) ( * 82800 )
NEW met2 ( 980030 82800 ) ( * 1628770 )
NEW met1 ( 608350 1628770 ) ( 980030 * )
NEW met2 ( 608350 1631660 ) M2M3_PR_M
NEW met1 ( 608350 1628770 ) M1M2_PR
NEW met1 ( 980030 1628770 ) M1M2_PR ;
- la_data_in[21] ( PIN la_data_in[21] ) ( chip_controller la_data_in[21] ) + USE SIGNAL
+ ROUTED met1 ( 337410 1931370 ) ( 652510 * )
NEW met2 ( 1001650 2380 0 ) ( * 14790 )
NEW met1 ( 652510 14790 ) ( 1001650 * )
NEW met2 ( 337410 1899580 0 ) ( * 1931370 )
NEW met2 ( 652510 14790 ) ( * 1931370 )
NEW met1 ( 337410 1931370 ) M1M2_PR
NEW met1 ( 652510 14790 ) M1M2_PR
NEW met1 ( 652510 1931370 ) M1M2_PR
NEW met1 ( 1001650 14790 ) M1M2_PR ;
- la_data_in[22] ( PIN la_data_in[22] ) ( chip_controller la_data_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1656310 ) ( * 1656820 )
NEW met3 ( 189750 1656820 ) ( 200100 * 0 )
NEW met1 ( 177330 1656310 ) ( 189750 * )
NEW met2 ( 1019590 2380 0 ) ( * 3060 )
NEW met2 ( 1018670 3060 ) ( 1019590 * )
NEW met2 ( 1018670 2380 ) ( * 3060 )
NEW met2 ( 1017290 2380 ) ( 1018670 * )
NEW met1 ( 177330 56950 ) ( 1017290 * )
NEW met2 ( 1017290 2380 ) ( * 56950 )
NEW met2 ( 177330 56950 ) ( * 1656310 )
NEW met1 ( 189750 1656310 ) M1M2_PR
NEW met2 ( 189750 1656820 ) M2M3_PR_M
NEW met1 ( 177330 1656310 ) M1M2_PR
NEW met1 ( 177330 56950 ) M1M2_PR
NEW met1 ( 1017290 56950 ) M1M2_PR ;
- la_data_in[23] ( PIN la_data_in[23] ) ( chip_controller la_data_in[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1646620 0 ) ( 607890 * )
NEW met2 ( 607890 1643050 ) ( * 1646620 )
NEW met2 ( 1037070 2380 0 ) ( * 3060 )
NEW met2 ( 1036150 3060 ) ( 1037070 * )
NEW met2 ( 1036150 2380 ) ( * 3060 )
NEW met2 ( 1035230 2380 ) ( 1036150 * )
NEW met1 ( 607890 1643050 ) ( 1035230 * )
NEW met2 ( 1035230 2380 ) ( * 1643050 )
NEW met2 ( 607890 1646620 ) M2M3_PR_M
NEW met1 ( 607890 1643050 ) M1M2_PR
NEW met1 ( 1035230 1643050 ) M1M2_PR ;
- la_data_in[24] ( PIN la_data_in[24] ) ( chip_controller la_data_in[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1656140 0 ) ( 608350 * )
NEW met2 ( 608350 1656140 ) ( * 1656990 )
NEW met2 ( 1055010 2380 0 ) ( * 20910 )
NEW met1 ( 1049030 20910 ) ( 1055010 * )
NEW met2 ( 1049030 20910 ) ( * 1656990 )
NEW met1 ( 608350 1656990 ) ( 1049030 * )
NEW met2 ( 608350 1656140 ) M2M3_PR_M
NEW met1 ( 608350 1656990 ) M1M2_PR
NEW met1 ( 1055010 20910 ) M1M2_PR
NEW met1 ( 1049030 20910 ) M1M2_PR
NEW met1 ( 1049030 1656990 ) M1M2_PR ;
- la_data_in[25] ( PIN la_data_in[25] ) ( chip_controller la_data_in[25] ) + USE SIGNAL
+ ROUTED met2 ( 1072490 2380 0 ) ( * 22270 )
NEW met1 ( 365010 22270 ) ( 1072490 * )
NEW met1 ( 360410 1490390 ) ( 365010 * )
NEW met2 ( 360410 1490390 ) ( * 1500420 0 )
NEW met2 ( 365010 22270 ) ( * 1490390 )
NEW met1 ( 1072490 22270 ) M1M2_PR
NEW met1 ( 365010 22270 ) M1M2_PR
NEW met1 ( 365010 1490390 ) M1M2_PR
NEW met1 ( 360410 1490390 ) M1M2_PR ;
- la_data_in[26] ( PIN la_data_in[26] ) ( chip_controller la_data_in[26] ) + USE SIGNAL
+ ROUTED met1 ( 182390 1669910 ) ( 187450 * )
NEW met2 ( 187450 1669910 ) ( * 1675860 )
NEW met3 ( 187450 1675860 ) ( 200100 * 0 )
NEW met2 ( 1090430 2380 0 ) ( * 1480190 )
NEW met2 ( 182390 1480190 ) ( * 1669910 )
NEW met1 ( 182390 1480190 ) ( 1090430 * )
NEW met1 ( 182390 1669910 ) M1M2_PR
NEW met1 ( 187450 1669910 ) M1M2_PR
NEW met2 ( 187450 1675860 ) M2M3_PR_M
NEW met1 ( 1090430 1480190 ) M1M2_PR
NEW met1 ( 182390 1480190 ) M1M2_PR ;
- la_data_in[27] ( PIN la_data_in[27] ) ( chip_controller la_data_in[27] ) + USE SIGNAL
+ ROUTED met2 ( 1107910 2380 0 ) ( * 16490 )
NEW met1 ( 646530 16490 ) ( 1107910 * )
NEW met2 ( 370530 1899580 0 ) ( * 1908590 )
NEW met1 ( 370530 1908590 ) ( 646530 * )
NEW met2 ( 646530 16490 ) ( * 1908590 )
NEW met1 ( 646530 16490 ) M1M2_PR
NEW met1 ( 1107910 16490 ) M1M2_PR
NEW met1 ( 370530 1908590 ) M1M2_PR
NEW met1 ( 646530 1908590 ) M1M2_PR ;
- la_data_in[28] ( PIN la_data_in[28] ) ( chip_controller la_data_in[28] ) + USE SIGNAL
+ ROUTED met2 ( 378810 1899580 0 ) ( * 1927290 )
NEW met1 ( 378810 1927290 ) ( 652050 * )
NEW met2 ( 1125850 2380 0 ) ( * 19550 )
NEW met1 ( 652050 19550 ) ( 1125850 * )
NEW met2 ( 652050 19550 ) ( * 1927290 )
NEW met1 ( 378810 1927290 ) M1M2_PR
NEW met1 ( 652050 19550 ) M1M2_PR
NEW met1 ( 652050 1927290 ) M1M2_PR
NEW met1 ( 1125850 19550 ) M1M2_PR ;
- la_data_in[29] ( PIN la_data_in[29] ) ( chip_controller la_data_in[29] ) + USE SIGNAL
+ ROUTED met3 ( 184230 1691500 ) ( 200100 * 0 )
NEW met2 ( 1143790 2380 0 ) ( * 3060 )
NEW met2 ( 1142870 3060 ) ( 1143790 * )
NEW met2 ( 1142870 2380 ) ( * 3060 )
NEW met2 ( 1141490 2380 ) ( 1142870 * )
NEW met2 ( 1138730 82800 ) ( 1141490 * )
NEW met2 ( 1141490 2380 ) ( * 82800 )
NEW met2 ( 1138730 82800 ) ( * 1479850 )
NEW met2 ( 184230 1479850 ) ( * 1691500 )
NEW met1 ( 184230 1479850 ) ( 1138730 * )
NEW met2 ( 184230 1691500 ) M2M3_PR_M
NEW met1 ( 1138730 1479850 ) M1M2_PR
NEW met1 ( 184230 1479850 ) M1M2_PR ;
- la_data_in[2] ( PIN la_data_in[2] ) ( chip_controller la_data_in[2] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1518780 0 ) ( 607430 * )
NEW met2 ( 607430 1518270 ) ( * 1518780 )
NEW met2 ( 662630 82800 ) ( 664930 * )
NEW met2 ( 664930 2380 0 ) ( * 82800 )
NEW met2 ( 662630 82800 ) ( * 1518270 )
NEW met1 ( 607430 1518270 ) ( 662630 * )
NEW met2 ( 607430 1518780 ) M2M3_PR_M
NEW met1 ( 607430 1518270 ) M1M2_PR
NEW met1 ( 662630 1518270 ) M1M2_PR ;
- la_data_in[30] ( PIN la_data_in[30] ) ( chip_controller la_data_in[30] ) + USE SIGNAL
+ ROUTED met2 ( 1161270 2380 0 ) ( * 3060 )
NEW met2 ( 1160350 3060 ) ( 1161270 * )
NEW met2 ( 1160350 2380 ) ( * 3060 )
NEW met2 ( 1159430 2380 ) ( 1160350 * )
NEW met3 ( 599380 1681980 0 ) ( 608350 * )
NEW met2 ( 608350 1677050 ) ( * 1681980 )
NEW met2 ( 1159430 2380 ) ( * 1677050 )
NEW met1 ( 608350 1677050 ) ( 1159430 * )
NEW met2 ( 608350 1681980 ) M2M3_PR_M
NEW met1 ( 608350 1677050 ) M1M2_PR
NEW met1 ( 1159430 1677050 ) M1M2_PR ;
- la_data_in[31] ( PIN la_data_in[31] ) ( chip_controller la_data_in[31] ) + USE SIGNAL
+ ROUTED met2 ( 1179210 2380 0 ) ( * 22610 )
NEW met1 ( 394450 1489030 ) ( 399970 * )
NEW met2 ( 394450 1489030 ) ( * 1500420 0 )
NEW met2 ( 399970 22610 ) ( * 1489030 )
NEW met1 ( 399970 22610 ) ( 1179210 * )
NEW met1 ( 399970 22610 ) M1M2_PR
NEW met1 ( 1179210 22610 ) M1M2_PR
NEW met1 ( 399970 1489030 ) M1M2_PR
NEW met1 ( 394450 1489030 ) M1M2_PR ;
- la_data_in[32] ( PIN la_data_in[32] ) ( chip_controller la_data_in[32] ) + USE SIGNAL
+ ROUTED met2 ( 399510 22950 ) ( * 1483500 )
NEW met2 ( 397670 1483500 ) ( 399510 * )
NEW met2 ( 397670 1483500 ) ( * 1500420 )
NEW met2 ( 396290 1500420 0 ) ( 397670 * )
NEW met2 ( 1196690 2380 0 ) ( * 22950 )
NEW met1 ( 399510 22950 ) ( 1196690 * )
NEW met1 ( 399510 22950 ) M1M2_PR
NEW met1 ( 1196690 22950 ) M1M2_PR ;
- la_data_in[33] ( PIN la_data_in[33] ) ( chip_controller la_data_in[33] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1704590 ) ( * 1708500 )
NEW met3 ( 190210 1708500 ) ( 200100 * 0 )
NEW met2 ( 1214630 2380 0 ) ( * 17340 )
NEW met2 ( 1214630 17340 ) ( 1215090 * )
NEW met1 ( 170430 1704590 ) ( 190210 * )
NEW met1 ( 170430 57290 ) ( 1215090 * )
NEW met2 ( 1215090 17340 ) ( * 57290 )
NEW met2 ( 170430 57290 ) ( * 1704590 )
NEW met1 ( 190210 1704590 ) M1M2_PR
NEW met2 ( 190210 1708500 ) M2M3_PR_M
NEW met1 ( 170430 57290 ) M1M2_PR
NEW met1 ( 170430 1704590 ) M1M2_PR
NEW met1 ( 1215090 57290 ) M1M2_PR ;
- la_data_in[34] ( PIN la_data_in[34] ) ( chip_controller la_data_in[34] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1696940 0 ) ( 608810 * )
NEW met2 ( 608810 1690990 ) ( * 1696940 )
NEW met2 ( 1232110 2380 0 ) ( * 3060 )
NEW met2 ( 1231190 3060 ) ( 1232110 * )
NEW met2 ( 1231190 2380 ) ( * 3060 )
NEW met2 ( 1229810 2380 ) ( 1231190 * )
NEW met2 ( 1228430 82800 ) ( 1229810 * )
NEW met2 ( 1229810 2380 ) ( * 82800 )
NEW met1 ( 608810 1690990 ) ( 1228430 * )
NEW met2 ( 1228430 82800 ) ( * 1690990 )
NEW met2 ( 608810 1696940 ) M2M3_PR_M
NEW met1 ( 608810 1690990 ) M1M2_PR
NEW met1 ( 1228430 1690990 ) M1M2_PR ;
- la_data_in[35] ( PIN la_data_in[35] ) ( chip_controller la_data_in[35] ) + USE SIGNAL
+ ROUTED met2 ( 1250050 2380 0 ) ( * 18190 )
NEW met2 ( 396290 1899580 0 ) ( * 1926950 )
NEW met2 ( 686550 18190 ) ( * 1926950 )
NEW met1 ( 396290 1926950 ) ( 686550 * )
NEW met1 ( 686550 18190 ) ( 1250050 * )
NEW met1 ( 396290 1926950 ) M1M2_PR
NEW met1 ( 686550 18190 ) M1M2_PR
NEW met1 ( 686550 1926950 ) M1M2_PR
NEW met1 ( 1250050 18190 ) M1M2_PR ;
- la_data_in[36] ( PIN la_data_in[36] ) ( chip_controller la_data_in[36] ) + USE SIGNAL
+ ROUTED met2 ( 1267530 2380 0 ) ( * 23290 )
NEW met1 ( 403190 1489030 ) ( 406870 * )
NEW met2 ( 403190 1489030 ) ( * 1500420 0 )
NEW met2 ( 406870 23290 ) ( * 1489030 )
NEW met1 ( 406870 23290 ) ( 1267530 * )
NEW met1 ( 406870 23290 ) M1M2_PR
NEW met1 ( 1267530 23290 ) M1M2_PR
NEW met1 ( 406870 1489030 ) M1M2_PR
NEW met1 ( 403190 1489030 ) M1M2_PR ;
- la_data_in[37] ( PIN la_data_in[37] ) ( chip_controller la_data_in[37] ) + USE SIGNAL
+ ROUTED met2 ( 1285470 2380 0 ) ( * 20910 )
NEW met1 ( 1252810 20910 ) ( 1285470 * )
NEW met3 ( 599380 1701700 0 ) ( 608350 * )
NEW met2 ( 608350 1698130 ) ( * 1701700 )
NEW met2 ( 1252810 20910 ) ( * 1698130 )
NEW met1 ( 608350 1698130 ) ( 1252810 * )
NEW met1 ( 1285470 20910 ) M1M2_PR
NEW met1 ( 1252810 20910 ) M1M2_PR
NEW met2 ( 608350 1701700 ) M2M3_PR_M
NEW met1 ( 608350 1698130 ) M1M2_PR
NEW met1 ( 1252810 1698130 ) M1M2_PR ;
- la_data_in[38] ( PIN la_data_in[38] ) ( chip_controller la_data_in[38] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1703060 0 ) ( 608810 * )
NEW met2 ( 608810 1697450 ) ( * 1703060 )
NEW met2 ( 1303410 2380 0 ) ( * 13260 )
NEW met2 ( 1300650 13260 ) ( 1303410 * )
NEW met2 ( 1300650 13260 ) ( * 34500 )
NEW met2 ( 1297890 34500 ) ( 1300650 * )
NEW met1 ( 608810 1697450 ) ( 1297890 * )
NEW met2 ( 1297890 34500 ) ( * 1697450 )
NEW met2 ( 608810 1703060 ) M2M3_PR_M
NEW met1 ( 608810 1697450 ) M1M2_PR
NEW met1 ( 1297890 1697450 ) M1M2_PR ;
- la_data_in[39] ( PIN la_data_in[39] ) ( chip_controller la_data_in[39] ) + USE SIGNAL
+ ROUTED met2 ( 413770 23630 ) ( * 1500420 0 )
NEW met2 ( 1320890 2380 0 ) ( * 23630 )
NEW met1 ( 413770 23630 ) ( 1320890 * )
NEW met1 ( 413770 23630 ) M1M2_PR
NEW met1 ( 1320890 23630 ) M1M2_PR ;
- la_data_in[3] ( PIN la_data_in[3] ) ( chip_controller la_data_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 682410 2380 0 ) ( * 13940 )
NEW met2 ( 679190 13940 ) ( 682410 * )
NEW met2 ( 679190 13940 ) ( * 51170 )
NEW met1 ( 165830 51170 ) ( 679190 * )
NEW met1 ( 165830 1527450 ) ( 192970 * )
NEW met2 ( 192970 1527450 ) ( * 1527620 )
NEW met3 ( 191820 1527620 ) ( 192970 * )
NEW met3 ( 191820 1525580 ) ( * 1527620 )
NEW met3 ( 191820 1525580 ) ( 200100 * )
NEW met3 ( 200100 1524900 0 ) ( * 1525580 )
NEW met2 ( 165830 51170 ) ( * 1527450 )
NEW met1 ( 679190 51170 ) M1M2_PR
NEW met1 ( 165830 51170 ) M1M2_PR
NEW met1 ( 165830 1527450 ) M1M2_PR
NEW met1 ( 192970 1527450 ) M1M2_PR
NEW met2 ( 192970 1527620 ) M2M3_PR_M ;
- la_data_in[40] ( PIN la_data_in[40] ) ( chip_controller la_data_in[40] ) + USE SIGNAL
+ ROUTED met2 ( 1338830 2380 0 ) ( * 15470 )
NEW met2 ( 408250 1899580 0 ) ( * 1909270 )
NEW met2 ( 666770 15470 ) ( * 1909270 )
NEW met1 ( 666770 15470 ) ( 1338830 * )
NEW met1 ( 408250 1909270 ) ( 666770 * )
NEW met1 ( 666770 15470 ) M1M2_PR
NEW met1 ( 1338830 15470 ) M1M2_PR
NEW met1 ( 408250 1909270 ) M1M2_PR
NEW met1 ( 666770 1909270 ) M1M2_PR ;
- la_data_in[41] ( PIN la_data_in[41] ) ( chip_controller la_data_in[41] ) + USE SIGNAL
+ ROUTED met2 ( 1356310 2380 0 ) ( * 3060 )
NEW met2 ( 1355390 3060 ) ( 1356310 * )
NEW met2 ( 1355390 2380 ) ( * 3060 )
NEW met2 ( 1354010 2380 ) ( 1355390 * )
NEW met3 ( 599380 1712580 0 ) ( 607430 * )
NEW met2 ( 607430 1712580 ) ( * 1712750 )
NEW met2 ( 776710 63750 ) ( * 1712750 )
NEW met2 ( 1354010 2380 ) ( * 63750 )
NEW met1 ( 607430 1712750 ) ( 776710 * )
NEW met1 ( 776710 63750 ) ( 1354010 * )
NEW met2 ( 607430 1712580 ) M2M3_PR_M
NEW met1 ( 607430 1712750 ) M1M2_PR
NEW met1 ( 776710 63750 ) M1M2_PR
NEW met1 ( 776710 1712750 ) M1M2_PR
NEW met1 ( 1354010 63750 ) M1M2_PR ;
- la_data_in[42] ( PIN la_data_in[42] ) ( chip_controller la_data_in[42] ) + USE SIGNAL
+ ROUTED met2 ( 1374250 2380 0 ) ( * 27030 )
NEW met1 ( 418830 1490390 ) ( 420670 * )
NEW met2 ( 418830 1490390 ) ( * 1500420 0 )
NEW met2 ( 420670 27030 ) ( * 1490390 )
NEW met1 ( 420670 27030 ) ( 1374250 * )
NEW met1 ( 420670 27030 ) M1M2_PR
NEW met1 ( 1374250 27030 ) M1M2_PR
NEW met1 ( 420670 1490390 ) M1M2_PR
NEW met1 ( 418830 1490390 ) M1M2_PR ;
- la_data_in[43] ( PIN la_data_in[43] ) ( chip_controller la_data_in[43] ) + USE SIGNAL
+ ROUTED met2 ( 1391730 2380 0 ) ( * 26690 )
NEW met1 ( 427570 26690 ) ( 1391730 * )
NEW met1 ( 422050 1490390 ) ( 427570 * )
NEW met2 ( 422050 1490390 ) ( * 1500420 0 )
NEW met2 ( 427570 26690 ) ( * 1490390 )
NEW met1 ( 427570 26690 ) M1M2_PR
NEW met1 ( 1391730 26690 ) M1M2_PR
NEW met1 ( 427570 1490390 ) M1M2_PR
NEW met1 ( 422050 1490390 ) M1M2_PR ;
- la_data_in[44] ( PIN la_data_in[44] ) ( chip_controller la_data_in[44] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1725330 ) ( * 1729580 )
NEW met3 ( 190210 1729580 ) ( 200100 * 0 )
NEW met1 ( 172730 1725330 ) ( 190210 * )
NEW met2 ( 1409670 2380 0 ) ( * 3060 )
NEW met2 ( 1408750 3060 ) ( 1409670 * )
NEW met2 ( 1408750 2380 ) ( * 3060 )
NEW met2 ( 1407830 2380 ) ( 1408750 * )
NEW met1 ( 172730 102850 ) ( 1407830 * )
NEW met2 ( 1407830 2380 ) ( * 102850 )
NEW met2 ( 172730 102850 ) ( * 1725330 )
NEW met1 ( 190210 1725330 ) M1M2_PR
NEW met2 ( 190210 1729580 ) M2M3_PR_M
NEW met1 ( 172730 102850 ) M1M2_PR
NEW met1 ( 172730 1725330 ) M1M2_PR
NEW met1 ( 1407830 102850 ) M1M2_PR ;
- la_data_in[45] ( PIN la_data_in[45] ) ( chip_controller la_data_in[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1717340 0 ) ( 607890 * )
NEW met2 ( 607890 1712410 ) ( * 1717340 )
NEW met2 ( 1427150 2380 0 ) ( * 3060 )
NEW met2 ( 1426230 3060 ) ( 1427150 * )
NEW met2 ( 1426230 2380 ) ( * 3060 )
NEW met2 ( 1424850 2380 ) ( 1426230 * )
NEW met1 ( 607890 1712410 ) ( 810750 * )
NEW met2 ( 810750 56270 ) ( * 1712410 )
NEW met1 ( 810750 56270 ) ( 1424850 * )
NEW met2 ( 1424850 2380 ) ( * 56270 )
NEW met2 ( 607890 1717340 ) M2M3_PR_M
NEW met1 ( 607890 1712410 ) M1M2_PR
NEW met1 ( 810750 56270 ) M1M2_PR
NEW met1 ( 810750 1712410 ) M1M2_PR
NEW met1 ( 1424850 56270 ) M1M2_PR ;
- la_data_in[46] ( PIN la_data_in[46] ) ( chip_controller la_data_in[46] ) + USE SIGNAL
+ ROUTED met2 ( 1445090 2380 0 ) ( * 16830 )
NEW met2 ( 418830 1899580 0 ) ( * 1929330 )
NEW met1 ( 418830 1929330 ) ( 631350 * )
NEW met1 ( 631350 16830 ) ( 1445090 * )
NEW met2 ( 631350 16830 ) ( * 1929330 )
NEW met1 ( 418830 1929330 ) M1M2_PR
NEW met1 ( 1445090 16830 ) M1M2_PR
NEW met1 ( 631350 16830 ) M1M2_PR
NEW met1 ( 631350 1929330 ) M1M2_PR ;
- la_data_in[47] ( PIN la_data_in[47] ) ( chip_controller la_data_in[47] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1725500 0 ) ( 607430 * )
NEW met2 ( 607430 1725500 ) ( * 1726350 )
NEW met2 ( 1463030 2380 0 ) ( * 56610 )
NEW met1 ( 607430 1726350 ) ( 741750 * )
NEW met2 ( 741750 56610 ) ( * 1726350 )
NEW met1 ( 741750 56610 ) ( 1463030 * )
NEW met2 ( 607430 1725500 ) M2M3_PR_M
NEW met1 ( 607430 1726350 ) M1M2_PR
NEW met1 ( 1463030 56610 ) M1M2_PR
NEW met1 ( 741750 1726350 ) M1M2_PR
NEW met1 ( 741750 56610 ) M1M2_PR ;
- la_data_in[48] ( PIN la_data_in[48] ) ( chip_controller la_data_in[48] ) + USE SIGNAL
+ ROUTED met2 ( 1480510 2380 0 ) ( * 26350 )
NEW met1 ( 434010 26350 ) ( 1480510 * )
NEW met2 ( 434010 26350 ) ( * 1500420 0 )
NEW met1 ( 1480510 26350 ) M1M2_PR
NEW met1 ( 434010 26350 ) M1M2_PR ;
- la_data_in[49] ( PIN la_data_in[49] ) ( chip_controller la_data_in[49] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1732470 ) ( * 1734340 )
NEW met3 ( 189290 1734340 ) ( 200100 * 0 )
NEW met1 ( 166750 1732470 ) ( 189290 * )
NEW met1 ( 166750 71570 ) ( 1498450 * )
NEW met2 ( 1498450 2380 0 ) ( * 71570 )
NEW met2 ( 166750 71570 ) ( * 1732470 )
NEW met1 ( 189290 1732470 ) M1M2_PR
NEW met2 ( 189290 1734340 ) M2M3_PR_M
NEW met1 ( 166750 1732470 ) M1M2_PR
NEW met1 ( 166750 71570 ) M1M2_PR
NEW met1 ( 1498450 71570 ) M1M2_PR ;
- la_data_in[4] ( PIN la_data_in[4] ) ( chip_controller la_data_in[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1534420 0 ) ( 608810 * )
NEW met2 ( 608810 1532210 ) ( * 1534420 )
NEW met2 ( 700350 2380 0 ) ( * 3060 )
NEW met2 ( 699430 3060 ) ( 700350 * )
NEW met2 ( 699430 2380 ) ( * 3060 )
NEW met2 ( 698050 2380 ) ( 699430 * )
NEW met2 ( 697130 82800 ) ( 698050 * )
NEW met2 ( 698050 2380 ) ( * 82800 )
NEW met2 ( 697130 82800 ) ( * 1532210 )
NEW met1 ( 608810 1532210 ) ( 697130 * )
NEW met2 ( 608810 1534420 ) M2M3_PR_M
NEW met1 ( 608810 1532210 ) M1M2_PR
NEW met1 ( 697130 1532210 ) M1M2_PR ;
- la_data_in[50] ( PIN la_data_in[50] ) ( chip_controller la_data_in[50] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1732810 ) ( * 1737740 )
NEW met3 ( 190210 1737740 ) ( 200100 * 0 )
NEW met1 ( 162610 1732810 ) ( 190210 * )
NEW met1 ( 162610 67830 ) ( 1515930 * )
NEW met2 ( 1515930 2380 0 ) ( * 67830 )
NEW met2 ( 162610 67830 ) ( * 1732810 )
NEW met1 ( 190210 1732810 ) M1M2_PR
NEW met2 ( 190210 1737740 ) M2M3_PR_M
NEW met1 ( 162610 1732810 ) M1M2_PR
NEW met1 ( 162610 67830 ) M1M2_PR
NEW met1 ( 1515930 67830 ) M1M2_PR ;
- la_data_in[51] ( PIN la_data_in[51] ) ( chip_controller la_data_in[51] ) + USE SIGNAL
+ ROUTED met2 ( 1533870 2380 0 ) ( * 20570 )
NEW met1 ( 425730 1930010 ) ( 631810 * )
NEW met1 ( 631810 20570 ) ( 1533870 * )
NEW met2 ( 425730 1899580 0 ) ( * 1930010 )
NEW met2 ( 631810 20570 ) ( * 1930010 )
NEW met1 ( 1533870 20570 ) M1M2_PR
NEW met1 ( 425730 1930010 ) M1M2_PR
NEW met1 ( 631810 20570 ) M1M2_PR
NEW met1 ( 631810 1930010 ) M1M2_PR ;
- la_data_in[52] ( PIN la_data_in[52] ) ( chip_controller la_data_in[52] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1739610 ) ( * 1743180 )
NEW met3 ( 187910 1743180 ) ( 200100 * 0 )
NEW met2 ( 1551350 2380 0 ) ( * 3060 )
NEW met2 ( 1550430 3060 ) ( 1551350 * )
NEW met2 ( 1550430 2380 ) ( * 3060 )
NEW met2 ( 1549050 2380 ) ( 1550430 * )
NEW met2 ( 1549050 2380 ) ( * 81430 )
NEW met1 ( 166290 1739610 ) ( 187910 * )
NEW met1 ( 166290 81430 ) ( 1549050 * )
NEW met2 ( 166290 81430 ) ( * 1739610 )
NEW met1 ( 187910 1739610 ) M1M2_PR
NEW met2 ( 187910 1743180 ) M2M3_PR_M
NEW met1 ( 1549050 81430 ) M1M2_PR
NEW met1 ( 166290 1739610 ) M1M2_PR
NEW met1 ( 166290 81430 ) M1M2_PR ;
- la_data_in[53] ( PIN la_data_in[53] ) ( chip_controller la_data_in[53] ) + USE SIGNAL
+ ROUTED met2 ( 1569290 2380 0 ) ( * 26010 )
NEW met1 ( 448270 26010 ) ( 1569290 * )
NEW met2 ( 447810 1500420 0 ) ( 448270 * )
NEW met2 ( 448270 26010 ) ( * 1500420 )
NEW met1 ( 1569290 26010 ) M1M2_PR
NEW met1 ( 448270 26010 ) M1M2_PR ;
- la_data_in[54] ( PIN la_data_in[54] ) ( chip_controller la_data_in[54] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1740460 0 ) ( 607430 * )
NEW met2 ( 607430 1739950 ) ( * 1740460 )
NEW met1 ( 607430 1739950 ) ( 831910 * )
NEW met2 ( 1586770 2380 0 ) ( * 20570 )
NEW met1 ( 1580790 20570 ) ( 1586770 * )
NEW met2 ( 831910 64430 ) ( * 1739950 )
NEW met1 ( 831910 64430 ) ( 1580790 * )
NEW met2 ( 1580790 20570 ) ( * 64430 )
NEW met2 ( 607430 1740460 ) M2M3_PR_M
NEW met1 ( 607430 1739950 ) M1M2_PR
NEW met1 ( 831910 1739950 ) M1M2_PR
NEW met1 ( 1586770 20570 ) M1M2_PR
NEW met1 ( 1580790 20570 ) M1M2_PR
NEW met1 ( 831910 64430 ) M1M2_PR
NEW met1 ( 1580790 64430 ) M1M2_PR ;
- la_data_in[55] ( PIN la_data_in[55] ) ( chip_controller la_data_in[55] ) + USE SIGNAL
+ ROUTED met2 ( 673210 19890 ) ( * 1928650 )
NEW met1 ( 436310 1928650 ) ( 673210 * )
NEW met2 ( 1604710 2380 0 ) ( * 19890 )
NEW met1 ( 673210 19890 ) ( 1604710 * )
NEW met2 ( 436310 1899580 0 ) ( * 1928650 )
NEW met1 ( 673210 19890 ) M1M2_PR
NEW met1 ( 673210 1928650 ) M1M2_PR
NEW met1 ( 436310 1928650 ) M1M2_PR
NEW met1 ( 1604710 19890 ) M1M2_PR ;
- la_data_in[56] ( PIN la_data_in[56] ) ( chip_controller la_data_in[56] ) + USE SIGNAL
+ ROUTED met2 ( 1622190 2380 0 ) ( * 25670 )
NEW met1 ( 455170 25670 ) ( 1622190 * )
NEW met2 ( 454710 1500420 0 ) ( 455170 * )
NEW met2 ( 455170 25670 ) ( * 1500420 )
NEW met1 ( 455170 25670 ) M1M2_PR
NEW met1 ( 1622190 25670 ) M1M2_PR ;
- la_data_in[57] ( PIN la_data_in[57] ) ( chip_controller la_data_in[57] ) + USE SIGNAL
+ ROUTED met2 ( 1640130 2380 0 ) ( * 25330 )
NEW met1 ( 462070 25330 ) ( 1640130 * )
NEW met1 ( 456550 1490050 ) ( 462070 * )
NEW met2 ( 456550 1490050 ) ( * 1500420 0 )
NEW met2 ( 462070 25330 ) ( * 1490050 )
NEW met1 ( 1640130 25330 ) M1M2_PR
NEW met1 ( 462070 25330 ) M1M2_PR
NEW met1 ( 462070 1490050 ) M1M2_PR
NEW met1 ( 456550 1490050 ) M1M2_PR ;
- la_data_in[58] ( PIN la_data_in[58] ) ( chip_controller la_data_in[58] ) + USE SIGNAL
+ ROUTED met2 ( 1658070 2380 0 ) ( * 19210 )
NEW met1 ( 443210 1930350 ) ( 648370 * )
NEW met1 ( 645610 19210 ) ( 1658070 * )
NEW met2 ( 443210 1899580 0 ) ( * 1930350 )
NEW met1 ( 645610 1892950 ) ( 648370 * )
NEW met2 ( 645610 19210 ) ( * 1892950 )
NEW met2 ( 648370 1892950 ) ( * 1930350 )
NEW met1 ( 1658070 19210 ) M1M2_PR
NEW met1 ( 443210 1930350 ) M1M2_PR
NEW met1 ( 645610 19210 ) M1M2_PR
NEW met1 ( 648370 1930350 ) M1M2_PR
NEW met1 ( 645610 1892950 ) M1M2_PR
NEW met1 ( 648370 1892950 ) M1M2_PR ;
- la_data_in[59] ( PIN la_data_in[59] ) ( chip_controller la_data_in[59] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1752870 ) ( * 1755420 )
NEW met3 ( 190210 1755420 ) ( 200100 * 0 )
NEW met2 ( 1675550 2380 0 ) ( * 3060 )
NEW met2 ( 1674630 3060 ) ( 1675550 * )
NEW met2 ( 1674630 2380 ) ( * 3060 )
NEW met2 ( 1673250 2380 ) ( 1674630 * )
NEW met2 ( 1673250 2380 ) ( * 74630 )
NEW met1 ( 173190 1752870 ) ( 190210 * )
NEW met1 ( 173190 74630 ) ( 1673250 * )
NEW met2 ( 173190 74630 ) ( * 1752870 )
NEW met1 ( 190210 1752870 ) M1M2_PR
NEW met2 ( 190210 1755420 ) M2M3_PR_M
NEW met1 ( 1673250 74630 ) M1M2_PR
NEW met1 ( 173190 1752870 ) M1M2_PR
NEW met1 ( 173190 74630 ) M1M2_PR ;
- la_data_in[5] ( PIN la_data_in[5] ) ( chip_controller la_data_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 717830 2380 0 ) ( * 17340 )
NEW met2 ( 717830 17340 ) ( 718290 * )
NEW met2 ( 718290 17340 ) ( * 1477470 )
NEW met3 ( 184690 1535100 ) ( 200100 * 0 )
NEW met2 ( 184690 1477470 ) ( * 1535100 )
NEW met1 ( 184690 1477470 ) ( 718290 * )
NEW met1 ( 718290 1477470 ) M1M2_PR
NEW met2 ( 184690 1535100 ) M2M3_PR_M
NEW met1 ( 184690 1477470 ) M1M2_PR ;
- la_data_in[60] ( PIN la_data_in[60] ) ( chip_controller la_data_in[60] ) + USE SIGNAL
+ ROUTED met1 ( 448270 1925590 ) ( 652970 * )
NEW met2 ( 1693490 2380 0 ) ( * 18530 )
NEW met1 ( 652970 18530 ) ( 1693490 * )
NEW met2 ( 448270 1899580 0 ) ( * 1925590 )
NEW met2 ( 652970 18530 ) ( * 1925590 )
NEW met1 ( 448270 1925590 ) M1M2_PR
NEW met1 ( 652970 18530 ) M1M2_PR
NEW met1 ( 652970 1925590 ) M1M2_PR
NEW met1 ( 1693490 18530 ) M1M2_PR ;
- la_data_in[61] ( PIN la_data_in[61] ) ( chip_controller la_data_in[61] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1760010 ) ( * 1762220 )
NEW met3 ( 189290 1762220 ) ( 200100 * 0 )
NEW met1 ( 163530 1760010 ) ( 189290 * )
NEW met1 ( 163530 60690 ) ( 1710970 * )
NEW met2 ( 1710970 2380 0 ) ( * 60690 )
NEW met2 ( 163530 60690 ) ( * 1760010 )
NEW met1 ( 189290 1760010 ) M1M2_PR
NEW met2 ( 189290 1762220 ) M2M3_PR_M
NEW met1 ( 163530 1760010 ) M1M2_PR
NEW met1 ( 163530 60690 ) M1M2_PR
NEW met1 ( 1710970 60690 ) M1M2_PR ;
- la_data_in[62] ( PIN la_data_in[62] ) ( chip_controller la_data_in[62] ) + USE SIGNAL
+ ROUTED met1 ( 180550 1766470 ) ( 187450 * )
NEW met2 ( 187450 1766470 ) ( * 1767660 )
NEW met3 ( 187450 1767660 ) ( 200100 * 0 )
NEW met2 ( 1728910 2380 0 ) ( * 3060 )
NEW met2 ( 1727990 3060 ) ( 1728910 * )
NEW met2 ( 1727990 2380 ) ( * 3060 )
NEW met2 ( 1726610 2380 ) ( 1727990 * )
NEW met2 ( 1726610 2380 ) ( * 74290 )
NEW met1 ( 180550 74290 ) ( 1726610 * )
NEW met2 ( 180550 74290 ) ( * 1766470 )
NEW met1 ( 180550 1766470 ) M1M2_PR
NEW met1 ( 187450 1766470 ) M1M2_PR
NEW met2 ( 187450 1767660 ) M2M3_PR_M
NEW met1 ( 180550 74290 ) M1M2_PR
NEW met1 ( 1726610 74290 ) M1M2_PR ;
- la_data_in[63] ( PIN la_data_in[63] ) ( chip_controller la_data_in[63] ) + USE SIGNAL
+ ROUTED met2 ( 1746390 2380 0 ) ( * 68170 )
NEW met2 ( 466670 1500420 0 ) ( 468050 * )
NEW met2 ( 468050 68170 ) ( * 1500420 )
NEW met1 ( 468050 68170 ) ( 1746390 * )
NEW met1 ( 1746390 68170 ) M1M2_PR
NEW met1 ( 468050 68170 ) M1M2_PR ;
- la_data_in[64] ( PIN la_data_in[64] ) ( chip_controller la_data_in[64] ) + USE SIGNAL
+ ROUTED met1 ( 471730 1485290 ) ( 475410 * )
NEW met2 ( 471730 1485290 ) ( * 1500420 0 )
NEW met2 ( 475410 79050 ) ( * 1485290 )
NEW met2 ( 1764330 2380 0 ) ( * 79050 )
NEW met1 ( 475410 79050 ) ( 1764330 * )
NEW met1 ( 475410 79050 ) M1M2_PR
NEW met1 ( 475410 1485290 ) M1M2_PR
NEW met1 ( 471730 1485290 ) M1M2_PR
NEW met1 ( 1764330 79050 ) M1M2_PR ;
- la_data_in[65] ( PIN la_data_in[65] ) ( chip_controller la_data_in[65] ) + USE SIGNAL
+ ROUTED met2 ( 475410 1500420 0 ) ( 475870 * )
NEW met2 ( 475870 57970 ) ( * 1500420 )
NEW met1 ( 475870 57970 ) ( 1781810 * )
NEW met2 ( 1781810 2380 0 ) ( * 57970 )
NEW met1 ( 475870 57970 ) M1M2_PR
NEW met1 ( 1781810 57970 ) M1M2_PR ;
- la_data_in[66] ( PIN la_data_in[66] ) ( chip_controller la_data_in[66] ) + USE SIGNAL
+ ROUTED met1 ( 478630 1489370 ) ( 493350 * )
NEW met2 ( 478630 1489370 ) ( * 1500420 0 )
NEW met2 ( 493350 3230 ) ( * 1489370 )
NEW met2 ( 1799750 2380 0 ) ( * 3230 )
NEW met1 ( 493350 3230 ) ( 1799750 * )
NEW met1 ( 493350 3230 ) M1M2_PR
NEW met1 ( 493350 1489370 ) M1M2_PR
NEW met1 ( 478630 1489370 ) M1M2_PR
NEW met1 ( 1799750 3230 ) M1M2_PR ;
- la_data_in[67] ( PIN la_data_in[67] ) ( chip_controller la_data_in[67] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1761540 0 ) ( 607430 * )
NEW met2 ( 607430 1761030 ) ( * 1761540 )
NEW met1 ( 607430 1761030 ) ( 734850 * )
NEW met2 ( 734850 57630 ) ( * 1761030 )
NEW met1 ( 734850 57630 ) ( 1817690 * )
NEW met2 ( 1817690 2380 0 ) ( * 57630 )
NEW met2 ( 607430 1761540 ) M2M3_PR_M
NEW met1 ( 607430 1761030 ) M1M2_PR
NEW met1 ( 734850 1761030 ) M1M2_PR
NEW met1 ( 734850 57630 ) M1M2_PR
NEW met1 ( 1817690 57630 ) M1M2_PR ;
- la_data_in[68] ( PIN la_data_in[68] ) ( chip_controller la_data_in[68] ) + USE SIGNAL
+ ROUTED met2 ( 1835170 2380 0 ) ( * 17510 )
NEW met1 ( 1828730 17510 ) ( 1835170 * )
NEW met2 ( 668150 70210 ) ( * 1929670 )
NEW met2 ( 1828730 17510 ) ( * 70210 )
NEW met1 ( 462070 1929670 ) ( 668150 * )
NEW met2 ( 462070 1899580 0 ) ( * 1929670 )
NEW met1 ( 668150 70210 ) ( 1828730 * )
NEW met1 ( 668150 1929670 ) M1M2_PR
NEW met1 ( 1835170 17510 ) M1M2_PR
NEW met1 ( 1828730 17510 ) M1M2_PR
NEW met1 ( 668150 70210 ) M1M2_PR
NEW met1 ( 1828730 70210 ) M1M2_PR
NEW met1 ( 462070 1929670 ) M1M2_PR ;
- la_data_in[69] ( PIN la_data_in[69] ) ( chip_controller la_data_in[69] ) + USE SIGNAL
+ ROUTED met2 ( 1853110 2380 0 ) ( * 3060 )
NEW met2 ( 1852190 3060 ) ( 1853110 * )
NEW met2 ( 1852190 2380 ) ( * 3060 )
NEW met2 ( 1850810 2380 ) ( 1852190 * )
NEW met2 ( 488750 1491580 ) ( 489210 * )
NEW met2 ( 488750 1491580 ) ( * 1500420 )
NEW met2 ( 487370 1500420 0 ) ( 488750 * )
NEW met2 ( 489210 81770 ) ( * 1491580 )
NEW met2 ( 1850810 2380 ) ( * 81770 )
NEW met1 ( 489210 81770 ) ( 1850810 * )
NEW met1 ( 489210 81770 ) M1M2_PR
NEW met1 ( 1850810 81770 ) M1M2_PR ;
- la_data_in[6] ( PIN la_data_in[6] ) ( chip_controller la_data_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 376050 65110 ) ( * 1486990 )
NEW met2 ( 260590 1486990 ) ( * 1500420 0 )
NEW met1 ( 260590 1486990 ) ( 376050 * )
NEW met1 ( 376050 65110 ) ( 735770 * )
NEW met2 ( 735770 2380 0 ) ( * 65110 )
NEW met1 ( 376050 65110 ) M1M2_PR
NEW met1 ( 376050 1486990 ) M1M2_PR
NEW met1 ( 260590 1486990 ) M1M2_PR
NEW met1 ( 735770 65110 ) M1M2_PR ;
- la_data_in[70] ( PIN la_data_in[70] ) ( chip_controller la_data_in[70] ) + USE SIGNAL
+ ROUTED met1 ( 467590 1925250 ) ( 654810 * )
NEW met2 ( 467590 1899580 0 ) ( * 1925250 )
NEW met2 ( 654810 70550 ) ( * 1925250 )
NEW met1 ( 654810 70550 ) ( 1870590 * )
NEW met2 ( 1870590 2380 0 ) ( * 70550 )
NEW met1 ( 467590 1925250 ) M1M2_PR
NEW met1 ( 654810 1925250 ) M1M2_PR
NEW met1 ( 654810 70550 ) M1M2_PR
NEW met1 ( 1870590 70550 ) M1M2_PR ;
- la_data_in[71] ( PIN la_data_in[71] ) ( chip_controller la_data_in[71] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1781090 ) ( * 1782620 )
NEW met3 ( 189750 1782620 ) ( 200100 * 0 )
NEW met1 ( 167210 1781090 ) ( 189750 * )
NEW met1 ( 167210 66470 ) ( 1888530 * )
NEW met2 ( 1888530 2380 0 ) ( * 66470 )
NEW met2 ( 167210 66470 ) ( * 1781090 )
NEW met1 ( 189750 1781090 ) M1M2_PR
NEW met2 ( 189750 1782620 ) M2M3_PR_M
NEW met1 ( 167210 66470 ) M1M2_PR
NEW met1 ( 167210 1781090 ) M1M2_PR
NEW met1 ( 1888530 66470 ) M1M2_PR ;
- la_data_in[72] ( PIN la_data_in[72] ) ( chip_controller la_data_in[72] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1788060 ) ( * 1788230 )
NEW met3 ( 189750 1788060 ) ( 200100 * 0 )
NEW met1 ( 163990 1788230 ) ( 189750 * )
NEW met1 ( 163990 60010 ) ( 1906010 * )
NEW met2 ( 1906010 2380 0 ) ( * 60010 )
NEW met2 ( 163990 60010 ) ( * 1788230 )
NEW met1 ( 189750 1788230 ) M1M2_PR
NEW met2 ( 189750 1788060 ) M2M3_PR_M
NEW met1 ( 163990 60010 ) M1M2_PR
NEW met1 ( 163990 1788230 ) M1M2_PR
NEW met1 ( 1906010 60010 ) M1M2_PR ;
- la_data_in[73] ( PIN la_data_in[73] ) ( chip_controller la_data_in[73] ) + USE SIGNAL
+ ROUTED met2 ( 1923950 2380 0 ) ( * 3060 )
NEW met2 ( 1923030 3060 ) ( 1923950 * )
NEW met2 ( 1923030 2380 ) ( * 3060 )
NEW met2 ( 1921650 2380 ) ( 1923030 * )
NEW met3 ( 599380 1779220 0 ) ( 608810 * )
NEW met2 ( 608810 1774290 ) ( * 1779220 )
NEW met2 ( 1921650 2380 ) ( * 54570 )
NEW met1 ( 608810 1774290 ) ( 941850 * )
NEW met2 ( 941850 54570 ) ( * 1774290 )
NEW met1 ( 941850 54570 ) ( 1921650 * )
NEW met2 ( 608810 1779220 ) M2M3_PR_M
NEW met1 ( 608810 1774290 ) M1M2_PR
NEW met1 ( 1921650 54570 ) M1M2_PR
NEW met1 ( 941850 54570 ) M1M2_PR
NEW met1 ( 941850 1774290 ) M1M2_PR ;
- la_data_in[74] ( PIN la_data_in[74] ) ( chip_controller la_data_in[74] ) + USE SIGNAL
+ ROUTED met2 ( 1941430 2380 0 ) ( * 33490 )
NEW met2 ( 496110 33490 ) ( * 1500420 0 )
NEW met1 ( 496110 33490 ) ( 1941430 * )
NEW met1 ( 496110 33490 ) M1M2_PR
NEW met1 ( 1941430 33490 ) M1M2_PR ;
- la_data_in[75] ( PIN la_data_in[75] ) ( chip_controller la_data_in[75] ) + USE SIGNAL
+ ROUTED met2 ( 1959370 2380 0 ) ( * 17510 )
NEW met1 ( 1952930 17510 ) ( 1959370 * )
NEW met2 ( 189750 1794010 ) ( * 1796900 )
NEW met3 ( 189750 1796900 ) ( 200100 * 0 )
NEW met2 ( 1952930 17510 ) ( * 52530 )
NEW met2 ( 149270 52530 ) ( * 1794010 )
NEW met1 ( 149270 1794010 ) ( 189750 * )
NEW met1 ( 149270 52530 ) ( 1952930 * )
NEW met1 ( 1959370 17510 ) M1M2_PR
NEW met1 ( 1952930 17510 ) M1M2_PR
NEW met1 ( 189750 1794010 ) M1M2_PR
NEW met2 ( 189750 1796900 ) M2M3_PR_M
NEW met1 ( 1952930 52530 ) M1M2_PR
NEW met1 ( 149270 52530 ) M1M2_PR
NEW met1 ( 149270 1794010 ) M1M2_PR ;
- la_data_in[76] ( PIN la_data_in[76] ) ( chip_controller la_data_in[76] ) + USE SIGNAL
+ ROUTED met2 ( 475870 1899580 0 ) ( * 1919130 )
NEW met1 ( 475870 1919130 ) ( 641010 * )
NEW met1 ( 641010 116450 ) ( 1299730 * )
NEW met2 ( 1299730 42330 ) ( * 116450 )
NEW met1 ( 1299730 42330 ) ( 1976850 * )
NEW met2 ( 1976850 2380 0 ) ( * 42330 )
NEW met2 ( 641010 116450 ) ( * 1919130 )
NEW met1 ( 475870 1919130 ) M1M2_PR
NEW met1 ( 641010 116450 ) M1M2_PR
NEW met1 ( 641010 1919130 ) M1M2_PR
NEW met1 ( 1299730 116450 ) M1M2_PR
NEW met1 ( 1299730 42330 ) M1M2_PR
NEW met1 ( 1976850 42330 ) M1M2_PR ;
- la_data_in[77] ( PIN la_data_in[77] ) ( chip_controller la_data_in[77] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1788740 0 ) ( 607430 * )
NEW met2 ( 607430 1788230 ) ( * 1788740 )
NEW met1 ( 607430 1788230 ) ( 634570 * )
NEW met2 ( 634570 58310 ) ( * 1788230 )
NEW met1 ( 634570 58310 ) ( 1994790 * )
NEW met2 ( 1994790 2380 0 ) ( * 58310 )
NEW met2 ( 607430 1788740 ) M2M3_PR_M
NEW met1 ( 607430 1788230 ) M1M2_PR
NEW met1 ( 634570 58310 ) M1M2_PR
NEW met1 ( 634570 1788230 ) M1M2_PR
NEW met1 ( 1994790 58310 ) M1M2_PR ;
- la_data_in[78] ( PIN la_data_in[78] ) ( chip_controller la_data_in[78] ) + USE SIGNAL
+ ROUTED met2 ( 503010 33150 ) ( * 1500420 0 )
NEW met2 ( 2012730 2380 0 ) ( * 33150 )
NEW met1 ( 503010 33150 ) ( 2012730 * )
NEW met1 ( 503010 33150 ) M1M2_PR
NEW met1 ( 2012730 33150 ) M1M2_PR ;
- la_data_in[79] ( PIN la_data_in[79] ) ( chip_controller la_data_in[79] ) + USE SIGNAL
+ ROUTED met2 ( 2030210 2380 0 ) ( * 2890 )
NEW met2 ( 488290 1899580 0 ) ( * 1926780 )
NEW met3 ( 488290 1926780 ) ( 638250 * )
NEW met1 ( 638250 2890 ) ( 2030210 * )
NEW met2 ( 638250 2890 ) ( * 1926780 )
NEW met2 ( 488290 1926780 ) M2M3_PR_M
NEW met1 ( 2030210 2890 ) M1M2_PR
NEW met1 ( 638250 2890 ) M1M2_PR
NEW met2 ( 638250 1926780 ) M2M3_PR_M ;
- la_data_in[7] ( PIN la_data_in[7] ) ( chip_controller la_data_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 753250 2380 0 ) ( * 30090 )
NEW met1 ( 268870 30090 ) ( 753250 * )
NEW met2 ( 267490 1500420 0 ) ( 268870 * )
NEW met2 ( 268870 30090 ) ( * 1500420 )
NEW met1 ( 268870 30090 ) M1M2_PR
NEW met1 ( 753250 30090 ) M1M2_PR ;
- la_data_in[80] ( PIN la_data_in[80] ) ( chip_controller la_data_in[80] ) + USE SIGNAL
+ ROUTED met2 ( 2048150 2380 0 ) ( * 3060 )
NEW met2 ( 2047230 3060 ) ( 2048150 * )
NEW met2 ( 2047230 2380 ) ( * 3060 )
NEW met2 ( 2045850 2380 ) ( 2047230 * )
NEW met2 ( 189750 1801490 ) ( * 1801660 )
NEW met3 ( 189750 1801660 ) ( 200100 * 0 )
NEW met2 ( 2045850 2380 ) ( * 59670 )
NEW met2 ( 149730 59670 ) ( * 1801490 )
NEW met1 ( 149730 1801490 ) ( 189750 * )
NEW met1 ( 149730 59670 ) ( 2045850 * )
NEW met1 ( 189750 1801490 ) M1M2_PR
NEW met2 ( 189750 1801660 ) M2M3_PR_M
NEW met1 ( 2045850 59670 ) M1M2_PR
NEW met1 ( 149730 59670 ) M1M2_PR
NEW met1 ( 149730 1801490 ) M1M2_PR ;
- la_data_in[81] ( PIN la_data_in[81] ) ( chip_controller la_data_in[81] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1793500 0 ) ( 607890 * )
NEW met2 ( 607890 1788570 ) ( * 1793500 )
NEW met2 ( 2065630 2380 0 ) ( * 3060 )
NEW met2 ( 2064710 3060 ) ( 2065630 * )
NEW met2 ( 2064710 2380 ) ( * 3060 )
NEW met2 ( 2063330 2380 ) ( 2064710 * )
NEW met1 ( 607890 1788570 ) ( 644690 * )
NEW met2 ( 644690 67150 ) ( * 1788570 )
NEW met1 ( 644690 67150 ) ( 2063330 * )
NEW met2 ( 2063330 2380 ) ( * 67150 )
NEW met2 ( 607890 1793500 ) M2M3_PR_M
NEW met1 ( 607890 1788570 ) M1M2_PR
NEW met1 ( 644690 67150 ) M1M2_PR
NEW met1 ( 644690 1788570 ) M1M2_PR
NEW met1 ( 2063330 67150 ) M1M2_PR ;
- la_data_in[82] ( PIN la_data_in[82] ) ( chip_controller la_data_in[82] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1801150 ) ( * 1805740 )
NEW met3 ( 188830 1805740 ) ( 200100 * 0 )
NEW met1 ( 173650 1801150 ) ( 188830 * )
NEW met1 ( 173650 73270 ) ( 2083570 * )
NEW met2 ( 2083570 2380 0 ) ( * 73270 )
NEW met2 ( 173650 73270 ) ( * 1801150 )
NEW met1 ( 188830 1801150 ) M1M2_PR
NEW met2 ( 188830 1805740 ) M2M3_PR_M
NEW met1 ( 173650 73270 ) M1M2_PR
NEW met1 ( 173650 1801150 ) M1M2_PR
NEW met1 ( 2083570 73270 ) M1M2_PR ;
- la_data_in[83] ( PIN la_data_in[83] ) ( chip_controller la_data_in[83] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1796900 0 ) ( 607430 * )
NEW met2 ( 607430 1794690 ) ( * 1796900 )
NEW met2 ( 2101050 2380 0 ) ( * 30090 )
NEW met1 ( 831450 30090 ) ( 2101050 * )
NEW met1 ( 607430 1794690 ) ( 831450 * )
NEW met2 ( 831450 30090 ) ( * 1794690 )
NEW met2 ( 607430 1796900 ) M2M3_PR_M
NEW met1 ( 607430 1794690 ) M1M2_PR
NEW met1 ( 831450 30090 ) M1M2_PR
NEW met1 ( 2101050 30090 ) M1M2_PR
NEW met1 ( 831450 1794690 ) M1M2_PR ;
- la_data_in[84] ( PIN la_data_in[84] ) ( chip_controller la_data_in[84] ) + USE SIGNAL
+ ROUTED met2 ( 700350 12580 ) ( 701270 * )
NEW met2 ( 701270 2550 ) ( * 12580 )
NEW met2 ( 2118990 2380 0 ) ( * 2890 )
NEW met1 ( 2118990 2550 ) ( * 2890 )
NEW met2 ( 507150 1899580 0 ) ( * 1919300 )
NEW met3 ( 507150 1919300 ) ( 700350 * )
NEW met1 ( 701270 2550 ) ( 2118990 * )
NEW met2 ( 700350 12580 ) ( * 1919300 )
NEW met2 ( 507150 1919300 ) M2M3_PR_M
NEW met1 ( 701270 2550 ) M1M2_PR
NEW met2 ( 700350 1919300 ) M2M3_PR_M
NEW met1 ( 2118990 2890 ) M1M2_PR ;
- la_data_in[85] ( PIN la_data_in[85] ) ( chip_controller la_data_in[85] ) + USE SIGNAL
+ ROUTED met2 ( 2136470 2380 0 ) ( * 29750 )
NEW met3 ( 599380 1798940 0 ) ( 608810 * )
NEW met2 ( 608810 1794350 ) ( * 1798940 )
NEW met2 ( 893550 29750 ) ( * 1794350 )
NEW met1 ( 893550 29750 ) ( 2136470 * )
NEW met1 ( 608810 1794350 ) ( 893550 * )
NEW met1 ( 893550 29750 ) M1M2_PR
NEW met1 ( 2136470 29750 ) M1M2_PR
NEW met2 ( 608810 1798940 ) M2M3_PR_M
NEW met1 ( 608810 1794350 ) M1M2_PR
NEW met1 ( 893550 1794350 ) M1M2_PR ;
- la_data_in[86] ( PIN la_data_in[86] ) ( chip_controller la_data_in[86] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1815090 ) ( * 1819340 )
NEW met3 ( 189750 1819340 ) ( 200100 * 0 )
NEW met2 ( 2154410 2380 0 ) ( * 80070 )
NEW met2 ( 157550 80070 ) ( * 1815090 )
NEW met1 ( 157550 1815090 ) ( 189750 * )
NEW met1 ( 157550 80070 ) ( 2154410 * )
NEW met1 ( 189750 1815090 ) M1M2_PR
NEW met2 ( 189750 1819340 ) M2M3_PR_M
NEW met1 ( 2154410 80070 ) M1M2_PR
NEW met1 ( 157550 80070 ) M1M2_PR
NEW met1 ( 157550 1815090 ) M1M2_PR ;
- la_data_in[87] ( PIN la_data_in[87] ) ( chip_controller la_data_in[87] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1822230 ) ( * 1822740 )
NEW met3 ( 189750 1822740 ) ( 200100 * 0 )
NEW met1 ( 159390 1822230 ) ( 189750 * )
NEW met2 ( 2172350 2380 0 ) ( * 31450 )
NEW met1 ( 159390 31450 ) ( 2172350 * )
NEW met2 ( 159390 31450 ) ( * 1822230 )
NEW met1 ( 189750 1822230 ) M1M2_PR
NEW met2 ( 189750 1822740 ) M2M3_PR_M
NEW met1 ( 159390 31450 ) M1M2_PR
NEW met1 ( 159390 1822230 ) M1M2_PR
NEW met1 ( 2172350 31450 ) M1M2_PR ;
- la_data_in[88] ( PIN la_data_in[88] ) ( chip_controller la_data_in[88] ) + USE SIGNAL
+ ROUTED met2 ( 803850 33830 ) ( * 1801490 )
NEW met2 ( 2189830 2380 0 ) ( * 33830 )
NEW met1 ( 803850 33830 ) ( 2189830 * )
NEW met3 ( 599380 1806420 0 ) ( 612950 * )
NEW met2 ( 612950 1801490 ) ( * 1806420 )
NEW met1 ( 612950 1801490 ) ( 803850 * )
NEW met1 ( 803850 33830 ) M1M2_PR
NEW met1 ( 803850 1801490 ) M1M2_PR
NEW met1 ( 2189830 33830 ) M1M2_PR
NEW met2 ( 612950 1806420 ) M2M3_PR_M
NEW met1 ( 612950 1801490 ) M1M2_PR ;
- la_data_in[89] ( PIN la_data_in[89] ) ( chip_controller la_data_in[89] ) + USE SIGNAL
+ ROUTED met2 ( 515890 1899580 0 ) ( * 1920660 )
NEW met3 ( 515890 1920660 ) ( 633650 * )
NEW met2 ( 1302490 96220 ) ( * 115090 )
NEW met2 ( 1302490 96220 ) ( 1302950 * )
NEW met1 ( 633650 115090 ) ( 1302490 * )
NEW met2 ( 2207770 2380 0 ) ( * 28390 )
NEW met1 ( 1302950 28390 ) ( 2207770 * )
NEW met2 ( 1302950 28390 ) ( * 96220 )
NEW met2 ( 633650 115090 ) ( * 1920660 )
NEW met2 ( 515890 1920660 ) M2M3_PR_M
NEW met1 ( 633650 115090 ) M1M2_PR
NEW met2 ( 633650 1920660 ) M2M3_PR_M
NEW met1 ( 1302950 28390 ) M1M2_PR
NEW met1 ( 1302490 115090 ) M1M2_PR
NEW met1 ( 2207770 28390 ) M1M2_PR ;
- la_data_in[8] ( PIN la_data_in[8] ) ( chip_controller la_data_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 771190 2380 0 ) ( * 33830 )
NEW met1 ( 275770 33830 ) ( 771190 * )
NEW met1 ( 271170 1490390 ) ( 275770 * )
NEW met2 ( 271170 1490390 ) ( * 1500420 0 )
NEW met2 ( 275770 33830 ) ( * 1490390 )
NEW met1 ( 771190 33830 ) M1M2_PR
NEW met1 ( 275770 33830 ) M1M2_PR
NEW met1 ( 275770 1490390 ) M1M2_PR
NEW met1 ( 271170 1490390 ) M1M2_PR ;
- la_data_in[90] ( PIN la_data_in[90] ) ( chip_controller la_data_in[90] ) + USE SIGNAL
+ ROUTED met2 ( 2225250 2380 0 ) ( * 28730 )
NEW met3 ( 517730 1926100 ) ( 696210 * )
NEW met1 ( 696210 113050 ) ( 1302030 * )
NEW met1 ( 1302030 28730 ) ( 2225250 * )
NEW met2 ( 517730 1899580 0 ) ( * 1926100 )
NEW met2 ( 1302030 28730 ) ( * 113050 )
NEW met2 ( 696210 113050 ) ( * 1926100 )
NEW met1 ( 696210 113050 ) M1M2_PR
NEW met2 ( 696210 1926100 ) M2M3_PR_M
NEW met1 ( 2225250 28730 ) M1M2_PR
NEW met2 ( 517730 1926100 ) M2M3_PR_M
NEW met1 ( 1302030 28730 ) M1M2_PR
NEW met1 ( 1302030 113050 ) M1M2_PR ;
- la_data_in[91] ( PIN la_data_in[91] ) ( chip_controller la_data_in[91] ) + USE SIGNAL
+ ROUTED met4 ( 789820 66980 ) ( * 1808460 )
NEW met2 ( 2243190 2380 0 ) ( * 66980 )
NEW met3 ( 789820 66980 ) ( 2243190 * )
NEW met3 ( 599380 1813220 0 ) ( 613870 * )
NEW met2 ( 613870 1808460 ) ( * 1813220 )
NEW met3 ( 613870 1808460 ) ( 789820 * )
NEW met3 ( 789820 66980 ) M3M4_PR_M
NEW met3 ( 789820 1808460 ) M3M4_PR_M
NEW met2 ( 2243190 66980 ) M2M3_PR_M
NEW met2 ( 613870 1813220 ) M2M3_PR_M
NEW met2 ( 613870 1808460 ) M2M3_PR_M ;
- la_data_in[92] ( PIN la_data_in[92] ) ( chip_controller la_data_in[92] ) + USE SIGNAL
+ ROUTED met2 ( 668610 117130 ) ( * 1935790 )
NEW met1 ( 522330 1935790 ) ( 668610 * )
NEW met1 ( 668610 117130 ) ( 1303870 * )
NEW met2 ( 520950 1899580 0 ) ( 522330 * )
NEW met2 ( 522330 1899580 ) ( * 1935790 )
NEW met2 ( 1303870 36550 ) ( * 117130 )
NEW met1 ( 1303870 36550 ) ( 2260670 * )
NEW met2 ( 2260670 2380 0 ) ( * 36550 )
NEW met1 ( 668610 117130 ) M1M2_PR
NEW met1 ( 668610 1935790 ) M1M2_PR
NEW met1 ( 522330 1935790 ) M1M2_PR
NEW met1 ( 1303870 117130 ) M1M2_PR
NEW met1 ( 1303870 36550 ) M1M2_PR
NEW met1 ( 2260670 36550 ) M1M2_PR ;
- la_data_in[93] ( PIN la_data_in[93] ) ( chip_controller la_data_in[93] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1829370 ) ( * 1832940 )
NEW met3 ( 189290 1832940 ) ( 200100 * 0 )
NEW met1 ( 146050 1829370 ) ( 189290 * )
NEW met2 ( 2278610 2380 0 ) ( * 31110 )
NEW met1 ( 146050 31110 ) ( 2278610 * )
NEW met2 ( 146050 31110 ) ( * 1829370 )
NEW met1 ( 189290 1829370 ) M1M2_PR
NEW met2 ( 189290 1832940 ) M2M3_PR_M
NEW met1 ( 146050 31110 ) M1M2_PR
NEW met1 ( 146050 1829370 ) M1M2_PR
NEW met1 ( 2278610 31110 ) M1M2_PR ;
- la_data_in[94] ( PIN la_data_in[94] ) ( chip_controller la_data_in[94] ) + USE SIGNAL
+ ROUTED met3 ( 171580 1836340 ) ( 200100 * 0 )
NEW met3 ( 171580 99620 ) ( 2291030 * )
NEW met2 ( 2291030 82800 ) ( * 99620 )
NEW met2 ( 2291030 82800 ) ( 2296090 * )
NEW met2 ( 2296090 2380 0 ) ( * 82800 )
NEW met4 ( 171580 99620 ) ( * 1836340 )
NEW met3 ( 171580 99620 ) M3M4_PR_M
NEW met3 ( 171580 1836340 ) M3M4_PR_M
NEW met2 ( 2291030 99620 ) M2M3_PR_M ;
- la_data_in[95] ( PIN la_data_in[95] ) ( chip_controller la_data_in[95] ) + USE SIGNAL
+ ROUTED met2 ( 2314030 2380 0 ) ( * 32810 )
NEW met1 ( 530150 1936130 ) ( 624450 * )
NEW met1 ( 624450 32810 ) ( 2314030 * )
NEW met2 ( 526470 1899580 0 ) ( * 1911650 )
NEW met1 ( 526470 1911650 ) ( 530150 * )
NEW met2 ( 530150 1911650 ) ( * 1936130 )
NEW met2 ( 624450 32810 ) ( * 1936130 )
NEW met1 ( 2314030 32810 ) M1M2_PR
NEW met1 ( 530150 1936130 ) M1M2_PR
NEW met1 ( 624450 32810 ) M1M2_PR
NEW met1 ( 624450 1936130 ) M1M2_PR
NEW met1 ( 526470 1911650 ) M1M2_PR
NEW met1 ( 530150 1911650 ) M1M2_PR ;
- la_data_in[96] ( PIN la_data_in[96] ) ( chip_controller la_data_in[96] ) + USE SIGNAL
+ ROUTED met2 ( 2331510 2380 0 ) ( * 3060 )
NEW met2 ( 2330590 3060 ) ( 2331510 * )
NEW met2 ( 2330590 2380 ) ( * 3060 )
NEW met2 ( 2329210 2380 ) ( 2330590 * )
NEW met2 ( 2329210 2380 ) ( * 52870 )
NEW met1 ( 538890 1489710 ) ( 543950 * )
NEW met2 ( 538890 1489710 ) ( * 1500420 0 )
NEW met2 ( 543950 52870 ) ( * 1489710 )
NEW met1 ( 543950 52870 ) ( 2329210 * )
NEW met1 ( 2329210 52870 ) M1M2_PR
NEW met1 ( 543950 52870 ) M1M2_PR
NEW met1 ( 543950 1489710 ) M1M2_PR
NEW met1 ( 538890 1489710 ) M1M2_PR ;
- la_data_in[97] ( PIN la_data_in[97] ) ( chip_controller la_data_in[97] ) + USE SIGNAL
+ ROUTED met2 ( 2349450 2380 0 ) ( * 73610 )
NEW met1 ( 530610 1932730 ) ( 659410 * )
NEW met2 ( 527850 1899580 0 ) ( 529230 * )
NEW met2 ( 529230 1899580 ) ( * 1900260 )
NEW met2 ( 529230 1900260 ) ( 530610 * )
NEW met2 ( 530610 1900260 ) ( * 1932730 )
NEW met2 ( 659410 73610 ) ( * 1932730 )
NEW met1 ( 659410 73610 ) ( 2349450 * )
NEW met1 ( 2349450 73610 ) M1M2_PR
NEW met1 ( 530610 1932730 ) M1M2_PR
NEW met1 ( 659410 1932730 ) M1M2_PR
NEW met1 ( 659410 73610 ) M1M2_PR ;
- la_data_in[98] ( PIN la_data_in[98] ) ( chip_controller la_data_in[98] ) + USE SIGNAL
+ ROUTED met2 ( 2367390 2380 0 ) ( * 32470 )
NEW met1 ( 612030 32470 ) ( 2367390 * )
NEW met2 ( 612030 32470 ) ( * 1800900 )
NEW met3 ( 599380 1824780 0 ) ( 608350 * )
NEW met2 ( 608350 1805740 ) ( * 1824780 )
NEW met2 ( 608350 1805740 ) ( 608810 * )
NEW met2 ( 608810 1804890 ) ( * 1805740 )
NEW met1 ( 608810 1804890 ) ( 611570 * )
NEW li1 ( 611570 1801490 ) ( * 1804890 )
NEW met1 ( 611570 1800900 ) ( * 1801490 )
NEW met1 ( 611570 1800900 ) ( 612030 * )
NEW met1 ( 612030 32470 ) M1M2_PR
NEW met1 ( 2367390 32470 ) M1M2_PR
NEW met2 ( 608350 1824780 ) M2M3_PR_M
NEW met1 ( 608810 1804890 ) M1M2_PR
NEW li1 ( 611570 1804890 ) L1M1_PR_MR
NEW li1 ( 611570 1801490 ) L1M1_PR_MR
NEW met1 ( 612030 1800900 ) M1M2_PR ;
- la_data_in[99] ( PIN la_data_in[99] ) ( chip_controller la_data_in[99] ) + USE SIGNAL
+ ROUTED met2 ( 2384870 2380 0 ) ( * 3060 )
NEW met2 ( 2383950 3060 ) ( 2384870 * )
NEW met2 ( 2383950 2380 ) ( * 3060 )
NEW met2 ( 2382570 2380 ) ( 2383950 * )
NEW met1 ( 696670 101490 ) ( 2380730 * )
NEW met2 ( 2380730 82800 ) ( * 101490 )
NEW met2 ( 2380730 82800 ) ( 2382570 * )
NEW met2 ( 2382570 2380 ) ( * 82800 )
NEW met3 ( 599380 1827500 0 ) ( 612950 * )
NEW met2 ( 612950 1821890 ) ( * 1827500 )
NEW met1 ( 612950 1821890 ) ( 696670 * )
NEW met2 ( 696670 101490 ) ( * 1821890 )
NEW met1 ( 696670 101490 ) M1M2_PR
NEW met1 ( 2380730 101490 ) M1M2_PR
NEW met2 ( 612950 1827500 ) M2M3_PR_M
NEW met1 ( 612950 1821890 ) M1M2_PR
NEW met1 ( 696670 1821890 ) M1M2_PR ;
- la_data_in[9] ( PIN la_data_in[9] ) ( chip_controller la_data_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1566550 ) ( * 1569780 )
NEW met3 ( 189750 1569780 ) ( 200100 * 0 )
NEW met2 ( 786830 82800 ) ( 789130 * )
NEW met2 ( 789130 2380 0 ) ( * 82800 )
NEW met2 ( 786830 82800 ) ( * 1478150 )
NEW met1 ( 178250 1566550 ) ( 189750 * )
NEW met2 ( 178250 1478150 ) ( * 1566550 )
NEW met1 ( 178250 1478150 ) ( 786830 * )
NEW met1 ( 189750 1566550 ) M1M2_PR
NEW met2 ( 189750 1569780 ) M2M3_PR_M
NEW met1 ( 786830 1478150 ) M1M2_PR
NEW met1 ( 178250 1566550 ) M1M2_PR
NEW met1 ( 178250 1478150 ) M1M2_PR ;
- la_data_out[0] ( PIN la_data_out[0] ) ( chip_controller la_data_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 117070 18530 ) ( * 1913350 )
NEW met2 ( 200790 1899580 0 ) ( * 1913350 )
NEW met2 ( 635030 2380 0 ) ( * 18530 )
NEW met1 ( 117070 18530 ) ( 635030 * )
NEW met1 ( 117070 1913350 ) ( 200790 * )
NEW met1 ( 117070 18530 ) M1M2_PR
NEW met1 ( 117070 1913350 ) M1M2_PR
NEW met1 ( 200790 1913350 ) M1M2_PR
NEW met1 ( 635030 18530 ) M1M2_PR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( chip_controller la_data_out[100] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1843310 ) ( * 1848580 )
NEW met3 ( 190210 1848580 ) ( 200100 * 0 )
NEW met2 ( 2408790 2380 0 ) ( * 58650 )
NEW met1 ( 150650 1843310 ) ( 190210 * )
NEW met2 ( 150650 58650 ) ( * 1843310 )
NEW met1 ( 150650 58650 ) ( 2408790 * )
NEW met1 ( 190210 1843310 ) M1M2_PR
NEW met2 ( 190210 1848580 ) M2M3_PR_M
NEW met1 ( 2408790 58650 ) M1M2_PR
NEW met1 ( 150650 1843310 ) M1M2_PR
NEW met1 ( 150650 58650 ) M1M2_PR ;
- la_data_out[101] ( PIN la_data_out[101] ) ( chip_controller la_data_out[101] ) + USE SIGNAL
+ ROUTED met2 ( 2426270 2380 0 ) ( * 3060 )
NEW met2 ( 2425350 3060 ) ( 2426270 * )
NEW met2 ( 2425350 2380 ) ( * 3060 )
NEW met2 ( 2423970 2380 ) ( 2425350 * )
NEW met4 ( 687700 66300 ) ( * 1835660 )
NEW met2 ( 2423970 2380 ) ( * 66300 )
NEW met3 ( 687700 66300 ) ( 2423970 * )
NEW met3 ( 599380 1835660 0 ) ( 687700 * )
NEW met3 ( 687700 1835660 ) M3M4_PR_M
NEW met3 ( 687700 66300 ) M3M4_PR_M
NEW met2 ( 2423970 66300 ) M2M3_PR_M ;
- la_data_out[102] ( PIN la_data_out[102] ) ( chip_controller la_data_out[102] ) + USE SIGNAL
+ ROUTED met2 ( 681950 80410 ) ( * 1835490 )
NEW met2 ( 2444210 2380 0 ) ( * 80410 )
NEW met1 ( 681950 80410 ) ( 2444210 * )
NEW met3 ( 599380 1840420 0 ) ( 609270 * )
NEW met2 ( 609270 1835490 ) ( * 1840420 )
NEW met1 ( 609270 1835490 ) ( 681950 * )
NEW met1 ( 681950 1835490 ) M1M2_PR
NEW met1 ( 681950 80410 ) M1M2_PR
NEW met1 ( 2444210 80410 ) M1M2_PR
NEW met2 ( 609270 1840420 ) M2M3_PR_M
NEW met1 ( 609270 1835490 ) M1M2_PR ;
- la_data_out[103] ( PIN la_data_out[103] ) ( chip_controller la_data_out[103] ) + USE SIGNAL
+ ROUTED met3 ( 541650 1921340 ) ( 641470 * )
NEW met2 ( 1298810 90950 ) ( * 116110 )
NEW met1 ( 1298810 90950 ) ( 1302490 * )
NEW met1 ( 641470 116110 ) ( 1298810 * )
NEW met2 ( 2461690 2380 0 ) ( * 26860 )
NEW met3 ( 1302490 26860 ) ( 2461690 * )
NEW met2 ( 541650 1899580 0 ) ( * 1921340 )
NEW met2 ( 1302490 26860 ) ( * 90950 )
NEW met2 ( 641470 116110 ) ( * 1921340 )
NEW met2 ( 541650 1921340 ) M2M3_PR_M
NEW met1 ( 641470 116110 ) M1M2_PR
NEW met2 ( 641470 1921340 ) M2M3_PR_M
NEW met2 ( 1302490 26860 ) M2M3_PR_M
NEW met1 ( 1298810 116110 ) M1M2_PR
NEW met1 ( 1298810 90950 ) M1M2_PR
NEW met1 ( 1302490 90950 ) M1M2_PR
NEW met2 ( 2461690 26860 ) M2M3_PR_M ;
- la_data_out[104] ( PIN la_data_out[104] ) ( chip_controller la_data_out[104] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1856570 ) ( * 1860820 )
NEW met3 ( 187910 1860820 ) ( 200100 * 0 )
NEW met1 ( 144210 1856570 ) ( 187910 * )
NEW met2 ( 2479630 2380 0 ) ( * 32300 )
NEW met3 ( 144210 32300 ) ( 2479630 * )
NEW met2 ( 144210 32300 ) ( * 1856570 )
NEW met1 ( 187910 1856570 ) M1M2_PR
NEW met2 ( 187910 1860820 ) M2M3_PR_M
NEW met2 ( 144210 32300 ) M2M3_PR_M
NEW met1 ( 144210 1856570 ) M1M2_PR
NEW met2 ( 2479630 32300 ) M2M3_PR_M ;
- la_data_out[105] ( PIN la_data_out[105] ) ( chip_controller la_data_out[105] ) + USE SIGNAL
+ ROUTED met1 ( 702190 112710 ) ( 1301570 * )
NEW met2 ( 2497110 2380 0 ) ( * 26180 )
NEW met3 ( 1301570 26180 ) ( 2497110 * )
NEW met2 ( 549010 1899580 0 ) ( * 1907740 )
NEW met3 ( 549010 1907740 ) ( 702190 * )
NEW met2 ( 1301570 26180 ) ( * 112710 )
NEW met2 ( 702190 112710 ) ( * 1907740 )
NEW met1 ( 702190 112710 ) M1M2_PR
NEW met2 ( 702190 1907740 ) M2M3_PR_M
NEW met2 ( 1301570 26180 ) M2M3_PR_M
NEW met1 ( 1301570 112710 ) M1M2_PR
NEW met2 ( 2497110 26180 ) M2M3_PR_M
NEW met2 ( 549010 1907740 ) M2M3_PR_M ;
- la_data_out[106] ( PIN la_data_out[106] ) ( chip_controller la_data_out[106] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1863370 ) ( * 1866260 )
NEW met3 ( 189750 1866260 ) ( 200100 * 0 )
NEW met2 ( 2515050 2380 0 ) ( * 72250 )
NEW met1 ( 154330 1863370 ) ( 189750 * )
NEW met2 ( 154330 72250 ) ( * 1863370 )
NEW met1 ( 154330 72250 ) ( 2515050 * )
NEW met1 ( 189750 1863370 ) M1M2_PR
NEW met2 ( 189750 1866260 ) M2M3_PR_M
NEW met1 ( 2515050 72250 ) M1M2_PR
NEW met1 ( 154330 1863370 ) M1M2_PR
NEW met1 ( 154330 72250 ) M1M2_PR ;
- la_data_out[107] ( PIN la_data_out[107] ) ( chip_controller la_data_out[107] ) + USE SIGNAL
+ ROUTED met2 ( 2532530 2380 0 ) ( * 18870 )
NEW met2 ( 2239050 18870 ) ( * 60350 )
NEW met1 ( 2239050 18870 ) ( 2532530 * )
NEW met1 ( 554530 1486650 ) ( 557750 * )
NEW met2 ( 554530 1486650 ) ( * 1500420 0 )
NEW met2 ( 557750 60350 ) ( * 1486650 )
NEW met1 ( 557750 60350 ) ( 2239050 * )
NEW met1 ( 2239050 18870 ) M1M2_PR
NEW met1 ( 2532530 18870 ) M1M2_PR
NEW met1 ( 2239050 60350 ) M1M2_PR
NEW met1 ( 557750 60350 ) M1M2_PR
NEW met1 ( 557750 1486650 ) M1M2_PR
NEW met1 ( 554530 1486650 ) M1M2_PR ;
- la_data_out[108] ( PIN la_data_out[108] ) ( chip_controller la_data_out[108] ) + USE SIGNAL
+ ROUTED met3 ( 185380 1871020 ) ( 200100 * 0 )
NEW met2 ( 2550470 2380 0 ) ( * 31620 )
NEW met3 ( 185380 31620 ) ( 2550470 * )
NEW met4 ( 185380 31620 ) ( * 1871020 )
NEW met3 ( 185380 31620 ) M3M4_PR_M
NEW met3 ( 185380 1871020 ) M3M4_PR_M
NEW met2 ( 2550470 31620 ) M2M3_PR_M ;
- la_data_out[109] ( PIN la_data_out[109] ) ( chip_controller la_data_out[109] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1853340 0 ) ( 608350 * )
NEW met2 ( 608350 1849770 ) ( * 1853340 )
NEW met1 ( 608350 1849770 ) ( 648370 * )
NEW met2 ( 648370 52190 ) ( * 1849770 )
NEW met1 ( 648370 52190 ) ( 2567950 * )
NEW met2 ( 2567950 2380 0 ) ( * 52190 )
NEW met2 ( 608350 1853340 ) M2M3_PR_M
NEW met1 ( 608350 1849770 ) M1M2_PR
NEW met1 ( 648370 1849770 ) M1M2_PR
NEW met1 ( 648370 52190 ) M1M2_PR
NEW met1 ( 2567950 52190 ) M1M2_PR ;
- la_data_out[10] ( PIN la_data_out[10] ) ( chip_controller la_data_out[10] ) + USE SIGNAL
+ ROUTED met1 ( 284510 1490050 ) ( 293710 * )
NEW met2 ( 284510 1490050 ) ( * 1500420 0 )
NEW met2 ( 293710 107270 ) ( * 1490050 )
NEW met2 ( 812590 2380 0 ) ( * 3060 )
NEW met2 ( 811670 3060 ) ( 812590 * )
NEW met2 ( 811670 2380 ) ( * 3060 )
NEW met2 ( 810290 2380 ) ( 811670 * )
NEW met1 ( 293710 107270 ) ( 807530 * )
NEW met2 ( 807530 82800 ) ( * 107270 )
NEW met2 ( 807530 82800 ) ( 810290 * )
NEW met2 ( 810290 2380 ) ( * 82800 )
NEW met1 ( 293710 107270 ) M1M2_PR
NEW met1 ( 293710 1490050 ) M1M2_PR
NEW met1 ( 284510 1490050 ) M1M2_PR
NEW met1 ( 807530 107270 ) M1M2_PR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( chip_controller la_data_out[110] ) + USE SIGNAL
+ ROUTED met4 ( 665620 24820 ) ( * 1928820 )
NEW met3 ( 555910 1928820 ) ( 665620 * )
NEW met2 ( 2585890 2380 0 ) ( * 24820 )
NEW met3 ( 665620 24820 ) ( 2585890 * )
NEW met2 ( 555910 1899580 0 ) ( * 1928820 )
NEW met3 ( 665620 24820 ) M3M4_PR_M
NEW met3 ( 665620 1928820 ) M3M4_PR_M
NEW met2 ( 555910 1928820 ) M2M3_PR_M
NEW met2 ( 2585890 24820 ) M2M3_PR_M ;
- la_data_out[111] ( PIN la_data_out[111] ) ( chip_controller la_data_out[111] ) + USE SIGNAL
+ ROUTED met2 ( 2603830 2380 0 ) ( * 3060 )
NEW met2 ( 2602910 3060 ) ( 2603830 * )
NEW met2 ( 2602910 2380 ) ( * 3060 )
NEW met2 ( 2601530 2380 ) ( 2602910 * )
NEW met2 ( 674130 66130 ) ( * 1933750 )
NEW met2 ( 2601530 2380 ) ( * 66130 )
NEW met1 ( 558670 1933750 ) ( 674130 * )
NEW met2 ( 557290 1899580 0 ) ( 558670 * )
NEW met2 ( 558670 1899580 ) ( * 1933750 )
NEW met1 ( 674130 66130 ) ( 2601530 * )
NEW met1 ( 674130 1933750 ) M1M2_PR
NEW met1 ( 674130 66130 ) M1M2_PR
NEW met1 ( 2601530 66130 ) M1M2_PR
NEW met1 ( 558670 1933750 ) M1M2_PR ;
- la_data_out[112] ( PIN la_data_out[112] ) ( chip_controller la_data_out[112] ) + USE SIGNAL
+ ROUTED met2 ( 2620390 340 ) ( * 3060 )
NEW met2 ( 2620390 3060 ) ( 2621310 * )
NEW met2 ( 2621310 2380 0 ) ( * 3060 )
NEW met3 ( 654580 340 ) ( 2620390 * )
NEW met1 ( 565800 1895670 ) ( * 1896350 )
NEW met1 ( 560970 1896350 ) ( 565800 * )
NEW met2 ( 560970 1896180 0 ) ( * 1896350 )
NEW met2 ( 648830 1894140 ) ( * 1895670 )
NEW met3 ( 648830 1894140 ) ( 654580 * )
NEW met1 ( 565800 1895670 ) ( 648830 * )
NEW met4 ( 654580 340 ) ( * 1894140 )
NEW met2 ( 2620390 340 ) M2M3_PR_M
NEW met3 ( 654580 340 ) M3M4_PR_M
NEW met1 ( 560970 1896350 ) M1M2_PR
NEW met1 ( 648830 1895670 ) M1M2_PR
NEW met2 ( 648830 1894140 ) M2M3_PR_M
NEW met3 ( 654580 1894140 ) M3M4_PR_M ;
- la_data_out[113] ( PIN la_data_out[113] ) ( chip_controller la_data_out[113] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1863540 0 ) ( 607430 * )
NEW met2 ( 607430 1863540 ) ( * 1863710 )
NEW met2 ( 2636030 82800 ) ( * 100130 )
NEW met2 ( 2636030 82800 ) ( 2639250 * )
NEW met2 ( 2639250 2380 0 ) ( * 82800 )
NEW met1 ( 607430 1863710 ) ( 661710 * )
NEW met1 ( 661710 100130 ) ( 2636030 * )
NEW met2 ( 661710 100130 ) ( * 1863710 )
NEW met2 ( 607430 1863540 ) M2M3_PR_M
NEW met1 ( 607430 1863710 ) M1M2_PR
NEW met1 ( 2636030 100130 ) M1M2_PR
NEW met1 ( 661710 100130 ) M1M2_PR
NEW met1 ( 661710 1863710 ) M1M2_PR ;
- la_data_out[114] ( PIN la_data_out[114] ) ( chip_controller la_data_out[114] ) + USE SIGNAL
+ ROUTED met2 ( 567870 1899580 0 ) ( * 1922020 )
NEW met3 ( 567870 1922020 ) ( 694370 * )
NEW met2 ( 2656730 2380 0 ) ( * 34500 )
NEW met2 ( 2657190 34500 ) ( * 72930 )
NEW met2 ( 2656730 34500 ) ( 2657190 * )
NEW met1 ( 694370 72930 ) ( 2657190 * )
NEW met2 ( 694370 72930 ) ( * 1922020 )
NEW met2 ( 567870 1922020 ) M2M3_PR_M
NEW met2 ( 694370 1922020 ) M2M3_PR_M
NEW met1 ( 694370 72930 ) M1M2_PR
NEW met1 ( 2657190 72930 ) M1M2_PR ;
- la_data_out[115] ( PIN la_data_out[115] ) ( chip_controller la_data_out[115] ) + USE SIGNAL
+ ROUTED met1 ( 576610 1489710 ) ( 579370 * )
NEW met2 ( 576610 1489710 ) ( * 1500420 0 )
NEW met2 ( 579370 24310 ) ( * 1489710 )
NEW met2 ( 2674670 2380 0 ) ( * 14110 )
NEW met1 ( 2670070 14110 ) ( 2674670 * )
NEW met2 ( 2670070 14110 ) ( * 24310 )
NEW met1 ( 579370 24310 ) ( 2670070 * )
NEW met1 ( 579370 24310 ) M1M2_PR
NEW met1 ( 579370 1489710 ) M1M2_PR
NEW met1 ( 576610 1489710 ) M1M2_PR
NEW met1 ( 2674670 14110 ) M1M2_PR
NEW met1 ( 2670070 14110 ) M1M2_PR
NEW met1 ( 2670070 24310 ) M1M2_PR ;
- la_data_out[116] ( PIN la_data_out[116] ) ( chip_controller la_data_out[116] ) + USE SIGNAL
+ ROUTED met2 ( 578450 1500420 0 ) ( 578910 * )
NEW met2 ( 578910 38590 ) ( * 1500420 )
NEW met2 ( 2692150 2380 0 ) ( * 38590 )
NEW met1 ( 578910 38590 ) ( 2692150 * )
NEW met1 ( 578910 38590 ) M1M2_PR
NEW met1 ( 2692150 38590 ) M1M2_PR ;
- la_data_out[117] ( PIN la_data_out[117] ) ( chip_controller la_data_out[117] ) + USE SIGNAL
+ ROUTED met3 ( 703340 1871020 ) ( * 1871700 )
NEW met4 ( 703340 1871020 ) ( * 1871700 )
NEW met4 ( 703340 1871700 ) ( 704260 * )
NEW met4 ( 704260 1869900 ) ( * 1871700 )
NEW met4 ( 704260 1869900 ) ( 706100 * )
NEW met2 ( 2710090 2380 0 ) ( * 52700 )
NEW met3 ( 599380 1871700 0 ) ( 703340 * )
NEW met3 ( 707020 52700 ) ( 2710090 * )
NEW met4 ( 706100 1849200 ) ( * 1869900 )
NEW met4 ( 706100 1849200 ) ( 707020 * )
NEW met4 ( 707020 52700 ) ( * 1849200 )
NEW met3 ( 707020 52700 ) M3M4_PR_M
NEW met3 ( 703340 1871020 ) M3M4_PR_M
NEW met2 ( 2710090 52700 ) M2M3_PR_M ;
- la_data_out[118] ( PIN la_data_out[118] ) ( chip_controller la_data_out[118] ) + USE SIGNAL
+ ROUTED met2 ( 576610 1899580 0 ) ( * 1905020 )
NEW met2 ( 2727570 2380 0 ) ( * 65620 )
NEW met3 ( 576610 1905020 ) ( 630660 * )
NEW met3 ( 630660 65620 ) ( 2727570 * )
NEW met4 ( 630660 65620 ) ( * 1905020 )
NEW met2 ( 576610 1905020 ) M2M3_PR_M
NEW met2 ( 2727570 65620 ) M2M3_PR_M
NEW met3 ( 630660 65620 ) M3M4_PR_M
NEW met3 ( 630660 1905020 ) M3M4_PR_M ;
- la_data_out[119] ( PIN la_data_out[119] ) ( chip_controller la_data_out[119] ) + USE SIGNAL
+ ROUTED met2 ( 583510 1899580 0 ) ( * 1902980 )
NEW met2 ( 2745510 2380 0 ) ( * 3060 )
NEW met2 ( 2744590 3060 ) ( 2745510 * )
NEW met2 ( 2744590 2380 ) ( * 3060 )
NEW met2 ( 2743210 2380 ) ( 2744590 * )
NEW met3 ( 583510 1902980 ) ( 639630 * )
NEW met1 ( 639630 79730 ) ( 2743210 * )
NEW met2 ( 2743210 2380 ) ( * 79730 )
NEW met2 ( 639630 79730 ) ( * 1902980 )
NEW met2 ( 583510 1902980 ) M2M3_PR_M
NEW met1 ( 639630 79730 ) M1M2_PR
NEW met2 ( 639630 1902980 ) M2M3_PR_M
NEW met1 ( 2743210 79730 ) M1M2_PR ;
- la_data_out[11] ( PIN la_data_out[11] ) ( chip_controller la_data_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1587290 ) ( * 1587460 )
NEW met3 ( 189750 1587460 ) ( 200100 * 0 )
NEW met1 ( 178710 1587290 ) ( 189750 * )
NEW met2 ( 828230 82800 ) ( 830530 * )
NEW met2 ( 830530 2380 0 ) ( * 82800 )
NEW met2 ( 828230 82800 ) ( * 1478830 )
NEW met2 ( 178710 1478830 ) ( * 1587290 )
NEW met1 ( 178710 1478830 ) ( 828230 * )
NEW met1 ( 189750 1587290 ) M1M2_PR
NEW met2 ( 189750 1587460 ) M2M3_PR_M
NEW met1 ( 828230 1478830 ) M1M2_PR
NEW met1 ( 178710 1587290 ) M1M2_PR
NEW met1 ( 178710 1478830 ) M1M2_PR ;
- la_data_out[120] ( PIN la_data_out[120] ) ( chip_controller la_data_out[120] ) + USE SIGNAL
+ ROUTED met1 ( 587190 1489710 ) ( 592710 * )
NEW met2 ( 587190 1489710 ) ( * 1500420 0 )
NEW met2 ( 592710 38250 ) ( * 1489710 )
NEW met1 ( 592710 38250 ) ( 2763450 * )
NEW met2 ( 2763450 2380 0 ) ( * 38250 )
NEW met1 ( 592710 38250 ) M1M2_PR
NEW met1 ( 592710 1489710 ) M1M2_PR
NEW met1 ( 587190 1489710 ) M1M2_PR
NEW met1 ( 2763450 38250 ) M1M2_PR ;
- la_data_out[121] ( PIN la_data_out[121] ) ( chip_controller la_data_out[121] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1884450 ) ( * 1886660 )
NEW met3 ( 189750 1886660 ) ( 200100 * 0 )
NEW met2 ( 2780930 2380 0 ) ( * 30940 )
NEW met3 ( 137310 30940 ) ( 2780930 * )
NEW met2 ( 137310 30940 ) ( * 1884450 )
NEW met1 ( 137310 1884450 ) ( 189750 * )
NEW met1 ( 189750 1884450 ) M1M2_PR
NEW met2 ( 189750 1886660 ) M2M3_PR_M
NEW met2 ( 137310 30940 ) M2M3_PR_M
NEW met2 ( 2780930 30940 ) M2M3_PR_M
NEW met1 ( 137310 1884450 ) M1M2_PR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( chip_controller la_data_out[122] ) + USE SIGNAL
+ ROUTED met2 ( 591790 1492260 ) ( 592250 * )
NEW met2 ( 591790 1492260 ) ( * 1500420 )
NEW met2 ( 590410 1500420 0 ) ( 591790 * )
NEW met2 ( 592250 37910 ) ( * 1492260 )
NEW met2 ( 2798870 2380 0 ) ( * 37910 )
NEW met1 ( 592250 37910 ) ( 2798870 * )
NEW met1 ( 592250 37910 ) M1M2_PR
NEW met1 ( 2798870 37910 ) M1M2_PR ;
- la_data_out[123] ( PIN la_data_out[123] ) ( chip_controller la_data_out[123] ) + USE SIGNAL
+ ROUTED met4 ( 599380 1628400 ) ( 600300 * )
NEW met4 ( 600300 1624180 ) ( * 1628400 )
NEW met3 ( 598690 1902300 ) ( 599380 * )
NEW met2 ( 598690 1899580 ) ( * 1902300 )
NEW met2 ( 597310 1899580 0 ) ( 598690 * )
NEW met2 ( 2815430 82800 ) ( 2816350 * )
NEW met2 ( 2816350 2380 0 ) ( * 82800 )
NEW met2 ( 2815430 82800 ) ( * 1624180 )
NEW met3 ( 600300 1624180 ) ( 2815430 * )
NEW met4 ( 599380 1628400 ) ( * 1902300 )
NEW met3 ( 600300 1624180 ) M3M4_PR_M
NEW met3 ( 599380 1902300 ) M3M4_PR_M
NEW met2 ( 598690 1902300 ) M2M3_PR_M
NEW met2 ( 2815430 1624180 ) M2M3_PR_M ;
- la_data_out[124] ( PIN la_data_out[124] ) ( chip_controller la_data_out[124] ) + USE SIGNAL
+ ROUTED met4 ( 596620 1905700 ) ( 598460 * )
NEW met4 ( 596620 1902300 ) ( * 1905700 )
NEW met4 ( 595700 1902300 ) ( 596620 * )
NEW met3 ( 595700 1902300 ) ( 595930 * )
NEW met2 ( 595930 1902300 ) ( * 1902980 )
NEW met2 ( 595930 1902980 ) ( 599150 * )
NEW met2 ( 599150 1899580 0 ) ( * 1902980 )
NEW met2 ( 2829230 82800 ) ( 2834290 * )
NEW met2 ( 2834290 2380 0 ) ( * 82800 )
NEW met2 ( 2829230 82800 ) ( * 1627580 )
NEW met3 ( 598460 1627580 ) ( 2829230 * )
NEW met4 ( 598460 1627580 ) ( * 1905700 )
NEW met3 ( 598460 1627580 ) M3M4_PR_M
NEW met3 ( 595700 1902300 ) M3M4_PR_M
NEW met2 ( 595930 1902300 ) M2M3_PR_M
NEW met2 ( 2829230 1627580 ) M2M3_PR_M
NEW met3 ( 595700 1902300 ) RECT ( -390 -150 0 150 ) ;
- la_data_out[125] ( PIN la_data_out[125] ) ( chip_controller la_data_out[125] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1890740 0 ) ( * 1891420 )
NEW met3 ( 668380 1891420 ) ( * 1892100 )
NEW met3 ( 668380 1891420 ) ( 695980 * )
NEW met3 ( 599380 1891420 ) ( 614100 * )
NEW met3 ( 614100 1891420 ) ( * 1892100 )
NEW met3 ( 614100 1892100 ) ( 668380 * )
NEW met2 ( 2849930 82800 ) ( 2851770 * )
NEW met2 ( 2851770 2380 0 ) ( * 82800 )
NEW met2 ( 2849930 82800 ) ( * 1805060 )
NEW met4 ( 695980 1805060 ) ( * 1891420 )
NEW met3 ( 695980 1805060 ) ( 2849930 * )
NEW met3 ( 695980 1891420 ) M3M4_PR_M
NEW met2 ( 2849930 1805060 ) M2M3_PR_M
NEW met3 ( 695980 1805060 ) M3M4_PR_M ;
- la_data_out[126] ( PIN la_data_out[126] ) ( chip_controller la_data_out[126] ) + USE SIGNAL
+ ROUTED met2 ( 107870 170 ) ( * 1890910 )
NEW met2 ( 187910 1890910 ) ( * 1892100 )
NEW met3 ( 187910 1892100 ) ( 200100 * 0 )
NEW met2 ( 2868790 170 ) ( * 3060 )
NEW met2 ( 2868790 3060 ) ( 2869710 * )
NEW met2 ( 2869710 2380 0 ) ( * 3060 )
NEW met1 ( 107870 170 ) ( 2868790 * )
NEW met1 ( 107870 1890910 ) ( 187910 * )
NEW met1 ( 107870 170 ) M1M2_PR
NEW met1 ( 107870 1890910 ) M1M2_PR
NEW met1 ( 187910 1890910 ) M1M2_PR
NEW met2 ( 187910 1892100 ) M2M3_PR_M
NEW met1 ( 2868790 170 ) M1M2_PR ;
- la_data_out[127] ( PIN la_data_out[127] ) ( chip_controller la_data_out[127] ) + USE SIGNAL
+ ROUTED met2 ( 2887190 2380 0 ) ( * 3060 )
NEW met2 ( 2886270 3060 ) ( 2887190 * )
NEW met2 ( 2886270 2380 ) ( * 3060 )
NEW met2 ( 2884890 2380 ) ( 2886270 * )
NEW met3 ( 199410 1896860 ) ( 200100 * 0 )
NEW met2 ( 199410 1895330 ) ( * 1896860 )
NEW met2 ( 2884430 82800 ) ( 2884890 * )
NEW met2 ( 2884890 2380 ) ( * 82800 )
NEW met2 ( 2884430 82800 ) ( * 1804380 )
NEW met2 ( 643310 1895330 ) ( * 1895500 )
NEW met3 ( 643310 1895500 ) ( 648140 * )
NEW met1 ( 199410 1895330 ) ( 643310 * )
NEW met4 ( 648140 1804380 ) ( * 1895500 )
NEW met3 ( 648140 1804380 ) ( 2884430 * )
NEW met2 ( 199410 1896860 ) M2M3_PR_M
NEW met1 ( 199410 1895330 ) M1M2_PR
NEW met2 ( 2884430 1804380 ) M2M3_PR_M
NEW met3 ( 648140 1804380 ) M3M4_PR_M
NEW met1 ( 643310 1895330 ) M1M2_PR
NEW met2 ( 643310 1895500 ) M2M3_PR_M
NEW met3 ( 648140 1895500 ) M3M4_PR_M ;
- la_data_out[12] ( PIN la_data_out[12] ) ( chip_controller la_data_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1600890 ) ( * 1601060 )
NEW met3 ( 189750 1601060 ) ( 200100 * 0 )
NEW met1 ( 179170 1600890 ) ( 189750 * )
NEW met2 ( 842490 82800 ) ( 848010 * )
NEW met2 ( 848010 2380 0 ) ( * 82800 )
NEW met2 ( 842490 82800 ) ( * 1471690 )
NEW met2 ( 179170 1471690 ) ( * 1600890 )
NEW met1 ( 179170 1471690 ) ( 842490 * )
NEW met1 ( 189750 1600890 ) M1M2_PR
NEW met2 ( 189750 1601060 ) M2M3_PR_M
NEW met1 ( 842490 1471690 ) M1M2_PR
NEW met1 ( 179170 1600890 ) M1M2_PR
NEW met1 ( 179170 1471690 ) M1M2_PR ;
- la_data_out[13] ( PIN la_data_out[13] ) ( chip_controller la_data_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 865950 2380 0 ) ( * 3060 )
NEW met2 ( 865030 3060 ) ( 865950 * )
NEW met2 ( 865030 2380 ) ( * 3060 )
NEW met2 ( 863650 2380 ) ( 865030 * )
NEW met3 ( 599380 1589500 0 ) ( 608810 * )
NEW met2 ( 608810 1587290 ) ( * 1589500 )
NEW met2 ( 862730 82800 ) ( 863650 * )
NEW met2 ( 863650 2380 ) ( * 82800 )
NEW met2 ( 862730 82800 ) ( * 1587290 )
NEW met1 ( 608810 1587290 ) ( 862730 * )
NEW met2 ( 608810 1589500 ) M2M3_PR_M
NEW met1 ( 608810 1587290 ) M1M2_PR
NEW met1 ( 862730 1587290 ) M1M2_PR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( chip_controller la_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1608030 ) ( * 1611260 )
NEW met3 ( 189750 1611260 ) ( 200100 * 0 )
NEW met2 ( 883430 2380 0 ) ( * 1479510 )
NEW met1 ( 171350 1608030 ) ( 189750 * )
NEW met2 ( 171350 1479510 ) ( * 1608030 )
NEW met1 ( 171350 1479510 ) ( 883430 * )
NEW met1 ( 883430 1479510 ) M1M2_PR
NEW met1 ( 189750 1608030 ) M1M2_PR
NEW met2 ( 189750 1611260 ) M2M3_PR_M
NEW met1 ( 171350 1608030 ) M1M2_PR
NEW met1 ( 171350 1479510 ) M1M2_PR ;
- la_data_out[15] ( PIN la_data_out[15] ) ( chip_controller la_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 901370 2380 0 ) ( * 14110 )
NEW met2 ( 297390 1899580 0 ) ( * 1904170 )
NEW met2 ( 704490 1903660 ) ( * 1904170 )
NEW met2 ( 704490 1903660 ) ( 705870 * )
NEW met1 ( 705870 14110 ) ( 901370 * )
NEW met1 ( 297390 1904170 ) ( 704490 * )
NEW met2 ( 705870 14110 ) ( * 1903660 )
NEW met1 ( 705870 14110 ) M1M2_PR
NEW met1 ( 901370 14110 ) M1M2_PR
NEW met1 ( 297390 1904170 ) M1M2_PR
NEW met1 ( 704490 1904170 ) M1M2_PR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( chip_controller la_data_out[16] ) + USE SIGNAL
+ ROUTED met1 ( 307050 1898390 ) ( * 1899410 )
NEW met2 ( 307050 1899410 ) ( * 1899580 )
NEW met2 ( 306130 1899580 0 ) ( 307050 * )
NEW met2 ( 687930 82800 ) ( 688850 * )
NEW met2 ( 688850 15130 ) ( * 82800 )
NEW met2 ( 687930 82800 ) ( * 1898390 )
NEW met2 ( 918850 2380 0 ) ( * 15130 )
NEW met1 ( 688850 15130 ) ( 918850 * )
NEW met1 ( 307050 1898390 ) ( 687930 * )
NEW met1 ( 688850 15130 ) M1M2_PR
NEW met1 ( 307050 1899410 ) M1M2_PR
NEW met1 ( 687930 1898390 ) M1M2_PR
NEW met1 ( 918850 15130 ) M1M2_PR ;
- la_data_out[17] ( PIN la_data_out[17] ) ( chip_controller la_data_out[17] ) + USE SIGNAL
+ ROUTED met2 ( 313950 1899410 ) ( * 1899580 )
NEW met2 ( 313030 1899580 0 ) ( 313950 * )
NEW met2 ( 680570 82800 ) ( 681030 * )
NEW met2 ( 681030 14450 ) ( * 82800 )
NEW met2 ( 680570 82800 ) ( * 1898730 )
NEW met2 ( 936790 2380 0 ) ( * 14450 )
NEW met1 ( 681030 14450 ) ( 936790 * )
NEW met1 ( 313950 1899410 ) ( 324300 * )
NEW met1 ( 324300 1898730 ) ( * 1899410 )
NEW met1 ( 324300 1898730 ) ( 680570 * )
NEW met1 ( 681030 14450 ) M1M2_PR
NEW met1 ( 313950 1899410 ) M1M2_PR
NEW met1 ( 680570 1898730 ) M1M2_PR
NEW met1 ( 936790 14450 ) M1M2_PR ;
- la_data_out[18] ( PIN la_data_out[18] ) ( chip_controller la_data_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1628430 ) ( * 1634380 )
NEW met3 ( 189290 1634380 ) ( 200100 * 0 )
NEW met2 ( 954270 2380 0 ) ( * 3060 )
NEW met2 ( 953350 3060 ) ( 954270 * )
NEW met2 ( 953350 2380 ) ( * 3060 )
NEW met2 ( 952430 2380 ) ( 953350 * )
NEW met2 ( 952430 2380 ) ( * 1472710 )
NEW met1 ( 172270 1628430 ) ( 189290 * )
NEW met2 ( 172270 1472710 ) ( * 1628430 )
NEW met1 ( 172270 1472710 ) ( 952430 * )
NEW met1 ( 189290 1628430 ) M1M2_PR
NEW met2 ( 189290 1634380 ) M2M3_PR_M
NEW met1 ( 952430 1472710 ) M1M2_PR
NEW met1 ( 172270 1628430 ) M1M2_PR
NEW met1 ( 172270 1472710 ) M1M2_PR ;
- la_data_out[19] ( PIN la_data_out[19] ) ( chip_controller la_data_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 972210 2380 0 ) ( * 36550 )
NEW met1 ( 332810 1490390 ) ( 337870 * )
NEW met2 ( 332810 1490390 ) ( * 1500420 0 )
NEW met2 ( 337870 36550 ) ( * 1490390 )
NEW met1 ( 337870 36550 ) ( 972210 * )
NEW met1 ( 972210 36550 ) M1M2_PR
NEW met1 ( 337870 36550 ) M1M2_PR
NEW met1 ( 337870 1490390 ) M1M2_PR
NEW met1 ( 332810 1490390 ) M1M2_PR ;
- la_data_out[1] ( PIN la_data_out[1] ) ( chip_controller la_data_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 110170 17170 ) ( * 1917090 )
NEW met2 ( 210910 1899580 0 ) ( * 1917090 )
NEW met1 ( 110170 17170 ) ( 131100 * )
NEW met1 ( 131100 17170 ) ( * 17510 )
NEW met2 ( 652970 2380 0 ) ( * 17510 )
NEW met1 ( 131100 17510 ) ( 652970 * )
NEW met1 ( 110170 1917090 ) ( 210910 * )
NEW met1 ( 110170 17170 ) M1M2_PR
NEW met1 ( 110170 1917090 ) M1M2_PR
NEW met1 ( 210910 1917090 ) M1M2_PR
NEW met1 ( 652970 17510 ) M1M2_PR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( chip_controller la_data_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1642370 ) ( * 1645940 )
NEW met3 ( 189290 1645940 ) ( 200100 * 0 )
NEW met2 ( 114310 1452310 ) ( * 1642370 )
NEW met2 ( 986930 82800 ) ( 989690 * )
NEW met2 ( 989690 2380 0 ) ( * 82800 )
NEW met2 ( 986930 82800 ) ( * 1452310 )
NEW met1 ( 114310 1642370 ) ( 189290 * )
NEW met1 ( 114310 1452310 ) ( 986930 * )
NEW met1 ( 114310 1452310 ) M1M2_PR
NEW met1 ( 114310 1642370 ) M1M2_PR
NEW met1 ( 189290 1642370 ) M1M2_PR
NEW met2 ( 189290 1645940 ) M2M3_PR_M
NEW met1 ( 986930 1452310 ) M1M2_PR ;
- la_data_out[21] ( PIN la_data_out[21] ) ( chip_controller la_data_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1649170 ) ( * 1651380 )
NEW met3 ( 187910 1651380 ) ( 200100 * 0 )
NEW met1 ( 168130 1649170 ) ( 187910 * )
NEW met2 ( 1007630 2380 0 ) ( * 17340 )
NEW met2 ( 1007630 17340 ) ( 1008090 * )
NEW met2 ( 1008090 17340 ) ( * 1480530 )
NEW met2 ( 168130 1480530 ) ( * 1649170 )
NEW met1 ( 168130 1480530 ) ( 1008090 * )
NEW met1 ( 187910 1649170 ) M1M2_PR
NEW met2 ( 187910 1651380 ) M2M3_PR_M
NEW met1 ( 168130 1649170 ) M1M2_PR
NEW met1 ( 1008090 1480530 ) M1M2_PR
NEW met1 ( 168130 1480530 ) M1M2_PR ;
- la_data_out[22] ( PIN la_data_out[22] ) ( chip_controller la_data_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1656650 ) ( * 1658180 )
NEW met3 ( 189290 1658180 ) ( 200100 * 0 )
NEW met1 ( 165370 1656650 ) ( 189290 * )
NEW met2 ( 1025570 2380 0 ) ( * 34500 )
NEW met2 ( 1021430 34500 ) ( 1025570 * )
NEW met2 ( 1021430 34500 ) ( * 1475090 )
NEW met2 ( 165370 1475090 ) ( * 1656650 )
NEW met1 ( 165370 1475090 ) ( 1021430 * )
NEW met1 ( 189290 1656650 ) M1M2_PR
NEW met2 ( 189290 1658180 ) M2M3_PR_M
NEW met1 ( 165370 1656650 ) M1M2_PR
NEW met1 ( 1021430 1475090 ) M1M2_PR
NEW met1 ( 165370 1475090 ) M1M2_PR ;
- la_data_out[23] ( PIN la_data_out[23] ) ( chip_controller la_data_out[23] ) + USE SIGNAL
+ ROUTED met1 ( 351670 1472030 ) ( 1042130 * )
NEW met2 ( 351670 1472030 ) ( * 1500420 0 )
NEW met2 ( 1042130 82800 ) ( 1043050 * )
NEW met2 ( 1043050 2380 0 ) ( * 82800 )
NEW met2 ( 1042130 82800 ) ( * 1472030 )
NEW met1 ( 351670 1472030 ) M1M2_PR
NEW met1 ( 1042130 1472030 ) M1M2_PR ;
- la_data_out[24] ( PIN la_data_out[24] ) ( chip_controller la_data_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 1060990 2380 0 ) ( * 17170 )
NEW met1 ( 1045350 17170 ) ( 1060990 * )
NEW met1 ( 353510 1452650 ) ( 1045350 * )
NEW met2 ( 353510 1452650 ) ( * 1500420 0 )
NEW met2 ( 1045350 17170 ) ( * 1452650 )
NEW met1 ( 1060990 17170 ) M1M2_PR
NEW met1 ( 353510 1452650 ) M1M2_PR
NEW met1 ( 1045350 17170 ) M1M2_PR
NEW met1 ( 1045350 1452650 ) M1M2_PR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( chip_controller la_data_out[25] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1660900 0 ) ( 607890 * )
NEW met2 ( 607890 1656650 ) ( * 1660900 )
NEW met2 ( 1078470 2380 0 ) ( * 3060 )
NEW met2 ( 1077550 3060 ) ( 1078470 * )
NEW met2 ( 1077550 2380 ) ( * 3060 )
NEW met2 ( 1076630 2380 ) ( 1077550 * )
NEW met2 ( 1076630 2380 ) ( * 1656650 )
NEW met1 ( 607890 1656650 ) ( 1076630 * )
NEW met2 ( 607890 1660900 ) M2M3_PR_M
NEW met1 ( 607890 1656650 ) M1M2_PR
NEW met1 ( 1076630 1656650 ) M1M2_PR ;
- la_data_out[26] ( PIN la_data_out[26] ) ( chip_controller la_data_out[26] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1677050 ) ( * 1677220 )
NEW met3 ( 189750 1677220 ) ( 200100 * 0 )
NEW met2 ( 1090890 82800 ) ( 1096410 * )
NEW met2 ( 1096410 2380 0 ) ( * 82800 )
NEW met2 ( 1090890 82800 ) ( * 1474070 )
NEW met1 ( 160310 1677050 ) ( 189750 * )
NEW met2 ( 160310 1474070 ) ( * 1677050 )
NEW met1 ( 160310 1474070 ) ( 1090890 * )
NEW met1 ( 1090890 1474070 ) M1M2_PR
NEW met1 ( 189750 1677050 ) M1M2_PR
NEW met2 ( 189750 1677220 ) M2M3_PR_M
NEW met1 ( 160310 1677050 ) M1M2_PR
NEW met1 ( 160310 1474070 ) M1M2_PR ;
- la_data_out[27] ( PIN la_data_out[27] ) ( chip_controller la_data_out[27] ) + USE SIGNAL
+ ROUTED met2 ( 1113890 2380 0 ) ( * 16150 )
NEW met1 ( 653890 16150 ) ( 1113890 * )
NEW met2 ( 372370 1899410 ) ( * 1899580 )
NEW met2 ( 371910 1899580 0 ) ( 372370 * )
NEW met1 ( 372370 1899410 ) ( 653890 * )
NEW met2 ( 653890 16150 ) ( * 1899410 )
NEW met1 ( 653890 16150 ) M1M2_PR
NEW met1 ( 1113890 16150 ) M1M2_PR
NEW met1 ( 372370 1899410 ) M1M2_PR
NEW met1 ( 653890 1899410 ) M1M2_PR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( chip_controller la_data_out[28] ) + USE SIGNAL
+ ROUTED met2 ( 380650 1899580 0 ) ( * 1907910 )
NEW met2 ( 666310 20230 ) ( * 1907910 )
NEW met2 ( 1131830 2380 0 ) ( * 20230 )
NEW met1 ( 666310 20230 ) ( 1131830 * )
NEW met1 ( 380650 1907910 ) ( 666310 * )
NEW met1 ( 666310 20230 ) M1M2_PR
NEW met1 ( 380650 1907910 ) M1M2_PR
NEW met1 ( 666310 1907910 ) M1M2_PR
NEW met1 ( 1131830 20230 ) M1M2_PR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( chip_controller la_data_out[29] ) + USE SIGNAL
+ ROUTED met2 ( 1149310 2380 0 ) ( * 34170 )
NEW met2 ( 385710 1899580 0 ) ( 386170 * )
NEW met2 ( 386170 1899580 ) ( * 1942250 )
NEW met1 ( 386170 1942250 ) ( 610650 * )
NEW met1 ( 610650 34170 ) ( 1149310 * )
NEW met2 ( 610650 34170 ) ( * 1942250 )
NEW met1 ( 386170 1942250 ) M1M2_PR
NEW met1 ( 610650 34170 ) M1M2_PR
NEW met1 ( 610650 1942250 ) M1M2_PR
NEW met1 ( 1149310 34170 ) M1M2_PR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( chip_controller la_data_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 670910 2380 0 ) ( * 18190 )
NEW met1 ( 181930 1899750 ) ( 221950 * )
NEW met2 ( 221950 1899580 ) ( * 1899750 )
NEW met2 ( 221490 1899580 0 ) ( 221950 * )
NEW met1 ( 181930 18190 ) ( 670910 * )
NEW met2 ( 181930 18190 ) ( * 1899750 )
NEW met1 ( 181930 18190 ) M1M2_PR
NEW met1 ( 670910 18190 ) M1M2_PR
NEW met1 ( 181930 1899750 ) M1M2_PR
NEW met1 ( 221950 1899750 ) M1M2_PR ;
- la_data_out[30] ( PIN la_data_out[30] ) ( chip_controller la_data_out[30] ) + USE SIGNAL
+ ROUTED met2 ( 384330 1467270 ) ( * 1500420 0 )
NEW met2 ( 1166330 82800 ) ( 1167250 * )
NEW met2 ( 1167250 2380 0 ) ( * 82800 )
NEW met2 ( 1166330 82800 ) ( * 1467270 )
NEW met1 ( 384330 1467270 ) ( 1166330 * )
NEW met1 ( 384330 1467270 ) M1M2_PR
NEW met1 ( 1166330 1467270 ) M1M2_PR ;
- la_data_out[31] ( PIN la_data_out[31] ) ( chip_controller la_data_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 1185190 2380 0 ) ( * 3060 )
NEW met2 ( 1184270 3060 ) ( 1185190 * )
NEW met2 ( 1184270 2380 ) ( * 3060 )
NEW met2 ( 1182890 2380 ) ( 1184270 * )
NEW met3 ( 599380 1685380 0 ) ( 607430 * )
NEW met2 ( 607430 1684870 ) ( * 1685380 )
NEW met2 ( 1180130 82800 ) ( 1182890 * )
NEW met2 ( 1182890 2380 ) ( * 82800 )
NEW met2 ( 1180130 82800 ) ( * 1684870 )
NEW met1 ( 607430 1684870 ) ( 1180130 * )
NEW met2 ( 607430 1685380 ) M2M3_PR_M
NEW met1 ( 607430 1684870 ) M1M2_PR
NEW met1 ( 1180130 1684870 ) M1M2_PR ;
- la_data_out[32] ( PIN la_data_out[32] ) ( chip_controller la_data_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1691500 0 ) ( 607430 * )
NEW met2 ( 607430 1691500 ) ( * 1691670 )
NEW met2 ( 1202670 2380 0 ) ( * 3060 )
NEW met2 ( 1201750 3060 ) ( 1202670 * )
NEW met2 ( 1201750 2380 ) ( * 3060 )
NEW met2 ( 1200830 2380 ) ( 1201750 * )
NEW met1 ( 607430 1691670 ) ( 1200830 * )
NEW met2 ( 1200830 2380 ) ( * 1691670 )
NEW met2 ( 607430 1691500 ) M2M3_PR_M
NEW met1 ( 607430 1691670 ) M1M2_PR
NEW met1 ( 1200830 1691670 ) M1M2_PR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( chip_controller la_data_out[33] ) + USE SIGNAL
+ ROUTED li1 ( 215970 1497530 ) ( * 1500590 )
NEW met3 ( 182850 1710540 ) ( 200100 * 0 )
NEW met2 ( 1220610 2380 0 ) ( * 19550 )
NEW met1 ( 1214630 19550 ) ( 1220610 * )
NEW met1 ( 215970 1500590 ) ( 1214630 * )
NEW met2 ( 1214630 19550 ) ( * 1500590 )
NEW met2 ( 182850 1497530 ) ( * 1710540 )
NEW met1 ( 182850 1497530 ) ( 215970 * )
NEW li1 ( 215970 1497530 ) L1M1_PR_MR
NEW li1 ( 215970 1500590 ) L1M1_PR_MR
NEW met2 ( 182850 1710540 ) M2M3_PR_M
NEW met1 ( 1220610 19550 ) M1M2_PR
NEW met1 ( 1214630 19550 ) M1M2_PR
NEW met1 ( 1214630 1500590 ) M1M2_PR
NEW met1 ( 182850 1497530 ) M1M2_PR ;
- la_data_out[34] ( PIN la_data_out[34] ) ( chip_controller la_data_out[34] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1711730 ) ( * 1711900 )
NEW met3 ( 189750 1711900 ) ( 200100 * 0 )
NEW met1 ( 169050 1711730 ) ( 189750 * )
NEW met2 ( 1235330 82800 ) ( 1238090 * )
NEW met2 ( 1238090 2380 0 ) ( * 82800 )
NEW met2 ( 1235330 82800 ) ( * 1473390 )
NEW met2 ( 169050 1473390 ) ( * 1711730 )
NEW met1 ( 169050 1473390 ) ( 1235330 * )
NEW met1 ( 189750 1711730 ) M1M2_PR
NEW met2 ( 189750 1711900 ) M2M3_PR_M
NEW met1 ( 1235330 1473390 ) M1M2_PR
NEW met1 ( 169050 1711730 ) M1M2_PR
NEW met1 ( 169050 1473390 ) M1M2_PR ;
- la_data_out[35] ( PIN la_data_out[35] ) ( chip_controller la_data_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1699660 0 ) ( 607890 * )
NEW met2 ( 607890 1697790 ) ( * 1699660 )
NEW met2 ( 1256030 2380 0 ) ( * 1697790 )
NEW met1 ( 607890 1697790 ) ( 1256030 * )
NEW met2 ( 607890 1699660 ) M2M3_PR_M
NEW met1 ( 607890 1697790 ) M1M2_PR
NEW met1 ( 1256030 1697790 ) M1M2_PR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( chip_controller la_data_out[36] ) + USE SIGNAL
+ ROUTED met2 ( 1273510 2380 0 ) ( * 3060 )
NEW met2 ( 1272590 3060 ) ( 1273510 * )
NEW met2 ( 1272590 2380 ) ( * 3060 )
NEW met2 ( 1271210 2380 ) ( 1272590 * )
NEW met2 ( 403190 1899580 0 ) ( * 1911310 )
NEW met1 ( 403190 1911310 ) ( 406410 * )
NEW met2 ( 406410 1911310 ) ( * 1934090 )
NEW met2 ( 1269830 82800 ) ( * 116790 )
NEW met2 ( 1269830 82800 ) ( 1271210 * )
NEW met2 ( 1271210 2380 ) ( * 82800 )
NEW met1 ( 406410 1934090 ) ( 618930 * )
NEW met1 ( 618930 116790 ) ( 1269830 * )
NEW met2 ( 618930 116790 ) ( * 1934090 )
NEW met1 ( 406410 1934090 ) M1M2_PR
NEW met1 ( 1269830 116790 ) M1M2_PR
NEW met1 ( 403190 1911310 ) M1M2_PR
NEW met1 ( 406410 1911310 ) M1M2_PR
NEW met1 ( 618930 116790 ) M1M2_PR
NEW met1 ( 618930 1934090 ) M1M2_PR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( chip_controller la_data_out[37] ) + USE SIGNAL
+ ROUTED met1 ( 408250 1490050 ) ( 416070 * )
NEW met2 ( 408250 1490050 ) ( * 1500420 0 )
NEW met2 ( 416070 1474750 ) ( * 1490050 )
NEW met1 ( 416070 1474750 ) ( 1290530 * )
NEW met2 ( 1290530 82800 ) ( 1291450 * )
NEW met2 ( 1291450 2380 0 ) ( * 82800 )
NEW met2 ( 1290530 82800 ) ( * 1474750 )
NEW met1 ( 416070 1474750 ) M1M2_PR
NEW met1 ( 416070 1490050 ) M1M2_PR
NEW met1 ( 408250 1490050 ) M1M2_PR
NEW met1 ( 1290530 1474750 ) M1M2_PR ;
- la_data_out[38] ( PIN la_data_out[38] ) ( chip_controller la_data_out[38] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1704420 0 ) ( 607430 * )
NEW met2 ( 607430 1704420 ) ( * 1704930 )
NEW met2 ( 1308930 2380 0 ) ( * 21590 )
NEW met1 ( 934950 21590 ) ( 1308930 * )
NEW met1 ( 607430 1704930 ) ( 934950 * )
NEW met2 ( 934950 21590 ) ( * 1704930 )
NEW met2 ( 607430 1704420 ) M2M3_PR_M
NEW met1 ( 607430 1704930 ) M1M2_PR
NEW met1 ( 934950 21590 ) M1M2_PR
NEW met1 ( 1308930 21590 ) M1M2_PR
NEW met1 ( 934950 1704930 ) M1M2_PR ;
- la_data_out[39] ( PIN la_data_out[39] ) ( chip_controller la_data_out[39] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1709860 0 ) ( 608350 * )
NEW met2 ( 608350 1705270 ) ( * 1709860 )
NEW met2 ( 1326870 2380 0 ) ( * 3060 )
NEW met2 ( 1325950 3060 ) ( 1326870 * )
NEW met2 ( 1325950 2380 ) ( * 3060 )
NEW met2 ( 1325030 2380 ) ( 1325950 * )
NEW met1 ( 608350 1705270 ) ( 921150 * )
NEW met2 ( 921150 49470 ) ( * 1705270 )
NEW met1 ( 921150 49470 ) ( 1325030 * )
NEW met2 ( 1325030 2380 ) ( * 49470 )
NEW met2 ( 608350 1709860 ) M2M3_PR_M
NEW met1 ( 608350 1705270 ) M1M2_PR
NEW met1 ( 921150 49470 ) M1M2_PR
NEW met1 ( 921150 1705270 ) M1M2_PR
NEW met1 ( 1325030 49470 ) M1M2_PR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( chip_controller la_data_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 688390 2380 0 ) ( * 15130 )
NEW met1 ( 251850 15130 ) ( 688390 * )
NEW met2 ( 251850 15130 ) ( * 1498550 )
NEW met3 ( 192970 1526940 ) ( 200100 * 0 )
NEW met2 ( 192970 1498550 ) ( * 1526940 )
NEW met1 ( 192970 1498550 ) ( 251850 * )
NEW met1 ( 688390 15130 ) M1M2_PR
NEW met1 ( 251850 15130 ) M1M2_PR
NEW met1 ( 251850 1498550 ) M1M2_PR
NEW met2 ( 192970 1526940 ) M2M3_PR_M
NEW met1 ( 192970 1498550 ) M1M2_PR ;
- la_data_out[40] ( PIN la_data_out[40] ) ( chip_controller la_data_out[40] ) + USE SIGNAL
+ ROUTED met1 ( 416990 1490050 ) ( 420210 * )
NEW met2 ( 416990 1490050 ) ( * 1500420 0 )
NEW met2 ( 420210 36210 ) ( * 1490050 )
NEW met2 ( 1344350 2380 0 ) ( * 36210 )
NEW met1 ( 420210 36210 ) ( 1344350 * )
NEW met1 ( 420210 36210 ) M1M2_PR
NEW met1 ( 420210 1490050 ) M1M2_PR
NEW met1 ( 416990 1490050 ) M1M2_PR
NEW met1 ( 1344350 36210 ) M1M2_PR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( chip_controller la_data_out[41] ) + USE SIGNAL
+ ROUTED met2 ( 1362290 2380 0 ) ( * 15810 )
NEW met2 ( 411930 1899580 0 ) ( * 1927970 )
NEW met2 ( 672750 15810 ) ( * 1927970 )
NEW met1 ( 411930 1927970 ) ( 672750 * )
NEW met1 ( 672750 15810 ) ( 1362290 * )
NEW met1 ( 411930 1927970 ) M1M2_PR
NEW met1 ( 672750 15810 ) M1M2_PR
NEW met1 ( 672750 1927970 ) M1M2_PR
NEW met1 ( 1362290 15810 ) M1M2_PR ;
- la_data_out[42] ( PIN la_data_out[42] ) ( chip_controller la_data_out[42] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1725500 ) ( * 1726010 )
NEW met3 ( 189750 1725500 ) ( 200100 * 0 )
NEW met2 ( 1380230 2380 0 ) ( * 17340 )
NEW met2 ( 1380230 17340 ) ( 1380690 * )
NEW met2 ( 1380690 17340 ) ( * 70890 )
NEW met1 ( 141910 1726010 ) ( 189750 * )
NEW met2 ( 141910 70890 ) ( * 1726010 )
NEW met1 ( 141910 70890 ) ( 1380690 * )
NEW met1 ( 189750 1726010 ) M1M2_PR
NEW met2 ( 189750 1725500 ) M2M3_PR_M
NEW met1 ( 1380690 70890 ) M1M2_PR
NEW met1 ( 141910 1726010 ) M1M2_PR
NEW met1 ( 141910 70890 ) M1M2_PR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( chip_controller la_data_out[43] ) + USE SIGNAL
+ ROUTED met2 ( 412850 1899580 ) ( 413770 * 0 )
NEW met2 ( 412850 1899580 ) ( * 1941230 )
NEW met2 ( 674590 113390 ) ( * 1941230 )
NEW met1 ( 412850 1941230 ) ( 674590 * )
NEW met2 ( 1304330 89930 ) ( * 113390 )
NEW met1 ( 1304330 89930 ) ( 1306170 * )
NEW met1 ( 674590 113390 ) ( 1304330 * )
NEW met2 ( 1397710 2380 0 ) ( * 14450 )
NEW met1 ( 1306170 14450 ) ( 1397710 * )
NEW met2 ( 1306170 14450 ) ( * 89930 )
NEW met1 ( 412850 1941230 ) M1M2_PR
NEW met1 ( 674590 113390 ) M1M2_PR
NEW met1 ( 674590 1941230 ) M1M2_PR
NEW met1 ( 1306170 14450 ) M1M2_PR
NEW met1 ( 1304330 113390 ) M1M2_PR
NEW met1 ( 1304330 89930 ) M1M2_PR
NEW met1 ( 1306170 89930 ) M1M2_PR
NEW met1 ( 1397710 14450 ) M1M2_PR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( chip_controller la_data_out[44] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1715980 0 ) ( 608810 * )
NEW met2 ( 608810 1711730 ) ( * 1715980 )
NEW met2 ( 900450 35190 ) ( * 1711730 )
NEW met1 ( 608810 1711730 ) ( 900450 * )
NEW met1 ( 900450 35190 ) ( 1415650 * )
NEW met2 ( 1415650 2380 0 ) ( * 35190 )
NEW met2 ( 608810 1715980 ) M2M3_PR_M
NEW met1 ( 608810 1711730 ) M1M2_PR
NEW met1 ( 900450 35190 ) M1M2_PR
NEW met1 ( 900450 1711730 ) M1M2_PR
NEW met1 ( 1415650 35190 ) M1M2_PR ;
- la_data_out[45] ( PIN la_data_out[45] ) ( chip_controller la_data_out[45] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1732980 ) ( * 1733150 )
NEW met3 ( 189750 1732980 ) ( 200100 * 0 )
NEW met1 ( 148350 1733150 ) ( 189750 * )
NEW met1 ( 148350 102510 ) ( 1428530 * )
NEW met2 ( 148350 102510 ) ( * 1733150 )
NEW met2 ( 1428530 82800 ) ( * 102510 )
NEW met2 ( 1428530 82800 ) ( 1433130 * )
NEW met2 ( 1433130 2380 0 ) ( * 82800 )
NEW met1 ( 189750 1733150 ) M1M2_PR
NEW met2 ( 189750 1732980 ) M2M3_PR_M
NEW met1 ( 148350 102510 ) M1M2_PR
NEW met1 ( 148350 1733150 ) M1M2_PR
NEW met1 ( 1428530 102510 ) M1M2_PR ;
- la_data_out[46] ( PIN la_data_out[46] ) ( chip_controller la_data_out[46] ) + USE SIGNAL
+ ROUTED met2 ( 1451070 2380 0 ) ( * 20910 )
NEW met3 ( 599380 1722780 0 ) ( 608350 * )
NEW met2 ( 608350 1718530 ) ( * 1722780 )
NEW met1 ( 1293750 20910 ) ( 1451070 * )
NEW met1 ( 608350 1718530 ) ( 1293750 * )
NEW met2 ( 1293750 20910 ) ( * 1718530 )
NEW met1 ( 1451070 20910 ) M1M2_PR
NEW met2 ( 608350 1722780 ) M2M3_PR_M
NEW met1 ( 608350 1718530 ) M1M2_PR
NEW met1 ( 1293750 20910 ) M1M2_PR
NEW met1 ( 1293750 1718530 ) M1M2_PR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( chip_controller la_data_out[47] ) + USE SIGNAL
+ ROUTED met2 ( 1468550 2380 0 ) ( * 36890 )
NEW met2 ( 433550 36890 ) ( * 1483500 )
NEW met2 ( 432170 1483500 ) ( 433550 * )
NEW met2 ( 432170 1483500 ) ( * 1500420 )
NEW met2 ( 430790 1500420 0 ) ( 432170 * )
NEW met1 ( 433550 36890 ) ( 1468550 * )
NEW met1 ( 1468550 36890 ) M1M2_PR
NEW met1 ( 433550 36890 ) M1M2_PR ;
- la_data_out[48] ( PIN la_data_out[48] ) ( chip_controller la_data_out[48] ) + USE SIGNAL
+ ROUTED met1 ( 427570 1937830 ) ( 654350 * )
NEW met2 ( 423890 1899580 0 ) ( * 1911310 )
NEW met1 ( 423890 1911310 ) ( 427570 * )
NEW met2 ( 427570 1911310 ) ( * 1937830 )
NEW met2 ( 654350 64770 ) ( * 1937830 )
NEW met1 ( 654350 64770 ) ( 1486490 * )
NEW met2 ( 1486490 2380 0 ) ( * 64770 )
NEW met1 ( 427570 1937830 ) M1M2_PR
NEW met1 ( 654350 1937830 ) M1M2_PR
NEW met1 ( 423890 1911310 ) M1M2_PR
NEW met1 ( 427570 1911310 ) M1M2_PR
NEW met1 ( 654350 64770 ) M1M2_PR
NEW met1 ( 1486490 64770 ) M1M2_PR ;
- la_data_out[49] ( PIN la_data_out[49] ) ( chip_controller la_data_out[49] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1730940 0 ) ( 608810 * )
NEW met2 ( 608810 1725330 ) ( * 1730940 )
NEW met2 ( 1279950 21250 ) ( * 1725330 )
NEW met1 ( 608810 1725330 ) ( 1279950 * )
NEW met2 ( 1503970 2380 0 ) ( * 21250 )
NEW met1 ( 1279950 21250 ) ( 1503970 * )
NEW met2 ( 608810 1730940 ) M2M3_PR_M
NEW met1 ( 608810 1725330 ) M1M2_PR
NEW met1 ( 1279950 21250 ) M1M2_PR
NEW met1 ( 1279950 1725330 ) M1M2_PR
NEW met1 ( 1503970 21250 ) M1M2_PR ;
- la_data_out[4] ( PIN la_data_out[4] ) ( chip_controller la_data_out[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1536460 0 ) ( 613870 * )
NEW met2 ( 613870 1531870 ) ( * 1536460 )
NEW met2 ( 706330 2380 0 ) ( * 13260 )
NEW met2 ( 705410 13260 ) ( 706330 * )
NEW met2 ( 704030 82800 ) ( 705410 * )
NEW met2 ( 705410 13260 ) ( * 82800 )
NEW met2 ( 704030 82800 ) ( * 1531870 )
NEW met1 ( 613870 1531870 ) ( 704030 * )
NEW met2 ( 613870 1536460 ) M2M3_PR_M
NEW met1 ( 613870 1531870 ) M1M2_PR
NEW met1 ( 704030 1531870 ) M1M2_PR ;
- la_data_out[50] ( PIN la_data_out[50] ) ( chip_controller la_data_out[50] ) + USE SIGNAL
+ ROUTED met2 ( 440450 1500420 ) ( 440910 * 0 )
NEW met2 ( 440450 37230 ) ( * 1500420 )
NEW met1 ( 440450 37230 ) ( 1521910 * )
NEW met2 ( 1521910 2380 0 ) ( * 37230 )
NEW met1 ( 440450 37230 ) M1M2_PR
NEW met1 ( 1521910 37230 ) M1M2_PR ;
- la_data_out[51] ( PIN la_data_out[51] ) ( chip_controller la_data_out[51] ) + USE SIGNAL
+ ROUTED met2 ( 1539850 2380 0 ) ( * 37570 )
NEW met1 ( 442750 1490390 ) ( 447810 * )
NEW met2 ( 442750 1490390 ) ( * 1500420 0 )
NEW met2 ( 447810 37570 ) ( * 1490390 )
NEW met1 ( 447810 37570 ) ( 1539850 * )
NEW met1 ( 1539850 37570 ) M1M2_PR
NEW met1 ( 447810 37570 ) M1M2_PR
NEW met1 ( 447810 1490390 ) M1M2_PR
NEW met1 ( 442750 1490390 ) M1M2_PR ;
- la_data_out[52] ( PIN la_data_out[52] ) ( chip_controller la_data_out[52] ) + USE SIGNAL
+ ROUTED met2 ( 1557330 2380 0 ) ( * 41310 )
NEW met2 ( 445970 1500420 0 ) ( 447350 * )
NEW met2 ( 447350 41310 ) ( * 1500420 )
NEW met1 ( 447350 41310 ) ( 1557330 * )
NEW met1 ( 1557330 41310 ) M1M2_PR
NEW met1 ( 447350 41310 ) M1M2_PR ;
- la_data_out[53] ( PIN la_data_out[53] ) ( chip_controller la_data_out[53] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1738420 0 ) ( 608350 * )
NEW met2 ( 608350 1732130 ) ( * 1738420 )
NEW met2 ( 1575270 2380 0 ) ( * 23290 )
NEW met2 ( 1267990 23290 ) ( * 34500 )
NEW met2 ( 1266150 34500 ) ( 1267990 * )
NEW met2 ( 1266150 34500 ) ( * 1732130 )
NEW met1 ( 608350 1732130 ) ( 1266150 * )
NEW met1 ( 1267990 23290 ) ( 1575270 * )
NEW met2 ( 608350 1738420 ) M2M3_PR_M
NEW met1 ( 608350 1732130 ) M1M2_PR
NEW met1 ( 1267990 23290 ) M1M2_PR
NEW met1 ( 1266150 1732130 ) M1M2_PR
NEW met1 ( 1575270 23290 ) M1M2_PR ;
- la_data_out[54] ( PIN la_data_out[54] ) ( chip_controller la_data_out[54] ) + USE SIGNAL
+ ROUTED met1 ( 451490 1490390 ) ( 454710 * )
NEW met2 ( 451490 1490390 ) ( * 1500420 0 )
NEW met2 ( 454710 40630 ) ( * 1490390 )
NEW met1 ( 454710 40630 ) ( 1592750 * )
NEW met2 ( 1592750 2380 0 ) ( * 40630 )
NEW met1 ( 454710 40630 ) M1M2_PR
NEW met1 ( 454710 1490390 ) M1M2_PR
NEW met1 ( 451490 1490390 ) M1M2_PR
NEW met1 ( 1592750 40630 ) M1M2_PR ;
- la_data_out[55] ( PIN la_data_out[55] ) ( chip_controller la_data_out[55] ) + USE SIGNAL
+ ROUTED met2 ( 687010 29070 ) ( * 1941910 )
NEW met1 ( 439070 1941910 ) ( 687010 * )
NEW met2 ( 1610690 2380 0 ) ( * 29070 )
NEW met1 ( 687010 29070 ) ( 1610690 * )
NEW met2 ( 437690 1899580 0 ) ( 439070 * )
NEW met2 ( 439070 1899580 ) ( * 1941910 )
NEW met1 ( 687010 29070 ) M1M2_PR
NEW met1 ( 687010 1941910 ) M1M2_PR
NEW met1 ( 439070 1941910 ) M1M2_PR
NEW met1 ( 1610690 29070 ) M1M2_PR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( chip_controller la_data_out[56] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1746410 ) ( * 1752020 )
NEW met3 ( 189290 1752020 ) ( 200100 * 0 )
NEW met1 ( 135010 1746410 ) ( 189290 * )
NEW met2 ( 1628170 2380 0 ) ( * 16830 )
NEW met1 ( 1621730 16830 ) ( 1628170 * )
NEW met2 ( 135010 61370 ) ( * 1746410 )
NEW met1 ( 135010 61370 ) ( 1621730 * )
NEW met2 ( 1621730 16830 ) ( * 61370 )
NEW met1 ( 189290 1746410 ) M1M2_PR
NEW met2 ( 189290 1752020 ) M2M3_PR_M
NEW met1 ( 135010 1746410 ) M1M2_PR
NEW met1 ( 1628170 16830 ) M1M2_PR
NEW met1 ( 1621730 16830 ) M1M2_PR
NEW met1 ( 135010 61370 ) M1M2_PR
NEW met1 ( 1621730 61370 ) M1M2_PR ;
- la_data_out[57] ( PIN la_data_out[57] ) ( chip_controller la_data_out[57] ) + USE SIGNAL
+ ROUTED met2 ( 1646110 2380 0 ) ( * 40290 )
NEW met1 ( 458390 1490390 ) ( 461610 * )
NEW met2 ( 458390 1490390 ) ( * 1500420 0 )
NEW met2 ( 461610 40290 ) ( * 1490390 )
NEW met1 ( 461610 40290 ) ( 1646110 * )
NEW met1 ( 1646110 40290 ) M1M2_PR
NEW met1 ( 461610 40290 ) M1M2_PR
NEW met1 ( 461610 1490390 ) M1M2_PR
NEW met1 ( 458390 1490390 ) M1M2_PR ;
- la_data_out[58] ( PIN la_data_out[58] ) ( chip_controller la_data_out[58] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1748620 0 ) ( 607890 * )
NEW met2 ( 607890 1746070 ) ( * 1748620 )
NEW met2 ( 1663590 2380 0 ) ( * 22610 )
NEW met1 ( 607890 1746070 ) ( 1224750 * )
NEW met1 ( 1224750 22610 ) ( 1663590 * )
NEW met2 ( 1224750 22610 ) ( * 1746070 )
NEW met2 ( 607890 1748620 ) M2M3_PR_M
NEW met1 ( 607890 1746070 ) M1M2_PR
NEW met1 ( 1663590 22610 ) M1M2_PR
NEW met1 ( 1224750 22610 ) M1M2_PR
NEW met1 ( 1224750 1746070 ) M1M2_PR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( chip_controller la_data_out[59] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1753380 0 ) ( 607430 * )
NEW met2 ( 607430 1753210 ) ( * 1753380 )
NEW met2 ( 1169550 49810 ) ( * 1753210 )
NEW met1 ( 607430 1753210 ) ( 1169550 * )
NEW met1 ( 1169550 49810 ) ( 1681530 * )
NEW met2 ( 1681530 2380 0 ) ( * 49810 )
NEW met2 ( 607430 1753380 ) M2M3_PR_M
NEW met1 ( 607430 1753210 ) M1M2_PR
NEW met1 ( 1169550 1753210 ) M1M2_PR
NEW met1 ( 1169550 49810 ) M1M2_PR
NEW met1 ( 1681530 49810 ) M1M2_PR ;
- la_data_out[5] ( PIN la_data_out[5] ) ( chip_controller la_data_out[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1548020 0 ) ( 608810 * )
NEW met2 ( 608810 1545810 ) ( * 1548020 )
NEW met2 ( 723810 2380 0 ) ( * 20910 )
NEW met1 ( 717830 20910 ) ( 723810 * )
NEW met1 ( 608810 1545810 ) ( 717830 * )
NEW met2 ( 717830 20910 ) ( * 1545810 )
NEW met2 ( 608810 1548020 ) M2M3_PR_M
NEW met1 ( 608810 1545810 ) M1M2_PR
NEW met1 ( 723810 20910 ) M1M2_PR
NEW met1 ( 717830 20910 ) M1M2_PR
NEW met1 ( 717830 1545810 ) M1M2_PR ;
- la_data_out[60] ( PIN la_data_out[60] ) ( chip_controller la_data_out[60] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1753210 ) ( * 1758820 )
NEW met3 ( 190670 1758820 ) ( 200100 * 0 )
NEW met2 ( 128570 38930 ) ( * 1753210 )
NEW met1 ( 128570 1753210 ) ( 190670 * )
NEW met1 ( 128570 38930 ) ( 1699470 * )
NEW met2 ( 1699470 2380 0 ) ( * 38930 )
NEW met1 ( 128570 1753210 ) M1M2_PR
NEW met1 ( 190670 1753210 ) M1M2_PR
NEW met2 ( 190670 1758820 ) M2M3_PR_M
NEW met1 ( 128570 38930 ) M1M2_PR
NEW met1 ( 1699470 38930 ) M1M2_PR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( chip_controller la_data_out[61] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1760350 ) ( * 1763580 )
NEW met3 ( 189750 1763580 ) ( 200100 * 0 )
NEW met1 ( 163070 1760350 ) ( 189750 * )
NEW met2 ( 1716950 2380 0 ) ( * 3060 )
NEW met2 ( 1716030 3060 ) ( 1716950 * )
NEW met2 ( 1716030 2380 ) ( * 3060 )
NEW met2 ( 1714650 2380 ) ( 1716030 * )
NEW met1 ( 163070 73950 ) ( 1714650 * )
NEW met2 ( 1714650 2380 ) ( * 73950 )
NEW met2 ( 163070 73950 ) ( * 1760350 )
NEW met1 ( 189750 1760350 ) M1M2_PR
NEW met2 ( 189750 1763580 ) M2M3_PR_M
NEW met1 ( 163070 1760350 ) M1M2_PR
NEW met1 ( 163070 73950 ) M1M2_PR
NEW met1 ( 1714650 73950 ) M1M2_PR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( chip_controller la_data_out[62] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1758140 0 ) ( 607890 * )
NEW met2 ( 607890 1753550 ) ( * 1758140 )
NEW met2 ( 1734890 2380 0 ) ( * 35530 )
NEW met1 ( 607890 1753550 ) ( 1141950 * )
NEW met2 ( 1141950 35530 ) ( * 1753550 )
NEW met1 ( 1141950 35530 ) ( 1734890 * )
NEW met2 ( 607890 1758140 ) M2M3_PR_M
NEW met1 ( 607890 1753550 ) M1M2_PR
NEW met1 ( 1734890 35530 ) M1M2_PR
NEW met1 ( 1141950 1753550 ) M1M2_PR
NEW met1 ( 1141950 35530 ) M1M2_PR ;
- la_data_out[63] ( PIN la_data_out[63] ) ( chip_controller la_data_out[63] ) + USE SIGNAL
+ ROUTED met2 ( 1752370 2380 0 ) ( * 39950 )
NEW met2 ( 468510 39950 ) ( * 1500420 0 )
NEW met1 ( 468510 39950 ) ( 1752370 * )
NEW met1 ( 1752370 39950 ) M1M2_PR
NEW met1 ( 468510 39950 ) M1M2_PR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( chip_controller la_data_out[64] ) + USE SIGNAL
+ ROUTED met2 ( 1770310 2380 0 ) ( * 40970 )
NEW met2 ( 455170 1899580 0 ) ( * 1914710 )
NEW met1 ( 455170 1914710 ) ( 639170 * )
NEW met1 ( 639170 40970 ) ( 1770310 * )
NEW met2 ( 639170 40970 ) ( * 1914710 )
NEW met1 ( 1770310 40970 ) M1M2_PR
NEW met1 ( 455170 1914710 ) M1M2_PR
NEW met1 ( 639170 40970 ) M1M2_PR
NEW met1 ( 639170 1914710 ) M1M2_PR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( chip_controller la_data_out[65] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1773610 ) ( * 1774460 )
NEW met3 ( 188830 1774460 ) ( 200100 * 0 )
NEW met2 ( 135930 81090 ) ( * 1773610 )
NEW met1 ( 135930 1773610 ) ( 188830 * )
NEW met1 ( 135930 81090 ) ( 1787790 * )
NEW met2 ( 1787790 2380 0 ) ( * 81090 )
NEW met1 ( 188830 1773610 ) M1M2_PR
NEW met2 ( 188830 1774460 ) M2M3_PR_M
NEW met1 ( 135930 81090 ) M1M2_PR
NEW met1 ( 135930 1773610 ) M1M2_PR
NEW met1 ( 1787790 81090 ) M1M2_PR ;
- la_data_out[66] ( PIN la_data_out[66] ) ( chip_controller la_data_out[66] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1774290 ) ( * 1777860 )
NEW met3 ( 187910 1777860 ) ( 200100 * 0 )
NEW met2 ( 157090 53550 ) ( * 1774290 )
NEW met1 ( 157090 1774290 ) ( 187910 * )
NEW met1 ( 157090 53550 ) ( 1805730 * )
NEW met2 ( 1805730 2380 0 ) ( * 53550 )
NEW met1 ( 187910 1774290 ) M1M2_PR
NEW met2 ( 187910 1777860 ) M2M3_PR_M
NEW met1 ( 157090 53550 ) M1M2_PR
NEW met1 ( 157090 1774290 ) M1M2_PR
NEW met1 ( 1805730 53550 ) M1M2_PR ;
- la_data_out[67] ( PIN la_data_out[67] ) ( chip_controller la_data_out[67] ) + USE SIGNAL
+ ROUTED met2 ( 1823210 2380 0 ) ( * 30430 )
NEW met1 ( 480470 1485970 ) ( 482770 * )
NEW met2 ( 480470 1485970 ) ( * 1500420 0 )
NEW met2 ( 482770 30430 ) ( * 1485970 )
NEW met1 ( 482770 30430 ) ( 1823210 * )
NEW met1 ( 482770 30430 ) M1M2_PR
NEW met1 ( 1823210 30430 ) M1M2_PR
NEW met1 ( 482770 1485970 ) M1M2_PR
NEW met1 ( 480470 1485970 ) M1M2_PR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( chip_controller la_data_out[68] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1764940 0 ) ( 608350 * )
NEW met2 ( 608350 1760350 ) ( * 1764940 )
NEW met2 ( 1841150 2380 0 ) ( * 22270 )
NEW met1 ( 608350 1760350 ) ( 1114350 * )
NEW met1 ( 1114350 22270 ) ( 1841150 * )
NEW met2 ( 1114350 22270 ) ( * 1760350 )
NEW met2 ( 608350 1764940 ) M2M3_PR_M
NEW met1 ( 608350 1760350 ) M1M2_PR
NEW met1 ( 1841150 22270 ) M1M2_PR
NEW met1 ( 1114350 22270 ) M1M2_PR
NEW met1 ( 1114350 1760350 ) M1M2_PR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( chip_controller la_data_out[69] ) + USE SIGNAL
+ ROUTED met2 ( 489210 1500420 0 ) ( 489670 * )
NEW met2 ( 489670 39610 ) ( * 1500420 )
NEW met2 ( 1858630 2380 0 ) ( * 39610 )
NEW met1 ( 489670 39610 ) ( 1858630 * )
NEW met1 ( 489670 39610 ) M1M2_PR
NEW met1 ( 1858630 39610 ) M1M2_PR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( chip_controller la_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 741750 2380 0 ) ( * 17170 )
NEW met1 ( 179630 17170 ) ( 741750 * )
NEW met1 ( 179630 1539010 ) ( 187450 * )
NEW met2 ( 187450 1539010 ) ( * 1543940 )
NEW met3 ( 187450 1543940 ) ( 200100 * 0 )
NEW met2 ( 179630 17170 ) ( * 1539010 )
NEW met1 ( 179630 17170 ) M1M2_PR
NEW met1 ( 741750 17170 ) M1M2_PR
NEW met1 ( 179630 1539010 ) M1M2_PR
NEW met1 ( 187450 1539010 ) M1M2_PR
NEW met2 ( 187450 1543940 ) M2M3_PR_M ;
- la_data_out[70] ( PIN la_data_out[70] ) ( chip_controller la_data_out[70] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1767660 0 ) ( 607890 * )
NEW met2 ( 607890 1766810 ) ( * 1767660 )
NEW met2 ( 1252350 22950 ) ( * 1766810 )
NEW met1 ( 607890 1766810 ) ( 1252350 * )
NEW met2 ( 1876570 2380 0 ) ( * 22950 )
NEW met1 ( 1252350 22950 ) ( 1876570 * )
NEW met2 ( 607890 1767660 ) M2M3_PR_M
NEW met1 ( 607890 1766810 ) M1M2_PR
NEW met1 ( 1252350 22950 ) M1M2_PR
NEW met1 ( 1252350 1766810 ) M1M2_PR
NEW met1 ( 1876570 22950 ) M1M2_PR ;
- la_data_out[71] ( PIN la_data_out[71] ) ( chip_controller la_data_out[71] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1772420 0 ) ( 608810 * )
NEW met2 ( 608810 1767150 ) ( * 1772420 )
NEW met2 ( 1245450 57290 ) ( * 1767150 )
NEW met1 ( 608810 1767150 ) ( 1245450 * )
NEW met2 ( 1894510 2380 0 ) ( * 3060 )
NEW met2 ( 1893590 3060 ) ( 1894510 * )
NEW met2 ( 1893590 2380 ) ( * 3060 )
NEW met2 ( 1892210 2380 ) ( 1893590 * )
NEW met1 ( 1245450 57290 ) ( 1892210 * )
NEW met2 ( 1892210 2380 ) ( * 57290 )
NEW met2 ( 608810 1772420 ) M2M3_PR_M
NEW met1 ( 608810 1767150 ) M1M2_PR
NEW met1 ( 1245450 1767150 ) M1M2_PR
NEW met1 ( 1245450 57290 ) M1M2_PR
NEW met1 ( 1892210 57290 ) M1M2_PR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( chip_controller la_data_out[72] ) + USE SIGNAL
+ ROUTED met2 ( 494270 1500420 0 ) ( 495650 * )
NEW met2 ( 495650 67490 ) ( * 1500420 )
NEW met1 ( 495650 67490 ) ( 1911990 * )
NEW met2 ( 1911990 2380 0 ) ( * 67490 )
NEW met1 ( 495650 67490 ) M1M2_PR
NEW met1 ( 1911990 67490 ) M1M2_PR ;
- la_data_out[73] ( PIN la_data_out[73] ) ( chip_controller la_data_out[73] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1787890 ) ( * 1791460 )
NEW met3 ( 190210 1791460 ) ( 200100 * 0 )
NEW met2 ( 1925330 82800 ) ( * 101150 )
NEW met2 ( 1925330 82800 ) ( 1929930 * )
NEW met2 ( 1929930 2380 0 ) ( * 82800 )
NEW met1 ( 142370 101150 ) ( 1925330 * )
NEW met2 ( 142370 101150 ) ( * 1787890 )
NEW met1 ( 142370 1787890 ) ( 190210 * )
NEW met1 ( 1925330 101150 ) M1M2_PR
NEW met1 ( 190210 1787890 ) M1M2_PR
NEW met2 ( 190210 1791460 ) M2M3_PR_M
NEW met1 ( 142370 101150 ) M1M2_PR
NEW met1 ( 142370 1787890 ) M1M2_PR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( chip_controller la_data_out[74] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1782620 0 ) ( 607890 * )
NEW met2 ( 607890 1780410 ) ( * 1782620 )
NEW met2 ( 1947410 2380 0 ) ( * 50490 )
NEW met1 ( 607890 1780410 ) ( 1100550 * )
NEW met2 ( 1100550 50490 ) ( * 1780410 )
NEW met1 ( 1100550 50490 ) ( 1947410 * )
NEW met2 ( 607890 1782620 ) M2M3_PR_M
NEW met1 ( 607890 1780410 ) M1M2_PR
NEW met1 ( 1947410 50490 ) M1M2_PR
NEW met1 ( 1100550 50490 ) M1M2_PR
NEW met1 ( 1100550 1780410 ) M1M2_PR ;
- la_data_out[75] ( PIN la_data_out[75] ) ( chip_controller la_data_out[75] ) + USE SIGNAL
+ ROUTED met2 ( 1965350 2380 0 ) ( * 3060 )
NEW met2 ( 1964430 3060 ) ( 1965350 * )
NEW met2 ( 1964430 2380 ) ( * 3060 )
NEW met2 ( 1963050 2380 ) ( 1964430 * )
NEW met2 ( 472650 1899580 0 ) ( * 1912670 )
NEW met1 ( 472650 1912670 ) ( 503930 * )
NEW met1 ( 503930 1912330 ) ( * 1912670 )
NEW met1 ( 503930 1912330 ) ( 511750 * )
NEW li1 ( 511750 1911990 ) ( * 1912330 )
NEW li1 ( 511750 1911990 ) ( 515430 * )
NEW met2 ( 1963050 2380 ) ( * 71910 )
NEW met1 ( 515430 1911990 ) ( 632730 * )
NEW met1 ( 632730 71910 ) ( 1963050 * )
NEW met2 ( 632730 71910 ) ( * 1911990 )
NEW met1 ( 472650 1912670 ) M1M2_PR
NEW li1 ( 511750 1912330 ) L1M1_PR_MR
NEW li1 ( 515430 1911990 ) L1M1_PR_MR
NEW met1 ( 1963050 71910 ) M1M2_PR
NEW met1 ( 632730 71910 ) M1M2_PR
NEW met1 ( 632730 1911990 ) M1M2_PR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( chip_controller la_data_out[76] ) + USE SIGNAL
+ ROUTED met1 ( 497490 1490050 ) ( 503470 * )
NEW met2 ( 497490 1490050 ) ( * 1500420 0 )
NEW met2 ( 503470 24990 ) ( * 1490050 )
NEW met2 ( 1982830 2380 0 ) ( * 24990 )
NEW met1 ( 503470 24990 ) ( 1982830 * )
NEW met1 ( 503470 24990 ) M1M2_PR
NEW met1 ( 503470 1490050 ) M1M2_PR
NEW met1 ( 497490 1490050 ) M1M2_PR
NEW met1 ( 1982830 24990 ) M1M2_PR ;
- la_data_out[77] ( PIN la_data_out[77] ) ( chip_controller la_data_out[77] ) + USE SIGNAL
+ ROUTED met2 ( 479550 1899580 0 ) ( * 1912330 )
NEW met1 ( 479550 1912330 ) ( 503470 * )
NEW li1 ( 503470 1911310 ) ( * 1912330 )
NEW met2 ( 2000770 2380 0 ) ( * 17510 )
NEW met1 ( 1994330 17510 ) ( 2000770 * )
NEW met1 ( 503470 1911310 ) ( 660330 * )
NEW met2 ( 660330 82110 ) ( * 1911310 )
NEW met1 ( 660330 82110 ) ( 1994330 * )
NEW met2 ( 1994330 17510 ) ( * 82110 )
NEW met1 ( 479550 1912330 ) M1M2_PR
NEW li1 ( 503470 1912330 ) L1M1_PR_MR
NEW li1 ( 503470 1911310 ) L1M1_PR_MR
NEW met1 ( 2000770 17510 ) M1M2_PR
NEW met1 ( 1994330 17510 ) M1M2_PR
NEW met1 ( 660330 82110 ) M1M2_PR
NEW met1 ( 660330 1911310 ) M1M2_PR
NEW met1 ( 1994330 82110 ) M1M2_PR ;
- la_data_out[78] ( PIN la_data_out[78] ) ( chip_controller la_data_out[78] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1790780 0 ) ( 612490 * )
NEW met2 ( 612490 61710 ) ( * 1790780 )
NEW met2 ( 2018250 2380 0 ) ( * 61710 )
NEW met1 ( 612490 61710 ) ( 2018250 * )
NEW met1 ( 612490 61710 ) M1M2_PR
NEW met2 ( 612490 1790780 ) M2M3_PR_M
NEW met1 ( 2018250 61710 ) M1M2_PR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( chip_controller la_data_out[79] ) + USE SIGNAL
+ ROUTED met2 ( 489670 1899580 0 ) ( * 1914370 )
NEW met1 ( 489670 1914370 ) ( 516810 * )
NEW met2 ( 516810 1913860 ) ( * 1914370 )
NEW met2 ( 2036190 2380 0 ) ( * 102170 )
NEW met1 ( 694830 102170 ) ( 2036190 * )
NEW met2 ( 518190 1913860 ) ( * 1914030 )
NEW met3 ( 516810 1913860 ) ( 518190 * )
NEW met1 ( 518190 1914030 ) ( 694830 * )
NEW met2 ( 694830 102170 ) ( * 1914030 )
NEW met1 ( 694830 102170 ) M1M2_PR
NEW met1 ( 2036190 102170 ) M1M2_PR
NEW met1 ( 489670 1914370 ) M1M2_PR
NEW met1 ( 516810 1914370 ) M1M2_PR
NEW met2 ( 516810 1913860 ) M2M3_PR_M
NEW met1 ( 694830 1914030 ) M1M2_PR
NEW met2 ( 518190 1913860 ) M2M3_PR_M
NEW met1 ( 518190 1914030 ) M1M2_PR ;
- la_data_out[7] ( PIN la_data_out[7] ) ( chip_controller la_data_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 759230 2380 0 ) ( * 1501610 )
NEW met3 ( 185610 1552780 ) ( 200100 * 0 )
NEW met2 ( 185610 1501610 ) ( * 1552780 )
NEW met1 ( 185610 1501610 ) ( 759230 * )
NEW met1 ( 759230 1501610 ) M1M2_PR
NEW met2 ( 185610 1552780 ) M2M3_PR_M
NEW met1 ( 185610 1501610 ) M1M2_PR ;
- la_data_out[80] ( PIN la_data_out[80] ) ( chip_controller la_data_out[80] ) + USE SIGNAL
+ ROUTED met1 ( 508070 1490050 ) ( 509910 * )
NEW met2 ( 508070 1490050 ) ( * 1500420 0 )
NEW met2 ( 509910 44030 ) ( * 1490050 )
NEW met2 ( 2054130 2380 0 ) ( * 44030 )
NEW met1 ( 509910 44030 ) ( 2054130 * )
NEW met1 ( 509910 44030 ) M1M2_PR
NEW met1 ( 509910 1490050 ) M1M2_PR
NEW met1 ( 508070 1490050 ) M1M2_PR
NEW met1 ( 2054130 44030 ) M1M2_PR ;
- la_data_out[81] ( PIN la_data_out[81] ) ( chip_controller la_data_out[81] ) + USE SIGNAL
+ ROUTED met2 ( 495190 1899580 0 ) ( * 1911990 )
NEW met1 ( 495190 1911990 ) ( 514970 * )
NEW met1 ( 514970 1911650 ) ( * 1911990 )
NEW li1 ( 526010 1911650 ) ( * 1912670 )
NEW met1 ( 514970 1911650 ) ( 526010 * )
NEW met1 ( 526010 1912670 ) ( 647450 * )
NEW met2 ( 647450 39270 ) ( * 1912670 )
NEW met1 ( 647450 39270 ) ( 2071610 * )
NEW met2 ( 2071610 2380 0 ) ( * 39270 )
NEW met1 ( 495190 1911990 ) M1M2_PR
NEW li1 ( 526010 1911650 ) L1M1_PR_MR
NEW li1 ( 526010 1912670 ) L1M1_PR_MR
NEW met1 ( 647450 39270 ) M1M2_PR
NEW met1 ( 647450 1912670 ) M1M2_PR
NEW met1 ( 2071610 39270 ) M1M2_PR ;
- la_data_out[82] ( PIN la_data_out[82] ) ( chip_controller la_data_out[82] ) + USE SIGNAL
+ ROUTED met2 ( 508990 1500420 ) ( 509910 * 0 )
NEW met2 ( 508990 44370 ) ( * 1500420 )
NEW met1 ( 508990 44370 ) ( 2089550 * )
NEW met2 ( 2089550 2380 0 ) ( * 44370 )
NEW met1 ( 508990 44370 ) M1M2_PR
NEW met1 ( 2089550 44370 ) M1M2_PR ;
- la_data_out[83] ( PIN la_data_out[83] ) ( chip_controller la_data_out[83] ) + USE SIGNAL
+ ROUTED met2 ( 503930 1899580 0 ) ( 504850 * )
NEW met2 ( 504850 1899580 ) ( * 1912670 )
NEW met2 ( 702190 1912500 ) ( * 1914370 )
NEW met3 ( 702190 1912500 ) ( 703340 * )
NEW met4 ( 703340 1912500 ) ( 707020 * )
NEW met4 ( 707020 1869900 ) ( * 1912500 )
NEW met4 ( 707020 1869900 ) ( 709780 * )
NEW met2 ( 2107030 2380 0 ) ( * 3060 )
NEW met2 ( 2106110 3060 ) ( 2107030 * )
NEW met2 ( 2106110 2380 ) ( * 3060 )
NEW met2 ( 2104730 2380 ) ( 2106110 * )
NEW li1 ( 525550 1912670 ) ( * 1915730 )
NEW li1 ( 525550 1915730 ) ( 528310 * )
NEW met1 ( 528310 1915730 ) ( 541650 * )
NEW li1 ( 541650 1914370 ) ( * 1915730 )
NEW met1 ( 504850 1912670 ) ( 525550 * )
NEW met1 ( 541650 1914370 ) ( 702190 * )
NEW met3 ( 709780 67660 ) ( 2104730 * )
NEW met2 ( 2104730 2380 ) ( * 67660 )
NEW met4 ( 709780 67660 ) ( * 1869900 )
NEW met1 ( 504850 1912670 ) M1M2_PR
NEW met3 ( 709780 67660 ) M3M4_PR_M
NEW met1 ( 702190 1914370 ) M1M2_PR
NEW met2 ( 702190 1912500 ) M2M3_PR_M
NEW met3 ( 703340 1912500 ) M3M4_PR_M
NEW li1 ( 525550 1912670 ) L1M1_PR_MR
NEW li1 ( 528310 1915730 ) L1M1_PR_MR
NEW li1 ( 541650 1915730 ) L1M1_PR_MR
NEW li1 ( 541650 1914370 ) L1M1_PR_MR
NEW met2 ( 2104730 67660 ) M2M3_PR_M ;
- la_data_out[84] ( PIN la_data_out[84] ) ( chip_controller la_data_out[84] ) + USE SIGNAL
+ ROUTED met2 ( 2124970 2380 0 ) ( * 31790 )
NEW met2 ( 130410 31790 ) ( * 1807950 )
NEW met2 ( 189750 1807950 ) ( * 1810500 )
NEW met3 ( 189750 1810500 ) ( 200100 * 0 )
NEW met1 ( 130410 31790 ) ( 2124970 * )
NEW met1 ( 130410 1807950 ) ( 189750 * )
NEW met1 ( 130410 31790 ) M1M2_PR
NEW met1 ( 2124970 31790 ) M1M2_PR
NEW met1 ( 130410 1807950 ) M1M2_PR
NEW met1 ( 189750 1807950 ) M1M2_PR
NEW met2 ( 189750 1810500 ) M2M3_PR_M ;
- la_data_out[85] ( PIN la_data_out[85] ) ( chip_controller la_data_out[85] ) + USE SIGNAL
+ ROUTED met2 ( 516350 82800 ) ( 516810 * )
NEW met2 ( 516350 48110 ) ( * 82800 )
NEW met2 ( 516810 82800 ) ( * 1483500 )
NEW met2 ( 514510 1483500 ) ( 516810 * )
NEW met2 ( 514510 1483500 ) ( * 1500420 )
NEW met2 ( 513130 1500420 0 ) ( 514510 * )
NEW met2 ( 2142450 2380 0 ) ( * 48110 )
NEW met1 ( 516350 48110 ) ( 2142450 * )
NEW met1 ( 516350 48110 ) M1M2_PR
NEW met1 ( 2142450 48110 ) M1M2_PR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( chip_controller la_data_out[86] ) + USE SIGNAL
+ ROUTED met2 ( 1231650 51170 ) ( * 1801150 )
NEW met1 ( 1231650 51170 ) ( 2160390 * )
NEW met2 ( 2160390 2380 0 ) ( * 51170 )
NEW met3 ( 599380 1801660 0 ) ( 607890 * )
NEW met2 ( 607890 1801660 ) ( * 1802170 )
NEW met1 ( 607890 1802170 ) ( 612490 * )
NEW met1 ( 612490 1801150 ) ( * 1802170 )
NEW met1 ( 612490 1801150 ) ( 1231650 * )
NEW met1 ( 1231650 51170 ) M1M2_PR
NEW met1 ( 1231650 1801150 ) M1M2_PR
NEW met1 ( 2160390 51170 ) M1M2_PR
NEW met2 ( 607890 1801660 ) M2M3_PR_M
NEW met1 ( 607890 1802170 ) M1M2_PR ;
- la_data_out[87] ( PIN la_data_out[87] ) ( chip_controller la_data_out[87] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1821890 ) ( * 1824780 )
NEW met3 ( 190210 1824780 ) ( 200100 * 0 )
NEW met2 ( 129030 65790 ) ( * 1821890 )
NEW met1 ( 129030 1821890 ) ( 190210 * )
NEW met2 ( 2177870 2380 0 ) ( * 3060 )
NEW met2 ( 2176950 3060 ) ( 2177870 * )
NEW met2 ( 2176950 2380 ) ( * 3060 )
NEW met2 ( 2175570 2380 ) ( 2176950 * )
NEW met1 ( 129030 65790 ) ( 2175570 * )
NEW met2 ( 2175570 2380 ) ( * 65790 )
NEW met1 ( 129030 1821890 ) M1M2_PR
NEW met1 ( 190210 1821890 ) M1M2_PR
NEW met2 ( 190210 1824780 ) M2M3_PR_M
NEW met1 ( 129030 65790 ) M1M2_PR
NEW met1 ( 2175570 65790 ) M1M2_PR ;
- la_data_out[88] ( PIN la_data_out[88] ) ( chip_controller la_data_out[88] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1822570 ) ( * 1826140 )
NEW met3 ( 189290 1826140 ) ( 200100 * 0 )
NEW met1 ( 146510 1822570 ) ( 189290 * )
NEW met2 ( 2195810 2380 0 ) ( * 24140 )
NEW met3 ( 146510 24140 ) ( 2195810 * )
NEW met2 ( 146510 24140 ) ( * 1822570 )
NEW met1 ( 189290 1822570 ) M1M2_PR
NEW met2 ( 189290 1826140 ) M2M3_PR_M
NEW met2 ( 146510 24140 ) M2M3_PR_M
NEW met1 ( 146510 1822570 ) M1M2_PR
NEW met2 ( 2195810 24140 ) M2M3_PR_M ;
- la_data_out[89] ( PIN la_data_out[89] ) ( chip_controller la_data_out[89] ) + USE SIGNAL
+ ROUTED met4 ( 782460 53380 ) ( * 1809140 )
NEW met2 ( 2213290 2380 0 ) ( * 53380 )
NEW met3 ( 782460 53380 ) ( 2213290 * )
NEW met3 ( 599380 1809820 0 ) ( 618700 * )
NEW met3 ( 618700 1809140 ) ( * 1809820 )
NEW met3 ( 618700 1809140 ) ( 782460 * )
NEW met3 ( 782460 53380 ) M3M4_PR_M
NEW met3 ( 782460 1809140 ) M3M4_PR_M
NEW met2 ( 2213290 53380 ) M2M3_PR_M ;
- la_data_out[8] ( PIN la_data_out[8] ) ( chip_controller la_data_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 777170 2380 0 ) ( * 42670 )
NEW met2 ( 275310 42670 ) ( * 1483500 )
NEW met2 ( 273930 1483500 ) ( 275310 * )
NEW met2 ( 273930 1483500 ) ( * 1500420 )
NEW met2 ( 272550 1500420 0 ) ( 273930 * )
NEW met1 ( 275310 42670 ) ( 777170 * )
NEW met1 ( 777170 42670 ) M1M2_PR
NEW met1 ( 275310 42670 ) M1M2_PR ;
- la_data_out[90] ( PIN la_data_out[90] ) ( chip_controller la_data_out[90] ) + USE SIGNAL
+ ROUTED met2 ( 2231230 2380 0 ) ( * 47430 )
NEW met1 ( 520030 1489710 ) ( 523710 * )
NEW met2 ( 520030 1489710 ) ( * 1500420 0 )
NEW met2 ( 523710 47430 ) ( * 1489710 )
NEW met1 ( 523710 47430 ) ( 2231230 * )
NEW met1 ( 2231230 47430 ) M1M2_PR
NEW met1 ( 523710 47430 ) M1M2_PR
NEW met1 ( 523710 1489710 ) M1M2_PR
NEW met1 ( 520030 1489710 ) M1M2_PR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( chip_controller la_data_out[91] ) + USE SIGNAL
+ ROUTED met2 ( 2249170 2380 0 ) ( * 13430 )
NEW met1 ( 700810 13430 ) ( 2249170 * )
NEW met2 ( 519110 1899580 0 ) ( * 1917940 )
NEW met3 ( 519110 1917940 ) ( 700810 * )
NEW met2 ( 700810 13430 ) ( * 1917940 )
NEW met1 ( 700810 13430 ) M1M2_PR
NEW met1 ( 2249170 13430 ) M1M2_PR
NEW met2 ( 700810 1917940 ) M2M3_PR_M
NEW met2 ( 519110 1917940 ) M2M3_PR_M ;
- la_data_out[92] ( PIN la_data_out[92] ) ( chip_controller la_data_out[92] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1829030 ) ( * 1831580 )
NEW met3 ( 189750 1831580 ) ( 200100 * 0 )
NEW met1 ( 136390 1829030 ) ( 189750 * )
NEW met2 ( 136390 72590 ) ( * 1829030 )
NEW met1 ( 136390 72590 ) ( 2266650 * )
NEW met2 ( 2266650 2380 0 ) ( * 72590 )
NEW met1 ( 189750 1829030 ) M1M2_PR
NEW met2 ( 189750 1831580 ) M2M3_PR_M
NEW met1 ( 136390 1829030 ) M1M2_PR
NEW met1 ( 136390 72590 ) M1M2_PR
NEW met1 ( 2266650 72590 ) M1M2_PR ;
- la_data_out[93] ( PIN la_data_out[93] ) ( chip_controller la_data_out[93] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1828690 ) ( * 1834980 )
NEW met3 ( 190210 1834980 ) ( 200100 * 0 )
NEW met2 ( 129490 59330 ) ( * 1828690 )
NEW met1 ( 129490 1828690 ) ( 190210 * )
NEW met1 ( 129490 59330 ) ( 2284590 * )
NEW met2 ( 2284590 2380 0 ) ( * 59330 )
NEW met1 ( 129490 1828690 ) M1M2_PR
NEW met1 ( 190210 1828690 ) M1M2_PR
NEW met2 ( 190210 1834980 ) M2M3_PR_M
NEW met1 ( 129490 59330 ) M1M2_PR
NEW met1 ( 2284590 59330 ) M1M2_PR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( chip_controller la_data_out[94] ) + USE SIGNAL
+ ROUTED met1 ( 531990 1489370 ) ( 537970 * )
NEW met2 ( 531990 1489370 ) ( * 1500420 0 )
NEW met2 ( 537970 47090 ) ( * 1489370 )
NEW met1 ( 537970 47090 ) ( 2302070 * )
NEW met2 ( 2302070 2380 0 ) ( * 47090 )
NEW met1 ( 537970 47090 ) M1M2_PR
NEW met1 ( 537970 1489370 ) M1M2_PR
NEW met1 ( 531990 1489370 ) M1M2_PR
NEW met1 ( 2302070 47090 ) M1M2_PR ;
- la_data_out[95] ( PIN la_data_out[95] ) ( chip_controller la_data_out[95] ) + USE SIGNAL
+ ROUTED met2 ( 2320010 2380 0 ) ( * 46750 )
NEW met1 ( 533830 1489710 ) ( 537510 * )
NEW met2 ( 533830 1489710 ) ( * 1500420 0 )
NEW met2 ( 537510 46750 ) ( * 1489710 )
NEW met1 ( 537510 46750 ) ( 2320010 * )
NEW met1 ( 2320010 46750 ) M1M2_PR
NEW met1 ( 537510 46750 ) M1M2_PR
NEW met1 ( 537510 1489710 ) M1M2_PR
NEW met1 ( 533830 1489710 ) M1M2_PR ;
- la_data_out[96] ( PIN la_data_out[96] ) ( chip_controller la_data_out[96] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1835490 ) ( * 1841780 )
NEW met3 ( 189290 1841780 ) ( 200100 * 0 )
NEW met2 ( 123050 79390 ) ( * 1835490 )
NEW met2 ( 2337490 2380 0 ) ( * 79390 )
NEW met1 ( 123050 1835490 ) ( 189290 * )
NEW met1 ( 123050 79390 ) ( 2337490 * )
NEW met1 ( 123050 1835490 ) M1M2_PR
NEW met1 ( 189290 1835490 ) M1M2_PR
NEW met2 ( 189290 1841780 ) M2M3_PR_M
NEW met1 ( 123050 79390 ) M1M2_PR
NEW met1 ( 2337490 79390 ) M1M2_PR ;
- la_data_out[97] ( PIN la_data_out[97] ) ( chip_controller la_data_out[97] ) + USE SIGNAL
+ ROUTED met2 ( 529690 1899580 0 ) ( 531070 * )
NEW met2 ( 531070 1899580 ) ( * 1913860 )
NEW met2 ( 531070 1913860 ) ( 531530 * )
NEW met2 ( 531530 1913860 ) ( * 1918110 )
NEW met1 ( 531530 1918110 ) ( 647910 * )
NEW met2 ( 647910 47770 ) ( * 1918110 )
NEW met1 ( 647910 47770 ) ( 2355430 * )
NEW met2 ( 2355430 2380 0 ) ( * 47770 )
NEW met1 ( 531530 1918110 ) M1M2_PR
NEW met1 ( 647910 47770 ) M1M2_PR
NEW met1 ( 647910 1918110 ) M1M2_PR
NEW met1 ( 2355430 47770 ) M1M2_PR ;
- la_data_out[98] ( PIN la_data_out[98] ) ( chip_controller la_data_out[98] ) + USE SIGNAL
+ ROUTED met2 ( 667690 44540 ) ( * 1913860 )
NEW met2 ( 534750 1899580 0 ) ( * 1913860 )
NEW met3 ( 534750 1913860 ) ( 667690 * )
NEW met3 ( 667690 44540 ) ( 2372910 * )
NEW met2 ( 2372910 2380 0 ) ( * 44540 )
NEW met2 ( 667690 44540 ) M2M3_PR_M
NEW met2 ( 667690 1913860 ) M2M3_PR_M
NEW met2 ( 534750 1913860 ) M2M3_PR_M
NEW met2 ( 2372910 44540 ) M2M3_PR_M ;
- la_data_out[99] ( PIN la_data_out[99] ) ( chip_controller la_data_out[99] ) + USE SIGNAL
+ ROUTED met2 ( 2389470 1020 ) ( * 1700 )
NEW met2 ( 2389470 1700 ) ( 2389930 * )
NEW met2 ( 2389930 1700 ) ( * 3060 )
NEW met2 ( 2389930 3060 ) ( 2390850 * )
NEW met2 ( 2390850 2380 0 ) ( * 3060 )
NEW met3 ( 708860 1020 ) ( 2389470 * )
NEW met3 ( 599380 1829540 0 ) ( 613870 * )
NEW met2 ( 613870 1829540 ) ( * 1831750 )
NEW li1 ( 704490 1802170 ) ( * 1831750 )
NEW met1 ( 704490 1802170 ) ( 707710 * )
NEW met2 ( 707710 1801660 ) ( * 1802170 )
NEW met3 ( 707710 1801660 ) ( 708860 * )
NEW met1 ( 613870 1831750 ) ( 704490 * )
NEW met4 ( 708860 1020 ) ( * 1801660 )
NEW met3 ( 708860 1020 ) M3M4_PR_M
NEW met2 ( 2389470 1020 ) M2M3_PR_M
NEW met2 ( 613870 1829540 ) M2M3_PR_M
NEW met1 ( 613870 1831750 ) M1M2_PR
NEW li1 ( 704490 1831750 ) L1M1_PR_MR
NEW li1 ( 704490 1802170 ) L1M1_PR_MR
NEW met1 ( 707710 1802170 ) M1M2_PR
NEW met2 ( 707710 1801660 ) M2M3_PR_M
NEW met3 ( 708860 1801660 ) M3M4_PR_M ;
- la_data_out[9] ( PIN la_data_out[9] ) ( chip_controller la_data_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 793730 82800 ) ( 794650 * )
NEW met2 ( 794650 2380 0 ) ( * 82800 )
NEW met2 ( 793730 82800 ) ( * 1471350 )
NEW met2 ( 156630 1471350 ) ( * 1916070 )
NEW met2 ( 259670 1899580 0 ) ( * 1916070 )
NEW met1 ( 156630 1916070 ) ( 259670 * )
NEW met1 ( 156630 1471350 ) ( 793730 * )
NEW met1 ( 793730 1471350 ) M1M2_PR
NEW met1 ( 156630 1471350 ) M1M2_PR
NEW met1 ( 156630 1916070 ) M1M2_PR
NEW met1 ( 259670 1916070 ) M1M2_PR ;
- la_oenb[0] ( PIN la_oenb[0] ) ( chip_controller la_oenb[0] ) + USE SIGNAL
+ ROUTED met2 ( 116610 17850 ) ( * 1897710 )
NEW met1 ( 201250 1897710 ) ( * 1899410 )
NEW met2 ( 201250 1899410 ) ( * 1899580 )
NEW met2 ( 201250 1899580 ) ( 202170 * 0 )
NEW met2 ( 641010 2380 0 ) ( * 17850 )
NEW met1 ( 116610 17850 ) ( 641010 * )
NEW met1 ( 116610 1897710 ) ( 201250 * )
NEW met1 ( 116610 17850 ) M1M2_PR
NEW met1 ( 116610 1897710 ) M1M2_PR
NEW met1 ( 201250 1899410 ) M1M2_PR
NEW met1 ( 641010 17850 ) M1M2_PR ;
- la_oenb[100] ( PIN la_oenb[100] ) ( chip_controller la_oenb[100] ) + USE SIGNAL
+ ROUTED met2 ( 673670 37740 ) ( * 1915900 )
NEW met2 ( 2414310 2380 0 ) ( * 37740 )
NEW met2 ( 536590 1899580 0 ) ( * 1915900 )
NEW met3 ( 536590 1915900 ) ( 673670 * )
NEW met3 ( 673670 37740 ) ( 2414310 * )
NEW met2 ( 673670 37740 ) M2M3_PR_M
NEW met2 ( 673670 1915900 ) M2M3_PR_M
NEW met2 ( 2414310 37740 ) M2M3_PR_M
NEW met2 ( 536590 1915900 ) M2M3_PR_M ;
- la_oenb[101] ( PIN la_oenb[101] ) ( chip_controller la_oenb[101] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1849770 ) ( * 1851980 )
NEW met3 ( 189290 1851980 ) ( 200100 * 0 )
NEW met2 ( 2432250 2380 0 ) ( * 30770 )
NEW met1 ( 143750 1849770 ) ( 189290 * )
NEW met1 ( 143750 30770 ) ( 2432250 * )
NEW met2 ( 143750 30770 ) ( * 1849770 )
NEW met1 ( 189290 1849770 ) M1M2_PR
NEW met2 ( 189290 1851980 ) M2M3_PR_M
NEW met1 ( 2432250 30770 ) M1M2_PR
NEW met1 ( 143750 30770 ) M1M2_PR
NEW met1 ( 143750 1849770 ) M1M2_PR ;
- la_oenb[102] ( PIN la_oenb[102] ) ( chip_controller la_oenb[102] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1849260 ) ( * 1855380 )
NEW met3 ( 190210 1855380 ) ( 200100 * 0 )
NEW met3 ( 177100 1849260 ) ( 190210 * )
NEW met3 ( 177100 64940 ) ( 2449730 * )
NEW met2 ( 2449730 2380 0 ) ( * 64940 )
NEW met4 ( 177100 64940 ) ( * 1849260 )
NEW met2 ( 190210 1849260 ) M2M3_PR_M
NEW met2 ( 190210 1855380 ) M2M3_PR_M
NEW met3 ( 177100 1849260 ) M3M4_PR_M
NEW met3 ( 177100 64940 ) M3M4_PR_M
NEW met2 ( 2449730 64940 ) M2M3_PR_M ;
- la_oenb[103] ( PIN la_oenb[103] ) ( chip_controller la_oenb[103] ) + USE SIGNAL
+ ROUTED met2 ( 2467670 2380 0 ) ( * 25500 )
NEW met3 ( 638710 25500 ) ( 2467670 * )
NEW met2 ( 543490 1899580 0 ) ( * 1914540 )
NEW met3 ( 543490 1914540 ) ( 638710 * )
NEW met2 ( 638710 25500 ) ( * 1914540 )
NEW met2 ( 638710 25500 ) M2M3_PR_M
NEW met2 ( 2467670 25500 ) M2M3_PR_M
NEW met2 ( 543490 1914540 ) M2M3_PR_M
NEW met2 ( 638710 1914540 ) M2M3_PR_M ;
- la_oenb[104] ( PIN la_oenb[104] ) ( chip_controller la_oenb[104] ) + USE SIGNAL
+ ROUTED met3 ( 651820 100300 ) ( 2449500 * )
NEW met3 ( 2449500 99620 ) ( * 100300 )
NEW met3 ( 2449500 99620 ) ( 2484230 * )
NEW met2 ( 545330 1899580 0 ) ( * 1912500 )
NEW met3 ( 545330 1912500 ) ( 651820 * )
NEW met4 ( 651820 100300 ) ( * 1912500 )
NEW met2 ( 2484230 82800 ) ( * 99620 )
NEW met2 ( 2484230 82800 ) ( 2485610 * )
NEW met2 ( 2485610 2380 0 ) ( * 82800 )
NEW met3 ( 651820 100300 ) M3M4_PR_M
NEW met2 ( 2484230 99620 ) M2M3_PR_M
NEW met2 ( 545330 1912500 ) M2M3_PR_M
NEW met3 ( 651820 1912500 ) M3M4_PR_M ;
- la_oenb[105] ( PIN la_oenb[105] ) ( chip_controller la_oenb[105] ) + USE SIGNAL
+ ROUTED met2 ( 2503090 2380 0 ) ( * 32130 )
NEW met1 ( 701730 32130 ) ( 2503090 * )
NEW met3 ( 599380 1847220 0 ) ( 612950 * )
NEW met2 ( 612950 1842630 ) ( * 1847220 )
NEW met1 ( 612950 1842630 ) ( 701730 * )
NEW met2 ( 701730 32130 ) ( * 1842630 )
NEW met1 ( 701730 32130 ) M1M2_PR
NEW met1 ( 2503090 32130 ) M1M2_PR
NEW met2 ( 612950 1847220 ) M2M3_PR_M
NEW met1 ( 612950 1842630 ) M1M2_PR
NEW met1 ( 701730 1842630 ) M1M2_PR ;
- la_oenb[106] ( PIN la_oenb[106] ) ( chip_controller la_oenb[106] ) + USE SIGNAL
+ ROUTED met2 ( 2521030 2380 0 ) ( * 3060 )
NEW met2 ( 2520110 3060 ) ( 2521030 * )
NEW met2 ( 2520110 2380 ) ( * 3060 )
NEW met2 ( 2518730 2380 ) ( 2520110 * )
NEW met2 ( 2518730 2380 ) ( * 73100 )
NEW met3 ( 707940 73100 ) ( 2518730 * )
NEW met3 ( 599380 1848580 0 ) ( 613870 * )
NEW met2 ( 613870 1845690 ) ( * 1848580 )
NEW met2 ( 704030 1809650 ) ( * 1845690 )
NEW met1 ( 704030 1809650 ) ( 708170 * )
NEW li1 ( 708170 1802510 ) ( * 1809650 )
NEW met2 ( 708170 1802340 ) ( * 1802510 )
NEW met3 ( 707940 1802340 ) ( 708170 * )
NEW met1 ( 613870 1845690 ) ( 704030 * )
NEW met4 ( 707940 73100 ) ( * 1802340 )
NEW met3 ( 707940 73100 ) M3M4_PR_M
NEW met2 ( 2518730 73100 ) M2M3_PR_M
NEW met2 ( 613870 1848580 ) M2M3_PR_M
NEW met1 ( 613870 1845690 ) M1M2_PR
NEW met1 ( 704030 1845690 ) M1M2_PR
NEW met1 ( 704030 1809650 ) M1M2_PR
NEW li1 ( 708170 1809650 ) L1M1_PR_MR
NEW li1 ( 708170 1802510 ) L1M1_PR_MR
NEW met1 ( 708170 1802510 ) M1M2_PR
NEW met2 ( 708170 1802340 ) M2M3_PR_M
NEW met3 ( 707940 1802340 ) M3M4_PR_M
NEW met1 ( 708170 1802510 ) RECT ( -355 -70 0 70 )
NEW met3 ( 708170 1802340 ) RECT ( 0 -150 390 150 ) ;
- la_oenb[107] ( PIN la_oenb[107] ) ( chip_controller la_oenb[107] ) + USE SIGNAL
+ ROUTED met2 ( 2538510 2380 0 ) ( * 46410 )
NEW met1 ( 555910 1489370 ) ( 558210 * )
NEW met2 ( 555910 1489370 ) ( * 1500420 0 )
NEW met2 ( 558210 46410 ) ( * 1489370 )
NEW met1 ( 558210 46410 ) ( 2538510 * )
NEW met1 ( 2538510 46410 ) M1M2_PR
NEW met1 ( 558210 46410 ) M1M2_PR
NEW met1 ( 558210 1489370 ) M1M2_PR
NEW met1 ( 555910 1489370 ) M1M2_PR ;
- la_oenb[108] ( PIN la_oenb[108] ) ( chip_controller la_oenb[108] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1851980 0 ) ( 607430 * )
NEW met2 ( 607430 1850110 ) ( * 1851980 )
NEW met1 ( 607430 1850110 ) ( 632270 * )
NEW met2 ( 2556450 2380 0 ) ( * 11730 )
NEW met1 ( 632270 11730 ) ( 2556450 * )
NEW met2 ( 632270 11730 ) ( * 1850110 )
NEW met2 ( 607430 1851980 ) M2M3_PR_M
NEW met1 ( 607430 1850110 ) M1M2_PR
NEW met1 ( 632270 11730 ) M1M2_PR
NEW met1 ( 632270 1850110 ) M1M2_PR
NEW met1 ( 2556450 11730 ) M1M2_PR ;
- la_oenb[109] ( PIN la_oenb[109] ) ( chip_controller la_oenb[109] ) + USE SIGNAL
+ ROUTED met1 ( 640090 100470 ) ( 2574390 * )
NEW met2 ( 554070 1899580 0 ) ( * 1915220 )
NEW met3 ( 554070 1915220 ) ( 640090 * )
NEW met2 ( 2573930 2380 0 ) ( * 34500 )
NEW met2 ( 2573930 34500 ) ( 2574390 * )
NEW met2 ( 2574390 34500 ) ( * 100470 )
NEW met2 ( 640090 100470 ) ( * 1915220 )
NEW met1 ( 640090 100470 ) M1M2_PR
NEW met1 ( 2574390 100470 ) M1M2_PR
NEW met2 ( 554070 1915220 ) M2M3_PR_M
NEW met2 ( 640090 1915220 ) M2M3_PR_M ;
- la_oenb[10] ( PIN la_oenb[10] ) ( chip_controller la_oenb[10] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1573350 ) ( * 1577260 )
NEW met3 ( 189750 1577260 ) ( 200100 * 0 )
NEW met1 ( 169970 1573350 ) ( 189750 * )
NEW met2 ( 814430 82800 ) ( 818570 * )
NEW met2 ( 818570 2380 0 ) ( * 82800 )
NEW met2 ( 814430 82800 ) ( * 1500930 )
NEW met2 ( 169970 1500930 ) ( * 1573350 )
NEW met1 ( 169970 1500930 ) ( 814430 * )
NEW met1 ( 189750 1573350 ) M1M2_PR
NEW met2 ( 189750 1577260 ) M2M3_PR_M
NEW met1 ( 169970 1573350 ) M1M2_PR
NEW met1 ( 814430 1500930 ) M1M2_PR
NEW met1 ( 169970 1500930 ) M1M2_PR ;
- la_oenb[110] ( PIN la_oenb[110] ) ( chip_controller la_oenb[110] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1856740 0 ) ( 607430 * )
NEW met2 ( 607430 1856230 ) ( * 1856740 )
NEW met1 ( 607430 1856230 ) ( 637790 * )
NEW met1 ( 637790 115770 ) ( 1300190 * )
NEW met2 ( 1300190 45220 ) ( * 115770 )
NEW met3 ( 1300190 45220 ) ( 2591870 * )
NEW met2 ( 2591870 2380 0 ) ( * 45220 )
NEW met2 ( 637790 115770 ) ( * 1856230 )
NEW met2 ( 607430 1856740 ) M2M3_PR_M
NEW met1 ( 607430 1856230 ) M1M2_PR
NEW met1 ( 637790 115770 ) M1M2_PR
NEW met1 ( 637790 1856230 ) M1M2_PR
NEW met1 ( 1300190 115770 ) M1M2_PR
NEW met2 ( 1300190 45220 ) M2M3_PR_M
NEW met2 ( 2591870 45220 ) M2M3_PR_M ;
- la_oenb[111] ( PIN la_oenb[111] ) ( chip_controller la_oenb[111] ) + USE SIGNAL
+ ROUTED met1 ( 569710 1489710 ) ( 572010 * )
NEW met2 ( 569710 1489710 ) ( * 1500420 0 )
NEW met2 ( 572010 46070 ) ( * 1489710 )
NEW met2 ( 2609350 2380 0 ) ( * 46070 )
NEW met1 ( 572010 46070 ) ( 2609350 * )
NEW met1 ( 572010 46070 ) M1M2_PR
NEW met1 ( 572010 1489710 ) M1M2_PR
NEW met1 ( 569710 1489710 ) M1M2_PR
NEW met1 ( 2609350 46070 ) M1M2_PR ;
- la_oenb[112] ( PIN la_oenb[112] ) ( chip_controller la_oenb[112] ) + USE SIGNAL
+ ROUTED met2 ( 2627290 2380 0 ) ( * 11220 )
NEW met3 ( 599380 1860140 0 ) ( 644460 * )
NEW met3 ( 644460 11220 ) ( 2627290 * )
NEW met4 ( 644460 11220 ) ( * 1860140 )
NEW met2 ( 2627290 11220 ) M2M3_PR_M
NEW met3 ( 644460 11220 ) M3M4_PR_M
NEW met3 ( 644460 1860140 ) M3M4_PR_M ;
- la_oenb[113] ( PIN la_oenb[113] ) ( chip_controller la_oenb[113] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1870510 ) ( * 1874420 )
NEW met3 ( 188370 1874420 ) ( 200100 * 0 )
NEW met2 ( 2645230 2380 0 ) ( * 10370 )
NEW met1 ( 137770 10370 ) ( 2645230 * )
NEW met2 ( 137770 10370 ) ( * 1870510 )
NEW met1 ( 137770 1870510 ) ( 188370 * )
NEW met1 ( 188370 1870510 ) M1M2_PR
NEW met2 ( 188370 1874420 ) M2M3_PR_M
NEW met1 ( 137770 10370 ) M1M2_PR
NEW met1 ( 2645230 10370 ) M1M2_PR
NEW met1 ( 137770 1870510 ) M1M2_PR ;
- la_oenb[114] ( PIN la_oenb[114] ) ( chip_controller la_oenb[114] ) + USE SIGNAL
+ ROUTED met1 ( 573390 1486650 ) ( 578450 * )
NEW met2 ( 573390 1486650 ) ( * 1500420 0 )
NEW met2 ( 578450 45730 ) ( * 1486650 )
NEW met1 ( 578450 45730 ) ( 2662710 * )
NEW met2 ( 2662710 2380 0 ) ( * 45730 )
NEW met1 ( 578450 45730 ) M1M2_PR
NEW met1 ( 578450 1486650 ) M1M2_PR
NEW met1 ( 573390 1486650 ) M1M2_PR
NEW met1 ( 2662710 45730 ) M1M2_PR ;
- la_oenb[115] ( PIN la_oenb[115] ) ( chip_controller la_oenb[115] ) + USE SIGNAL
+ ROUTED met3 ( 571090 1911820 ) ( 610420 * )
NEW met2 ( 571090 1899580 0 ) ( * 1911820 )
NEW met2 ( 2680650 2380 0 ) ( * 10540 )
NEW met3 ( 610420 10540 ) ( 2680650 * )
NEW met4 ( 610420 10540 ) ( * 1911820 )
NEW met3 ( 610420 10540 ) M3M4_PR_M
NEW met3 ( 610420 1911820 ) M3M4_PR_M
NEW met2 ( 571090 1911820 ) M2M3_PR_M
NEW met2 ( 2680650 10540 ) M2M3_PR_M ;
- la_oenb[116] ( PIN la_oenb[116] ) ( chip_controller la_oenb[116] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1868300 0 ) ( 608350 * )
NEW met2 ( 608350 1863370 ) ( * 1868300 )
NEW met2 ( 2698130 2380 0 ) ( * 11390 )
NEW met1 ( 608350 1863370 ) ( 659870 * )
NEW met1 ( 659870 11390 ) ( 2698130 * )
NEW met2 ( 659870 11390 ) ( * 1863370 )
NEW met2 ( 608350 1868300 ) M2M3_PR_M
NEW met1 ( 608350 1863370 ) M1M2_PR
NEW met1 ( 2698130 11390 ) M1M2_PR
NEW met1 ( 659870 11390 ) M1M2_PR
NEW met1 ( 659870 1863370 ) M1M2_PR ;
- la_oenb[117] ( PIN la_oenb[117] ) ( chip_controller la_oenb[117] ) + USE SIGNAL
+ ROUTED met1 ( 581670 1486650 ) ( 585810 * )
NEW met2 ( 581670 1486650 ) ( * 1500420 0 )
NEW met2 ( 585810 45390 ) ( * 1486650 )
NEW met2 ( 2716070 2380 0 ) ( * 45390 )
NEW met1 ( 585810 45390 ) ( 2716070 * )
NEW met1 ( 585810 45390 ) M1M2_PR
NEW met1 ( 585810 1486650 ) M1M2_PR
NEW met1 ( 581670 1486650 ) M1M2_PR
NEW met1 ( 2716070 45390 ) M1M2_PR ;
- la_oenb[118] ( PIN la_oenb[118] ) ( chip_controller la_oenb[118] ) + USE SIGNAL
+ ROUTED li1 ( 578910 1896010 ) ( * 1899750 )
NEW met2 ( 578910 1899580 ) ( * 1899750 )
NEW met2 ( 578450 1899580 0 ) ( 578910 * )
NEW met2 ( 669530 1892100 ) ( * 1896010 )
NEW met3 ( 669530 1892100 ) ( 674820 * )
NEW met4 ( 674820 113900 ) ( * 1892100 )
NEW met2 ( 2733550 2380 0 ) ( * 59500 )
NEW met3 ( 674820 113900 ) ( 1302260 * )
NEW met1 ( 578910 1896010 ) ( 669530 * )
NEW met4 ( 1302260 59500 ) ( * 113900 )
NEW met3 ( 1302260 59500 ) ( 2733550 * )
NEW met3 ( 674820 113900 ) M3M4_PR_M
NEW li1 ( 578910 1896010 ) L1M1_PR_MR
NEW li1 ( 578910 1899750 ) L1M1_PR_MR
NEW met1 ( 578910 1899750 ) M1M2_PR
NEW met1 ( 669530 1896010 ) M1M2_PR
NEW met2 ( 669530 1892100 ) M2M3_PR_M
NEW met3 ( 674820 1892100 ) M3M4_PR_M
NEW met2 ( 2733550 59500 ) M2M3_PR_M
NEW met3 ( 1302260 113900 ) M3M4_PR_M
NEW met3 ( 1302260 59500 ) M3M4_PR_M
NEW met1 ( 578910 1899750 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[119] ( PIN la_oenb[119] ) ( chip_controller la_oenb[119] ) + USE SIGNAL
+ ROUTED met1 ( 583510 1489710 ) ( 586270 * )
NEW met2 ( 583510 1489710 ) ( * 1500420 0 )
NEW met2 ( 586270 45050 ) ( * 1489710 )
NEW met1 ( 586270 45050 ) ( 2751490 * )
NEW met2 ( 2751490 2380 0 ) ( * 45050 )
NEW met1 ( 586270 45050 ) M1M2_PR
NEW met1 ( 586270 1489710 ) M1M2_PR
NEW met1 ( 583510 1489710 ) M1M2_PR
NEW met1 ( 2751490 45050 ) M1M2_PR ;
- la_oenb[11] ( PIN la_oenb[11] ) ( chip_controller la_oenb[11] ) + USE SIGNAL
+ ROUTED met2 ( 271630 1899580 0 ) ( * 1917430 )
NEW met1 ( 176870 1917430 ) ( 271630 * )
NEW met2 ( 835130 82800 ) ( 836050 * )
NEW met2 ( 836050 2380 0 ) ( * 82800 )
NEW met2 ( 835130 82800 ) ( * 1465910 )
NEW met2 ( 176870 1465910 ) ( * 1917430 )
NEW met1 ( 176870 1465910 ) ( 835130 * )
NEW met1 ( 835130 1465910 ) M1M2_PR
NEW met1 ( 176870 1917430 ) M1M2_PR
NEW met1 ( 271630 1917430 ) M1M2_PR
NEW met1 ( 176870 1465910 ) M1M2_PR ;
- la_oenb[120] ( PIN la_oenb[120] ) ( chip_controller la_oenb[120] ) + USE SIGNAL
+ ROUTED met2 ( 591790 44710 ) ( * 1483500 )
NEW met2 ( 589950 1483500 ) ( 591790 * )
NEW met2 ( 589950 1483500 ) ( * 1500420 )
NEW met2 ( 588570 1500420 0 ) ( 589950 * )
NEW met1 ( 591790 44710 ) ( 2768970 * )
NEW met2 ( 2768970 2380 0 ) ( * 44710 )
NEW met1 ( 591790 44710 ) M1M2_PR
NEW met1 ( 2768970 44710 ) M1M2_PR ;
- la_oenb[121] ( PIN la_oenb[121] ) ( chip_controller la_oenb[121] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1876460 0 ) ( 607890 * )
NEW met2 ( 607890 1870340 ) ( * 1876460 )
NEW met4 ( 685860 9860 ) ( * 1870340 )
NEW met2 ( 2786910 2380 0 ) ( * 9860 )
NEW met3 ( 685860 9860 ) ( 2786910 * )
NEW met3 ( 607890 1870340 ) ( 685860 * )
NEW met3 ( 685860 9860 ) M3M4_PR_M
NEW met2 ( 607890 1876460 ) M2M3_PR_M
NEW met2 ( 607890 1870340 ) M2M3_PR_M
NEW met3 ( 685860 1870340 ) M3M4_PR_M
NEW met2 ( 2786910 9860 ) M2M3_PR_M ;
- la_oenb[122] ( PIN la_oenb[122] ) ( chip_controller la_oenb[122] ) + USE SIGNAL
+ ROUTED met2 ( 2804390 2380 0 ) ( * 3060 )
NEW met2 ( 2803470 3060 ) ( 2804390 * )
NEW met2 ( 2803470 2380 ) ( * 3060 )
NEW met2 ( 2802090 2380 ) ( 2803470 * )
NEW met3 ( 599380 1881220 0 ) ( 607890 * )
NEW met2 ( 607890 1877310 ) ( * 1881220 )
NEW met2 ( 2801630 82800 ) ( 2802090 * )
NEW met2 ( 2802090 2380 ) ( * 82800 )
NEW met1 ( 607890 1877310 ) ( 656650 * )
NEW met2 ( 656650 1805230 ) ( * 1877310 )
NEW met2 ( 2801630 82800 ) ( * 1805230 )
NEW met1 ( 656650 1805230 ) ( 2801630 * )
NEW met2 ( 607890 1881220 ) M2M3_PR_M
NEW met1 ( 607890 1877310 ) M1M2_PR
NEW met1 ( 2801630 1805230 ) M1M2_PR
NEW met1 ( 656650 1805230 ) M1M2_PR
NEW met1 ( 656650 1877310 ) M1M2_PR ;
- la_oenb[123] ( PIN la_oenb[123] ) ( chip_controller la_oenb[123] ) + USE SIGNAL
+ ROUTED met2 ( 2822330 2380 0 ) ( * 10710 )
NEW met2 ( 592250 1500420 0 ) ( 593170 * )
NEW met2 ( 593170 10710 ) ( * 1500420 )
NEW met1 ( 593170 10710 ) ( 2822330 * )
NEW met1 ( 593170 10710 ) M1M2_PR
NEW met1 ( 2822330 10710 ) M1M2_PR ;
- la_oenb[124] ( PIN la_oenb[124] ) ( chip_controller la_oenb[124] ) + USE SIGNAL
+ ROUTED met2 ( 2840270 2380 0 ) ( * 3060 )
NEW met2 ( 2839350 3060 ) ( 2840270 * )
NEW met2 ( 2839350 2380 ) ( * 3060 )
NEW met2 ( 2837970 2380 ) ( 2839350 * )
NEW met3 ( 599380 1885980 0 ) ( 614100 * )
NEW met3 ( 614100 1885300 ) ( * 1885980 )
NEW met3 ( 614100 1885300 ) ( 702420 * )
NEW met2 ( 2836130 82800 ) ( 2837970 * )
NEW met2 ( 2837970 2380 ) ( * 82800 )
NEW met3 ( 702420 1797580 ) ( 2836130 * )
NEW met2 ( 2836130 82800 ) ( * 1797580 )
NEW met4 ( 702420 1797580 ) ( * 1885300 )
NEW met3 ( 702420 1797580 ) M3M4_PR_M
NEW met3 ( 702420 1885300 ) M3M4_PR_M
NEW met2 ( 2836130 1797580 ) M2M3_PR_M ;
- la_oenb[125] ( PIN la_oenb[125] ) ( chip_controller la_oenb[125] ) + USE SIGNAL
+ ROUTED met2 ( 597310 1500420 0 ) ( 598690 * )
NEW met2 ( 598690 58990 ) ( * 1500420 )
NEW met2 ( 2811750 17510 ) ( * 58990 )
NEW met2 ( 2857750 2380 0 ) ( * 17510 )
NEW met1 ( 2811750 17510 ) ( 2857750 * )
NEW met1 ( 598690 58990 ) ( 2811750 * )
NEW met1 ( 2811750 17510 ) M1M2_PR
NEW met1 ( 598690 58990 ) M1M2_PR
NEW met1 ( 2811750 58990 ) M1M2_PR
NEW met1 ( 2857750 17510 ) M1M2_PR ;
- la_oenb[126] ( PIN la_oenb[126] ) ( chip_controller la_oenb[126] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1894140 0 ) ( 614100 * )
NEW met3 ( 614100 1892780 ) ( * 1894140 )
NEW met3 ( 614100 1892780 ) ( 695060 * )
NEW met2 ( 2870630 82800 ) ( 2875690 * )
NEW met2 ( 2875690 2380 0 ) ( * 82800 )
NEW met3 ( 695060 1796900 ) ( 2870630 * )
NEW met2 ( 2870630 82800 ) ( * 1796900 )
NEW met4 ( 695060 1796900 ) ( * 1892780 )
NEW met3 ( 695060 1796900 ) M3M4_PR_M
NEW met3 ( 695060 1892780 ) M3M4_PR_M
NEW met2 ( 2870630 1796900 ) M2M3_PR_M ;
- la_oenb[127] ( PIN la_oenb[127] ) ( chip_controller la_oenb[127] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1897540 0 ) ( 607890 * )
NEW met2 ( 607890 1897540 ) ( * 1901110 )
NEW met2 ( 676890 1797410 ) ( * 1901110 )
NEW met2 ( 2891330 82800 ) ( 2893170 * )
NEW met2 ( 2893170 2380 0 ) ( * 82800 )
NEW met2 ( 2891330 82800 ) ( * 1797410 )
NEW met1 ( 607890 1901110 ) ( 676890 * )
NEW met1 ( 676890 1797410 ) ( 2891330 * )
NEW met2 ( 607890 1897540 ) M2M3_PR_M
NEW met1 ( 607890 1901110 ) M1M2_PR
NEW met1 ( 676890 1797410 ) M1M2_PR
NEW met1 ( 676890 1901110 ) M1M2_PR
NEW met1 ( 2891330 1797410 ) M1M2_PR ;
- la_oenb[12] ( PIN la_oenb[12] ) ( chip_controller la_oenb[12] ) + USE SIGNAL
+ ROUTED met2 ( 290030 1464210 ) ( * 1500420 0 )
NEW met2 ( 853990 2380 0 ) ( * 3060 )
NEW met2 ( 853070 3060 ) ( 853990 * )
NEW met2 ( 853070 2380 ) ( * 3060 )
NEW met2 ( 851690 2380 ) ( 853070 * )
NEW met1 ( 290030 1464210 ) ( 848930 * )
NEW met2 ( 848930 82800 ) ( 851690 * )
NEW met2 ( 851690 2380 ) ( * 82800 )
NEW met2 ( 848930 82800 ) ( * 1464210 )
NEW met1 ( 290030 1464210 ) M1M2_PR
NEW met1 ( 848930 1464210 ) M1M2_PR ;
- la_oenb[13] ( PIN la_oenb[13] ) ( chip_controller la_oenb[13] ) + USE SIGNAL
+ ROUTED met2 ( 871470 2380 0 ) ( * 3060 )
NEW met2 ( 870550 3060 ) ( 871470 * )
NEW met2 ( 870550 2380 ) ( * 3060 )
NEW met2 ( 869630 2380 ) ( 870550 * )
NEW met1 ( 296930 1490050 ) ( 304750 * )
NEW met2 ( 296930 1490050 ) ( * 1500420 0 )
NEW met2 ( 304750 1464550 ) ( * 1490050 )
NEW met2 ( 869630 2380 ) ( * 1464550 )
NEW met1 ( 304750 1464550 ) ( 869630 * )
NEW met1 ( 304750 1464550 ) M1M2_PR
NEW met1 ( 869630 1464550 ) M1M2_PR
NEW met1 ( 304750 1490050 ) M1M2_PR
NEW met1 ( 296930 1490050 ) M1M2_PR ;
- la_oenb[14] ( PIN la_oenb[14] ) ( chip_controller la_oenb[14] ) + USE SIGNAL
+ ROUTED met1 ( 307050 1490390 ) ( 309810 * )
NEW met2 ( 307050 1490390 ) ( * 1500420 0 )
NEW met2 ( 309810 54570 ) ( * 1490390 )
NEW met2 ( 889410 2380 0 ) ( * 54570 )
NEW met1 ( 309810 54570 ) ( 889410 * )
NEW met1 ( 309810 54570 ) M1M2_PR
NEW met1 ( 309810 1490390 ) M1M2_PR
NEW met1 ( 307050 1490390 ) M1M2_PR
NEW met1 ( 889410 54570 ) M1M2_PR ;
- la_oenb[15] ( PIN la_oenb[15] ) ( chip_controller la_oenb[15] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1614830 ) ( * 1616700 )
NEW met3 ( 189750 1616700 ) ( 200100 * 0 )
NEW met2 ( 907350 2380 0 ) ( * 3060 )
NEW met2 ( 906430 3060 ) ( 907350 * )
NEW met2 ( 906430 2380 ) ( * 3060 )
NEW met2 ( 905050 2380 ) ( 906430 * )
NEW met1 ( 164910 1614830 ) ( 189750 * )
NEW met2 ( 904130 82800 ) ( 905050 * )
NEW met2 ( 905050 2380 ) ( * 82800 )
NEW met2 ( 904130 82800 ) ( * 1480870 )
NEW met2 ( 164910 1480870 ) ( * 1614830 )
NEW met1 ( 164910 1480870 ) ( 904130 * )
NEW met1 ( 189750 1614830 ) M1M2_PR
NEW met2 ( 189750 1616700 ) M2M3_PR_M
NEW met1 ( 904130 1480870 ) M1M2_PR
NEW met1 ( 164910 1614830 ) M1M2_PR
NEW met1 ( 164910 1480870 ) M1M2_PR ;
- la_oenb[16] ( PIN la_oenb[16] ) ( chip_controller la_oenb[16] ) + USE SIGNAL
+ ROUTED met2 ( 317170 1489030 ) ( * 1500420 0 )
NEW met2 ( 382950 106930 ) ( * 1488690 )
NEW met1 ( 382950 106930 ) ( 924830 * )
NEW met1 ( 372600 1488690 ) ( 382950 * )
NEW met1 ( 372600 1488690 ) ( * 1489030 )
NEW met1 ( 317170 1489030 ) ( 372600 * )
NEW met2 ( 924830 2380 0 ) ( * 106930 )
NEW met1 ( 382950 106930 ) M1M2_PR
NEW met1 ( 317170 1489030 ) M1M2_PR
NEW met1 ( 382950 1488690 ) M1M2_PR
NEW met1 ( 924830 106930 ) M1M2_PR ;
- la_oenb[17] ( PIN la_oenb[17] ) ( chip_controller la_oenb[17] ) + USE SIGNAL
+ ROUTED met2 ( 324070 1484100 ) ( * 1500420 0 )
NEW met1 ( 324530 1464890 ) ( 938630 * )
NEW met2 ( 324070 1484100 ) ( 324530 * )
NEW met2 ( 324530 1464890 ) ( * 1484100 )
NEW met2 ( 942770 2380 0 ) ( * 34500 )
NEW met2 ( 938630 34500 ) ( 942770 * )
NEW met2 ( 938630 34500 ) ( * 1464890 )
NEW met1 ( 324530 1464890 ) M1M2_PR
NEW met1 ( 938630 1464890 ) M1M2_PR ;
- la_oenb[18] ( PIN la_oenb[18] ) ( chip_controller la_oenb[18] ) + USE SIGNAL
+ ROUTED met2 ( 186990 1869900 ) ( 187450 * )
NEW met2 ( 187450 1869900 ) ( * 1875100 )
NEW met2 ( 187450 1875100 ) ( 188830 * )
NEW met2 ( 188830 1875100 ) ( * 1877140 )
NEW met2 ( 188830 1877140 ) ( 189290 * )
NEW met2 ( 189290 1877140 ) ( * 1887340 )
NEW met2 ( 189290 1887340 ) ( 189750 * )
NEW met2 ( 189750 1887340 ) ( * 1894310 )
NEW met1 ( 189750 1894310 ) ( 191590 * )
NEW met2 ( 191590 1894310 ) ( * 1917770 )
NEW met2 ( 323610 1899580 0 ) ( * 1917770 )
NEW met2 ( 959330 82800 ) ( 960250 * )
NEW met2 ( 960250 2380 0 ) ( * 82800 )
NEW met2 ( 959330 82800 ) ( * 1467610 )
NEW met1 ( 191590 1917770 ) ( 323610 * )
NEW li1 ( 186990 1507390 ) ( * 1510450 )
NEW met1 ( 186530 1507390 ) ( 186990 * )
NEW met2 ( 186530 1467610 ) ( * 1507390 )
NEW met2 ( 186990 1510450 ) ( * 1869900 )
NEW met1 ( 186530 1467610 ) ( 959330 * )
NEW met1 ( 959330 1467610 ) M1M2_PR
NEW met1 ( 189750 1894310 ) M1M2_PR
NEW met1 ( 191590 1894310 ) M1M2_PR
NEW met1 ( 191590 1917770 ) M1M2_PR
NEW met1 ( 323610 1917770 ) M1M2_PR
NEW li1 ( 186990 1510450 ) L1M1_PR_MR
NEW met1 ( 186990 1510450 ) M1M2_PR
NEW li1 ( 186990 1507390 ) L1M1_PR_MR
NEW met1 ( 186530 1507390 ) M1M2_PR
NEW met1 ( 186530 1467610 ) M1M2_PR
NEW met1 ( 186990 1510450 ) RECT ( 0 -70 355 70 ) ;
- la_oenb[19] ( PIN la_oenb[19] ) ( chip_controller la_oenb[19] ) + USE SIGNAL
+ ROUTED met2 ( 978190 2380 0 ) ( * 3060 )
NEW met2 ( 977270 3060 ) ( 978190 * )
NEW met2 ( 977270 2380 ) ( * 3060 )
NEW met2 ( 975890 2380 ) ( 977270 * )
NEW met2 ( 973130 82800 ) ( 975890 * )
NEW met2 ( 975890 2380 ) ( * 82800 )
NEW met2 ( 973130 82800 ) ( * 1471010 )
NEW met1 ( 339710 1471010 ) ( 973130 * )
NEW met1 ( 334650 1490050 ) ( 339710 * )
NEW met2 ( 334650 1490050 ) ( * 1500420 0 )
NEW met2 ( 339710 1471010 ) ( * 1490050 )
NEW met1 ( 973130 1471010 ) M1M2_PR
NEW met1 ( 339710 1471010 ) M1M2_PR
NEW met1 ( 339710 1490050 ) M1M2_PR
NEW met1 ( 334650 1490050 ) M1M2_PR ;
- la_oenb[1] ( PIN la_oenb[1] ) ( chip_controller la_oenb[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1511980 0 ) ( 607430 * )
NEW met2 ( 607430 1511470 ) ( * 1511980 )
NEW met2 ( 658950 2380 0 ) ( * 3060 )
NEW met2 ( 658030 3060 ) ( 658950 * )
NEW met2 ( 658030 2380 ) ( * 3060 )
NEW met2 ( 656650 2380 ) ( 658030 * )
NEW met2 ( 655730 82800 ) ( 656650 * )
NEW met2 ( 656650 2380 ) ( * 82800 )
NEW met1 ( 607430 1511470 ) ( 655730 * )
NEW met2 ( 655730 82800 ) ( * 1511470 )
NEW met2 ( 607430 1511980 ) M2M3_PR_M
NEW met1 ( 607430 1511470 ) M1M2_PR
NEW met1 ( 655730 1511470 ) M1M2_PR ;
- la_oenb[20] ( PIN la_oenb[20] ) ( chip_controller la_oenb[20] ) + USE SIGNAL
+ ROUTED met2 ( 995670 2380 0 ) ( * 3060 )
NEW met2 ( 994750 3060 ) ( 995670 * )
NEW met2 ( 994750 2380 ) ( * 3060 )
NEW met2 ( 993830 2380 ) ( 994750 * )
NEW met2 ( 993830 2380 ) ( * 1465570 )
NEW met1 ( 363170 1465570 ) ( 993830 * )
NEW met1 ( 341090 1490050 ) ( 363170 * )
NEW met2 ( 341090 1490050 ) ( * 1500420 )
NEW met2 ( 339710 1500420 0 ) ( 341090 * )
NEW met2 ( 363170 1465570 ) ( * 1490050 )
NEW met1 ( 993830 1465570 ) M1M2_PR
NEW met1 ( 363170 1465570 ) M1M2_PR
NEW met1 ( 363170 1490050 ) M1M2_PR
NEW met1 ( 341090 1490050 ) M1M2_PR ;
- la_oenb[21] ( PIN la_oenb[21] ) ( chip_controller la_oenb[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1638460 0 ) ( 607890 * )
NEW met2 ( 607890 1635570 ) ( * 1638460 )
NEW met2 ( 1013610 2380 0 ) ( * 20910 )
NEW met1 ( 1007630 20910 ) ( 1013610 * )
NEW met1 ( 607890 1635570 ) ( 1007630 * )
NEW met2 ( 1007630 20910 ) ( * 1635570 )
NEW met2 ( 607890 1638460 ) M2M3_PR_M
NEW met1 ( 607890 1635570 ) M1M2_PR
NEW met1 ( 1013610 20910 ) M1M2_PR
NEW met1 ( 1007630 20910 ) M1M2_PR
NEW met1 ( 1007630 1635570 ) M1M2_PR ;
- la_oenb[22] ( PIN la_oenb[22] ) ( chip_controller la_oenb[22] ) + USE SIGNAL
+ ROUTED met2 ( 687470 28390 ) ( * 1944630 )
NEW met1 ( 351210 1944630 ) ( 687470 * )
NEW met2 ( 1031090 2380 0 ) ( * 28390 )
NEW met1 ( 687470 28390 ) ( 1031090 * )
NEW met2 ( 346150 1899580 0 ) ( * 1911310 )
NEW met1 ( 346150 1911310 ) ( 351210 * )
NEW met2 ( 351210 1911310 ) ( * 1944630 )
NEW met1 ( 687470 28390 ) M1M2_PR
NEW met1 ( 687470 1944630 ) M1M2_PR
NEW met1 ( 351210 1944630 ) M1M2_PR
NEW met1 ( 1031090 28390 ) M1M2_PR
NEW met1 ( 346150 1911310 ) M1M2_PR
NEW met1 ( 351210 1911310 ) M1M2_PR ;
- la_oenb[23] ( PIN la_oenb[23] ) ( chip_controller la_oenb[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1647980 0 ) ( 608810 * )
NEW met2 ( 608810 1642710 ) ( * 1647980 )
NEW met2 ( 1049030 2380 0 ) ( * 17340 )
NEW met2 ( 1049030 17340 ) ( 1049490 * )
NEW met2 ( 1049490 17340 ) ( * 1642710 )
NEW met1 ( 608810 1642710 ) ( 1049490 * )
NEW met2 ( 608810 1647980 ) M2M3_PR_M
NEW met1 ( 608810 1642710 ) M1M2_PR
NEW met1 ( 1049490 1642710 ) M1M2_PR ;
- la_oenb[24] ( PIN la_oenb[24] ) ( chip_controller la_oenb[24] ) + USE SIGNAL
+ ROUTED met2 ( 1066970 2380 0 ) ( * 28730 )
NEW met2 ( 665850 28730 ) ( * 1940550 )
NEW met1 ( 527850 1940550 ) ( 665850 * )
NEW met1 ( 665850 28730 ) ( 1066970 * )
NEW met2 ( 353050 1899580 0 ) ( * 1915730 )
NEW met1 ( 353050 1915730 ) ( 527850 * )
NEW met2 ( 527850 1915730 ) ( * 1940550 )
NEW met1 ( 665850 28730 ) M1M2_PR
NEW met1 ( 665850 1940550 ) M1M2_PR
NEW met1 ( 1066970 28730 ) M1M2_PR
NEW met1 ( 527850 1940550 ) M1M2_PR
NEW met1 ( 353050 1915730 ) M1M2_PR
NEW met1 ( 527850 1915730 ) M1M2_PR ;
- la_oenb[25] ( PIN la_oenb[25] ) ( chip_controller la_oenb[25] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1670590 ) ( * 1672460 )
NEW met3 ( 189290 1672460 ) ( 200100 * 0 )
NEW met2 ( 1083530 82800 ) ( 1084450 * )
NEW met2 ( 1084450 2380 0 ) ( * 82800 )
NEW met2 ( 1083530 82800 ) ( * 1474410 )
NEW met1 ( 155250 1670590 ) ( 189290 * )
NEW met2 ( 155250 1474410 ) ( * 1670590 )
NEW met1 ( 155250 1474410 ) ( 1083530 * )
NEW met1 ( 189290 1670590 ) M1M2_PR
NEW met2 ( 189290 1672460 ) M2M3_PR_M
NEW met1 ( 1083530 1474410 ) M1M2_PR
NEW met1 ( 155250 1474410 ) M1M2_PR
NEW met1 ( 155250 1670590 ) M1M2_PR ;
- la_oenb[26] ( PIN la_oenb[26] ) ( chip_controller la_oenb[26] ) + USE SIGNAL
+ ROUTED met2 ( 374210 1468290 ) ( * 1483930 )
NEW met2 ( 1102390 2380 0 ) ( * 3060 )
NEW met2 ( 1101470 3060 ) ( 1102390 * )
NEW met2 ( 1101470 2380 ) ( * 3060 )
NEW met2 ( 1100090 2380 ) ( 1101470 * )
NEW met1 ( 374210 1468290 ) ( 1097330 * )
NEW met2 ( 363630 1483930 ) ( * 1500420 0 )
NEW met1 ( 363630 1483930 ) ( 374210 * )
NEW met2 ( 1097330 82800 ) ( 1100090 * )
NEW met2 ( 1100090 2380 ) ( * 82800 )
NEW met2 ( 1097330 82800 ) ( * 1468290 )
NEW met1 ( 374210 1468290 ) M1M2_PR
NEW met1 ( 374210 1483930 ) M1M2_PR
NEW met1 ( 1097330 1468290 ) M1M2_PR
NEW met1 ( 363630 1483930 ) M1M2_PR ;
- la_oenb[27] ( PIN la_oenb[27] ) ( chip_controller la_oenb[27] ) + USE SIGNAL
+ ROUTED met2 ( 373750 1899580 0 ) ( * 1911310 )
NEW met1 ( 373750 1911310 ) ( 378350 * )
NEW met2 ( 378350 1911310 ) ( * 1936470 )
NEW met1 ( 378350 1936470 ) ( 653430 * )
NEW met2 ( 653430 41990 ) ( * 1936470 )
NEW met1 ( 653430 41990 ) ( 1119870 * )
NEW met2 ( 1119870 2380 0 ) ( * 41990 )
NEW met1 ( 378350 1936470 ) M1M2_PR
NEW met1 ( 373750 1911310 ) M1M2_PR
NEW met1 ( 378350 1911310 ) M1M2_PR
NEW met1 ( 653430 1936470 ) M1M2_PR
NEW met1 ( 653430 41990 ) M1M2_PR
NEW met1 ( 1119870 41990 ) M1M2_PR ;
- la_oenb[28] ( PIN la_oenb[28] ) ( chip_controller la_oenb[28] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1683850 ) ( * 1686060 )
NEW met3 ( 189750 1686060 ) ( 200100 * 0 )
NEW met2 ( 155710 1473730 ) ( * 1683850 )
NEW met1 ( 155710 1683850 ) ( 189750 * )
NEW met2 ( 1137810 2380 0 ) ( * 34500 )
NEW met2 ( 1132290 34500 ) ( 1137810 * )
NEW met2 ( 1132290 34500 ) ( * 1473730 )
NEW met1 ( 155710 1473730 ) ( 1132290 * )
NEW met1 ( 189750 1683850 ) M1M2_PR
NEW met2 ( 189750 1686060 ) M2M3_PR_M
NEW met1 ( 155710 1473730 ) M1M2_PR
NEW met1 ( 1132290 1473730 ) M1M2_PR
NEW met1 ( 155710 1683850 ) M1M2_PR ;
- la_oenb[29] ( PIN la_oenb[29] ) ( chip_controller la_oenb[29] ) + USE SIGNAL
+ ROUTED met2 ( 387550 1899580 0 ) ( * 1913010 )
NEW met2 ( 1152530 82800 ) ( 1155290 * )
NEW met2 ( 1155290 2380 0 ) ( * 82800 )
NEW met2 ( 1152530 82800 ) ( * 1789250 )
NEW met1 ( 387550 1913010 ) ( 628130 * )
NEW met1 ( 628130 1789250 ) ( 1152530 * )
NEW met2 ( 628130 1789250 ) ( * 1913010 )
NEW met1 ( 387550 1913010 ) M1M2_PR
NEW met1 ( 1152530 1789250 ) M1M2_PR
NEW met1 ( 628130 1789250 ) M1M2_PR
NEW met1 ( 628130 1913010 ) M1M2_PR ;
- la_oenb[2] ( PIN la_oenb[2] ) ( chip_controller la_oenb[2] ) + USE SIGNAL
+ ROUTED met2 ( 222870 1899580 0 ) ( * 1911650 )
NEW met2 ( 676430 2380 0 ) ( * 1907570 )
NEW met2 ( 262430 1907570 ) ( * 1911650 )
NEW met1 ( 222870 1911650 ) ( 262430 * )
NEW met1 ( 262430 1907570 ) ( 676430 * )
NEW met1 ( 222870 1911650 ) M1M2_PR
NEW met1 ( 676430 1907570 ) M1M2_PR
NEW met1 ( 262430 1911650 ) M1M2_PR
NEW met1 ( 262430 1907570 ) M1M2_PR ;
- la_oenb[30] ( PIN la_oenb[30] ) ( chip_controller la_oenb[30] ) + USE SIGNAL
+ ROUTED met1 ( 379730 1489030 ) ( 384790 * )
NEW met2 ( 384790 1489030 ) ( * 1500420 )
NEW met2 ( 384790 1500420 ) ( 386170 * 0 )
NEW met2 ( 379730 1457750 ) ( * 1489030 )
NEW met2 ( 1173230 2380 0 ) ( * 1457750 )
NEW met1 ( 379730 1457750 ) ( 1173230 * )
NEW met1 ( 379730 1457750 ) M1M2_PR
NEW met1 ( 1173230 1457750 ) M1M2_PR
NEW met1 ( 379730 1489030 ) M1M2_PR
NEW met1 ( 384790 1489030 ) M1M2_PR ;
- la_oenb[31] ( PIN la_oenb[31] ) ( chip_controller la_oenb[31] ) + USE SIGNAL
+ ROUTED met2 ( 1190710 2380 0 ) ( * 3060 )
NEW met2 ( 1189790 3060 ) ( 1190710 * )
NEW met2 ( 1189790 2380 ) ( * 3060 )
NEW met2 ( 1188410 2380 ) ( 1189790 * )
NEW met3 ( 599380 1686740 0 ) ( 607890 * )
NEW met2 ( 607890 1684530 ) ( * 1686740 )
NEW met2 ( 1187030 82800 ) ( 1188410 * )
NEW met2 ( 1188410 2380 ) ( * 82800 )
NEW met2 ( 1187030 82800 ) ( * 1684530 )
NEW met1 ( 607890 1684530 ) ( 1187030 * )
NEW met2 ( 607890 1686740 ) M2M3_PR_M
NEW met1 ( 607890 1684530 ) M1M2_PR
NEW met1 ( 1187030 1684530 ) M1M2_PR ;
- la_oenb[32] ( PIN la_oenb[32] ) ( chip_controller la_oenb[32] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1693540 0 ) ( 607890 * )
NEW met2 ( 607890 1691330 ) ( * 1693540 )
NEW met2 ( 1207730 82800 ) ( 1208650 * )
NEW met2 ( 1208650 2380 0 ) ( * 82800 )
NEW met1 ( 607890 1691330 ) ( 1207730 * )
NEW met2 ( 1207730 82800 ) ( * 1691330 )
NEW met2 ( 607890 1693540 ) M2M3_PR_M
NEW met1 ( 607890 1691330 ) M1M2_PR
NEW met1 ( 1207730 1691330 ) M1M2_PR ;
- la_oenb[33] ( PIN la_oenb[33] ) ( chip_controller la_oenb[33] ) + USE SIGNAL
+ ROUTED met1 ( 398130 1490390 ) ( 400430 * )
NEW met2 ( 398130 1490390 ) ( * 1500420 0 )
NEW met2 ( 400430 1466930 ) ( * 1490390 )
NEW met2 ( 1226130 2380 0 ) ( * 17340 )
NEW met2 ( 1224290 17340 ) ( 1226130 * )
NEW met1 ( 400430 1466930 ) ( 1221530 * )
NEW met2 ( 1221530 82800 ) ( 1224290 * )
NEW met2 ( 1224290 17340 ) ( * 82800 )
NEW met2 ( 1221530 82800 ) ( * 1466930 )
NEW met1 ( 400430 1466930 ) M1M2_PR
NEW met1 ( 400430 1490390 ) M1M2_PR
NEW met1 ( 398130 1490390 ) M1M2_PR
NEW met1 ( 1221530 1466930 ) M1M2_PR ;
- la_oenb[34] ( PIN la_oenb[34] ) ( chip_controller la_oenb[34] ) + USE SIGNAL
+ ROUTED met2 ( 1244070 2380 0 ) ( * 3060 )
NEW met2 ( 1243150 3060 ) ( 1244070 * )
NEW met2 ( 1243150 2380 ) ( * 3060 )
NEW met2 ( 1242230 2380 ) ( 1243150 * )
NEW met3 ( 599380 1698300 0 ) ( 607430 * )
NEW met2 ( 607430 1698300 ) ( * 1698470 )
NEW met2 ( 1242230 2380 ) ( * 1698470 )
NEW met1 ( 607430 1698470 ) ( 1242230 * )
NEW met2 ( 607430 1698300 ) M2M3_PR_M
NEW met1 ( 607430 1698470 ) M1M2_PR
NEW met1 ( 1242230 1698470 ) M1M2_PR ;
- la_oenb[35] ( PIN la_oenb[35] ) ( chip_controller la_oenb[35] ) + USE SIGNAL
+ ROUTED met2 ( 398130 1899580 0 ) ( 399050 * )
NEW met2 ( 399050 1899580 ) ( * 1942930 )
NEW met2 ( 1262010 2380 0 ) ( * 42330 )
NEW met1 ( 399050 1942930 ) ( 603750 * )
NEW met1 ( 603750 42330 ) ( 1262010 * )
NEW met2 ( 603750 42330 ) ( * 1942930 )
NEW met1 ( 399050 1942930 ) M1M2_PR
NEW met1 ( 603750 1942930 ) M1M2_PR
NEW met1 ( 603750 42330 ) M1M2_PR
NEW met1 ( 1262010 42330 ) M1M2_PR ;
- la_oenb[36] ( PIN la_oenb[36] ) ( chip_controller la_oenb[36] ) + USE SIGNAL
+ ROUTED met2 ( 405030 1486990 ) ( * 1500420 0 )
NEW met2 ( 1276730 82800 ) ( 1279490 * )
NEW met2 ( 1279490 2380 0 ) ( * 82800 )
NEW met2 ( 1276730 82800 ) ( * 1466590 )
NEW met1 ( 428030 1466590 ) ( 1276730 * )
NEW met1 ( 405030 1486990 ) ( 428030 * )
NEW met2 ( 428030 1466590 ) ( * 1486990 )
NEW met1 ( 1276730 1466590 ) M1M2_PR
NEW met1 ( 405030 1486990 ) M1M2_PR
NEW met1 ( 428030 1466590 ) M1M2_PR
NEW met1 ( 428030 1486990 ) M1M2_PR ;
- la_oenb[37] ( PIN la_oenb[37] ) ( chip_controller la_oenb[37] ) + USE SIGNAL
+ ROUTED met2 ( 405030 1899580 0 ) ( * 1913690 )
NEW met1 ( 405030 1913690 ) ( 635490 * )
NEW met1 ( 635490 1800130 ) ( 1297430 * )
NEW met2 ( 1297430 2380 0 ) ( * 1800130 )
NEW met2 ( 635490 1800130 ) ( * 1913690 )
NEW met1 ( 405030 1913690 ) M1M2_PR
NEW met1 ( 635490 1800130 ) M1M2_PR
NEW met1 ( 635490 1913690 ) M1M2_PR
NEW met1 ( 1297430 1800130 ) M1M2_PR ;
- la_oenb[38] ( PIN la_oenb[38] ) ( chip_controller la_oenb[38] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1706460 0 ) ( 607890 * )
NEW met2 ( 607890 1704590 ) ( * 1706460 )
NEW met1 ( 607890 1704590 ) ( 1210950 * )
NEW met2 ( 1210950 41650 ) ( * 1704590 )
NEW met1 ( 1210950 41650 ) ( 1314910 * )
NEW met2 ( 1314910 2380 0 ) ( * 41650 )
NEW met2 ( 607890 1706460 ) M2M3_PR_M
NEW met1 ( 607890 1704590 ) M1M2_PR
NEW met1 ( 1210950 41650 ) M1M2_PR
NEW met1 ( 1210950 1704590 ) M1M2_PR
NEW met1 ( 1314910 41650 ) M1M2_PR ;
- la_oenb[39] ( PIN la_oenb[39] ) ( chip_controller la_oenb[39] ) + USE SIGNAL
+ ROUTED met2 ( 405950 1899580 ) ( 406870 * 0 )
NEW met2 ( 405950 1899580 ) ( * 1938170 )
NEW met1 ( 405950 1938170 ) ( 619390 * )
NEW met2 ( 1332850 2380 0 ) ( * 15130 )
NEW met1 ( 1306630 15130 ) ( 1332850 * )
NEW met2 ( 1304330 114410 ) ( * 116620 )
NEW met2 ( 1304330 116620 ) ( 1306170 * )
NEW met2 ( 1306170 102340 ) ( * 116620 )
NEW met2 ( 1306170 102340 ) ( 1306630 * )
NEW met1 ( 619390 114410 ) ( 1304330 * )
NEW met2 ( 1306630 15130 ) ( * 102340 )
NEW met2 ( 619390 114410 ) ( * 1938170 )
NEW met1 ( 405950 1938170 ) M1M2_PR
NEW met1 ( 619390 114410 ) M1M2_PR
NEW met1 ( 619390 1938170 ) M1M2_PR
NEW met1 ( 1332850 15130 ) M1M2_PR
NEW met1 ( 1306630 15130 ) M1M2_PR
NEW met1 ( 1304330 114410 ) M1M2_PR ;
- la_oenb[3] ( PIN la_oenb[3] ) ( chip_controller la_oenb[3] ) + USE SIGNAL
+ ROUTED met2 ( 694370 2380 0 ) ( * 17850 )
NEW met2 ( 226550 1899580 0 ) ( * 1903830 )
NEW met1 ( 647450 17850 ) ( 694370 * )
NEW met2 ( 647450 17850 ) ( * 34500 )
NEW met2 ( 646990 34500 ) ( 647450 * )
NEW met1 ( 226550 1903830 ) ( 646990 * )
NEW met2 ( 646990 34500 ) ( * 1903830 )
NEW met1 ( 694370 17850 ) M1M2_PR
NEW met1 ( 226550 1903830 ) M1M2_PR
NEW met1 ( 647450 17850 ) M1M2_PR
NEW met1 ( 646990 1903830 ) M1M2_PR ;
- la_oenb[40] ( PIN la_oenb[40] ) ( chip_controller la_oenb[40] ) + USE SIGNAL
+ ROUTED met2 ( 122130 53890 ) ( * 1718870 )
NEW met2 ( 189750 1718700 ) ( * 1718870 )
NEW met3 ( 189750 1718700 ) ( 200100 * 0 )
NEW met2 ( 1350330 2380 0 ) ( * 53890 )
NEW met1 ( 122130 1718870 ) ( 189750 * )
NEW met1 ( 122130 53890 ) ( 1350330 * )
NEW met1 ( 122130 53890 ) M1M2_PR
NEW met1 ( 122130 1718870 ) M1M2_PR
NEW met1 ( 189750 1718870 ) M1M2_PR
NEW met2 ( 189750 1718700 ) M2M3_PR_M
NEW met1 ( 1350330 53890 ) M1M2_PR ;
- la_oenb[41] ( PIN la_oenb[41] ) ( chip_controller la_oenb[41] ) + USE SIGNAL
+ ROUTED met2 ( 128110 43010 ) ( * 1718530 )
NEW met2 ( 189290 1718530 ) ( * 1720740 )
NEW met3 ( 189290 1720740 ) ( 200100 * 0 )
NEW met2 ( 1368270 2380 0 ) ( * 43010 )
NEW met1 ( 128110 1718530 ) ( 189290 * )
NEW met1 ( 128110 43010 ) ( 1368270 * )
NEW met1 ( 128110 43010 ) M1M2_PR
NEW met1 ( 128110 1718530 ) M1M2_PR
NEW met1 ( 189290 1718530 ) M1M2_PR
NEW met2 ( 189290 1720740 ) M2M3_PR_M
NEW met1 ( 1368270 43010 ) M1M2_PR ;
- la_oenb[42] ( PIN la_oenb[42] ) ( chip_controller la_oenb[42] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1725670 ) ( * 1727540 )
NEW met3 ( 189290 1727540 ) ( 200100 * 0 )
NEW met2 ( 1385750 2380 0 ) ( * 21590 )
NEW met1 ( 1380230 21590 ) ( 1385750 * )
NEW met2 ( 1380230 21590 ) ( * 78370 )
NEW met1 ( 148810 1725670 ) ( 189290 * )
NEW met2 ( 148810 78370 ) ( * 1725670 )
NEW met1 ( 148810 78370 ) ( 1380230 * )
NEW met1 ( 189290 1725670 ) M1M2_PR
NEW met2 ( 189290 1727540 ) M2M3_PR_M
NEW met1 ( 1385750 21590 ) M1M2_PR
NEW met1 ( 1380230 21590 ) M1M2_PR
NEW met1 ( 1380230 78370 ) M1M2_PR
NEW met1 ( 148810 1725670 ) M1M2_PR
NEW met1 ( 148810 78370 ) M1M2_PR ;
- la_oenb[43] ( PIN la_oenb[43] ) ( chip_controller la_oenb[43] ) + USE SIGNAL
+ ROUTED met2 ( 415610 1899580 0 ) ( * 1911310 )
NEW met1 ( 415610 1911310 ) ( 420670 * )
NEW met2 ( 420670 1911310 ) ( * 1942590 )
NEW met1 ( 420670 1942590 ) ( 626290 * )
NEW met2 ( 1304790 114580 ) ( * 114750 )
NEW met2 ( 1304790 114580 ) ( 1305250 * )
NEW met1 ( 626290 114750 ) ( 1304790 * )
NEW met2 ( 1403690 2380 0 ) ( * 14790 )
NEW met1 ( 1305710 14790 ) ( 1403690 * )
NEW met2 ( 1305250 82800 ) ( * 114580 )
NEW met2 ( 1305250 82800 ) ( 1305710 * )
NEW met2 ( 1305710 14790 ) ( * 82800 )
NEW met2 ( 626290 114750 ) ( * 1942590 )
NEW met1 ( 420670 1942590 ) M1M2_PR
NEW met1 ( 415610 1911310 ) M1M2_PR
NEW met1 ( 420670 1911310 ) M1M2_PR
NEW met1 ( 626290 114750 ) M1M2_PR
NEW met1 ( 626290 1942590 ) M1M2_PR
NEW met1 ( 1305710 14790 ) M1M2_PR
NEW met1 ( 1304790 114750 ) M1M2_PR
NEW met1 ( 1403690 14790 ) M1M2_PR ;
- la_oenb[44] ( PIN la_oenb[44] ) ( chip_controller la_oenb[44] ) + USE SIGNAL
+ ROUTED met1 ( 425730 1490050 ) ( 438150 * )
NEW met2 ( 425730 1490050 ) ( * 1500420 0 )
NEW met2 ( 438150 77350 ) ( * 1490050 )
NEW met1 ( 438150 77350 ) ( 1421630 * )
NEW met2 ( 1421630 2380 0 ) ( * 77350 )
NEW met1 ( 438150 77350 ) M1M2_PR
NEW met1 ( 438150 1490050 ) M1M2_PR
NEW met1 ( 425730 1490050 ) M1M2_PR
NEW met1 ( 1421630 77350 ) M1M2_PR ;
- la_oenb[45] ( PIN la_oenb[45] ) ( chip_controller la_oenb[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1719380 0 ) ( 607430 * )
NEW met2 ( 607430 1718870 ) ( * 1719380 )
NEW met2 ( 1190250 41990 ) ( * 1718870 )
NEW met2 ( 1439110 2380 0 ) ( * 41990 )
NEW met1 ( 607430 1718870 ) ( 1190250 * )
NEW met1 ( 1190250 41990 ) ( 1439110 * )
NEW met2 ( 607430 1719380 ) M2M3_PR_M
NEW met1 ( 607430 1718870 ) M1M2_PR
NEW met1 ( 1190250 41990 ) M1M2_PR
NEW met1 ( 1190250 1718870 ) M1M2_PR
NEW met1 ( 1439110 41990 ) M1M2_PR ;
- la_oenb[46] ( PIN la_oenb[46] ) ( chip_controller la_oenb[46] ) + USE SIGNAL
+ ROUTED met2 ( 1457050 2380 0 ) ( * 28050 )
NEW met2 ( 420210 1899580 ) ( 420670 * 0 )
NEW met2 ( 420210 1899580 ) ( * 1917090 )
NEW met2 ( 704490 1916580 ) ( * 1917090 )
NEW met2 ( 704490 1916580 ) ( 706330 * )
NEW met1 ( 706330 28050 ) ( 1457050 * )
NEW met1 ( 420210 1917090 ) ( 704490 * )
NEW met2 ( 706330 28050 ) ( * 1916580 )
NEW met1 ( 706330 28050 ) M1M2_PR
NEW met1 ( 1457050 28050 ) M1M2_PR
NEW met1 ( 420210 1917090 ) M1M2_PR
NEW met1 ( 704490 1917090 ) M1M2_PR ;
- la_oenb[47] ( PIN la_oenb[47] ) ( chip_controller la_oenb[47] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1727540 0 ) ( 607890 * )
NEW met2 ( 607890 1725670 ) ( * 1727540 )
NEW met2 ( 1474530 2380 0 ) ( * 34850 )
NEW met1 ( 607890 1725670 ) ( 1204050 * )
NEW met2 ( 1204050 34850 ) ( * 1725670 )
NEW met1 ( 1204050 34850 ) ( 1474530 * )
NEW met2 ( 607890 1727540 ) M2M3_PR_M
NEW met1 ( 607890 1725670 ) M1M2_PR
NEW met1 ( 1474530 34850 ) M1M2_PR
NEW met1 ( 1204050 1725670 ) M1M2_PR
NEW met1 ( 1204050 34850 ) M1M2_PR ;
- la_oenb[48] ( PIN la_oenb[48] ) ( chip_controller la_oenb[48] ) + USE SIGNAL
+ ROUTED met2 ( 1492470 2380 0 ) ( * 3060 )
NEW met2 ( 1491550 3060 ) ( 1492470 * )
NEW met2 ( 1491550 2380 ) ( * 3060 )
NEW met2 ( 1490630 2380 ) ( 1491550 * )
NEW met1 ( 435850 1490390 ) ( 440910 * )
NEW met2 ( 435850 1490390 ) ( * 1500420 0 )
NEW met2 ( 440910 54230 ) ( * 1490390 )
NEW met1 ( 440910 54230 ) ( 1490630 * )
NEW met2 ( 1490630 2380 ) ( * 54230 )
NEW met1 ( 440910 54230 ) M1M2_PR
NEW met1 ( 440910 1490390 ) M1M2_PR
NEW met1 ( 435850 1490390 ) M1M2_PR
NEW met1 ( 1490630 54230 ) M1M2_PR ;
- la_oenb[49] ( PIN la_oenb[49] ) ( chip_controller la_oenb[49] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1732300 0 ) ( 607430 * )
NEW met2 ( 607430 1732300 ) ( * 1732470 )
NEW met2 ( 1162650 27710 ) ( * 1732470 )
NEW met1 ( 607430 1732470 ) ( 1162650 * )
NEW met2 ( 1509950 2380 0 ) ( * 27710 )
NEW met1 ( 1162650 27710 ) ( 1509950 * )
NEW met2 ( 607430 1732300 ) M2M3_PR_M
NEW met1 ( 607430 1732470 ) M1M2_PR
NEW met1 ( 1162650 27710 ) M1M2_PR
NEW met1 ( 1162650 1732470 ) M1M2_PR
NEW met1 ( 1509950 27710 ) M1M2_PR ;
- la_oenb[4] ( PIN la_oenb[4] ) ( chip_controller la_oenb[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1537820 0 ) ( 608810 * )
NEW met2 ( 608810 1537650 ) ( * 1537820 )
NEW met1 ( 608810 1537650 ) ( 623990 * )
NEW met2 ( 623990 50830 ) ( * 1537650 )
NEW met1 ( 623990 50830 ) ( 712310 * )
NEW met2 ( 712310 2380 0 ) ( * 50830 )
NEW met2 ( 608810 1537820 ) M2M3_PR_M
NEW met1 ( 608810 1537650 ) M1M2_PR
NEW met1 ( 623990 1537650 ) M1M2_PR
NEW met1 ( 623990 50830 ) M1M2_PR
NEW met1 ( 712310 50830 ) M1M2_PR ;
- la_oenb[50] ( PIN la_oenb[50] ) ( chip_controller la_oenb[50] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1738930 ) ( * 1739780 )
NEW met3 ( 189750 1739780 ) ( 200100 * 0 )
NEW met1 ( 167670 1738930 ) ( 189750 * )
NEW met2 ( 1527890 2380 0 ) ( * 9350 )
NEW met1 ( 167670 9350 ) ( 1527890 * )
NEW met2 ( 167670 9350 ) ( * 1738930 )
NEW met1 ( 189750 1738930 ) M1M2_PR
NEW met2 ( 189750 1739780 ) M2M3_PR_M
NEW met1 ( 167670 9350 ) M1M2_PR
NEW met1 ( 167670 1738930 ) M1M2_PR
NEW met1 ( 1527890 9350 ) M1M2_PR ;
- la_oenb[51] ( PIN la_oenb[51] ) ( chip_controller la_oenb[51] ) + USE SIGNAL
+ ROUTED met2 ( 1545370 2380 0 ) ( * 16830 )
NEW met1 ( 1538930 16830 ) ( 1545370 * )
NEW met2 ( 1538930 16830 ) ( * 50150 )
NEW met2 ( 427110 1899580 ) ( 427570 * 0 )
NEW met2 ( 427110 1899580 ) ( * 1917770 )
NEW met1 ( 427110 1917770 ) ( 693910 * )
NEW met1 ( 693910 50150 ) ( 1538930 * )
NEW met2 ( 693910 50150 ) ( * 1917770 )
NEW met1 ( 1545370 16830 ) M1M2_PR
NEW met1 ( 1538930 16830 ) M1M2_PR
NEW met1 ( 693910 50150 ) M1M2_PR
NEW met1 ( 693910 1917770 ) M1M2_PR
NEW met1 ( 1538930 50150 ) M1M2_PR
NEW met1 ( 427110 1917770 ) M1M2_PR ;
- la_oenb[52] ( PIN la_oenb[52] ) ( chip_controller la_oenb[52] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1739950 ) ( * 1744540 )
NEW met3 ( 189290 1744540 ) ( 200100 * 0 )
NEW met2 ( 1562390 2210 ) ( * 3060 )
NEW met2 ( 1562390 3060 ) ( 1563310 * )
NEW met2 ( 1563310 2380 0 ) ( * 3060 )
NEW met2 ( 95910 2210 ) ( * 1739950 )
NEW met1 ( 95910 1739950 ) ( 189290 * )
NEW met1 ( 95910 2210 ) ( 1562390 * )
NEW met1 ( 95910 2210 ) M1M2_PR
NEW met1 ( 95910 1739950 ) M1M2_PR
NEW met1 ( 189290 1739950 ) M1M2_PR
NEW met2 ( 189290 1744540 ) M2M3_PR_M
NEW met1 ( 1562390 2210 ) M1M2_PR ;
- la_oenb[53] ( PIN la_oenb[53] ) ( chip_controller la_oenb[53] ) + USE SIGNAL
+ ROUTED met2 ( 1581250 2380 0 ) ( * 17340 )
NEW met2 ( 1580330 17340 ) ( 1581250 * )
NEW met2 ( 430790 1899580 0 ) ( * 1915390 )
NEW met1 ( 565800 1915730 ) ( 611110 * )
NEW met1 ( 565800 1915390 ) ( * 1915730 )
NEW met1 ( 430790 1915390 ) ( 565800 * )
NEW met1 ( 611110 69870 ) ( 1580330 * )
NEW met2 ( 1580330 17340 ) ( * 69870 )
NEW met2 ( 611110 69870 ) ( * 1915730 )
NEW met1 ( 611110 69870 ) M1M2_PR
NEW met1 ( 611110 1915730 ) M1M2_PR
NEW met1 ( 430790 1915390 ) M1M2_PR
NEW met1 ( 1580330 69870 ) M1M2_PR ;
- la_oenb[54] ( PIN la_oenb[54] ) ( chip_controller la_oenb[54] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1741820 0 ) ( 607890 * )
NEW met2 ( 607890 1739270 ) ( * 1741820 )
NEW met2 ( 1155750 21930 ) ( * 1739270 )
NEW met1 ( 607890 1739270 ) ( 1155750 * )
NEW met2 ( 1598730 2380 0 ) ( * 21930 )
NEW met1 ( 1155750 21930 ) ( 1598730 * )
NEW met2 ( 607890 1741820 ) M2M3_PR_M
NEW met1 ( 607890 1739270 ) M1M2_PR
NEW met1 ( 1155750 21930 ) M1M2_PR
NEW met1 ( 1155750 1739270 ) M1M2_PR
NEW met1 ( 1598730 21930 ) M1M2_PR ;
- la_oenb[55] ( PIN la_oenb[55] ) ( chip_controller la_oenb[55] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1746070 ) ( * 1749980 )
NEW met3 ( 189750 1749980 ) ( 200100 * 0 )
NEW met1 ( 153870 1746070 ) ( 189750 * )
NEW met2 ( 1616670 2380 0 ) ( * 10030 )
NEW met1 ( 153870 10030 ) ( 1616670 * )
NEW met2 ( 153870 10030 ) ( * 1746070 )
NEW met1 ( 189750 1746070 ) M1M2_PR
NEW met2 ( 189750 1749980 ) M2M3_PR_M
NEW met1 ( 153870 10030 ) M1M2_PR
NEW met1 ( 153870 1746070 ) M1M2_PR
NEW met1 ( 1616670 10030 ) M1M2_PR ;
- la_oenb[56] ( PIN la_oenb[56] ) ( chip_controller la_oenb[56] ) + USE SIGNAL
+ ROUTED met2 ( 1634150 2380 0 ) ( * 15130 )
NEW met1 ( 440910 1934770 ) ( 633190 * )
NEW met1 ( 1301110 14110 ) ( 1333310 * )
NEW li1 ( 1333310 14110 ) ( * 15130 )
NEW met1 ( 633190 115430 ) ( 1301110 * )
NEW met1 ( 1333310 15130 ) ( 1634150 * )
NEW met2 ( 439530 1899580 0 ) ( 440910 * )
NEW met2 ( 440910 1899580 ) ( * 1934770 )
NEW met2 ( 1301110 14110 ) ( * 115430 )
NEW met2 ( 633190 115430 ) ( * 1934770 )
NEW met1 ( 1634150 15130 ) M1M2_PR
NEW met1 ( 440910 1934770 ) M1M2_PR
NEW met1 ( 633190 115430 ) M1M2_PR
NEW met1 ( 633190 1934770 ) M1M2_PR
NEW met1 ( 1301110 14110 ) M1M2_PR
NEW li1 ( 1333310 14110 ) L1M1_PR_MR
NEW li1 ( 1333310 15130 ) L1M1_PR_MR
NEW met1 ( 1301110 115430 ) M1M2_PR ;
- la_oenb[57] ( PIN la_oenb[57] ) ( chip_controller la_oenb[57] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1745220 0 ) ( 608350 * )
NEW met2 ( 608350 1739610 ) ( * 1745220 )
NEW met2 ( 1652090 2380 0 ) ( * 55930 )
NEW met1 ( 608350 1739610 ) ( 1121710 * )
NEW met2 ( 1121710 55930 ) ( * 1739610 )
NEW met1 ( 1121710 55930 ) ( 1652090 * )
NEW met2 ( 608350 1745220 ) M2M3_PR_M
NEW met1 ( 608350 1739610 ) M1M2_PR
NEW met1 ( 1652090 55930 ) M1M2_PR
NEW met1 ( 1121710 1739610 ) M1M2_PR
NEW met1 ( 1121710 55930 ) M1M2_PR ;
- la_oenb[58] ( PIN la_oenb[58] ) ( chip_controller la_oenb[58] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1749980 0 ) ( 608350 * )
NEW met2 ( 608350 1746410 ) ( * 1749980 )
NEW met2 ( 1669570 2380 0 ) ( * 16830 )
NEW met1 ( 1663130 16830 ) ( 1669570 * )
NEW met2 ( 1072950 64090 ) ( * 1746410 )
NEW met2 ( 1663130 16830 ) ( * 64090 )
NEW met1 ( 608350 1746410 ) ( 1072950 * )
NEW met1 ( 1072950 64090 ) ( 1663130 * )
NEW met2 ( 608350 1749980 ) M2M3_PR_M
NEW met1 ( 608350 1746410 ) M1M2_PR
NEW met1 ( 1072950 1746410 ) M1M2_PR
NEW met1 ( 1669570 16830 ) M1M2_PR
NEW met1 ( 1663130 16830 ) M1M2_PR
NEW met1 ( 1072950 64090 ) M1M2_PR
NEW met1 ( 1663130 64090 ) M1M2_PR ;
- la_oenb[59] ( PIN la_oenb[59] ) ( chip_controller la_oenb[59] ) + USE SIGNAL
+ ROUTED met2 ( 1687510 2380 0 ) ( * 18870 )
NEW met1 ( 658950 18870 ) ( 1687510 * )
NEW met2 ( 446430 1899580 0 ) ( * 1900770 )
NEW met1 ( 446430 1900770 ) ( 658950 * )
NEW met2 ( 658950 18870 ) ( * 1900770 )
NEW met1 ( 658950 18870 ) M1M2_PR
NEW met1 ( 1687510 18870 ) M1M2_PR
NEW met1 ( 446430 1900770 ) M1M2_PR
NEW met1 ( 658950 1900770 ) M1M2_PR ;
- la_oenb[5] ( PIN la_oenb[5] ) ( chip_controller la_oenb[5] ) + USE SIGNAL
+ ROUTED met2 ( 729790 2380 0 ) ( * 3060 )
NEW met2 ( 728870 3060 ) ( 729790 * )
NEW met2 ( 728870 2380 ) ( * 3060 )
NEW met2 ( 727490 2380 ) ( 728870 * )
NEW met2 ( 724730 82800 ) ( 727490 * )
NEW met2 ( 727490 2380 ) ( * 82800 )
NEW met2 ( 724730 82800 ) ( * 1501950 )
NEW met3 ( 186070 1537140 ) ( 200100 * 0 )
NEW met2 ( 186070 1501950 ) ( * 1537140 )
NEW met1 ( 186070 1501950 ) ( 724730 * )
NEW met1 ( 724730 1501950 ) M1M2_PR
NEW met2 ( 186070 1537140 ) M2M3_PR_M
NEW met1 ( 186070 1501950 ) M1M2_PR ;
- la_oenb[60] ( PIN la_oenb[60] ) ( chip_controller la_oenb[60] ) + USE SIGNAL
+ ROUTED met2 ( 472650 9010 ) ( * 1484950 )
NEW met2 ( 1704990 2380 0 ) ( * 9010 )
NEW met1 ( 472650 9010 ) ( 1704990 * )
NEW met1 ( 469200 1484950 ) ( 472650 * )
NEW met1 ( 469200 1484950 ) ( * 1485290 )
NEW met1 ( 460690 1485290 ) ( 469200 * )
NEW met2 ( 460690 1485290 ) ( * 1490900 )
NEW met2 ( 460690 1490900 ) ( 461610 * )
NEW met2 ( 461610 1490900 ) ( * 1500420 0 )
NEW met1 ( 472650 9010 ) M1M2_PR
NEW met1 ( 472650 1484950 ) M1M2_PR
NEW met1 ( 1704990 9010 ) M1M2_PR
NEW met1 ( 460690 1485290 ) M1M2_PR ;
- la_oenb[61] ( PIN la_oenb[61] ) ( chip_controller la_oenb[61] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1759670 ) ( * 1765620 )
NEW met3 ( 188830 1765620 ) ( 200100 * 0 )
NEW met1 ( 142830 1759670 ) ( 188830 * )
NEW met2 ( 1722930 2380 0 ) ( * 13090 )
NEW met1 ( 142830 13090 ) ( 1722930 * )
NEW met2 ( 142830 13090 ) ( * 1759670 )
NEW met1 ( 188830 1759670 ) M1M2_PR
NEW met2 ( 188830 1765620 ) M2M3_PR_M
NEW met1 ( 142830 13090 ) M1M2_PR
NEW met1 ( 142830 1759670 ) M1M2_PR
NEW met1 ( 1722930 13090 ) M1M2_PR ;
- la_oenb[62] ( PIN la_oenb[62] ) ( chip_controller la_oenb[62] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1759500 0 ) ( 607430 * )
NEW met2 ( 607430 1759500 ) ( * 1760010 )
NEW met2 ( 1266610 99110 ) ( * 1760010 )
NEW met2 ( 1739030 82800 ) ( * 99110 )
NEW met2 ( 1739030 82800 ) ( 1740410 * )
NEW met2 ( 1740410 2380 0 ) ( * 82800 )
NEW met1 ( 607430 1760010 ) ( 1266610 * )
NEW met1 ( 1266610 99110 ) ( 1739030 * )
NEW met2 ( 607430 1759500 ) M2M3_PR_M
NEW met1 ( 607430 1760010 ) M1M2_PR
NEW met1 ( 1266610 99110 ) M1M2_PR
NEW met1 ( 1266610 1760010 ) M1M2_PR
NEW met1 ( 1739030 99110 ) M1M2_PR ;
- la_oenb[63] ( PIN la_oenb[63] ) ( chip_controller la_oenb[63] ) + USE SIGNAL
+ ROUTED met2 ( 1758350 2380 0 ) ( * 3570 )
NEW met1 ( 452870 1943610 ) ( 645150 * )
NEW met1 ( 645150 3570 ) ( 1758350 * )
NEW met2 ( 451950 1899580 0 ) ( 452870 * )
NEW met2 ( 452870 1899580 ) ( * 1943610 )
NEW met2 ( 645150 3570 ) ( * 1943610 )
NEW met1 ( 1758350 3570 ) M1M2_PR
NEW met1 ( 452870 1943610 ) M1M2_PR
NEW met1 ( 645150 3570 ) M1M2_PR
NEW met1 ( 645150 1943610 ) M1M2_PR ;
- la_oenb[64] ( PIN la_oenb[64] ) ( chip_controller la_oenb[64] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1766810 ) ( * 1771060 )
NEW met3 ( 188830 1771060 ) ( 200100 * 0 )
NEW met2 ( 123510 12750 ) ( * 1766810 )
NEW met1 ( 123510 1766810 ) ( 188830 * )
NEW met2 ( 1776290 2380 0 ) ( * 12750 )
NEW met1 ( 123510 12750 ) ( 1776290 * )
NEW met1 ( 123510 12750 ) M1M2_PR
NEW met1 ( 123510 1766810 ) M1M2_PR
NEW met1 ( 188830 1766810 ) M1M2_PR
NEW met2 ( 188830 1771060 ) M2M3_PR_M
NEW met1 ( 1776290 12750 ) M1M2_PR ;
- la_oenb[65] ( PIN la_oenb[65] ) ( chip_controller la_oenb[65] ) + USE SIGNAL
+ ROUTED met2 ( 1793770 2380 0 ) ( * 17850 )
NEW met1 ( 701270 17850 ) ( 1793770 * )
NEW met2 ( 457010 1899580 0 ) ( * 1909610 )
NEW met1 ( 457010 1909610 ) ( 701270 * )
NEW met2 ( 701270 17850 ) ( * 1909610 )
NEW met1 ( 701270 17850 ) M1M2_PR
NEW met1 ( 701270 1909610 ) M1M2_PR
NEW met1 ( 1793770 17850 ) M1M2_PR
NEW met1 ( 457010 1909610 ) M1M2_PR ;
- la_oenb[66] ( PIN la_oenb[66] ) ( chip_controller la_oenb[66] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1773950 ) ( * 1779220 )
NEW met3 ( 189290 1779220 ) ( 200100 * 0 )
NEW met2 ( 1811710 2380 0 ) ( * 12410 )
NEW met1 ( 136850 12410 ) ( 1811710 * )
NEW met2 ( 136850 12410 ) ( * 1773950 )
NEW met1 ( 136850 1773950 ) ( 189290 * )
NEW met1 ( 189290 1773950 ) M1M2_PR
NEW met2 ( 189290 1779220 ) M2M3_PR_M
NEW met1 ( 136850 12410 ) M1M2_PR
NEW met1 ( 1811710 12410 ) M1M2_PR
NEW met1 ( 136850 1773950 ) M1M2_PR ;
- la_oenb[67] ( PIN la_oenb[67] ) ( chip_controller la_oenb[67] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1762900 0 ) ( 607890 * )
NEW met2 ( 607890 1760690 ) ( * 1762900 )
NEW met2 ( 1079850 35870 ) ( * 1760690 )
NEW met2 ( 1829190 2380 0 ) ( * 35870 )
NEW met1 ( 607890 1760690 ) ( 1079850 * )
NEW met1 ( 1079850 35870 ) ( 1829190 * )
NEW met2 ( 607890 1762900 ) M2M3_PR_M
NEW met1 ( 607890 1760690 ) M1M2_PR
NEW met1 ( 1079850 1760690 ) M1M2_PR
NEW met1 ( 1079850 35870 ) M1M2_PR
NEW met1 ( 1829190 35870 ) M1M2_PR ;
- la_oenb[68] ( PIN la_oenb[68] ) ( chip_controller la_oenb[68] ) + USE SIGNAL
+ ROUTED met2 ( 1847130 2380 0 ) ( * 17850 )
NEW met2 ( 679650 17510 ) ( * 1905190 )
NEW met1 ( 1821600 17850 ) ( 1847130 * )
NEW met1 ( 1821600 17510 ) ( * 17850 )
NEW met1 ( 679650 17510 ) ( 1821600 * )
NEW met2 ( 463910 1899580 0 ) ( * 1905190 )
NEW met1 ( 463910 1905190 ) ( 679650 * )
NEW met1 ( 679650 17510 ) M1M2_PR
NEW met1 ( 1847130 17850 ) M1M2_PR
NEW met1 ( 679650 1905190 ) M1M2_PR
NEW met1 ( 463910 1905190 ) M1M2_PR ;
- la_oenb[69] ( PIN la_oenb[69] ) ( chip_controller la_oenb[69] ) + USE SIGNAL
+ ROUTED met2 ( 1864610 2380 0 ) ( * 5610 )
NEW met1 ( 491050 1490050 ) ( 496570 * )
NEW met2 ( 491050 1490050 ) ( * 1500420 0 )
NEW met2 ( 496570 5610 ) ( * 1490050 )
NEW met1 ( 496570 5610 ) ( 1864610 * )
NEW met1 ( 496570 5610 ) M1M2_PR
NEW met1 ( 1864610 5610 ) M1M2_PR
NEW met1 ( 496570 1490050 ) M1M2_PR
NEW met1 ( 491050 1490050 ) M1M2_PR ;
- la_oenb[6] ( PIN la_oenb[6] ) ( chip_controller la_oenb[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1555500 0 ) ( 609270 * )
NEW met2 ( 609270 1553290 ) ( * 1555500 )
NEW met2 ( 747730 2380 0 ) ( * 20910 )
NEW met1 ( 747730 20910 ) ( 752330 * )
NEW met1 ( 609270 1553290 ) ( 752330 * )
NEW met2 ( 752330 20910 ) ( * 1553290 )
NEW met2 ( 609270 1555500 ) M2M3_PR_M
NEW met1 ( 609270 1553290 ) M1M2_PR
NEW met1 ( 747730 20910 ) M1M2_PR
NEW met1 ( 752330 20910 ) M1M2_PR
NEW met1 ( 752330 1553290 ) M1M2_PR ;
- la_oenb[70] ( PIN la_oenb[70] ) ( chip_controller la_oenb[70] ) + USE SIGNAL
+ ROUTED met2 ( 495190 74970 ) ( * 1483500 )
NEW met2 ( 493810 1483500 ) ( 495190 * )
NEW met2 ( 493810 1483500 ) ( * 1500420 )
NEW met2 ( 492430 1500420 0 ) ( 493810 * )
NEW met2 ( 1882550 2380 0 ) ( * 3060 )
NEW met2 ( 1881630 3060 ) ( 1882550 * )
NEW met2 ( 1881630 2380 ) ( * 3060 )
NEW met2 ( 1880250 2380 ) ( 1881630 * )
NEW met1 ( 495190 74970 ) ( 1880250 * )
NEW met2 ( 1880250 2380 ) ( * 74970 )
NEW met1 ( 495190 74970 ) M1M2_PR
NEW met1 ( 1880250 74970 ) M1M2_PR ;
- la_oenb[71] ( PIN la_oenb[71] ) ( chip_controller la_oenb[71] ) + USE SIGNAL
+ ROUTED met2 ( 129950 12070 ) ( * 1780750 )
NEW met2 ( 189290 1780750 ) ( * 1784660 )
NEW met3 ( 189290 1784660 ) ( 200100 * 0 )
NEW met2 ( 1900030 2380 0 ) ( * 12070 )
NEW met1 ( 129950 12070 ) ( 1900030 * )
NEW met1 ( 129950 1780750 ) ( 189290 * )
NEW met1 ( 129950 12070 ) M1M2_PR
NEW met1 ( 129950 1780750 ) M1M2_PR
NEW met1 ( 189290 1780750 ) M1M2_PR
NEW met2 ( 189290 1784660 ) M2M3_PR_M
NEW met1 ( 1900030 12070 ) M1M2_PR ;
- la_oenb[72] ( PIN la_oenb[72] ) ( chip_controller la_oenb[72] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1775820 0 ) ( 607890 * )
NEW met2 ( 607890 1773950 ) ( * 1775820 )
NEW met1 ( 607890 1773950 ) ( 1024650 * )
NEW met2 ( 1024650 50830 ) ( * 1773950 )
NEW met1 ( 1024650 50830 ) ( 1917970 * )
NEW met2 ( 1917970 2380 0 ) ( * 50830 )
NEW met2 ( 607890 1775820 ) M2M3_PR_M
NEW met1 ( 607890 1773950 ) M1M2_PR
NEW met1 ( 1024650 50830 ) M1M2_PR
NEW met1 ( 1024650 1773950 ) M1M2_PR
NEW met1 ( 1917970 50830 ) M1M2_PR ;
- la_oenb[73] ( PIN la_oenb[73] ) ( chip_controller la_oenb[73] ) + USE SIGNAL
+ ROUTED met2 ( 1935910 2380 0 ) ( * 8670 )
NEW met3 ( 599380 1780580 0 ) ( 607430 * )
NEW met2 ( 607430 1780580 ) ( * 1781430 )
NEW met2 ( 976350 8670 ) ( * 1781430 )
NEW met1 ( 976350 8670 ) ( 1935910 * )
NEW met1 ( 607430 1781430 ) ( 976350 * )
NEW met1 ( 976350 8670 ) M1M2_PR
NEW met1 ( 1935910 8670 ) M1M2_PR
NEW met2 ( 607430 1780580 ) M2M3_PR_M
NEW met1 ( 607430 1781430 ) M1M2_PR
NEW met1 ( 976350 1781430 ) M1M2_PR ;
- la_oenb[74] ( PIN la_oenb[74] ) ( chip_controller la_oenb[74] ) + USE SIGNAL
+ ROUTED met2 ( 1953390 2380 0 ) ( * 27370 )
NEW met3 ( 599380 1783980 0 ) ( 608350 * )
NEW met2 ( 608350 1780750 ) ( * 1783980 )
NEW met1 ( 1031550 27370 ) ( 1953390 * )
NEW met1 ( 608350 1780750 ) ( 1031550 * )
NEW met2 ( 1031550 27370 ) ( * 1780750 )
NEW met1 ( 1953390 27370 ) M1M2_PR
NEW met2 ( 608350 1783980 ) M2M3_PR_M
NEW met1 ( 608350 1780750 ) M1M2_PR
NEW met1 ( 1031550 27370 ) M1M2_PR
NEW met1 ( 1031550 1780750 ) M1M2_PR ;
- la_oenb[75] ( PIN la_oenb[75] ) ( chip_controller la_oenb[75] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1785340 0 ) ( 608810 * )
NEW met2 ( 608810 1781090 ) ( * 1785340 )
NEW met2 ( 990150 42670 ) ( * 1781090 )
NEW met1 ( 608810 1781090 ) ( 990150 * )
NEW met1 ( 990150 42670 ) ( 1971330 * )
NEW met2 ( 1971330 2380 0 ) ( * 42670 )
NEW met2 ( 608810 1785340 ) M2M3_PR_M
NEW met1 ( 608810 1781090 ) M1M2_PR
NEW met1 ( 990150 42670 ) M1M2_PR
NEW met1 ( 990150 1781090 ) M1M2_PR
NEW met1 ( 1971330 42670 ) M1M2_PR ;
- la_oenb[76] ( PIN la_oenb[76] ) ( chip_controller la_oenb[76] ) + USE SIGNAL
+ ROUTED met2 ( 477710 1899580 0 ) ( * 1911310 )
NEW met1 ( 477710 1911310 ) ( 482770 * )
NEW met2 ( 482770 1911310 ) ( * 1939190 )
NEW met2 ( 1286850 16150 ) ( * 128010 )
NEW met1 ( 482770 1939190 ) ( 661250 * )
NEW met1 ( 661250 128010 ) ( 1286850 * )
NEW met2 ( 1988810 2380 0 ) ( * 16150 )
NEW met1 ( 1286850 16150 ) ( 1988810 * )
NEW met2 ( 661250 128010 ) ( * 1939190 )
NEW met1 ( 482770 1939190 ) M1M2_PR
NEW met1 ( 1286850 16150 ) M1M2_PR
NEW met1 ( 1286850 128010 ) M1M2_PR
NEW met1 ( 477710 1911310 ) M1M2_PR
NEW met1 ( 482770 1911310 ) M1M2_PR
NEW met1 ( 661250 128010 ) M1M2_PR
NEW met1 ( 661250 1939190 ) M1M2_PR
NEW met1 ( 1988810 16150 ) M1M2_PR ;
- la_oenb[77] ( PIN la_oenb[77] ) ( chip_controller la_oenb[77] ) + USE SIGNAL
+ ROUTED met2 ( 481390 1899580 0 ) ( * 1909780 )
NEW met2 ( 667230 20060 ) ( * 1909780 )
NEW met2 ( 2006750 2380 0 ) ( * 20060 )
NEW met3 ( 667230 20060 ) ( 2006750 * )
NEW met3 ( 481390 1909780 ) ( 667230 * )
NEW met2 ( 667230 20060 ) M2M3_PR_M
NEW met2 ( 481390 1909780 ) M2M3_PR_M
NEW met2 ( 667230 1909780 ) M2M3_PR_M
NEW met2 ( 2006750 20060 ) M2M3_PR_M ;
- la_oenb[78] ( PIN la_oenb[78] ) ( chip_controller la_oenb[78] ) + USE SIGNAL
+ ROUTED met2 ( 2024230 2380 0 ) ( * 18700 )
NEW met2 ( 484610 1899580 0 ) ( * 1907060 )
NEW met3 ( 646070 18700 ) ( 2024230 * )
NEW met3 ( 484610 1907060 ) ( 646070 * )
NEW met2 ( 646070 18700 ) ( * 1907060 )
NEW met2 ( 2024230 18700 ) M2M3_PR_M
NEW met2 ( 484610 1907060 ) M2M3_PR_M
NEW met2 ( 646070 18700 ) M2M3_PR_M
NEW met2 ( 646070 1907060 ) M2M3_PR_M ;
- la_oenb[79] ( PIN la_oenb[79] ) ( chip_controller la_oenb[79] ) + USE SIGNAL
+ ROUTED met2 ( 2042170 2380 0 ) ( * 17510 )
NEW met1 ( 2032050 17510 ) ( 2042170 * )
NEW met1 ( 504390 1489370 ) ( 509450 * )
NEW met2 ( 504390 1489370 ) ( * 1500420 0 )
NEW met2 ( 509450 61030 ) ( * 1489370 )
NEW met2 ( 2032050 17510 ) ( * 61030 )
NEW met1 ( 509450 61030 ) ( 2032050 * )
NEW met1 ( 2042170 17510 ) M1M2_PR
NEW met1 ( 2032050 17510 ) M1M2_PR
NEW met1 ( 509450 61030 ) M1M2_PR
NEW met1 ( 509450 1489370 ) M1M2_PR
NEW met1 ( 504390 1489370 ) M1M2_PR
NEW met1 ( 2032050 61030 ) M1M2_PR ;
- la_oenb[7] ( PIN la_oenb[7] ) ( chip_controller la_oenb[7] ) + USE SIGNAL
+ ROUTED met2 ( 759690 82800 ) ( 765210 * )
NEW met2 ( 765210 2380 0 ) ( * 82800 )
NEW met2 ( 759690 82800 ) ( * 1501270 )
NEW met2 ( 244030 1899580 0 ) ( * 1913010 )
NEW met1 ( 175950 1913010 ) ( 244030 * )
NEW met2 ( 175950 1501270 ) ( * 1913010 )
NEW met1 ( 175950 1501270 ) ( 759690 * )
NEW met1 ( 759690 1501270 ) M1M2_PR
NEW met1 ( 175950 1913010 ) M1M2_PR
NEW met1 ( 244030 1913010 ) M1M2_PR
NEW met1 ( 175950 1501270 ) M1M2_PR ;
- la_oenb[80] ( PIN la_oenb[80] ) ( chip_controller la_oenb[80] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1792140 0 ) ( 608350 * )
NEW met2 ( 608350 1787550 ) ( * 1792140 )
NEW met2 ( 886650 78030 ) ( * 1787550 )
NEW met2 ( 2059650 2380 0 ) ( * 78030 )
NEW met1 ( 608350 1787550 ) ( 886650 * )
NEW met1 ( 886650 78030 ) ( 2059650 * )
NEW met2 ( 608350 1792140 ) M2M3_PR_M
NEW met1 ( 608350 1787550 ) M1M2_PR
NEW met1 ( 886650 78030 ) M1M2_PR
NEW met1 ( 886650 1787550 ) M1M2_PR
NEW met1 ( 2059650 78030 ) M1M2_PR ;
- la_oenb[81] ( PIN la_oenb[81] ) ( chip_controller la_oenb[81] ) + USE SIGNAL
+ ROUTED met2 ( 497030 1899580 0 ) ( * 1911310 )
NEW met1 ( 497030 1911310 ) ( 503010 * )
NEW met2 ( 503010 1911310 ) ( * 1932390 )
NEW met2 ( 675050 128350 ) ( * 1932390 )
NEW met2 ( 1288690 16490 ) ( * 128350 )
NEW met1 ( 503010 1932390 ) ( 675050 * )
NEW met1 ( 675050 128350 ) ( 1288690 * )
NEW met2 ( 2077590 2380 0 ) ( * 16490 )
NEW met1 ( 1288690 16490 ) ( 2077590 * )
NEW met1 ( 503010 1932390 ) M1M2_PR
NEW met1 ( 675050 128350 ) M1M2_PR
NEW met1 ( 675050 1932390 ) M1M2_PR
NEW met1 ( 1288690 16490 ) M1M2_PR
NEW met1 ( 1288690 128350 ) M1M2_PR
NEW met1 ( 497030 1911310 ) M1M2_PR
NEW met1 ( 503010 1911310 ) M1M2_PR
NEW met1 ( 2077590 16490 ) M1M2_PR ;
- la_oenb[82] ( PIN la_oenb[82] ) ( chip_controller la_oenb[82] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1795540 0 ) ( 607890 * )
NEW met2 ( 607890 1794010 ) ( * 1795540 )
NEW met2 ( 1066050 99450 ) ( * 1794010 )
NEW met2 ( 2095070 2380 0 ) ( * 3060 )
NEW met2 ( 2094150 3060 ) ( 2095070 * )
NEW met2 ( 2094150 2380 ) ( * 3060 )
NEW met2 ( 2092770 2380 ) ( 2094150 * )
NEW met1 ( 1066050 99450 ) ( 2090930 * )
NEW met1 ( 607890 1794010 ) ( 1066050 * )
NEW met2 ( 2090930 82800 ) ( * 99450 )
NEW met2 ( 2090930 82800 ) ( 2092770 * )
NEW met2 ( 2092770 2380 ) ( * 82800 )
NEW met1 ( 1066050 99450 ) M1M2_PR
NEW met2 ( 607890 1795540 ) M2M3_PR_M
NEW met1 ( 607890 1794010 ) M1M2_PR
NEW met1 ( 1066050 1794010 ) M1M2_PR
NEW met1 ( 2090930 99450 ) M1M2_PR ;
- la_oenb[83] ( PIN la_oenb[83] ) ( chip_controller la_oenb[83] ) + USE SIGNAL
+ ROUTED met2 ( 2113010 2380 0 ) ( * 18020 )
NEW met2 ( 505310 1899580 0 ) ( * 1901450 )
NEW met2 ( 680110 18020 ) ( * 1901450 )
NEW met3 ( 680110 18020 ) ( 2113010 * )
NEW met1 ( 505310 1901450 ) ( 680110 * )
NEW met2 ( 680110 18020 ) M2M3_PR_M
NEW met2 ( 2113010 18020 ) M2M3_PR_M
NEW met1 ( 505310 1901450 ) M1M2_PR
NEW met1 ( 680110 1901450 ) M1M2_PR ;
- la_oenb[84] ( PIN la_oenb[84] ) ( chip_controller la_oenb[84] ) + USE SIGNAL
+ ROUTED met2 ( 2130950 2380 0 ) ( * 11900 )
NEW met3 ( 183540 1812540 ) ( 200100 * 0 )
NEW met3 ( 183540 11900 ) ( 2130950 * )
NEW met4 ( 183540 11900 ) ( * 1812540 )
NEW met3 ( 183540 11900 ) M3M4_PR_M
NEW met2 ( 2130950 11900 ) M2M3_PR_M
NEW met3 ( 183540 1812540 ) M3M4_PR_M ;
- la_oenb[85] ( PIN la_oenb[85] ) ( chip_controller la_oenb[85] ) + USE SIGNAL
+ ROUTED met2 ( 2148430 2380 0 ) ( * 3060 )
NEW met2 ( 2147510 3060 ) ( 2148430 * )
NEW met2 ( 2147510 2380 ) ( * 3060 )
NEW met2 ( 2146130 2380 ) ( 2147510 * )
NEW met2 ( 508990 1899580 0 ) ( * 1915050 )
NEW met2 ( 2146130 2380 ) ( * 66810 )
NEW met1 ( 508990 1915050 ) ( 604210 * )
NEW met1 ( 604210 66810 ) ( 2146130 * )
NEW met2 ( 604210 66810 ) ( * 1915050 )
NEW met1 ( 508990 1915050 ) M1M2_PR
NEW met1 ( 604210 66810 ) M1M2_PR
NEW met1 ( 604210 1915050 ) M1M2_PR
NEW met1 ( 2146130 66810 ) M1M2_PR ;
- la_oenb[86] ( PIN la_oenb[86] ) ( chip_controller la_oenb[86] ) + USE SIGNAL
+ ROUTED met2 ( 108790 11050 ) ( * 1814750 )
NEW met2 ( 187910 1814750 ) ( * 1820700 )
NEW met3 ( 187910 1820700 ) ( 200100 * 0 )
NEW met2 ( 2166370 2380 0 ) ( * 11050 )
NEW met1 ( 108790 11050 ) ( 2166370 * )
NEW met1 ( 108790 1814750 ) ( 187910 * )
NEW met1 ( 108790 11050 ) M1M2_PR
NEW met1 ( 108790 1814750 ) M1M2_PR
NEW met1 ( 187910 1814750 ) M1M2_PR
NEW met2 ( 187910 1820700 ) M2M3_PR_M
NEW met1 ( 2166370 11050 ) M1M2_PR ;
- la_oenb[87] ( PIN la_oenb[87] ) ( chip_controller la_oenb[87] ) + USE SIGNAL
+ ROUTED met2 ( 796950 9690 ) ( * 1801830 )
NEW met2 ( 2183850 2380 0 ) ( * 9690 )
NEW met1 ( 796950 9690 ) ( 2183850 * )
NEW met3 ( 599380 1805060 0 ) ( 608350 * )
NEW met2 ( 608350 1802510 ) ( * 1805060 )
NEW met1 ( 608350 1802510 ) ( 641700 * )
NEW met1 ( 641700 1801830 ) ( * 1802510 )
NEW met1 ( 641700 1801830 ) ( 796950 * )
NEW met1 ( 796950 9690 ) M1M2_PR
NEW met1 ( 796950 1801830 ) M1M2_PR
NEW met1 ( 2183850 9690 ) M1M2_PR
NEW met2 ( 608350 1805060 ) M2M3_PR_M
NEW met1 ( 608350 1802510 ) M1M2_PR ;
- la_oenb[88] ( PIN la_oenb[88] ) ( chip_controller la_oenb[88] ) + USE SIGNAL
+ ROUTED met2 ( 514050 1897540 0 ) ( * 1897710 )
NEW met2 ( 1287310 20230 ) ( * 129030 )
NEW met1 ( 695750 129030 ) ( 1287310 * )
NEW met2 ( 2201790 2380 0 ) ( * 20230 )
NEW met1 ( 1287310 20230 ) ( 2201790 * )
NEW met1 ( 514050 1897710 ) ( 695750 * )
NEW met2 ( 695750 129030 ) ( * 1897710 )
NEW met1 ( 695750 129030 ) M1M2_PR
NEW met1 ( 1287310 20230 ) M1M2_PR
NEW met1 ( 1287310 129030 ) M1M2_PR
NEW met1 ( 514050 1897710 ) M1M2_PR
NEW met1 ( 695750 1897710 ) M1M2_PR
NEW met1 ( 2201790 20230 ) M1M2_PR ;
- la_oenb[89] ( PIN la_oenb[89] ) ( chip_controller la_oenb[89] ) + USE SIGNAL
+ ROUTED met2 ( 2131870 13770 ) ( * 14450 )
NEW met2 ( 2219270 2380 0 ) ( * 14450 )
NEW met2 ( 516810 1500420 0 ) ( 517270 * )
NEW met2 ( 517270 13770 ) ( * 1500420 )
NEW met1 ( 517270 13770 ) ( 2131870 * )
NEW met1 ( 2131870 14450 ) ( 2219270 * )
NEW met1 ( 517270 13770 ) M1M2_PR
NEW met1 ( 2131870 13770 ) M1M2_PR
NEW met1 ( 2131870 14450 ) M1M2_PR
NEW met1 ( 2219270 14450 ) M1M2_PR ;
- la_oenb[8] ( PIN la_oenb[8] ) ( chip_controller la_oenb[8] ) + USE SIGNAL
+ ROUTED met2 ( 783150 2380 0 ) ( * 3060 )
NEW met2 ( 782230 3060 ) ( 783150 * )
NEW met2 ( 782230 2380 ) ( * 3060 )
NEW met2 ( 780850 2380 ) ( 782230 * )
NEW met2 ( 779930 82800 ) ( 780850 * )
NEW met2 ( 780850 2380 ) ( * 82800 )
NEW met2 ( 779930 82800 ) ( * 1465230 )
NEW met2 ( 252310 1899580 0 ) ( * 1913690 )
NEW met1 ( 161690 1913690 ) ( 252310 * )
NEW met2 ( 161690 1465230 ) ( * 1913690 )
NEW met1 ( 161690 1465230 ) ( 779930 * )
NEW met1 ( 779930 1465230 ) M1M2_PR
NEW met1 ( 161690 1913690 ) M1M2_PR
NEW met1 ( 252310 1913690 ) M1M2_PR
NEW met1 ( 161690 1465230 ) M1M2_PR ;
- la_oenb[90] ( PIN la_oenb[90] ) ( chip_controller la_oenb[90] ) + USE SIGNAL
+ ROUTED met2 ( 2237210 2380 0 ) ( * 14450 )
NEW met1 ( 2221570 14450 ) ( 2237210 * )
NEW met2 ( 2221570 14450 ) ( * 24650 )
NEW met1 ( 524170 24650 ) ( 2221570 * )
NEW met1 ( 521870 1485970 ) ( 524170 * )
NEW met2 ( 521870 1485970 ) ( * 1500420 0 )
NEW met2 ( 524170 24650 ) ( * 1485970 )
NEW met1 ( 2237210 14450 ) M1M2_PR
NEW met1 ( 2221570 14450 ) M1M2_PR
NEW met1 ( 2221570 24650 ) M1M2_PR
NEW met1 ( 524170 24650 ) M1M2_PR
NEW met1 ( 524170 1485970 ) M1M2_PR
NEW met1 ( 521870 1485970 ) M1M2_PR ;
- la_oenb[91] ( PIN la_oenb[91] ) ( chip_controller la_oenb[91] ) + USE SIGNAL
+ ROUTED met2 ( 2254690 2380 0 ) ( * 14110 )
NEW met1 ( 529230 1457070 ) ( 531070 * )
NEW met2 ( 2069770 5950 ) ( * 14110 )
NEW met1 ( 531070 5950 ) ( 2069770 * )
NEW met1 ( 2069770 14110 ) ( 2254690 * )
NEW met2 ( 531070 5950 ) ( * 1457070 )
NEW met1 ( 525090 1489710 ) ( 529230 * )
NEW met2 ( 525090 1489710 ) ( * 1500420 0 )
NEW met2 ( 529230 1457070 ) ( * 1489710 )
NEW met1 ( 2254690 14110 ) M1M2_PR
NEW met1 ( 531070 5950 ) M1M2_PR
NEW met1 ( 529230 1457070 ) M1M2_PR
NEW met1 ( 531070 1457070 ) M1M2_PR
NEW met1 ( 2069770 5950 ) M1M2_PR
NEW met1 ( 2069770 14110 ) M1M2_PR
NEW met1 ( 529230 1489710 ) M1M2_PR
NEW met1 ( 525090 1489710 ) M1M2_PR ;
- la_oenb[92] ( PIN la_oenb[92] ) ( chip_controller la_oenb[92] ) + USE SIGNAL
+ ROUTED met2 ( 589030 1911140 ) ( * 1911820 )
NEW met2 ( 589030 1911820 ) ( 590410 * )
NEW met2 ( 590410 1905700 ) ( * 1911820 )
NEW met2 ( 590410 1905700 ) ( 590870 * )
NEW met3 ( 590870 1905700 ) ( 603060 * )
NEW met2 ( 2272630 2380 0 ) ( * 16660 )
NEW met3 ( 603060 16660 ) ( 2272630 * )
NEW met2 ( 522790 1899580 0 ) ( * 1911140 )
NEW met3 ( 522790 1911140 ) ( 589030 * )
NEW met4 ( 603060 16660 ) ( * 1905700 )
NEW met3 ( 603060 16660 ) M3M4_PR_M
NEW met2 ( 589030 1911140 ) M2M3_PR_M
NEW met2 ( 590870 1905700 ) M2M3_PR_M
NEW met3 ( 603060 1905700 ) M3M4_PR_M
NEW met2 ( 2272630 16660 ) M2M3_PR_M
NEW met2 ( 522790 1911140 ) M2M3_PR_M ;
- la_oenb[93] ( PIN la_oenb[93] ) ( chip_controller la_oenb[93] ) + USE SIGNAL
+ ROUTED met2 ( 607890 1798260 ) ( * 1800810 )
NEW met2 ( 607890 1798260 ) ( 608350 * )
NEW met2 ( 608350 1793500 ) ( * 1798260 )
NEW met2 ( 608350 1793500 ) ( 609270 * )
NEW met2 ( 609270 1775990 ) ( * 1793500 )
NEW met1 ( 609270 1775990 ) ( 612950 * )
NEW met2 ( 612950 127670 ) ( * 1775990 )
NEW met2 ( 1287770 19550 ) ( * 127670 )
NEW met1 ( 612950 127670 ) ( 1287770 * )
NEW met2 ( 2290570 2380 0 ) ( * 19550 )
NEW met1 ( 1287770 19550 ) ( 2290570 * )
NEW met3 ( 599380 1817980 0 ) ( 607430 * )
NEW met2 ( 607430 1801490 ) ( * 1817980 )
NEW met1 ( 607430 1801490 ) ( 607890 * )
NEW li1 ( 607890 1800810 ) ( * 1801490 )
NEW met1 ( 612950 127670 ) M1M2_PR
NEW met1 ( 1287770 19550 ) M1M2_PR
NEW met1 ( 1287770 127670 ) M1M2_PR
NEW li1 ( 607890 1800810 ) L1M1_PR_MR
NEW met1 ( 607890 1800810 ) M1M2_PR
NEW met1 ( 609270 1775990 ) M1M2_PR
NEW met1 ( 612950 1775990 ) M1M2_PR
NEW met1 ( 2290570 19550 ) M1M2_PR
NEW met2 ( 607430 1817980 ) M2M3_PR_M
NEW met1 ( 607430 1801490 ) M1M2_PR
NEW li1 ( 607890 1801490 ) L1M1_PR_MR
NEW met1 ( 607890 1800810 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[94] ( PIN la_oenb[94] ) ( chip_controller la_oenb[94] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1835830 ) ( * 1838380 )
NEW met3 ( 189750 1838380 ) ( 200100 * 0 )
NEW met2 ( 2308050 2380 0 ) ( * 65450 )
NEW met1 ( 150190 1835830 ) ( 189750 * )
NEW met2 ( 150190 65450 ) ( * 1835830 )
NEW met1 ( 150190 65450 ) ( 2308050 * )
NEW met1 ( 189750 1835830 ) M1M2_PR
NEW met2 ( 189750 1838380 ) M2M3_PR_M
NEW met1 ( 2308050 65450 ) M1M2_PR
NEW met1 ( 150190 1835830 ) M1M2_PR
NEW met1 ( 150190 65450 ) M1M2_PR ;
- la_oenb[95] ( PIN la_oenb[95] ) ( chip_controller la_oenb[95] ) + USE SIGNAL
+ ROUTED met2 ( 2325990 2380 0 ) ( * 19210 )
NEW met2 ( 2218350 19210 ) ( * 53210 )
NEW met1 ( 2218350 19210 ) ( 2325990 * )
NEW met2 ( 537050 53210 ) ( * 1483500 )
NEW met2 ( 536590 1483500 ) ( 537050 * )
NEW met2 ( 536590 1483500 ) ( * 1500420 )
NEW met2 ( 535670 1500420 0 ) ( 536590 * )
NEW met1 ( 537050 53210 ) ( 2218350 * )
NEW met1 ( 2218350 19210 ) M1M2_PR
NEW met1 ( 2325990 19210 ) M1M2_PR
NEW met1 ( 2218350 53210 ) M1M2_PR
NEW met1 ( 537050 53210 ) M1M2_PR ;
- la_oenb[96] ( PIN la_oenb[96] ) ( chip_controller la_oenb[96] ) + USE SIGNAL
+ ROUTED met2 ( 2343470 2380 0 ) ( * 18530 )
NEW met2 ( 1696710 18530 ) ( * 29410 )
NEW met1 ( 544870 29410 ) ( 1696710 * )
NEW met1 ( 1696710 18530 ) ( 2343470 * )
NEW met1 ( 540730 1489370 ) ( 544870 * )
NEW met2 ( 540730 1489370 ) ( * 1500420 0 )
NEW met2 ( 544870 29410 ) ( * 1489370 )
NEW met1 ( 2343470 18530 ) M1M2_PR
NEW met1 ( 544870 29410 ) M1M2_PR
NEW met1 ( 1696710 29410 ) M1M2_PR
NEW met1 ( 1696710 18530 ) M1M2_PR
NEW met1 ( 544870 1489370 ) M1M2_PR
NEW met1 ( 540730 1489370 ) M1M2_PR ;
- la_oenb[97] ( PIN la_oenb[97] ) ( chip_controller la_oenb[97] ) + USE SIGNAL
+ ROUTED met2 ( 681030 128690 ) ( * 1940210 )
NEW met2 ( 1288230 18190 ) ( * 128690 )
NEW met1 ( 537970 1940210 ) ( 681030 * )
NEW met1 ( 681030 128690 ) ( 1288230 * )
NEW met2 ( 2361410 2380 0 ) ( * 18190 )
NEW met1 ( 1288230 18190 ) ( 2361410 * )
NEW met2 ( 531530 1899580 0 ) ( * 1911650 )
NEW met1 ( 531530 1911650 ) ( 537970 * )
NEW met2 ( 537970 1911650 ) ( * 1940210 )
NEW met1 ( 681030 128690 ) M1M2_PR
NEW met1 ( 681030 1940210 ) M1M2_PR
NEW met1 ( 1288230 18190 ) M1M2_PR
NEW met1 ( 1288230 128690 ) M1M2_PR
NEW met1 ( 537970 1940210 ) M1M2_PR
NEW met1 ( 2361410 18190 ) M1M2_PR
NEW met1 ( 531530 1911650 ) M1M2_PR
NEW met1 ( 537970 1911650 ) M1M2_PR ;
- la_oenb[98] ( PIN la_oenb[98] ) ( chip_controller la_oenb[98] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1842970 ) ( * 1843820 )
NEW met3 ( 189290 1843820 ) ( 200100 * 0 )
NEW met1 ( 143290 1842970 ) ( 189290 * )
NEW met2 ( 143290 51510 ) ( * 1842970 )
NEW met1 ( 143290 51510 ) ( 2378890 * )
NEW met2 ( 2378890 2380 0 ) ( * 51510 )
NEW met1 ( 189290 1842970 ) M1M2_PR
NEW met2 ( 189290 1843820 ) M2M3_PR_M
NEW met1 ( 143290 1842970 ) M1M2_PR
NEW met1 ( 143290 51510 ) M1M2_PR
NEW met1 ( 2378890 51510 ) M1M2_PR ;
- la_oenb[99] ( PIN la_oenb[99] ) ( chip_controller la_oenb[99] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1843650 ) ( * 1845180 )
NEW met3 ( 189750 1845180 ) ( 200100 * 0 )
NEW met1 ( 158930 1843650 ) ( 189750 * )
NEW met2 ( 2396830 2380 0 ) ( * 3060 )
NEW met2 ( 2395910 3060 ) ( 2396830 * )
NEW met2 ( 2395910 2380 ) ( * 3060 )
NEW met2 ( 2394530 2380 ) ( 2395910 * )
NEW met1 ( 158930 51850 ) ( 2394530 * )
NEW met2 ( 2394530 2380 ) ( * 51850 )
NEW met2 ( 158930 51850 ) ( * 1843650 )
NEW met1 ( 189750 1843650 ) M1M2_PR
NEW met2 ( 189750 1845180 ) M2M3_PR_M
NEW met1 ( 158930 1843650 ) M1M2_PR
NEW met1 ( 158930 51850 ) M1M2_PR
NEW met1 ( 2394530 51850 ) M1M2_PR ;
- la_oenb[9] ( PIN la_oenb[9] ) ( chip_controller la_oenb[9] ) + USE SIGNAL
+ ROUTED met2 ( 800630 2380 0 ) ( * 17340 )
NEW met2 ( 800630 17340 ) ( 801090 * )
NEW met2 ( 286810 112370 ) ( * 1484270 )
NEW met2 ( 801090 17340 ) ( * 112370 )
NEW met1 ( 286810 112370 ) ( 801090 * )
NEW met2 ( 274390 1484270 ) ( * 1500420 0 )
NEW met1 ( 274390 1484270 ) ( 286810 * )
NEW met1 ( 286810 112370 ) M1M2_PR
NEW met1 ( 801090 112370 ) M1M2_PR
NEW met1 ( 286810 1484270 ) M1M2_PR
NEW met1 ( 274390 1484270 ) M1M2_PR ;
- read_data_from_mem\[0\] ( core0 data_from_mem[0] ) ( chip_controller rd_data_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 2794730 106590 ) ( * 107100 )
NEW met3 ( 2794730 107100 ) ( 2796340 * )
NEW met3 ( 2796340 107100 ) ( * 109140 0 )
NEW met1 ( 935410 106590 ) ( 2794730 * )
NEW met2 ( 935410 106590 ) ( * 1467950 )
NEW met3 ( 191590 1505860 ) ( 200100 * 0 )
NEW met2 ( 191590 1467950 ) ( * 1505860 )
NEW met1 ( 191590 1467950 ) ( 935410 * )
NEW met1 ( 2794730 106590 ) M1M2_PR
NEW met2 ( 2794730 107100 ) M2M3_PR_M
NEW met1 ( 935410 106590 ) M1M2_PR
NEW met1 ( 935410 1467950 ) M1M2_PR
NEW met2 ( 191590 1505860 ) M2M3_PR_M
NEW met1 ( 191590 1467950 ) M1M2_PR ;
- read_data_from_mem\[100\] ( core0 data_from_mem[100] ) ( chip_controller rd_data_out[100] ) + USE SIGNAL
+ ROUTED met2 ( 2644770 1599700 ) ( 2646610 * 0 )
NEW met2 ( 2642930 1725000 ) ( 2644770 * )
NEW met2 ( 2644770 1599700 ) ( * 1725000 )
NEW met1 ( 614330 1790610 ) ( 2642930 * )
NEW met2 ( 2642930 1725000 ) ( * 1790610 )
NEW met3 ( 599380 1832940 0 ) ( 613870 * )
NEW met2 ( 613870 1832940 ) ( 614330 * )
NEW met2 ( 614330 1790610 ) ( * 1832940 )
NEW met1 ( 614330 1790610 ) M1M2_PR
NEW met1 ( 2642930 1790610 ) M1M2_PR
NEW met2 ( 613870 1832940 ) M2M3_PR_M ;
- read_data_from_mem\[101\] ( core0 data_from_mem[101] ) ( chip_controller rd_data_out[101] ) + USE SIGNAL
+ ROUTED met2 ( 2532530 100300 ) ( 2533450 * 0 )
NEW met2 ( 2532530 78710 ) ( * 100300 )
NEW met3 ( 706100 128860 ) ( 1299270 * )
NEW met2 ( 1299270 78710 ) ( * 128860 )
NEW met1 ( 1299270 78710 ) ( 2532530 * )
NEW met3 ( 599380 1837700 0 ) ( 613870 * )
NEW met2 ( 613870 1837700 ) ( * 1838890 )
NEW li1 ( 704950 1802510 ) ( * 1838890 )
NEW met1 ( 704950 1802510 ) ( 706790 * )
NEW met2 ( 706790 1802340 ) ( * 1802510 )
NEW met3 ( 706100 1802340 ) ( 706790 * )
NEW met1 ( 613870 1838890 ) ( 704950 * )
NEW met4 ( 706100 128860 ) ( * 1802340 )
NEW met3 ( 706100 128860 ) M3M4_PR_M
NEW met1 ( 2532530 78710 ) M1M2_PR
NEW met2 ( 1299270 128860 ) M2M3_PR_M
NEW met1 ( 1299270 78710 ) M1M2_PR
NEW met2 ( 613870 1837700 ) M2M3_PR_M
NEW met1 ( 613870 1838890 ) M1M2_PR
NEW li1 ( 704950 1838890 ) L1M1_PR_MR
NEW li1 ( 704950 1802510 ) L1M1_PR_MR
NEW met1 ( 706790 1802510 ) M1M2_PR
NEW met2 ( 706790 1802340 ) M2M3_PR_M
NEW met3 ( 706100 1802340 ) M3M4_PR_M ;
- read_data_from_mem\[102\] ( core0 data_from_mem[102] ) ( chip_controller rd_data_out[102] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1371220 ) ( * 1373090 )
NEW met2 ( 685170 1373090 ) ( * 1920150 )
NEW met1 ( 538430 1920150 ) ( 685170 * )
NEW met1 ( 685170 1373090 ) ( 1283630 * )
NEW met3 ( 1283630 1371220 ) ( 1300420 * 0 )
NEW met2 ( 538430 1899580 0 ) ( * 1920150 )
NEW met1 ( 685170 1373090 ) M1M2_PR
NEW met1 ( 685170 1920150 ) M1M2_PR
NEW met1 ( 1283630 1373090 ) M1M2_PR
NEW met2 ( 1283630 1371220 ) M2M3_PR_M
NEW met1 ( 538430 1920150 ) M1M2_PR ;
- read_data_from_mem\[103\] ( core0 data_from_mem[103] ) ( chip_controller rd_data_out[103] ) + USE SIGNAL
+ ROUTED met3 ( 198030 1858780 ) ( 200100 * 0 )
NEW met1 ( 195270 1893970 ) ( 198030 * )
NEW met2 ( 195270 1893970 ) ( * 1937150 )
NEW met2 ( 198030 1858780 ) ( * 1893970 )
NEW met1 ( 615710 1641010 ) ( 639170 * )
NEW met1 ( 639170 1640330 ) ( * 1641010 )
NEW met1 ( 195270 1937150 ) ( 615710 * )
NEW met1 ( 639170 1640330 ) ( 2793810 * )
NEW met2 ( 615710 1641010 ) ( * 1937150 )
NEW met2 ( 2793810 1355070 ) ( 2794730 * )
NEW met1 ( 2794730 1355070 ) ( 2800710 * )
NEW met2 ( 2800710 1352860 ) ( * 1355070 )
NEW met3 ( 2799100 1352860 0 ) ( 2800710 * )
NEW met2 ( 2793810 1449000 ) ( * 1640330 )
NEW met2 ( 2793810 1426980 ) ( 2794730 * )
NEW met2 ( 2794730 1426980 ) ( * 1427150 )
NEW met1 ( 2794730 1427150 ) ( 2795650 * )
NEW li1 ( 2795650 1427150 ) ( * 1439050 )
NEW met1 ( 2794730 1439050 ) ( 2795650 * )
NEW met2 ( 2794730 1439050 ) ( * 1439220 )
NEW met2 ( 2794270 1439220 ) ( 2794730 * )
NEW met2 ( 2794270 1439220 ) ( * 1449000 )
NEW met2 ( 2793810 1449000 ) ( 2794270 * )
NEW met2 ( 2793810 1355070 ) ( * 1426980 )
NEW met2 ( 198030 1858780 ) M2M3_PR_M
NEW met1 ( 195270 1937150 ) M1M2_PR
NEW met1 ( 2793810 1640330 ) M1M2_PR
NEW met1 ( 195270 1893970 ) M1M2_PR
NEW met1 ( 198030 1893970 ) M1M2_PR
NEW met1 ( 615710 1641010 ) M1M2_PR
NEW met1 ( 615710 1937150 ) M1M2_PR
NEW met1 ( 2794730 1355070 ) M1M2_PR
NEW met1 ( 2800710 1355070 ) M1M2_PR
NEW met2 ( 2800710 1352860 ) M2M3_PR_M
NEW met1 ( 2794730 1427150 ) M1M2_PR
NEW li1 ( 2795650 1427150 ) L1M1_PR_MR
NEW li1 ( 2795650 1439050 ) L1M1_PR_MR
NEW met1 ( 2794730 1439050 ) M1M2_PR ;
- read_data_from_mem\[104\] ( core0 data_from_mem[104] ) ( chip_controller rd_data_out[104] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1371220 0 ) ( 2808530 * )
NEW met2 ( 2808530 1371220 ) ( * 1371390 )
NEW met1 ( 2808530 1371390 ) ( 2819110 * )
NEW met2 ( 609270 1769870 ) ( * 1773300 )
NEW met2 ( 609270 1773300 ) ( 609730 * )
NEW met2 ( 2819110 1371390 ) ( * 1769870 )
NEW met1 ( 609270 1769870 ) ( 2819110 * )
NEW met3 ( 599380 1845860 0 ) ( 607890 * )
NEW met2 ( 607890 1808970 ) ( * 1845860 )
NEW met1 ( 607890 1808970 ) ( 609730 * )
NEW li1 ( 609730 1801150 ) ( * 1808970 )
NEW met2 ( 609730 1773300 ) ( * 1801150 )
NEW met1 ( 609270 1769870 ) M1M2_PR
NEW met2 ( 2808530 1371220 ) M2M3_PR_M
NEW met1 ( 2808530 1371390 ) M1M2_PR
NEW met1 ( 2819110 1371390 ) M1M2_PR
NEW met1 ( 2819110 1769870 ) M1M2_PR
NEW met2 ( 607890 1845860 ) M2M3_PR_M
NEW met1 ( 607890 1808970 ) M1M2_PR
NEW li1 ( 609730 1808970 ) L1M1_PR_MR
NEW li1 ( 609730 1801150 ) L1M1_PR_MR
NEW met1 ( 609730 1801150 ) M1M2_PR
NEW met1 ( 609730 1801150 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[105\] ( core0 data_from_mem[105] ) ( chip_controller rd_data_out[105] ) + USE SIGNAL
+ ROUTED met3 ( 193660 1864220 ) ( 200100 * 0 )
NEW met2 ( 2580830 100300 ) ( 2582210 * 0 )
NEW met3 ( 193660 54740 ) ( 2580830 * )
NEW met2 ( 2580830 54740 ) ( * 100300 )
NEW met4 ( 193660 54740 ) ( * 1864220 )
NEW met3 ( 193660 1864220 ) M3M4_PR_M
NEW met3 ( 193660 54740 ) M3M4_PR_M
NEW met2 ( 2580830 54740 ) M2M3_PR_M ;
- read_data_from_mem\[106\] ( core0 data_from_mem[106] ) ( chip_controller rd_data_out[106] ) + USE SIGNAL
+ ROUTED met2 ( 2598310 88740 ) ( * 100300 0 )
NEW met2 ( 589950 1905700 ) ( * 1911140 )
NEW met3 ( 625140 88740 ) ( 2598310 * )
NEW met2 ( 550390 1899580 0 ) ( * 1905700 )
NEW met3 ( 550390 1905700 ) ( 589950 * )
NEW met3 ( 589950 1911140 ) ( 625140 * )
NEW met4 ( 625140 88740 ) ( * 1911140 )
NEW met2 ( 2598310 88740 ) M2M3_PR_M
NEW met2 ( 589950 1905700 ) M2M3_PR_M
NEW met2 ( 589950 1911140 ) M2M3_PR_M
NEW met3 ( 625140 88740 ) M3M4_PR_M
NEW met2 ( 550390 1905700 ) M2M3_PR_M
NEW met3 ( 625140 1911140 ) M3M4_PR_M ;
- read_data_from_mem\[107\] ( core0 data_from_mem[107] ) ( chip_controller rd_data_out[107] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1863030 ) ( * 1869660 )
NEW met3 ( 188830 1869660 ) ( 200100 * 0 )
NEW met2 ( 1285470 1425620 ) ( * 1466250 )
NEW met1 ( 140990 1863030 ) ( 188830 * )
NEW met2 ( 140990 1466250 ) ( * 1863030 )
NEW met3 ( 1285470 1425620 ) ( 1300420 * 0 )
NEW met1 ( 140990 1466250 ) ( 1285470 * )
NEW met1 ( 188830 1863030 ) M1M2_PR
NEW met2 ( 188830 1869660 ) M2M3_PR_M
NEW met1 ( 1285470 1466250 ) M1M2_PR
NEW met2 ( 1285470 1425620 ) M2M3_PR_M
NEW met1 ( 140990 1466250 ) M1M2_PR
NEW met1 ( 140990 1863030 ) M1M2_PR ;
- read_data_from_mem\[108\] ( core0 data_from_mem[108] ) ( chip_controller rd_data_out[108] ) + USE SIGNAL
+ ROUTED met2 ( 2614410 86530 ) ( * 100300 0 )
NEW met2 ( 1942350 43690 ) ( * 86530 )
NEW met1 ( 1942350 86530 ) ( 2614410 * )
NEW met1 ( 559590 1489710 ) ( 564650 * )
NEW met2 ( 559590 1489710 ) ( * 1500420 0 )
NEW met2 ( 564650 43690 ) ( * 1489710 )
NEW met1 ( 564650 43690 ) ( 1942350 * )
NEW met1 ( 1942350 86530 ) M1M2_PR
NEW met1 ( 2614410 86530 ) M1M2_PR
NEW met1 ( 1942350 43690 ) M1M2_PR
NEW met1 ( 564650 43690 ) M1M2_PR
NEW met1 ( 564650 1489710 ) M1M2_PR
NEW met1 ( 559590 1489710 ) M1M2_PR ;
- read_data_from_mem\[109\] ( core0 data_from_mem[109] ) ( chip_controller rd_data_out[109] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1855380 0 ) ( 611340 * )
NEW met2 ( 2642930 100300 ) ( 2646610 * 0 )
NEW met3 ( 611340 82620 ) ( 2642930 * )
NEW met2 ( 2642930 82620 ) ( * 100300 )
NEW met4 ( 611340 82620 ) ( * 1855380 )
NEW met3 ( 611340 1855380 ) M3M4_PR_M
NEW met3 ( 611340 82620 ) M3M4_PR_M
NEW met2 ( 2642930 82620 ) M2M3_PR_M ;
- read_data_from_mem\[10\] ( core0 data_from_mem[10] ) ( chip_controller rd_data_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 328100 ) ( * 330990 )
NEW met1 ( 266110 1931710 ) ( 613410 * )
NEW met2 ( 264730 1899580 0 ) ( 266110 * )
NEW met2 ( 266110 1899580 ) ( * 1931710 )
NEW met1 ( 613410 330990 ) ( 1283630 * )
NEW met3 ( 1283630 328100 ) ( 1300420 * 0 )
NEW met2 ( 613410 330990 ) ( * 1931710 )
NEW met1 ( 613410 1931710 ) M1M2_PR
NEW met1 ( 613410 330990 ) M1M2_PR
NEW met1 ( 1283630 330990 ) M1M2_PR
NEW met2 ( 1283630 328100 ) M2M3_PR_M
NEW met1 ( 266110 1931710 ) M1M2_PR ;
- read_data_from_mem\[110\] ( core0 data_from_mem[110] ) ( chip_controller rd_data_out[110] ) + USE SIGNAL
+ ROUTED met2 ( 89470 68510 ) ( * 1870170 )
NEW met2 ( 188830 1870170 ) ( * 1873060 )
NEW met3 ( 188830 1873060 ) ( 200100 * 0 )
NEW met2 ( 2656730 100300 ) ( 2662710 * 0 )
NEW met1 ( 89470 1870170 ) ( 188830 * )
NEW met1 ( 89470 68510 ) ( 2656730 * )
NEW met2 ( 2656730 68510 ) ( * 100300 )
NEW met1 ( 89470 68510 ) M1M2_PR
NEW met1 ( 89470 1870170 ) M1M2_PR
NEW met1 ( 188830 1870170 ) M1M2_PR
NEW met2 ( 188830 1873060 ) M2M3_PR_M
NEW met1 ( 2656730 68510 ) M1M2_PR ;
- read_data_from_mem\[111\] ( core0 data_from_mem[111] ) ( chip_controller rd_data_out[111] ) + USE SIGNAL
+ ROUTED met2 ( 2678810 96220 ) ( * 100300 0 )
NEW met3 ( 602140 96220 ) ( 2678810 * )
NEW met2 ( 559130 1899580 0 ) ( * 1913180 )
NEW met3 ( 559130 1913180 ) ( 602140 * )
NEW met4 ( 602140 96220 ) ( * 1913180 )
NEW met3 ( 602140 96220 ) M3M4_PR_M
NEW met3 ( 602140 1913180 ) M3M4_PR_M
NEW met2 ( 2678810 96220 ) M2M3_PR_M
NEW met2 ( 559130 1913180 ) M2M3_PR_M ;
- read_data_from_mem\[112\] ( core0 data_from_mem[112] ) ( chip_controller rd_data_out[112] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1861500 0 ) ( 607430 * )
NEW met2 ( 607430 1859290 ) ( * 1861500 )
NEW met2 ( 1287310 1462340 ) ( * 1784490 )
NEW met1 ( 607430 1859290 ) ( 621230 * )
NEW met3 ( 1287310 1462340 ) ( 1300420 * 0 )
NEW met1 ( 621230 1784490 ) ( 1287310 * )
NEW met2 ( 621230 1784490 ) ( * 1859290 )
NEW met2 ( 607430 1861500 ) M2M3_PR_M
NEW met1 ( 607430 1859290 ) M1M2_PR
NEW met2 ( 1287310 1462340 ) M2M3_PR_M
NEW met1 ( 1287310 1784490 ) M1M2_PR
NEW met1 ( 621230 1859290 ) M1M2_PR
NEW met1 ( 621230 1784490 ) M1M2_PR ;
- read_data_from_mem\[113\] ( core0 data_from_mem[113] ) ( chip_controller rd_data_out[113] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1864900 0 ) ( 612490 * )
NEW met1 ( 613870 1798090 ) ( 2793350 * )
NEW met1 ( 612490 1807950 ) ( 613870 * )
NEW met2 ( 612490 1807950 ) ( * 1864900 )
NEW met2 ( 613870 1798090 ) ( * 1807950 )
NEW met2 ( 2793350 1438540 ) ( 2793810 * )
NEW met2 ( 2793810 1437860 ) ( * 1438540 )
NEW met2 ( 2793810 1437860 ) ( 2794730 * )
NEW met2 ( 2794730 1437350 ) ( * 1437860 )
NEW met1 ( 2794730 1437350 ) ( 2800710 * )
NEW met2 ( 2800710 1425620 ) ( * 1437350 )
NEW met3 ( 2799100 1425620 0 ) ( 2800710 * )
NEW met2 ( 2793350 1438540 ) ( * 1798090 )
NEW met2 ( 612490 1864900 ) M2M3_PR_M
NEW met1 ( 613870 1798090 ) M1M2_PR
NEW met1 ( 2793350 1798090 ) M1M2_PR
NEW met1 ( 612490 1807950 ) M1M2_PR
NEW met1 ( 613870 1807950 ) M1M2_PR
NEW met1 ( 2794730 1437350 ) M1M2_PR
NEW met1 ( 2800710 1437350 ) M1M2_PR
NEW met2 ( 2800710 1425620 ) M2M3_PR_M ;
- read_data_from_mem\[114\] ( core0 data_from_mem[114] ) ( chip_controller rd_data_out[114] ) + USE SIGNAL
+ ROUTED met2 ( 576150 1498210 ) ( * 1500420 )
NEW met2 ( 574770 1500420 0 ) ( 576150 * )
NEW met2 ( 1285470 1498210 ) ( * 1499060 )
NEW met1 ( 576150 1498210 ) ( 1285470 * )
NEW met3 ( 1285470 1499060 ) ( 1300420 * 0 )
NEW met1 ( 576150 1498210 ) M1M2_PR
NEW met1 ( 1285470 1498210 ) M1M2_PR
NEW met2 ( 1285470 1499060 ) M2M3_PR_M ;
- read_data_from_mem\[115\] ( core0 data_from_mem[115] ) ( chip_controller rd_data_out[115] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1866940 0 ) ( 607890 * )
NEW met2 ( 607890 1863030 ) ( * 1866940 )
NEW met2 ( 2709170 1599700 ) ( 2711010 * 0 )
NEW met2 ( 2705030 1725000 ) ( 2709170 * )
NEW met2 ( 2709170 1599700 ) ( * 1725000 )
NEW met2 ( 2705030 1725000 ) ( * 1790950 )
NEW met1 ( 607890 1863030 ) ( 697130 * )
NEW met1 ( 697130 1790950 ) ( 2705030 * )
NEW met2 ( 697130 1790950 ) ( * 1863030 )
NEW met2 ( 607890 1866940 ) M2M3_PR_M
NEW met1 ( 607890 1863030 ) M1M2_PR
NEW met1 ( 697130 1863030 ) M1M2_PR
NEW met1 ( 697130 1790950 ) M1M2_PR
NEW met1 ( 2705030 1790950 ) M1M2_PR ;
- read_data_from_mem\[116\] ( core0 data_from_mem[116] ) ( chip_controller rd_data_out[116] ) + USE SIGNAL
+ ROUTED li1 ( 575690 1898050 ) ( * 1899750 )
NEW met2 ( 575690 1899580 ) ( * 1899750 )
NEW met2 ( 574770 1899580 0 ) ( 575690 * )
NEW met2 ( 664930 1517930 ) ( * 1898050 )
NEW met2 ( 1285470 1517420 ) ( * 1517930 )
NEW met1 ( 575690 1898050 ) ( 664930 * )
NEW met1 ( 664930 1517930 ) ( 1285470 * )
NEW met3 ( 1285470 1517420 ) ( 1300420 * 0 )
NEW li1 ( 575690 1898050 ) L1M1_PR_MR
NEW li1 ( 575690 1899750 ) L1M1_PR_MR
NEW met1 ( 575690 1899750 ) M1M2_PR
NEW met1 ( 664930 1517930 ) M1M2_PR
NEW met1 ( 664930 1898050 ) M1M2_PR
NEW met1 ( 1285470 1517930 ) M1M2_PR
NEW met2 ( 1285470 1517420 ) M2M3_PR_M
NEW met1 ( 575690 1899750 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[117\] ( core0 data_from_mem[117] ) ( chip_controller rd_data_out[117] ) + USE SIGNAL
+ ROUTED met2 ( 1284090 1554140 ) ( * 1558730 )
NEW met3 ( 192510 1881900 ) ( 200100 * 0 )
NEW met2 ( 192510 1881900 ) ( * 1901790 )
NEW met1 ( 704490 1558730 ) ( 1284090 * )
NEW met3 ( 1284090 1554140 ) ( 1300420 * 0 )
NEW met1 ( 192510 1901790 ) ( 704490 * )
NEW met2 ( 704490 1558730 ) ( * 1901790 )
NEW met1 ( 704490 1558730 ) M1M2_PR
NEW met1 ( 1284090 1558730 ) M1M2_PR
NEW met2 ( 1284090 1554140 ) M2M3_PR_M
NEW met2 ( 192510 1881900 ) M2M3_PR_M
NEW met1 ( 192510 1901790 ) M1M2_PR
NEW met1 ( 704490 1901790 ) M1M2_PR ;
- read_data_from_mem\[118\] ( core0 data_from_mem[118] ) ( chip_controller rd_data_out[118] ) + USE SIGNAL
+ ROUTED met2 ( 2727110 97580 ) ( * 100300 0 )
NEW li1 ( 580750 1896350 ) ( * 1899750 )
NEW met2 ( 580750 1899580 ) ( * 1899750 )
NEW met2 ( 579830 1899580 0 ) ( 580750 * )
NEW met2 ( 697130 1896180 ) ( * 1896350 )
NEW met3 ( 697130 1896180 ) ( 700580 * )
NEW met4 ( 700580 1870340 ) ( * 1896180 )
NEW met3 ( 700580 1870340 ) ( 703340 * )
NEW met2 ( 1303410 97580 ) ( * 128180 )
NEW met3 ( 703340 128180 ) ( 1303410 * )
NEW met3 ( 1303410 97580 ) ( 2727110 * )
NEW met1 ( 580750 1896350 ) ( 697130 * )
NEW met4 ( 703340 128180 ) ( * 1870340 )
NEW met3 ( 703340 128180 ) M3M4_PR_M
NEW met2 ( 2727110 97580 ) M2M3_PR_M
NEW li1 ( 580750 1896350 ) L1M1_PR_MR
NEW li1 ( 580750 1899750 ) L1M1_PR_MR
NEW met1 ( 580750 1899750 ) M1M2_PR
NEW met1 ( 697130 1896350 ) M1M2_PR
NEW met2 ( 697130 1896180 ) M2M3_PR_M
NEW met3 ( 700580 1896180 ) M3M4_PR_M
NEW met3 ( 700580 1870340 ) M3M4_PR_M
NEW met3 ( 703340 1870340 ) M3M4_PR_M
NEW met2 ( 1303410 128180 ) M2M3_PR_M
NEW met2 ( 1303410 97580 ) M2M3_PR_M
NEW met1 ( 580750 1899750 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[119\] ( core0 data_from_mem[119] ) ( chip_controller rd_data_out[119] ) + USE SIGNAL
+ ROUTED met2 ( 585350 1899580 0 ) ( * 1925930 )
NEW met1 ( 585350 1925930 ) ( 699890 * )
NEW met1 ( 699890 1597830 ) ( 2813590 * )
NEW met3 ( 2799100 1443980 0 ) ( 2813590 * )
NEW met2 ( 2813590 1443980 ) ( * 1597830 )
NEW met2 ( 699890 1597830 ) ( * 1925930 )
NEW met1 ( 585350 1925930 ) M1M2_PR
NEW met1 ( 699890 1925930 ) M1M2_PR
NEW met1 ( 699890 1597830 ) M1M2_PR
NEW met1 ( 2813590 1597830 ) M1M2_PR
NEW met2 ( 2813590 1443980 ) M2M3_PR_M ;
- read_data_from_mem\[11\] ( core0 data_from_mem[11] ) ( chip_controller rd_data_out[11] ) + USE SIGNAL
+ ROUTED met3 ( 197110 1588820 ) ( 200100 * 0 )
NEW met2 ( 1287310 383180 ) ( * 1424770 )
NEW met3 ( 1287310 383180 ) ( 1300420 * 0 )
NEW met1 ( 197110 1424770 ) ( 1287310 * )
NEW met2 ( 197110 1424770 ) ( * 1588820 )
NEW met2 ( 1287310 383180 ) M2M3_PR_M
NEW met1 ( 197110 1424770 ) M1M2_PR
NEW met2 ( 197110 1588820 ) M2M3_PR_M
NEW met1 ( 1287310 1424770 ) M1M2_PR ;
- read_data_from_mem\[120\] ( core0 data_from_mem[120] ) ( chip_controller rd_data_out[120] ) + USE SIGNAL
+ ROUTED met1 ( 586730 1903490 ) ( 600070 * )
NEW met2 ( 586730 1899580 0 ) ( * 1903490 )
NEW met2 ( 2741370 1599700 ) ( 2743210 * 0 )
NEW met2 ( 2739530 1725000 ) ( 2741370 * )
NEW met2 ( 2741370 1599700 ) ( * 1725000 )
NEW met1 ( 600070 1790270 ) ( 2739530 * )
NEW met2 ( 2739530 1725000 ) ( * 1790270 )
NEW met2 ( 600070 1790270 ) ( * 1903490 )
NEW met1 ( 600070 1790270 ) M1M2_PR
NEW met1 ( 600070 1903490 ) M1M2_PR
NEW met1 ( 586730 1903490 ) M1M2_PR
NEW met1 ( 2739530 1790270 ) M1M2_PR ;
- read_data_from_mem\[121\] ( core0 data_from_mem[121] ) ( chip_controller rd_data_out[121] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1877820 0 ) ( 607430 * )
NEW met2 ( 607430 1876970 ) ( * 1877820 )
NEW met2 ( 669530 1821600 ) ( 669990 * )
NEW met2 ( 669530 1783470 ) ( * 1821600 )
NEW met2 ( 669990 1821600 ) ( * 1876970 )
NEW met1 ( 607430 1876970 ) ( 669990 * )
NEW met2 ( 2757010 1599700 ) ( 2759310 * 0 )
NEW met2 ( 2753330 1725000 ) ( 2757010 * )
NEW met2 ( 2757010 1599700 ) ( * 1725000 )
NEW met1 ( 669530 1783470 ) ( 2753330 * )
NEW met2 ( 2753330 1725000 ) ( * 1783470 )
NEW met2 ( 607430 1877820 ) M2M3_PR_M
NEW met1 ( 607430 1876970 ) M1M2_PR
NEW met1 ( 669530 1783470 ) M1M2_PR
NEW met1 ( 669990 1876970 ) M1M2_PR
NEW met1 ( 2753330 1783470 ) M1M2_PR ;
- read_data_from_mem\[122\] ( core0 data_from_mem[122] ) ( chip_controller rd_data_out[122] ) + USE SIGNAL
+ ROUTED li1 ( 592710 1897030 ) ( * 1899750 )
NEW met2 ( 592710 1899580 ) ( * 1899750 )
NEW met2 ( 592250 1899580 0 ) ( 592710 * )
NEW met3 ( 2799100 1499060 0 ) ( 2814510 * )
NEW met1 ( 592710 1897030 ) ( 699430 * )
NEW met1 ( 699430 1597490 ) ( 2814510 * )
NEW met2 ( 2814510 1499060 ) ( * 1597490 )
NEW met2 ( 699430 1597490 ) ( * 1897030 )
NEW li1 ( 592710 1897030 ) L1M1_PR_MR
NEW li1 ( 592710 1899750 ) L1M1_PR_MR
NEW met1 ( 592710 1899750 ) M1M2_PR
NEW met1 ( 699430 1597490 ) M1M2_PR
NEW met1 ( 699430 1897030 ) M1M2_PR
NEW met2 ( 2814510 1499060 ) M2M3_PR_M
NEW met1 ( 2814510 1597490 ) M1M2_PR
NEW met1 ( 592710 1899750 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[123\] ( core0 data_from_mem[123] ) ( chip_controller rd_data_out[123] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1882580 0 ) ( 609500 * )
NEW met2 ( 2759310 89590 ) ( * 100300 0 )
NEW met1 ( 2759310 89590 ) ( 2850390 * )
NEW met3 ( 609500 1783300 ) ( 2850390 * )
NEW met2 ( 2850390 89590 ) ( * 1783300 )
NEW met4 ( 609500 1783300 ) ( * 1882580 )
NEW met3 ( 609500 1783300 ) M3M4_PR_M
NEW met3 ( 609500 1882580 ) M3M4_PR_M
NEW met1 ( 2759310 89590 ) M1M2_PR
NEW met1 ( 2850390 89590 ) M1M2_PR
NEW met2 ( 2850390 1783300 ) M2M3_PR_M ;
- read_data_from_mem\[124\] ( core0 data_from_mem[124] ) ( chip_controller rd_data_out[124] ) + USE SIGNAL
+ ROUTED met1 ( 610190 1798430 ) ( 612950 * )
NEW met2 ( 612950 1776670 ) ( * 1798430 )
NEW met3 ( 599380 1887340 0 ) ( 610190 * )
NEW met1 ( 612950 1776670 ) ( 2794270 * )
NEW li1 ( 610190 1798430 ) ( * 1802850 )
NEW met2 ( 610190 1802850 ) ( * 1887340 )
NEW met2 ( 2794270 1535950 ) ( 2794730 * )
NEW met1 ( 2794730 1535950 ) ( 2800710 * )
NEW met2 ( 2800710 1535780 ) ( * 1535950 )
NEW met3 ( 2799100 1535780 0 ) ( 2800710 * )
NEW met2 ( 2794270 1535950 ) ( * 1776670 )
NEW li1 ( 610190 1798430 ) L1M1_PR_MR
NEW met1 ( 612950 1798430 ) M1M2_PR
NEW met1 ( 612950 1776670 ) M1M2_PR
NEW met2 ( 610190 1887340 ) M2M3_PR_M
NEW met1 ( 2794270 1776670 ) M1M2_PR
NEW li1 ( 610190 1802850 ) L1M1_PR_MR
NEW met1 ( 610190 1802850 ) M1M2_PR
NEW met1 ( 2794730 1535950 ) M1M2_PR
NEW met1 ( 2800710 1535950 ) M1M2_PR
NEW met2 ( 2800710 1535780 ) M2M3_PR_M
NEW met1 ( 610190 1802850 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[125\] ( core0 data_from_mem[125] ) ( chip_controller rd_data_out[125] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1892780 0 ) ( 613180 * )
NEW met2 ( 2775410 89250 ) ( * 100300 0 )
NEW met1 ( 2775410 89250 ) ( 2843490 * )
NEW met3 ( 613180 1783980 ) ( 2843490 * )
NEW met2 ( 2843490 89250 ) ( * 1783980 )
NEW met4 ( 613180 1783980 ) ( * 1892780 )
NEW met3 ( 613180 1783980 ) M3M4_PR_M
NEW met3 ( 613180 1892780 ) M3M4_PR_M
NEW met1 ( 2775410 89250 ) M1M2_PR
NEW met1 ( 2843490 89250 ) M1M2_PR
NEW met2 ( 2843490 1783980 ) M2M3_PR_M ;
- read_data_from_mem\[126\] ( core0 data_from_mem[126] ) ( chip_controller rd_data_out[126] ) + USE SIGNAL
+ ROUTED met3 ( 200100 1893460 0 ) ( * 1894140 )
NEW met3 ( 199410 1894140 ) ( 200100 * )
NEW met2 ( 199410 1894140 ) ( * 1894650 )
NEW met3 ( 1285700 1592900 ) ( 1286850 * )
NEW met3 ( 1285700 1590860 ) ( * 1592900 )
NEW met2 ( 1286850 1592900 ) ( * 1785170 )
NEW met1 ( 199410 1894650 ) ( 614100 * )
NEW met1 ( 614100 1894650 ) ( * 1894990 )
NEW met1 ( 614100 1894990 ) ( 649290 * )
NEW met2 ( 649290 1785170 ) ( * 1894990 )
NEW met1 ( 649290 1785170 ) ( 1286850 * )
NEW met3 ( 1285700 1590860 ) ( 1300420 * 0 )
NEW met2 ( 199410 1894140 ) M2M3_PR_M
NEW met1 ( 199410 1894650 ) M1M2_PR
NEW met2 ( 1286850 1592900 ) M2M3_PR_M
NEW met1 ( 1286850 1785170 ) M1M2_PR
NEW met1 ( 649290 1785170 ) M1M2_PR
NEW met1 ( 649290 1894990 ) M1M2_PR ;
- read_data_from_mem\[127\] ( core0 data_from_mem[127] ) ( chip_controller rd_data_out[127] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1898900 0 ) ( 607430 * )
NEW met2 ( 607430 1898900 ) ( * 1899750 )
NEW met2 ( 677350 1777350 ) ( * 1899750 )
NEW met3 ( 2799100 1590860 0 ) ( 2811290 * )
NEW met2 ( 2811290 1590860 ) ( * 1777350 )
NEW met1 ( 607430 1899750 ) ( 677350 * )
NEW met1 ( 677350 1777350 ) ( 2811290 * )
NEW met2 ( 607430 1898900 ) M2M3_PR_M
NEW met1 ( 607430 1899750 ) M1M2_PR
NEW met1 ( 677350 1777350 ) M1M2_PR
NEW met1 ( 677350 1899750 ) M1M2_PR
NEW met2 ( 2811290 1590860 ) M2M3_PR_M
NEW met1 ( 2811290 1777350 ) M1M2_PR ;
- read_data_from_mem\[12\] ( core0 data_from_mem[12] ) ( chip_controller rd_data_out[12] ) + USE SIGNAL
+ ROUTED met3 ( 195270 1603100 ) ( 200100 * 0 )
NEW met2 ( 1288230 419900 ) ( * 1203770 )
NEW met3 ( 1288230 419900 ) ( 1300420 * 0 )
NEW met1 ( 195270 1203770 ) ( 1288230 * )
NEW met2 ( 195270 1203770 ) ( * 1603100 )
NEW met2 ( 1288230 419900 ) M2M3_PR_M
NEW met1 ( 195270 1203770 ) M1M2_PR
NEW met2 ( 195270 1603100 ) M2M3_PR_M
NEW met1 ( 1288230 1203770 ) M1M2_PR ;
- read_data_from_mem\[13\] ( core0 data_from_mem[13] ) ( chip_controller rd_data_out[13] ) + USE SIGNAL
+ ROUTED met3 ( 186300 1607860 ) ( 200100 * 0 )
NEW met2 ( 1276270 1462340 ) ( * 1601740 )
NEW met3 ( 2799100 438260 0 ) ( 2810370 * )
NEW met2 ( 2810370 438260 ) ( * 438770 )
NEW met1 ( 2810370 438770 ) ( 2824170 * )
NEW met2 ( 2824170 438770 ) ( * 1601740 )
NEW met3 ( 186300 1462340 ) ( 1276270 * )
NEW met3 ( 1276270 1601740 ) ( 2824170 * )
NEW met4 ( 186300 1462340 ) ( * 1607860 )
NEW met3 ( 186300 1462340 ) M3M4_PR_M
NEW met2 ( 1276270 1462340 ) M2M3_PR_M
NEW met3 ( 186300 1607860 ) M3M4_PR_M
NEW met2 ( 1276270 1601740 ) M2M3_PR_M
NEW met2 ( 2810370 438260 ) M2M3_PR_M
NEW met1 ( 2810370 438770 ) M1M2_PR
NEW met1 ( 2824170 438770 ) M1M2_PR
NEW met2 ( 2824170 1601740 ) M2M3_PR_M ;
- read_data_from_mem\[14\] ( core0 data_from_mem[14] ) ( chip_controller rd_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 308890 1486310 ) ( * 1500420 0 )
NEW li1 ( 567870 1485290 ) ( * 1486650 )
NEW met1 ( 567870 1485290 ) ( 606050 * )
NEW met2 ( 606050 1485290 ) ( * 1531190 )
NEW met1 ( 606050 1531190 ) ( 606970 * )
NEW met1 ( 606970 1531190 ) ( * 1531530 )
NEW met2 ( 606970 1531530 ) ( * 1599530 )
NEW met2 ( 1726150 1599530 ) ( * 1599700 )
NEW met2 ( 1726150 1599700 ) ( 1727070 * 0 )
NEW met1 ( 565800 1486650 ) ( 567870 * )
NEW met1 ( 565800 1486310 ) ( * 1486650 )
NEW met1 ( 308890 1486310 ) ( 565800 * )
NEW met1 ( 606970 1599530 ) ( 1726150 * )
NEW met1 ( 308890 1486310 ) M1M2_PR
NEW li1 ( 567870 1486650 ) L1M1_PR_MR
NEW li1 ( 567870 1485290 ) L1M1_PR_MR
NEW met1 ( 606050 1485290 ) M1M2_PR
NEW met1 ( 606050 1531190 ) M1M2_PR
NEW met1 ( 606970 1531530 ) M1M2_PR
NEW met1 ( 606970 1599530 ) M1M2_PR
NEW met1 ( 1726150 1599530 ) M1M2_PR ;
- read_data_from_mem\[15\] ( core0 data_from_mem[15] ) ( chip_controller rd_data_out[15] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 492660 0 ) ( 2808990 * )
NEW met2 ( 2808990 492660 ) ( * 494530 )
NEW met1 ( 2808990 494530 ) ( 2824630 * )
NEW met2 ( 188830 1580100 ) ( 189290 * )
NEW met2 ( 188830 1580100 ) ( * 1618740 )
NEW met3 ( 188830 1618740 ) ( 200100 * 0 )
NEW met2 ( 1272130 1469140 ) ( * 1598850 )
NEW met2 ( 2824630 494530 ) ( * 1598850 )
NEW met1 ( 1272130 1598850 ) ( 2824630 * )
NEW met2 ( 189290 1548020 ) ( 189750 * )
NEW met2 ( 189750 1540370 ) ( * 1548020 )
NEW met1 ( 189750 1540370 ) ( 190210 * )
NEW met1 ( 190210 1539350 ) ( * 1540370 )
NEW met2 ( 190210 1537820 ) ( * 1539350 )
NEW met2 ( 189290 1548020 ) ( * 1580100 )
NEW met3 ( 205620 1469140 ) ( 1272130 * )
NEW met4 ( 197340 1499060 ) ( * 1537820 )
NEW met3 ( 197340 1499060 ) ( 205620 * )
NEW met3 ( 190210 1537820 ) ( 197340 * )
NEW met4 ( 205620 1469140 ) ( * 1499060 )
NEW met2 ( 1272130 1469140 ) M2M3_PR_M
NEW met2 ( 2808990 492660 ) M2M3_PR_M
NEW met1 ( 2808990 494530 ) M1M2_PR
NEW met1 ( 2824630 494530 ) M1M2_PR
NEW met2 ( 188830 1618740 ) M2M3_PR_M
NEW met1 ( 1272130 1598850 ) M1M2_PR
NEW met1 ( 2824630 1598850 ) M1M2_PR
NEW met1 ( 189750 1540370 ) M1M2_PR
NEW met1 ( 190210 1539350 ) M1M2_PR
NEW met2 ( 190210 1537820 ) M2M3_PR_M
NEW met3 ( 205620 1469140 ) M3M4_PR_M
NEW met3 ( 197340 1537820 ) M3M4_PR_M
NEW met3 ( 197340 1499060 ) M3M4_PR_M
NEW met3 ( 205620 1499060 ) M3M4_PR_M ;
- read_data_from_mem\[16\] ( core0 data_from_mem[16] ) ( chip_controller rd_data_out[16] ) + USE SIGNAL
+ ROUTED met2 ( 319010 1488010 ) ( * 1500420 0 )
NEW met2 ( 1582170 97750 ) ( * 100300 0 )
NEW met1 ( 341550 97750 ) ( 1582170 * )
NEW met1 ( 319010 1488010 ) ( 341550 * )
NEW met2 ( 341550 97750 ) ( * 1488010 )
NEW met1 ( 319010 1488010 ) M1M2_PR
NEW met1 ( 341550 97750 ) M1M2_PR
NEW met1 ( 1582170 97750 ) M1M2_PR
NEW met1 ( 341550 1488010 ) M1M2_PR ;
- read_data_from_mem\[17\] ( core0 data_from_mem[17] ) ( chip_controller rd_data_out[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1616020 0 ) ( 608350 * )
NEW met2 ( 608350 1616020 ) ( * 1616530 )
NEW met2 ( 1791470 1599700 0 ) ( * 1616530 )
NEW met1 ( 608350 1616530 ) ( 1791470 * )
NEW met2 ( 608350 1616020 ) M2M3_PR_M
NEW met1 ( 608350 1616530 ) M1M2_PR
NEW met1 ( 1791470 1616530 ) M1M2_PR ;
- read_data_from_mem\[18\] ( core0 data_from_mem[18] ) ( chip_controller rd_data_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 1642430 100300 ) ( 1646570 * 0 )
NEW met3 ( 599380 1620780 0 ) ( 609730 * )
NEW met2 ( 609730 1615170 ) ( * 1620780 )
NEW met2 ( 1245910 69190 ) ( * 1615170 )
NEW met2 ( 1642430 69190 ) ( * 100300 )
NEW met1 ( 609730 1615170 ) ( 1245910 * )
NEW met1 ( 1245910 69190 ) ( 1642430 * )
NEW met2 ( 609730 1620780 ) M2M3_PR_M
NEW met1 ( 609730 1615170 ) M1M2_PR
NEW met1 ( 1245910 69190 ) M1M2_PR
NEW met1 ( 1245910 1615170 ) M1M2_PR
NEW met1 ( 1642430 69190 ) M1M2_PR ;
- read_data_from_mem\[19\] ( core0 data_from_mem[19] ) ( chip_controller rd_data_out[19] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 584460 0 ) ( 2808990 * )
NEW met2 ( 326830 1899580 0 ) ( * 1916580 )
NEW met3 ( 326830 1916580 ) ( 612260 * )
NEW met3 ( 612260 1784660 ) ( 2808990 * )
NEW met2 ( 2808990 584460 ) ( * 1784660 )
NEW met4 ( 612260 1784660 ) ( * 1916580 )
NEW met2 ( 2808990 584460 ) M2M3_PR_M
NEW met3 ( 612260 1784660 ) M3M4_PR_M
NEW met3 ( 612260 1916580 ) M3M4_PR_M
NEW met2 ( 2808990 1784660 ) M2M3_PR_M
NEW met2 ( 326830 1916580 ) M2M3_PR_M ;
- read_data_from_mem\[1\] ( core0 data_from_mem[1] ) ( chip_controller rd_data_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 2808530 103190 ) ( * 126820 )
NEW met3 ( 2799100 126820 0 ) ( 2808530 * )
NEW met3 ( 599380 1514020 0 ) ( 607890 * )
NEW met2 ( 607890 1511130 ) ( * 1514020 )
NEW met1 ( 1294670 103190 ) ( 2808530 * )
NEW met1 ( 607890 1511130 ) ( 1294670 * )
NEW met2 ( 1294670 103190 ) ( * 1511130 )
NEW met1 ( 2808530 103190 ) M1M2_PR
NEW met2 ( 2808530 126820 ) M2M3_PR_M
NEW met2 ( 607890 1514020 ) M2M3_PR_M
NEW met1 ( 607890 1511130 ) M1M2_PR
NEW met1 ( 1294670 103190 ) M1M2_PR
NEW met1 ( 1294670 1511130 ) M1M2_PR ;
- read_data_from_mem\[20\] ( core0 data_from_mem[20] ) ( chip_controller rd_data_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1643390 ) ( * 1647980 )
NEW met3 ( 189750 1647980 ) ( 200100 * 0 )
NEW met3 ( 2799100 602820 0 ) ( 2809910 * )
NEW met2 ( 2809910 602820 ) ( * 604690 )
NEW met1 ( 2809910 604690 ) ( 2825090 * )
NEW met2 ( 1275350 1462170 ) ( * 1615170 )
NEW met2 ( 2825090 604690 ) ( * 1615170 )
NEW met1 ( 151570 1643390 ) ( 189750 * )
NEW met1 ( 151570 1462170 ) ( 1275350 * )
NEW met2 ( 151570 1462170 ) ( * 1643390 )
NEW met1 ( 1275350 1615170 ) ( 2825090 * )
NEW met1 ( 189750 1643390 ) M1M2_PR
NEW met2 ( 189750 1647980 ) M2M3_PR_M
NEW met1 ( 1275350 1462170 ) M1M2_PR
NEW met2 ( 2809910 602820 ) M2M3_PR_M
NEW met1 ( 2809910 604690 ) M1M2_PR
NEW met1 ( 2825090 604690 ) M1M2_PR
NEW met1 ( 1275350 1615170 ) M1M2_PR
NEW met1 ( 2825090 1615170 ) M1M2_PR
NEW met1 ( 151570 1462170 ) M1M2_PR
NEW met1 ( 151570 1643390 ) M1M2_PR ;
- read_data_from_mem\[21\] ( core0 data_from_mem[21] ) ( chip_controller rd_data_out[21] ) + USE SIGNAL
+ ROUTED met3 ( 194350 1653420 ) ( 200100 * 0 )
NEW met2 ( 1283630 602820 ) ( * 607070 )
NEW met1 ( 194350 607070 ) ( 1283630 * )
NEW met3 ( 1283630 602820 ) ( 1300420 * 0 )
NEW met2 ( 194350 607070 ) ( * 1653420 )
NEW met1 ( 194350 607070 ) M1M2_PR
NEW met2 ( 194350 1653420 ) M2M3_PR_M
NEW met1 ( 1283630 607070 ) M1M2_PR
NEW met2 ( 1283630 602820 ) M2M3_PR_M ;
- read_data_from_mem\[22\] ( core0 data_from_mem[22] ) ( chip_controller rd_data_out[22] ) + USE SIGNAL
+ ROUTED met1 ( 347990 1922190 ) ( 622610 * )
NEW met2 ( 347990 1899580 0 ) ( * 1922190 )
NEW met2 ( 1888530 1599700 0 ) ( * 1611430 )
NEW met1 ( 622610 1611430 ) ( 1888530 * )
NEW met2 ( 622610 1611430 ) ( * 1922190 )
NEW met1 ( 347990 1922190 ) M1M2_PR
NEW met1 ( 622610 1922190 ) M1M2_PR
NEW met1 ( 622610 1611430 ) M1M2_PR
NEW met1 ( 1888530 1611430 ) M1M2_PR ;
- read_data_from_mem\[23\] ( core0 data_from_mem[23] ) ( chip_controller rd_data_out[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1650020 0 ) ( 607890 * )
NEW met2 ( 607890 1649170 ) ( * 1650020 )
NEW met2 ( 2791970 759000 ) ( 2794270 * )
NEW met1 ( 607890 1649170 ) ( 2791970 * )
NEW met2 ( 2791970 759000 ) ( * 1649170 )
NEW met2 ( 2794270 674050 ) ( 2794730 * )
NEW met1 ( 2794730 674050 ) ( 2797490 * )
NEW met2 ( 2797490 674050 ) ( * 674220 )
NEW met3 ( 2797260 674220 ) ( 2797490 * )
NEW met3 ( 2797260 674220 ) ( * 675580 0 )
NEW met2 ( 2794270 674050 ) ( * 759000 )
NEW met2 ( 607890 1650020 ) M2M3_PR_M
NEW met1 ( 607890 1649170 ) M1M2_PR
NEW met1 ( 2791970 1649170 ) M1M2_PR
NEW met1 ( 2794730 674050 ) M1M2_PR
NEW met1 ( 2797490 674050 ) M1M2_PR
NEW met2 ( 2797490 674220 ) M2M3_PR_M ;
- read_data_from_mem\[24\] ( core0 data_from_mem[24] ) ( chip_controller rd_data_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 657900 ) ( * 662150 )
NEW met2 ( 358110 662150 ) ( * 1483500 )
NEW met2 ( 356270 1483500 ) ( 358110 * )
NEW met2 ( 356270 1483500 ) ( * 1500420 )
NEW met2 ( 355350 1500420 0 ) ( 356270 * )
NEW met1 ( 358110 662150 ) ( 1283630 * )
NEW met3 ( 1283630 657900 ) ( 1300420 * 0 )
NEW met1 ( 1283630 662150 ) M1M2_PR
NEW met2 ( 1283630 657900 ) M2M3_PR_M
NEW met1 ( 358110 662150 ) M1M2_PR ;
- read_data_from_mem\[25\] ( core0 data_from_mem[25] ) ( chip_controller rd_data_out[25] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1662940 0 ) ( 608350 * )
NEW met2 ( 608350 1662940 ) ( * 1663450 )
NEW met2 ( 1752830 100300 ) ( 1759270 * 0 )
NEW met2 ( 1253270 76670 ) ( * 1663450 )
NEW met2 ( 1752830 76670 ) ( * 100300 )
NEW met1 ( 608350 1663450 ) ( 1253270 * )
NEW met1 ( 1253270 76670 ) ( 1752830 * )
NEW met2 ( 608350 1662940 ) M2M3_PR_M
NEW met1 ( 608350 1663450 ) M1M2_PR
NEW met1 ( 1253270 1663450 ) M1M2_PR
NEW met1 ( 1253270 76670 ) M1M2_PR
NEW met1 ( 1752830 76670 ) M1M2_PR ;
- read_data_from_mem\[26\] ( core0 data_from_mem[26] ) ( chip_controller rd_data_out[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1667700 0 ) ( 608810 * )
NEW met2 ( 608810 1663110 ) ( * 1667700 )
NEW met3 ( 2797260 715020 ) ( 2797490 * )
NEW met3 ( 2797260 712300 0 ) ( * 715020 )
NEW met1 ( 608810 1663110 ) ( 2797490 * )
NEW met2 ( 2797490 715020 ) ( * 1663110 )
NEW met2 ( 608810 1667700 ) M2M3_PR_M
NEW met1 ( 608810 1663110 ) M1M2_PR
NEW met1 ( 2797490 1663110 ) M1M2_PR
NEW met2 ( 2797490 715020 ) M2M3_PR_M ;
- read_data_from_mem\[27\] ( core0 data_from_mem[27] ) ( chip_controller rd_data_out[27] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1670420 0 ) ( 608350 * )
NEW met2 ( 608350 1669910 ) ( * 1670420 )
NEW met2 ( 1728450 1612450 ) ( * 1669910 )
NEW met1 ( 608350 1669910 ) ( 1728450 * )
NEW met2 ( 1969030 1599700 0 ) ( * 1612450 )
NEW met1 ( 1728450 1612450 ) ( 1969030 * )
NEW met2 ( 608350 1670420 ) M2M3_PR_M
NEW met1 ( 608350 1669910 ) M1M2_PR
NEW met1 ( 1728450 1669910 ) M1M2_PR
NEW met1 ( 1728450 1612450 ) M1M2_PR
NEW met1 ( 1969030 1612450 ) M1M2_PR ;
- read_data_from_mem\[28\] ( core0 data_from_mem[28] ) ( chip_controller rd_data_out[28] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 730660 0 ) ( 2809910 * )
NEW met2 ( 371910 1487500 ) ( * 1500420 )
NEW met2 ( 371910 1500420 ) ( 372370 * 0 )
NEW met3 ( 371910 1487500 ) ( 721510 * )
NEW met2 ( 721510 1487500 ) ( * 1595790 )
NEW met1 ( 721510 1595790 ) ( 2809910 * )
NEW met2 ( 2809910 730660 ) ( * 1595790 )
NEW met2 ( 2809910 730660 ) M2M3_PR_M
NEW met1 ( 2809910 1595790 ) M1M2_PR
NEW met2 ( 371910 1487500 ) M2M3_PR_M
NEW met2 ( 721510 1487500 ) M2M3_PR_M
NEW met1 ( 721510 1595790 ) M1M2_PR ;
- read_data_from_mem\[29\] ( core0 data_from_mem[29] ) ( chip_controller rd_data_out[29] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1691670 ) ( * 1692860 )
NEW met3 ( 189750 1692860 ) ( 200100 * 0 )
NEW met2 ( 1260630 1469310 ) ( * 1618230 )
NEW met2 ( 2033430 1599700 0 ) ( * 1618230 )
NEW met1 ( 168590 1691670 ) ( 189750 * )
NEW met1 ( 1260630 1618230 ) ( 2033430 * )
NEW met2 ( 168590 1469310 ) ( * 1691670 )
NEW met1 ( 168590 1469310 ) ( 1260630 * )
NEW met1 ( 1260630 1469310 ) M1M2_PR
NEW met1 ( 189750 1691670 ) M1M2_PR
NEW met2 ( 189750 1692860 ) M2M3_PR_M
NEW met1 ( 1260630 1618230 ) M1M2_PR
NEW met1 ( 2033430 1618230 ) M1M2_PR
NEW met1 ( 168590 1691670 ) M1M2_PR
NEW met1 ( 168590 1469310 ) M1M2_PR ;
- read_data_from_mem\[2\] ( core0 data_from_mem[2] ) ( chip_controller rd_data_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 224710 1899580 0 ) ( * 1924230 )
NEW met1 ( 224710 1924230 ) ( 623070 * )
NEW met2 ( 1501210 1599700 0 ) ( * 1612790 )
NEW met1 ( 623070 1612790 ) ( 1501210 * )
NEW met2 ( 623070 1612790 ) ( * 1924230 )
NEW met1 ( 224710 1924230 ) M1M2_PR
NEW met1 ( 623070 1924230 ) M1M2_PR
NEW met1 ( 623070 1612790 ) M1M2_PR
NEW met1 ( 1501210 1612790 ) M1M2_PR ;
- read_data_from_mem\[30\] ( core0 data_from_mem[30] ) ( chip_controller rd_data_out[30] ) + USE SIGNAL
+ ROUTED met1 ( 387550 1490390 ) ( 393070 * )
NEW met2 ( 387550 1490390 ) ( * 1500420 0 )
NEW met2 ( 393070 731170 ) ( * 1490390 )
NEW met2 ( 1283630 730660 ) ( * 731170 )
NEW met1 ( 393070 731170 ) ( 1283630 * )
NEW met3 ( 1283630 730660 ) ( 1300420 * 0 )
NEW met1 ( 393070 731170 ) M1M2_PR
NEW met1 ( 393070 1490390 ) M1M2_PR
NEW met1 ( 387550 1490390 ) M1M2_PR
NEW met1 ( 1283630 731170 ) M1M2_PR
NEW met2 ( 1283630 730660 ) M2M3_PR_M ;
- read_data_from_mem\[31\] ( core0 data_from_mem[31] ) ( chip_controller rd_data_out[31] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1688780 0 ) ( 608810 * )
NEW met2 ( 608810 1684190 ) ( * 1688780 )
NEW met1 ( 608810 1684190 ) ( 1790550 * )
NEW met2 ( 1790550 1612110 ) ( * 1684190 )
NEW met2 ( 2066090 1599700 0 ) ( * 1612110 )
NEW met1 ( 1790550 1612110 ) ( 2066090 * )
NEW met2 ( 608810 1688780 ) M2M3_PR_M
NEW met1 ( 608810 1684190 ) M1M2_PR
NEW met1 ( 1790550 1612110 ) M1M2_PR
NEW met1 ( 1790550 1684190 ) M1M2_PR
NEW met1 ( 2066090 1612110 ) M1M2_PR ;
- read_data_from_mem\[32\] ( core0 data_from_mem[32] ) ( chip_controller rd_data_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1694900 0 ) ( 608350 * )
NEW met2 ( 608350 1690650 ) ( * 1694900 )
NEW met2 ( 1838850 1611770 ) ( * 1690650 )
NEW met1 ( 608350 1690650 ) ( 1838850 * )
NEW met2 ( 2082190 1599700 0 ) ( * 1611770 )
NEW met1 ( 1838850 1611770 ) ( 2082190 * )
NEW met2 ( 608350 1694900 ) M2M3_PR_M
NEW met1 ( 608350 1690650 ) M1M2_PR
NEW met1 ( 1838850 1611770 ) M1M2_PR
NEW met1 ( 1838850 1690650 ) M1M2_PR
NEW met1 ( 2082190 1611770 ) M1M2_PR ;
- read_data_from_mem\[33\] ( core0 data_from_mem[33] ) ( chip_controller rd_data_out[33] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 804100 0 ) ( 2810370 * )
NEW met2 ( 399510 1486140 ) ( * 1489540 )
NEW met2 ( 399510 1489540 ) ( 399970 * )
NEW met2 ( 399970 1489540 ) ( * 1500420 0 )
NEW met2 ( 589490 1486140 ) ( * 1490220 )
NEW met3 ( 399510 1486140 ) ( 589490 * )
NEW met3 ( 589490 1490220 ) ( 623070 * )
NEW met2 ( 623070 1490220 ) ( * 1588650 )
NEW met1 ( 623070 1588650 ) ( 2810370 * )
NEW met2 ( 2810370 804100 ) ( * 1588650 )
NEW met2 ( 2810370 804100 ) M2M3_PR_M
NEW met2 ( 399510 1486140 ) M2M3_PR_M
NEW met2 ( 589490 1486140 ) M2M3_PR_M
NEW met2 ( 589490 1490220 ) M2M3_PR_M
NEW met1 ( 2810370 1588650 ) M1M2_PR
NEW met2 ( 623070 1490220 ) M2M3_PR_M
NEW met1 ( 623070 1588650 ) M1M2_PR ;
- read_data_from_mem\[34\] ( core0 data_from_mem[34] ) ( chip_controller rd_data_out[34] ) + USE SIGNAL
+ ROUTED met2 ( 393070 1899580 0 ) ( * 1922870 )
NEW met1 ( 393070 1922870 ) ( 623530 * )
NEW met2 ( 2098290 1599700 0 ) ( * 1609220 )
NEW met3 ( 623530 1609220 ) ( 2098290 * )
NEW met2 ( 623530 1609220 ) ( * 1922870 )
NEW met1 ( 393070 1922870 ) M1M2_PR
NEW met1 ( 623530 1922870 ) M1M2_PR
NEW met2 ( 623530 1609220 ) M2M3_PR_M
NEW met2 ( 2098290 1609220 ) M2M3_PR_M ;
- read_data_from_mem\[35\] ( core0 data_from_mem[35] ) ( chip_controller rd_data_out[35] ) + USE SIGNAL
+ ROUTED met2 ( 1856330 87210 ) ( * 100300 0 )
NEW met2 ( 399970 1899580 0 ) ( * 1923210 )
NEW met1 ( 399970 1923210 ) ( 625370 * )
NEW met1 ( 625370 87210 ) ( 1856330 * )
NEW met2 ( 625370 87210 ) ( * 1923210 )
NEW met1 ( 399970 1923210 ) M1M2_PR
NEW met1 ( 1856330 87210 ) M1M2_PR
NEW met1 ( 625370 87210 ) M1M2_PR
NEW met1 ( 625370 1923210 ) M1M2_PR ;
- read_data_from_mem\[36\] ( core0 data_from_mem[36] ) ( chip_controller rd_data_out[36] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1712070 ) ( * 1713940 )
NEW met3 ( 189290 1713940 ) ( 200100 * 0 )
NEW met2 ( 1260170 1460810 ) ( * 1617550 )
NEW met2 ( 2114390 1599700 0 ) ( * 1617550 )
NEW met1 ( 156170 1460810 ) ( 1260170 * )
NEW met2 ( 156170 1460810 ) ( * 1712070 )
NEW met1 ( 156170 1712070 ) ( 189290 * )
NEW met1 ( 1260170 1617550 ) ( 2114390 * )
NEW met1 ( 1260170 1460810 ) M1M2_PR
NEW met1 ( 189290 1712070 ) M1M2_PR
NEW met2 ( 189290 1713940 ) M2M3_PR_M
NEW met1 ( 1260170 1617550 ) M1M2_PR
NEW met1 ( 2114390 1617550 ) M1M2_PR
NEW met1 ( 156170 1460810 ) M1M2_PR
NEW met1 ( 156170 1712070 ) M1M2_PR ;
- read_data_from_mem\[37\] ( core0 data_from_mem[37] ) ( chip_controller rd_data_out[37] ) + USE SIGNAL
+ ROUTED met1 ( 410090 1490390 ) ( 417450 * )
NEW met2 ( 410090 1490390 ) ( * 1500420 0 )
NEW met2 ( 417450 62050 ) ( * 1490390 )
NEW met2 ( 1870130 100300 ) ( 1872430 * 0 )
NEW met1 ( 417450 62050 ) ( 1870130 * )
NEW met2 ( 1870130 62050 ) ( * 100300 )
NEW met1 ( 417450 62050 ) M1M2_PR
NEW met1 ( 417450 1490390 ) M1M2_PR
NEW met1 ( 410090 1490390 ) M1M2_PR
NEW met1 ( 1870130 62050 ) M1M2_PR ;
- read_data_from_mem\[38\] ( core0 data_from_mem[38] ) ( chip_controller rd_data_out[38] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 876860 0 ) ( 2808530 * )
NEW met2 ( 2808530 876860 ) ( * 879750 )
NEW met1 ( 2808530 879750 ) ( 2816810 * )
NEW met2 ( 411930 1468630 ) ( * 1500420 0 )
NEW met2 ( 1261090 1468630 ) ( * 1590010 )
NEW met2 ( 2816810 879750 ) ( * 1590010 )
NEW met1 ( 411930 1468630 ) ( 1261090 * )
NEW met1 ( 1261090 1590010 ) ( 2816810 * )
NEW met1 ( 411930 1468630 ) M1M2_PR
NEW met1 ( 1261090 1468630 ) M1M2_PR
NEW met2 ( 2808530 876860 ) M2M3_PR_M
NEW met1 ( 2808530 879750 ) M1M2_PR
NEW met1 ( 2816810 879750 ) M1M2_PR
NEW met1 ( 1261090 1590010 ) M1M2_PR
NEW met1 ( 2816810 1590010 ) M1M2_PR ;
- read_data_from_mem\[39\] ( core0 data_from_mem[39] ) ( chip_controller rd_data_out[39] ) + USE SIGNAL
+ ROUTED met3 ( 192740 1717340 ) ( 200100 * 0 )
NEW met2 ( 1298350 89930 ) ( * 127500 )
NEW met1 ( 1298350 89930 ) ( 1302950 * )
NEW li1 ( 1302950 89930 ) ( * 90950 )
NEW met3 ( 192740 127500 ) ( 1298350 * )
NEW met2 ( 1888530 90950 ) ( * 100300 0 )
NEW met1 ( 1302950 90950 ) ( 1888530 * )
NEW met4 ( 192740 127500 ) ( * 1717340 )
NEW met3 ( 192740 127500 ) M3M4_PR_M
NEW met3 ( 192740 1717340 ) M3M4_PR_M
NEW met2 ( 1298350 127500 ) M2M3_PR_M
NEW met1 ( 1298350 89930 ) M1M2_PR
NEW li1 ( 1302950 89930 ) L1M1_PR_MR
NEW li1 ( 1302950 90950 ) L1M1_PR_MR
NEW met1 ( 1888530 90950 ) M1M2_PR ;
- read_data_from_mem\[3\] ( core0 data_from_mem[3] ) ( chip_controller rd_data_out[3] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 163540 0 ) ( 2809910 * )
NEW met2 ( 2809910 163540 ) ( * 164050 )
NEW met1 ( 2809910 164050 ) ( 2822790 * )
NEW met2 ( 2822790 164050 ) ( * 1638460 )
NEW met3 ( 234370 1939020 ) ( 626060 * )
NEW met3 ( 626060 1638460 ) ( 2822790 * )
NEW met2 ( 228390 1899580 0 ) ( * 1911310 )
NEW met1 ( 228390 1911310 ) ( 234370 * )
NEW met2 ( 234370 1911310 ) ( * 1939020 )
NEW met4 ( 626060 1638460 ) ( * 1939020 )
NEW met2 ( 2822790 1638460 ) M2M3_PR_M
NEW met2 ( 2809910 163540 ) M2M3_PR_M
NEW met1 ( 2809910 164050 ) M1M2_PR
NEW met1 ( 2822790 164050 ) M1M2_PR
NEW met2 ( 234370 1939020 ) M2M3_PR_M
NEW met3 ( 626060 1638460 ) M3M4_PR_M
NEW met3 ( 626060 1939020 ) M3M4_PR_M
NEW met1 ( 228390 1911310 ) M1M2_PR
NEW met1 ( 234370 1911310 ) M1M2_PR ;
- read_data_from_mem\[40\] ( core0 data_from_mem[40] ) ( chip_controller rd_data_out[40] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1711220 0 ) ( 607430 * )
NEW met2 ( 607430 1711220 ) ( * 1712070 )
NEW met2 ( 879750 841670 ) ( * 1712070 )
NEW met2 ( 1283630 840820 ) ( * 841670 )
NEW met1 ( 607430 1712070 ) ( 879750 * )
NEW met1 ( 879750 841670 ) ( 1283630 * )
NEW met3 ( 1283630 840820 ) ( 1300420 * 0 )
NEW met2 ( 607430 1711220 ) M2M3_PR_M
NEW met1 ( 607430 1712070 ) M1M2_PR
NEW met1 ( 879750 841670 ) M1M2_PR
NEW met1 ( 879750 1712070 ) M1M2_PR
NEW met1 ( 1283630 841670 ) M1M2_PR
NEW met2 ( 1283630 840820 ) M2M3_PR_M ;
- read_data_from_mem\[41\] ( core0 data_from_mem[41] ) ( chip_controller rd_data_out[41] ) + USE SIGNAL
+ ROUTED met1 ( 190670 1567570 ) ( 196650 * )
NEW met2 ( 1283630 859180 ) ( * 862410 )
NEW met1 ( 190670 1699490 ) ( 192510 * )
NEW met2 ( 192510 1699490 ) ( * 1722100 )
NEW met3 ( 192510 1722100 ) ( 200100 * 0 )
NEW met2 ( 190670 1567570 ) ( * 1699490 )
NEW met1 ( 196650 862410 ) ( 1283630 * )
NEW met3 ( 1283630 859180 ) ( 1300420 * 0 )
NEW met2 ( 196650 862410 ) ( * 1567570 )
NEW met1 ( 196650 862410 ) M1M2_PR
NEW met1 ( 190670 1567570 ) M1M2_PR
NEW met1 ( 196650 1567570 ) M1M2_PR
NEW met1 ( 1283630 862410 ) M1M2_PR
NEW met2 ( 1283630 859180 ) M2M3_PR_M
NEW met1 ( 190670 1699490 ) M1M2_PR
NEW met1 ( 192510 1699490 ) M1M2_PR
NEW met2 ( 192510 1722100 ) M2M3_PR_M ;
- read_data_from_mem\[42\] ( core0 data_from_mem[42] ) ( chip_controller rd_data_out[42] ) + USE SIGNAL
+ ROUTED met2 ( 1920730 97070 ) ( * 100300 0 )
NEW met2 ( 419750 1500420 ) ( 420210 * 0 )
NEW met2 ( 419750 97070 ) ( * 1500420 )
NEW met1 ( 419750 97070 ) ( 1920730 * )
NEW met1 ( 419750 97070 ) M1M2_PR
NEW met1 ( 1920730 97070 ) M1M2_PR ;
- read_data_from_mem\[43\] ( core0 data_from_mem[43] ) ( chip_controller rd_data_out[43] ) + USE SIGNAL
+ ROUTED met2 ( 1936830 85510 ) ( * 100300 0 )
NEW met2 ( 900910 85510 ) ( * 1457410 )
NEW met1 ( 423890 1457410 ) ( 900910 * )
NEW met1 ( 900910 85510 ) ( 1936830 * )
NEW met2 ( 423890 1457410 ) ( * 1500420 0 )
NEW met1 ( 900910 85510 ) M1M2_PR
NEW met1 ( 900910 1457410 ) M1M2_PR
NEW met1 ( 1936830 85510 ) M1M2_PR
NEW met1 ( 423890 1457410 ) M1M2_PR ;
- read_data_from_mem\[44\] ( core0 data_from_mem[44] ) ( chip_controller rd_data_out[44] ) + USE SIGNAL
+ ROUTED met3 ( 194350 1730260 ) ( 200100 * )
NEW met3 ( 200100 1730260 ) ( * 1730940 0 )
NEW met2 ( 1283630 876860 ) ( * 883150 )
NEW met1 ( 194350 1714790 ) ( 197570 * )
NEW met2 ( 194350 1714790 ) ( * 1730260 )
NEW met1 ( 197570 883150 ) ( 1283630 * )
NEW met3 ( 1283630 876860 ) ( 1300420 * 0 )
NEW met2 ( 197570 883150 ) ( * 1714790 )
NEW met1 ( 197570 883150 ) M1M2_PR
NEW met2 ( 194350 1730260 ) M2M3_PR_M
NEW met1 ( 1283630 883150 ) M1M2_PR
NEW met2 ( 1283630 876860 ) M2M3_PR_M
NEW met1 ( 194350 1714790 ) M1M2_PR
NEW met1 ( 197570 1714790 ) M1M2_PR ;
- read_data_from_mem\[45\] ( core0 data_from_mem[45] ) ( chip_controller rd_data_out[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1720740 0 ) ( 607890 * )
NEW met2 ( 607890 1719210 ) ( * 1720740 )
NEW met2 ( 890330 1707650 ) ( * 1719210 )
NEW met1 ( 607890 1719210 ) ( 890330 * )
NEW met2 ( 2176490 1599700 ) ( 2178790 * 0 )
NEW met1 ( 890330 1707650 ) ( 2176490 * )
NEW met2 ( 2176490 1599700 ) ( * 1707650 )
NEW met2 ( 607890 1720740 ) M2M3_PR_M
NEW met1 ( 607890 1719210 ) M1M2_PR
NEW met1 ( 890330 1719210 ) M1M2_PR
NEW met1 ( 890330 1707650 ) M1M2_PR
NEW met1 ( 2176490 1707650 ) M1M2_PR ;
- read_data_from_mem\[46\] ( core0 data_from_mem[46] ) ( chip_controller rd_data_out[46] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 931940 0 ) ( 2810830 * )
NEW met2 ( 2810830 931940 ) ( * 932450 )
NEW met1 ( 2810830 932450 ) ( 2817270 * )
NEW met2 ( 2817270 932450 ) ( * 1641010 )
NEW met1 ( 528770 1933070 ) ( 641930 * )
NEW met1 ( 641930 1641010 ) ( 2817270 * )
NEW met2 ( 422510 1899580 0 ) ( * 1916070 )
NEW met1 ( 422510 1916070 ) ( 528770 * )
NEW met2 ( 528770 1916070 ) ( * 1933070 )
NEW met2 ( 641930 1641010 ) ( * 1933070 )
NEW met1 ( 2817270 1641010 ) M1M2_PR
NEW met2 ( 2810830 931940 ) M2M3_PR_M
NEW met1 ( 2810830 932450 ) M1M2_PR
NEW met1 ( 2817270 932450 ) M1M2_PR
NEW met1 ( 528770 1933070 ) M1M2_PR
NEW met1 ( 641930 1641010 ) M1M2_PR
NEW met1 ( 641930 1933070 ) M1M2_PR
NEW met1 ( 422510 1916070 ) M1M2_PR
NEW met1 ( 528770 1916070 ) M1M2_PR ;
- read_data_from_mem\[47\] ( core0 data_from_mem[47] ) ( chip_controller rd_data_out[47] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1728900 0 ) ( 608350 * )
NEW met2 ( 608350 1726010 ) ( * 1728900 )
NEW met1 ( 608350 1726010 ) ( 1135050 * )
NEW met2 ( 1980530 100300 ) ( 1985130 * 0 )
NEW met2 ( 1135050 56950 ) ( * 1726010 )
NEW met1 ( 1135050 56950 ) ( 1980530 * )
NEW met2 ( 1980530 56950 ) ( * 100300 )
NEW met2 ( 608350 1728900 ) M2M3_PR_M
NEW met1 ( 608350 1726010 ) M1M2_PR
NEW met1 ( 1135050 1726010 ) M1M2_PR
NEW met1 ( 1135050 56950 ) M1M2_PR
NEW met1 ( 1980530 56950 ) M1M2_PR ;
- read_data_from_mem\[48\] ( core0 data_from_mem[48] ) ( chip_controller rd_data_out[48] ) + USE SIGNAL
+ ROUTED met3 ( 2798870 971380 ) ( 2799100 * )
NEW met3 ( 2799100 968660 0 ) ( * 971380 )
NEW met2 ( 1262010 1481890 ) ( * 1590690 )
NEW met1 ( 437690 1481890 ) ( 1262010 * )
NEW met2 ( 437690 1481890 ) ( * 1500420 0 )
NEW met1 ( 1262010 1590690 ) ( 2798870 * )
NEW met2 ( 2798870 971380 ) ( * 1590690 )
NEW met1 ( 1262010 1481890 ) M1M2_PR
NEW met2 ( 2798870 971380 ) M2M3_PR_M
NEW met1 ( 1262010 1590690 ) M1M2_PR
NEW met1 ( 2798870 1590690 ) M1M2_PR
NEW met1 ( 437690 1481890 ) M1M2_PR ;
- read_data_from_mem\[49\] ( core0 data_from_mem[49] ) ( chip_controller rd_data_out[49] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1733660 0 ) ( 607430 * )
NEW met2 ( 607430 1733150 ) ( * 1733660 )
NEW met2 ( 865950 86870 ) ( * 1733150 )
NEW met1 ( 607430 1733150 ) ( 865950 * )
NEW met2 ( 2001230 86870 ) ( * 100300 0 )
NEW met1 ( 865950 86870 ) ( 2001230 * )
NEW met2 ( 607430 1733660 ) M2M3_PR_M
NEW met1 ( 607430 1733150 ) M1M2_PR
NEW met1 ( 865950 86870 ) M1M2_PR
NEW met1 ( 865950 1733150 ) M1M2_PR
NEW met1 ( 2001230 86870 ) M1M2_PR ;
- read_data_from_mem\[4\] ( core0 data_from_mem[4] ) ( chip_controller rd_data_out[4] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 181900 0 ) ( 2809450 * )
NEW met2 ( 2809450 105740 ) ( * 181900 )
NEW met3 ( 199180 107100 ) ( 2739300 * )
NEW met3 ( 2739300 105740 ) ( * 107100 )
NEW met3 ( 2739300 105740 ) ( 2809450 * )
NEW met2 ( 231610 1899580 0 ) ( * 1911820 )
NEW met3 ( 199180 1911820 ) ( 231610 * )
NEW met4 ( 199180 107100 ) ( * 1497300 )
NEW met4 ( 199180 1545600 ) ( * 1911820 )
NEW met4 ( 198260 1497300 ) ( 199180 * )
NEW met4 ( 198260 1497300 ) ( * 1545600 )
NEW met4 ( 198260 1545600 ) ( 199180 * )
NEW met3 ( 199180 107100 ) M3M4_PR_M
NEW met2 ( 2809450 105740 ) M2M3_PR_M
NEW met2 ( 2809450 181900 ) M2M3_PR_M
NEW met3 ( 199180 1911820 ) M3M4_PR_M
NEW met2 ( 231610 1911820 ) M2M3_PR_M ;
- read_data_from_mem\[50\] ( core0 data_from_mem[50] ) ( chip_controller rd_data_out[50] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1739270 ) ( * 1741140 )
NEW met3 ( 190210 1741140 ) ( 200100 * 0 )
NEW met2 ( 1259710 1462510 ) ( * 1616870 )
NEW met2 ( 2243190 1599700 0 ) ( * 1616870 )
NEW met1 ( 133630 1739270 ) ( 190210 * )
NEW met1 ( 133630 1462510 ) ( 1259710 * )
NEW met2 ( 133630 1462510 ) ( * 1739270 )
NEW met1 ( 1259710 1616870 ) ( 2243190 * )
NEW met1 ( 190210 1739270 ) M1M2_PR
NEW met2 ( 190210 1741140 ) M2M3_PR_M
NEW met1 ( 1259710 1462510 ) M1M2_PR
NEW met1 ( 1259710 1616870 ) M1M2_PR
NEW met1 ( 2243190 1616870 ) M1M2_PR
NEW met1 ( 133630 1462510 ) M1M2_PR
NEW met1 ( 133630 1739270 ) M1M2_PR ;
- read_data_from_mem\[51\] ( core0 data_from_mem[51] ) ( chip_controller rd_data_out[51] ) + USE SIGNAL
+ ROUTED met3 ( 588340 1490220 ) ( * 1490900 )
NEW met3 ( 588340 1490900 ) ( 603290 * )
NEW met2 ( 603290 1490900 ) ( * 1588310 )
NEW met2 ( 444590 1490220 ) ( * 1500420 0 )
NEW met3 ( 444590 1490220 ) ( 588340 * )
NEW met1 ( 603290 1588310 ) ( 2811290 * )
NEW met3 ( 2799100 1005380 0 ) ( 2811290 * )
NEW met2 ( 2811290 1005380 ) ( * 1588310 )
NEW met2 ( 603290 1490900 ) M2M3_PR_M
NEW met1 ( 603290 1588310 ) M1M2_PR
NEW met1 ( 2811290 1588310 ) M1M2_PR
NEW met2 ( 444590 1490220 ) M2M3_PR_M
NEW met2 ( 2811290 1005380 ) M2M3_PR_M ;
- read_data_from_mem\[52\] ( core0 data_from_mem[52] ) ( chip_controller rd_data_out[52] ) + USE SIGNAL
+ ROUTED met2 ( 2033430 87890 ) ( * 100300 0 )
NEW met3 ( 429410 1918620 ) ( 625830 * )
NEW met1 ( 625830 87890 ) ( 2033430 * )
NEW met2 ( 429410 1899580 0 ) ( * 1918620 )
NEW met2 ( 625830 87890 ) ( * 1918620 )
NEW met1 ( 2033430 87890 ) M1M2_PR
NEW met2 ( 429410 1918620 ) M2M3_PR_M
NEW met1 ( 625830 87890 ) M1M2_PR
NEW met2 ( 625830 1918620 ) M2M3_PR_M ;
- read_data_from_mem\[53\] ( core0 data_from_mem[53] ) ( chip_controller rd_data_out[53] ) + USE SIGNAL
+ ROUTED li1 ( 462990 1489370 ) ( * 1490390 )
NEW met1 ( 449650 1489370 ) ( 462990 * )
NEW met2 ( 449650 1489370 ) ( * 1500420 0 )
NEW met1 ( 462990 1490390 ) ( 617090 * )
NEW met2 ( 617090 1490390 ) ( * 1596470 )
NEW li1 ( 2257910 1596470 ) ( * 1599530 )
NEW met2 ( 2257910 1599530 ) ( * 1599700 )
NEW met2 ( 2257910 1599700 ) ( 2259290 * 0 )
NEW met1 ( 617090 1596470 ) ( 2257910 * )
NEW li1 ( 462990 1490390 ) L1M1_PR_MR
NEW li1 ( 462990 1489370 ) L1M1_PR_MR
NEW met1 ( 449650 1489370 ) M1M2_PR
NEW met1 ( 617090 1490390 ) M1M2_PR
NEW met1 ( 617090 1596470 ) M1M2_PR
NEW li1 ( 2257910 1596470 ) L1M1_PR_MR
NEW li1 ( 2257910 1599530 ) L1M1_PR_MR
NEW met1 ( 2257910 1599530 ) M1M2_PR
NEW met1 ( 2257910 1599530 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[54\] ( core0 data_from_mem[54] ) ( chip_controller rd_data_out[54] ) + USE SIGNAL
+ ROUTED met2 ( 2792430 1145400 ) ( 2794270 * )
NEW met1 ( 630890 1642030 ) ( 662400 * )
NEW met1 ( 662400 1641350 ) ( * 1642030 )
NEW met1 ( 434010 1943270 ) ( 630890 * )
NEW met1 ( 662400 1641350 ) ( 2792430 * )
NEW met2 ( 432630 1899580 0 ) ( 434010 * )
NEW met2 ( 434010 1899580 ) ( * 1943270 )
NEW met2 ( 2792430 1145400 ) ( * 1641350 )
NEW met2 ( 630890 1642030 ) ( * 1943270 )
NEW met2 ( 2794270 1026630 ) ( 2794730 * )
NEW met1 ( 2794730 1026630 ) ( 2798410 * )
NEW met2 ( 2798410 1026460 ) ( * 1026630 )
NEW met3 ( 2798180 1026460 ) ( 2798410 * )
NEW met3 ( 2798180 1023740 0 ) ( * 1026460 )
NEW met2 ( 2794270 1026630 ) ( * 1145400 )
NEW met1 ( 2792430 1641350 ) M1M2_PR
NEW met1 ( 434010 1943270 ) M1M2_PR
NEW met1 ( 630890 1642030 ) M1M2_PR
NEW met1 ( 630890 1943270 ) M1M2_PR
NEW met1 ( 2794730 1026630 ) M1M2_PR
NEW met1 ( 2798410 1026630 ) M1M2_PR
NEW met2 ( 2798410 1026460 ) M2M3_PR_M ;
- read_data_from_mem\[55\] ( core0 data_from_mem[55] ) ( chip_controller rd_data_out[55] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1743860 0 ) ( 608810 * )
NEW met2 ( 608810 1738930 ) ( * 1743860 )
NEW met1 ( 608810 1738930 ) ( 1232110 * )
NEW met2 ( 2077130 100300 ) ( 2082190 * 0 )
NEW met2 ( 1232110 69530 ) ( * 1738930 )
NEW met1 ( 1232110 69530 ) ( 2077130 * )
NEW met2 ( 2077130 69530 ) ( * 100300 )
NEW met2 ( 608810 1743860 ) M2M3_PR_M
NEW met1 ( 608810 1738930 ) M1M2_PR
NEW met1 ( 1232110 1738930 ) M1M2_PR
NEW met1 ( 1232110 69530 ) M1M2_PR
NEW met1 ( 2077130 69530 ) M1M2_PR ;
- read_data_from_mem\[56\] ( core0 data_from_mem[56] ) ( chip_controller rd_data_out[56] ) + USE SIGNAL
+ ROUTED met2 ( 685630 938230 ) ( * 1922530 )
NEW met2 ( 1283630 931940 ) ( * 938230 )
NEW met1 ( 441370 1922530 ) ( 685630 * )
NEW met2 ( 441370 1899580 0 ) ( * 1922530 )
NEW met1 ( 685630 938230 ) ( 1283630 * )
NEW met3 ( 1283630 931940 ) ( 1300420 * 0 )
NEW met1 ( 685630 1922530 ) M1M2_PR
NEW met1 ( 685630 938230 ) M1M2_PR
NEW met1 ( 1283630 938230 ) M1M2_PR
NEW met2 ( 1283630 931940 ) M2M3_PR_M
NEW met1 ( 441370 1922530 ) M1M2_PR ;
- read_data_from_mem\[57\] ( core0 data_from_mem[57] ) ( chip_controller rd_data_out[57] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 950300 ) ( * 952170 )
NEW met2 ( 461150 952170 ) ( * 1483500 )
NEW met2 ( 460230 1483500 ) ( 461150 * )
NEW met2 ( 460230 1483500 ) ( * 1500420 )
NEW met2 ( 459770 1500420 0 ) ( 460230 * )
NEW met1 ( 461150 952170 ) ( 1283630 * )
NEW met3 ( 1283630 950300 ) ( 1300420 * 0 )
NEW met1 ( 1283630 952170 ) M1M2_PR
NEW met2 ( 1283630 950300 ) M2M3_PR_M
NEW met1 ( 461150 952170 ) M1M2_PR ;
- read_data_from_mem\[58\] ( core0 data_from_mem[58] ) ( chip_controller rd_data_out[58] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 987020 ) ( * 993310 )
NEW met2 ( 704030 1907060 ) ( 704950 * )
NEW met2 ( 704950 1904170 ) ( * 1907060 )
NEW met1 ( 704950 1902470 ) ( * 1904170 )
NEW met2 ( 704030 1907060 ) ( * 1928310 )
NEW met1 ( 445050 1928310 ) ( 704030 * )
NEW met1 ( 704950 993310 ) ( 1283630 * )
NEW met3 ( 1283630 987020 ) ( 1300420 * 0 )
NEW met2 ( 445050 1899580 0 ) ( * 1928310 )
NEW met2 ( 704950 993310 ) ( * 1902470 )
NEW met1 ( 704950 993310 ) M1M2_PR
NEW met1 ( 704030 1928310 ) M1M2_PR
NEW met1 ( 1283630 993310 ) M1M2_PR
NEW met2 ( 1283630 987020 ) M2M3_PR_M
NEW met1 ( 704950 1904170 ) M1M2_PR
NEW met1 ( 704950 1902470 ) M1M2_PR
NEW met1 ( 445050 1928310 ) M1M2_PR ;
- read_data_from_mem\[59\] ( core0 data_from_mem[59] ) ( chip_controller rd_data_out[59] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1754740 0 ) ( 608350 * )
NEW met2 ( 608350 1752870 ) ( * 1754740 )
NEW met3 ( 2798180 1062500 ) ( 2798410 * )
NEW met3 ( 2798180 1059780 0 ) ( * 1062500 )
NEW met1 ( 608350 1752870 ) ( 2798410 * )
NEW met2 ( 2798410 1062500 ) ( * 1752870 )
NEW met2 ( 608350 1754740 ) M2M3_PR_M
NEW met1 ( 608350 1752870 ) M1M2_PR
NEW met2 ( 2798410 1062500 ) M2M3_PR_M
NEW met1 ( 2798410 1752870 ) M1M2_PR ;
- read_data_from_mem\[5\] ( core0 data_from_mem[5] ) ( chip_controller rd_data_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 1566070 1599700 0 ) ( * 1609390 )
NEW met2 ( 1299270 1496850 ) ( * 1609390 )
NEW met1 ( 1299270 1609390 ) ( 1566070 * )
NEW met2 ( 189750 1511980 ) ( 190210 * )
NEW met2 ( 189750 1511980 ) ( * 1539180 )
NEW met3 ( 189750 1539180 ) ( 200100 * 0 )
NEW met2 ( 190210 1496850 ) ( * 1511980 )
NEW met1 ( 190210 1496850 ) ( 1299270 * )
NEW met1 ( 1566070 1609390 ) M1M2_PR
NEW met1 ( 1299270 1496850 ) M1M2_PR
NEW met1 ( 1299270 1609390 ) M1M2_PR
NEW met2 ( 189750 1539180 ) M2M3_PR_M
NEW met1 ( 190210 1496850 ) M1M2_PR ;
- read_data_from_mem\[60\] ( core0 data_from_mem[60] ) ( chip_controller rd_data_out[60] ) + USE SIGNAL
+ ROUTED met3 ( 191820 1760180 ) ( 200100 * 0 )
NEW met2 ( 2114390 83810 ) ( * 100300 0 )
NEW met1 ( 1300650 83810 ) ( 2114390 * )
NEW met2 ( 1300650 83810 ) ( * 1472540 )
NEW met4 ( 188140 1490900 ) ( 191820 * )
NEW met4 ( 188140 1472540 ) ( * 1490900 )
NEW met4 ( 191820 1490900 ) ( * 1760180 )
NEW met3 ( 188140 1472540 ) ( 1300650 * )
NEW met3 ( 191820 1760180 ) M3M4_PR_M
NEW met1 ( 2114390 83810 ) M1M2_PR
NEW met1 ( 1300650 83810 ) M1M2_PR
NEW met2 ( 1300650 1472540 ) M2M3_PR_M
NEW met3 ( 188140 1472540 ) M3M4_PR_M ;
- read_data_from_mem\[61\] ( core0 data_from_mem[61] ) ( chip_controller rd_data_out[61] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1756780 0 ) ( 607430 * )
NEW met2 ( 607430 1753890 ) ( * 1756780 )
NEW met2 ( 872850 1027990 ) ( * 1753890 )
NEW met2 ( 1283630 1023740 ) ( * 1027990 )
NEW met1 ( 607430 1753890 ) ( 872850 * )
NEW met1 ( 872850 1027990 ) ( 1283630 * )
NEW met3 ( 1283630 1023740 ) ( 1300420 * 0 )
NEW met2 ( 607430 1756780 ) M2M3_PR_M
NEW met1 ( 607430 1753890 ) M1M2_PR
NEW met1 ( 872850 1753890 ) M1M2_PR
NEW met1 ( 872850 1027990 ) M1M2_PR
NEW met1 ( 1283630 1027990 ) M1M2_PR
NEW met2 ( 1283630 1023740 ) M2M3_PR_M ;
- read_data_from_mem\[62\] ( core0 data_from_mem[62] ) ( chip_controller rd_data_out[62] ) + USE SIGNAL
+ ROUTED met3 ( 196190 1769020 ) ( 200100 * 0 )
NEW met2 ( 1290070 1059780 ) ( * 1459110 )
NEW met1 ( 196190 1459110 ) ( 1290070 * )
NEW met3 ( 1290070 1059780 ) ( 1300420 * 0 )
NEW met2 ( 196190 1459110 ) ( * 1769020 )
NEW met1 ( 196190 1459110 ) M1M2_PR
NEW met2 ( 196190 1769020 ) M2M3_PR_M
NEW met2 ( 1290070 1059780 ) M2M3_PR_M
NEW met1 ( 1290070 1459110 ) M1M2_PR ;
- read_data_from_mem\[63\] ( core0 data_from_mem[63] ) ( chip_controller rd_data_out[63] ) + USE SIGNAL
+ ROUTED met2 ( 2129110 103020 ) ( 2130490 * 0 )
NEW met2 ( 2129110 102850 ) ( * 103020 )
NEW li1 ( 2129110 102850 ) ( * 105910 )
NEW met1 ( 454710 1934430 ) ( 660790 * )
NEW met1 ( 660790 105910 ) ( 2129110 * )
NEW met2 ( 453330 1899580 0 ) ( 454710 * )
NEW met2 ( 454710 1899580 ) ( * 1934430 )
NEW met2 ( 660790 105910 ) ( * 1934430 )
NEW li1 ( 2129110 102850 ) L1M1_PR_MR
NEW met1 ( 2129110 102850 ) M1M2_PR
NEW li1 ( 2129110 105910 ) L1M1_PR_MR
NEW met1 ( 454710 1934430 ) M1M2_PR
NEW met1 ( 660790 105910 ) M1M2_PR
NEW met1 ( 660790 1934430 ) M1M2_PR
NEW met1 ( 2129110 102850 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[64\] ( core0 data_from_mem[64] ) ( chip_controller rd_data_out[64] ) + USE SIGNAL
+ ROUTED met3 ( 192740 1772420 ) ( 200100 * 0 )
NEW met3 ( 2799100 1078140 0 ) ( 2805770 * )
NEW met4 ( 192740 1772420 ) ( * 1908420 )
NEW met2 ( 670910 1641690 ) ( * 1908420 )
NEW met1 ( 670910 1641690 ) ( 2805770 * )
NEW met3 ( 192740 1908420 ) ( 670910 * )
NEW met2 ( 2805770 1078140 ) ( * 1641690 )
NEW met3 ( 192740 1772420 ) M3M4_PR_M
NEW met1 ( 670910 1641690 ) M1M2_PR
NEW met2 ( 2805770 1078140 ) M2M3_PR_M
NEW met1 ( 2805770 1641690 ) M1M2_PR
NEW met3 ( 192740 1908420 ) M3M4_PR_M
NEW met2 ( 670910 1908420 ) M2M3_PR_M ;
- read_data_from_mem\[65\] ( core0 data_from_mem[65] ) ( chip_controller rd_data_out[65] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1774630 ) ( * 1775820 )
NEW met3 ( 189750 1775820 ) ( 200100 * 0 )
NEW met2 ( 1289150 1096500 ) ( * 1196970 )
NEW met3 ( 1289150 1096500 ) ( 1300420 * 0 )
NEW met2 ( 147890 1196970 ) ( * 1774630 )
NEW met1 ( 147890 1774630 ) ( 189750 * )
NEW met1 ( 147890 1196970 ) ( 1289150 * )
NEW met2 ( 1289150 1096500 ) M2M3_PR_M
NEW met1 ( 189750 1774630 ) M1M2_PR
NEW met2 ( 189750 1775820 ) M2M3_PR_M
NEW met1 ( 1289150 1196970 ) M1M2_PR
NEW met1 ( 147890 1196970 ) M1M2_PR
NEW met1 ( 147890 1774630 ) M1M2_PR ;
- read_data_from_mem\[66\] ( core0 data_from_mem[66] ) ( chip_controller rd_data_out[66] ) + USE SIGNAL
+ ROUTED met2 ( 2146590 84830 ) ( * 100300 0 )
NEW met3 ( 187220 1781260 ) ( 200100 * 0 )
NEW met2 ( 1170010 84830 ) ( * 1458940 )
NEW met3 ( 187220 1458940 ) ( 1170010 * )
NEW met1 ( 1170010 84830 ) ( 2146590 * )
NEW met4 ( 187220 1458940 ) ( * 1781260 )
NEW met3 ( 187220 1458940 ) M3M4_PR_M
NEW met1 ( 1170010 84830 ) M1M2_PR
NEW met2 ( 1170010 1458940 ) M2M3_PR_M
NEW met1 ( 2146590 84830 ) M1M2_PR
NEW met3 ( 187220 1781260 ) M3M4_PR_M ;
- read_data_from_mem\[67\] ( core0 data_from_mem[67] ) ( chip_controller rd_data_out[67] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1096500 0 ) ( 2812210 * )
NEW met2 ( 2812210 1096500 ) ( * 1096670 )
NEW met1 ( 2812210 1096670 ) ( 2827390 * )
NEW met2 ( 2827390 1096670 ) ( * 1638630 )
NEW met1 ( 461610 1939870 ) ( 606970 * )
NEW met1 ( 606970 1638630 ) ( 2827390 * )
NEW met2 ( 460230 1899580 0 ) ( 461610 * )
NEW met2 ( 461610 1899580 ) ( * 1939870 )
NEW met2 ( 606970 1638630 ) ( * 1939870 )
NEW met1 ( 606970 1638630 ) M1M2_PR
NEW met1 ( 606970 1939870 ) M1M2_PR
NEW met2 ( 2812210 1096500 ) M2M3_PR_M
NEW met1 ( 2812210 1096670 ) M1M2_PR
NEW met1 ( 2827390 1096670 ) M1M2_PR
NEW met1 ( 2827390 1638630 ) M1M2_PR
NEW met1 ( 461610 1939870 ) M1M2_PR ;
- read_data_from_mem\[68\] ( core0 data_from_mem[68] ) ( chip_controller rd_data_out[68] ) + USE SIGNAL
+ ROUTED met2 ( 2159470 92990 ) ( * 96600 )
NEW met1 ( 484150 1490050 ) ( 488750 * )
NEW met2 ( 484150 1490050 ) ( * 1500420 0 )
NEW met2 ( 488750 92990 ) ( * 1490050 )
NEW met1 ( 488750 92990 ) ( 2159470 * )
NEW met2 ( 2160390 96600 ) ( * 100300 )
NEW met2 ( 2160390 100300 ) ( 2162690 * 0 )
NEW met2 ( 2159470 96600 ) ( 2160390 * )
NEW met1 ( 488750 92990 ) M1M2_PR
NEW met1 ( 2159470 92990 ) M1M2_PR
NEW met1 ( 488750 1490050 ) M1M2_PR
NEW met1 ( 484150 1490050 ) M1M2_PR ;
- read_data_from_mem\[69\] ( core0 data_from_mem[69] ) ( chip_controller rd_data_out[69] ) + USE SIGNAL
+ ROUTED met2 ( 682870 1138490 ) ( * 1918450 )
NEW met2 ( 1283630 1133220 ) ( * 1138490 )
NEW met1 ( 465750 1918450 ) ( 682870 * )
NEW met2 ( 465750 1899580 0 ) ( * 1918450 )
NEW met1 ( 682870 1138490 ) ( 1283630 * )
NEW met3 ( 1283630 1133220 ) ( 1300420 * 0 )
NEW met1 ( 682870 1918450 ) M1M2_PR
NEW met1 ( 682870 1138490 ) M1M2_PR
NEW met1 ( 1283630 1138490 ) M1M2_PR
NEW met2 ( 1283630 1133220 ) M2M3_PR_M
NEW met1 ( 465750 1918450 ) M1M2_PR ;
- read_data_from_mem\[6\] ( core0 data_from_mem[6] ) ( chip_controller rd_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 1287310 255340 ) ( * 306850 )
NEW met1 ( 193890 306850 ) ( 1287310 * )
NEW met3 ( 1287310 255340 ) ( 1300420 * 0 )
NEW met3 ( 193890 1545980 ) ( 200100 * 0 )
NEW met2 ( 193890 306850 ) ( * 1545980 )
NEW met1 ( 193890 306850 ) M1M2_PR
NEW met1 ( 1287310 306850 ) M1M2_PR
NEW met2 ( 1287310 255340 ) M2M3_PR_M
NEW met2 ( 193890 1545980 ) M2M3_PR_M ;
- read_data_from_mem\[70\] ( core0 data_from_mem[70] ) ( chip_controller rd_data_out[70] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1769700 0 ) ( 607430 * )
NEW met2 ( 607430 1767490 ) ( * 1769700 )
NEW met2 ( 1283630 1151580 ) ( * 1152090 )
NEW met2 ( 777170 1152090 ) ( * 1767490 )
NEW met1 ( 607430 1767490 ) ( 777170 * )
NEW met1 ( 777170 1152090 ) ( 1283630 * )
NEW met3 ( 1283630 1151580 ) ( 1300420 * 0 )
NEW met2 ( 607430 1769700 ) M2M3_PR_M
NEW met1 ( 607430 1767490 ) M1M2_PR
NEW met1 ( 777170 1152090 ) M1M2_PR
NEW met1 ( 777170 1767490 ) M1M2_PR
NEW met1 ( 1283630 1152090 ) M1M2_PR
NEW met2 ( 1283630 1151580 ) M2M3_PR_M ;
- read_data_from_mem\[71\] ( core0 data_from_mem[71] ) ( chip_controller rd_data_out[71] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1169940 ) ( * 1172830 )
NEW met3 ( 599380 1774460 0 ) ( 607430 * )
NEW met2 ( 607430 1774460 ) ( * 1774630 )
NEW met1 ( 756010 1172830 ) ( 1283630 * )
NEW met3 ( 1283630 1169940 ) ( 1300420 * 0 )
NEW met1 ( 607430 1774630 ) ( 756010 * )
NEW met2 ( 756010 1172830 ) ( * 1774630 )
NEW met1 ( 1283630 1172830 ) M1M2_PR
NEW met2 ( 1283630 1169940 ) M2M3_PR_M
NEW met2 ( 607430 1774460 ) M2M3_PR_M
NEW met1 ( 607430 1774630 ) M1M2_PR
NEW met1 ( 756010 1172830 ) M1M2_PR
NEW met1 ( 756010 1774630 ) M1M2_PR ;
- read_data_from_mem\[72\] ( core0 data_from_mem[72] ) ( chip_controller rd_data_out[72] ) + USE SIGNAL
+ ROUTED met2 ( 2222030 100300 ) ( 2227090 * 0 )
NEW met3 ( 599380 1777860 0 ) ( 608350 * )
NEW met2 ( 608350 1773610 ) ( * 1777860 )
NEW met2 ( 2222030 65110 ) ( * 100300 )
NEW met1 ( 608350 1773610 ) ( 1225210 * )
NEW met2 ( 1225210 65110 ) ( * 1773610 )
NEW met1 ( 1225210 65110 ) ( 2222030 * )
NEW met2 ( 608350 1777860 ) M2M3_PR_M
NEW met1 ( 608350 1773610 ) M1M2_PR
NEW met1 ( 2222030 65110 ) M1M2_PR
NEW met1 ( 1225210 65110 ) M1M2_PR
NEW met1 ( 1225210 1773610 ) M1M2_PR ;
- read_data_from_mem\[73\] ( core0 data_from_mem[73] ) ( chip_controller rd_data_out[73] ) + USE SIGNAL
+ ROUTED met2 ( 95450 96730 ) ( * 1787210 )
NEW met2 ( 190670 1787210 ) ( * 1793500 )
NEW met3 ( 190670 1793500 ) ( 200100 * 0 )
NEW met2 ( 2259290 96730 ) ( * 100300 0 )
NEW met1 ( 95450 96730 ) ( 2259290 * )
NEW met1 ( 95450 1787210 ) ( 190670 * )
NEW met1 ( 95450 96730 ) M1M2_PR
NEW met1 ( 95450 1787210 ) M1M2_PR
NEW met1 ( 190670 1787210 ) M1M2_PR
NEW met2 ( 190670 1793500 ) M2M3_PR_M
NEW met1 ( 2259290 96730 ) M1M2_PR ;
- read_data_from_mem\[74\] ( core0 data_from_mem[74] ) ( chip_controller rd_data_out[74] ) + USE SIGNAL
+ ROUTED li1 ( 587650 1897370 ) ( * 1899750 )
NEW met2 ( 587650 1899750 ) ( * 1902300 )
NEW met2 ( 587650 1902300 ) ( 589030 * )
NEW met3 ( 589030 1902300 ) ( 592940 * )
NEW met4 ( 592940 86020 ) ( * 1902300 )
NEW met2 ( 2275390 86020 ) ( * 100300 0 )
NEW met3 ( 592940 86020 ) ( 2275390 * )
NEW met2 ( 468970 1899580 0 ) ( * 1913180 )
NEW met2 ( 529230 1906550 ) ( * 1913180 )
NEW li1 ( 529230 1897370 ) ( * 1906550 )
NEW met3 ( 468970 1913180 ) ( 529230 * )
NEW met1 ( 529230 1897370 ) ( 587650 * )
NEW met3 ( 592940 86020 ) M3M4_PR_M
NEW li1 ( 587650 1897370 ) L1M1_PR_MR
NEW li1 ( 587650 1899750 ) L1M1_PR_MR
NEW met1 ( 587650 1899750 ) M1M2_PR
NEW met2 ( 589030 1902300 ) M2M3_PR_M
NEW met3 ( 592940 1902300 ) M3M4_PR_M
NEW met2 ( 2275390 86020 ) M2M3_PR_M
NEW met2 ( 468970 1913180 ) M2M3_PR_M
NEW met2 ( 529230 1913180 ) M2M3_PR_M
NEW li1 ( 529230 1906550 ) L1M1_PR_MR
NEW met1 ( 529230 1906550 ) M1M2_PR
NEW li1 ( 529230 1897370 ) L1M1_PR_MR
NEW met1 ( 587650 1899750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 529230 1906550 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[75\] ( core0 data_from_mem[75] ) ( chip_controller rd_data_out[75] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1188300 ) ( * 1193570 )
NEW met2 ( 474490 1899580 0 ) ( * 1928990 )
NEW met1 ( 474490 1928990 ) ( 692070 * )
NEW met1 ( 692070 1193570 ) ( 1283630 * )
NEW met3 ( 1283630 1188300 ) ( 1300420 * 0 )
NEW met2 ( 692070 1193570 ) ( * 1928990 )
NEW met1 ( 474490 1928990 ) M1M2_PR
NEW met1 ( 692070 1193570 ) M1M2_PR
NEW met1 ( 692070 1928990 ) M1M2_PR
NEW met1 ( 1283630 1193570 ) M1M2_PR
NEW met2 ( 1283630 1188300 ) M2M3_PR_M ;
- read_data_from_mem\[76\] ( core0 data_from_mem[76] ) ( chip_controller rd_data_out[76] ) + USE SIGNAL
+ ROUTED met2 ( 499330 1497530 ) ( * 1500420 0 )
NEW met2 ( 1253730 1497530 ) ( * 1608540 )
NEW met1 ( 499330 1497530 ) ( 1253730 * )
NEW met2 ( 2388550 1599700 0 ) ( * 1608540 )
NEW met3 ( 1253730 1608540 ) ( 2388550 * )
NEW met1 ( 499330 1497530 ) M1M2_PR
NEW met1 ( 1253730 1497530 ) M1M2_PR
NEW met2 ( 1253730 1608540 ) M2M3_PR_M
NEW met2 ( 2388550 1608540 ) M2M3_PR_M ;
- read_data_from_mem\[77\] ( core0 data_from_mem[77] ) ( chip_controller rd_data_out[77] ) + USE SIGNAL
+ ROUTED met2 ( 2324150 86700 ) ( * 100300 0 )
NEW met2 ( 482310 1899580 ) ( 482770 * 0 )
NEW met2 ( 482310 1899580 ) ( * 1933580 )
NEW met3 ( 482310 1933580 ) ( 616860 * )
NEW met3 ( 616860 86700 ) ( 2324150 * )
NEW met4 ( 616860 86700 ) ( * 1933580 )
NEW met2 ( 482310 1933580 ) M2M3_PR_M
NEW met2 ( 2324150 86700 ) M2M3_PR_M
NEW met3 ( 616860 86700 ) M3M4_PR_M
NEW met3 ( 616860 1933580 ) M3M4_PR_M ;
- read_data_from_mem\[78\] ( core0 data_from_mem[78] ) ( chip_controller rd_data_out[78] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1151580 0 ) ( 2808530 * )
NEW met2 ( 2808530 1151580 ) ( * 1151750 )
NEW met1 ( 2808530 1151750 ) ( 2818190 * )
NEW met3 ( 185150 1800300 ) ( 200100 * 0 )
NEW met2 ( 185150 1800300 ) ( * 1910970 )
NEW met2 ( 599610 1725000 ) ( 600070 * )
NEW met2 ( 600070 1639650 ) ( * 1725000 )
NEW met2 ( 2818190 1151750 ) ( * 1638970 )
NEW met1 ( 600070 1639650 ) ( 614100 * )
NEW met1 ( 614100 1638970 ) ( * 1639650 )
NEW met1 ( 614100 1638970 ) ( 2818190 * )
NEW met1 ( 185150 1910970 ) ( 599610 * )
NEW met2 ( 599610 1725000 ) ( * 1910970 )
NEW met1 ( 600070 1639650 ) M1M2_PR
NEW met2 ( 2808530 1151580 ) M2M3_PR_M
NEW met1 ( 2808530 1151750 ) M1M2_PR
NEW met1 ( 2818190 1151750 ) M1M2_PR
NEW met1 ( 2818190 1638970 ) M1M2_PR
NEW met2 ( 185150 1800300 ) M2M3_PR_M
NEW met1 ( 185150 1910970 ) M1M2_PR
NEW met1 ( 599610 1910970 ) M1M2_PR ;
- read_data_from_mem\[79\] ( core0 data_from_mem[79] ) ( chip_controller rd_data_out[79] ) + USE SIGNAL
+ ROUTED met2 ( 506230 1472370 ) ( * 1500420 0 )
NEW met2 ( 1261550 1472370 ) ( * 1589670 )
NEW met2 ( 2818650 1190170 ) ( * 1589670 )
NEW met1 ( 506230 1472370 ) ( 1261550 * )
NEW met1 ( 1261550 1589670 ) ( 2818650 * )
NEW met3 ( 2799100 1188300 0 ) ( 2808530 * )
NEW met2 ( 2808530 1188300 ) ( * 1190170 )
NEW met1 ( 2808530 1190170 ) ( 2818650 * )
NEW met1 ( 506230 1472370 ) M1M2_PR
NEW met1 ( 1261550 1472370 ) M1M2_PR
NEW met1 ( 2818650 1190170 ) M1M2_PR
NEW met1 ( 1261550 1589670 ) M1M2_PR
NEW met1 ( 2818650 1589670 ) M1M2_PR
NEW met2 ( 2808530 1188300 ) M2M3_PR_M
NEW met1 ( 2808530 1190170 ) M1M2_PR ;
- read_data_from_mem\[7\] ( core0 data_from_mem[7] ) ( chip_controller rd_data_out[7] ) + USE SIGNAL
+ ROUTED met3 ( 2793580 106420 ) ( * 107780 )
NEW met3 ( 2793580 106420 ) ( 2808990 * )
NEW met3 ( 2799100 218620 0 ) ( 2808990 * )
NEW met2 ( 115230 107780 ) ( * 1911990 )
NEW met2 ( 2808990 106420 ) ( * 218620 )
NEW met3 ( 115230 107780 ) ( 2793580 * )
NEW met2 ( 245410 1899580 0 ) ( * 1911990 )
NEW met1 ( 115230 1911990 ) ( 245410 * )
NEW met2 ( 115230 107780 ) M2M3_PR_M
NEW met2 ( 2808990 106420 ) M2M3_PR_M
NEW met2 ( 2808990 218620 ) M2M3_PR_M
NEW met1 ( 115230 1911990 ) M1M2_PR
NEW met1 ( 245410 1911990 ) M1M2_PR ;
- read_data_from_mem\[80\] ( core0 data_from_mem[80] ) ( chip_controller rd_data_out[80] ) + USE SIGNAL
+ ROUTED li1 ( 493350 1894990 ) ( * 1901450 )
NEW met2 ( 493350 1899580 0 ) ( * 1901450 )
NEW li1 ( 600070 1892950 ) ( * 1894990 )
NEW met2 ( 2356350 89250 ) ( * 100300 0 )
NEW met1 ( 627670 89250 ) ( 2356350 * )
NEW met1 ( 493350 1894990 ) ( 600070 * )
NEW met1 ( 600070 1892950 ) ( 627670 * )
NEW met2 ( 627670 89250 ) ( * 1892950 )
NEW li1 ( 493350 1894990 ) L1M1_PR_MR
NEW li1 ( 493350 1901450 ) L1M1_PR_MR
NEW met1 ( 493350 1901450 ) M1M2_PR
NEW li1 ( 600070 1894990 ) L1M1_PR_MR
NEW li1 ( 600070 1892950 ) L1M1_PR_MR
NEW met1 ( 627670 89250 ) M1M2_PR
NEW met1 ( 2356350 89250 ) M1M2_PR
NEW met1 ( 627670 1892950 ) M1M2_PR
NEW met1 ( 493350 1901450 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[81\] ( core0 data_from_mem[81] ) ( chip_controller rd_data_out[81] ) + USE SIGNAL
+ ROUTED met2 ( 498410 1899580 0 ) ( * 1926270 )
NEW met2 ( 1283630 1206660 ) ( * 1207170 )
NEW met1 ( 498410 1926270 ) ( 658030 * )
NEW met2 ( 658030 1207170 ) ( * 1926270 )
NEW met1 ( 658030 1207170 ) ( 1283630 * )
NEW met3 ( 1283630 1206660 ) ( 1300420 * 0 )
NEW met1 ( 498410 1926270 ) M1M2_PR
NEW met1 ( 1283630 1207170 ) M1M2_PR
NEW met2 ( 1283630 1206660 ) M2M3_PR_M
NEW met1 ( 658030 1926270 ) M1M2_PR
NEW met1 ( 658030 1207170 ) M1M2_PR ;
- read_data_from_mem\[82\] ( core0 data_from_mem[82] ) ( chip_controller rd_data_out[82] ) + USE SIGNAL
+ ROUTED met1 ( 516350 1489030 ) ( * 1489370 )
NEW met1 ( 511290 1489370 ) ( 516350 * )
NEW met2 ( 511290 1489370 ) ( * 1500420 0 )
NEW li1 ( 2418910 1595450 ) ( * 1599530 )
NEW met2 ( 2418910 1599530 ) ( * 1599700 )
NEW met2 ( 2418910 1599700 ) ( 2420750 * 0 )
NEW met1 ( 516350 1489030 ) ( 623530 * )
NEW met2 ( 623530 1489030 ) ( * 1595450 )
NEW met1 ( 623530 1595450 ) ( 2418910 * )
NEW met1 ( 511290 1489370 ) M1M2_PR
NEW li1 ( 2418910 1595450 ) L1M1_PR_MR
NEW li1 ( 2418910 1599530 ) L1M1_PR_MR
NEW met1 ( 2418910 1599530 ) M1M2_PR
NEW met1 ( 623530 1489030 ) M1M2_PR
NEW met1 ( 623530 1595450 ) M1M2_PR
NEW met1 ( 2418910 1599530 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[83\] ( core0 data_from_mem[83] ) ( chip_controller rd_data_out[83] ) + USE SIGNAL
+ ROUTED met3 ( 189060 1807100 ) ( 200100 * 0 )
NEW met4 ( 189060 1807100 ) ( * 1901620 )
NEW met4 ( 588340 1899580 ) ( * 1902300 )
NEW met3 ( 588340 1899580 ) ( 600530 * )
NEW met3 ( 2799100 1225020 0 ) ( 2811750 * )
NEW met2 ( 2811750 1225020 ) ( * 1226550 )
NEW met1 ( 2811750 1226550 ) ( 2826930 * )
NEW met2 ( 2826930 1226550 ) ( * 1809990 )
NEW met3 ( 189060 1901620 ) ( 517500 * )
NEW met3 ( 517500 1901620 ) ( * 1902300 )
NEW met3 ( 517500 1902300 ) ( 588340 * )
NEW met2 ( 600530 1809990 ) ( * 1899580 )
NEW met1 ( 600530 1809990 ) ( 2826930 * )
NEW met3 ( 189060 1807100 ) M3M4_PR_M
NEW met3 ( 189060 1901620 ) M3M4_PR_M
NEW met3 ( 588340 1902300 ) M3M4_PR_M
NEW met3 ( 588340 1899580 ) M3M4_PR_M
NEW met2 ( 600530 1899580 ) M2M3_PR_M
NEW met2 ( 2811750 1225020 ) M2M3_PR_M
NEW met1 ( 2811750 1226550 ) M1M2_PR
NEW met1 ( 2826930 1226550 ) M1M2_PR
NEW met1 ( 2826930 1809990 ) M1M2_PR
NEW met1 ( 600530 1809990 ) M1M2_PR ;
- read_data_from_mem\[84\] ( core0 data_from_mem[84] ) ( chip_controller rd_data_out[84] ) + USE SIGNAL
+ ROUTED met2 ( 2401430 100300 ) ( 2404650 * 0 )
NEW met3 ( 194580 1813900 ) ( 200100 * 0 )
NEW met2 ( 2401430 41140 ) ( * 100300 )
NEW met3 ( 194580 41140 ) ( 2401430 * )
NEW met4 ( 194580 41140 ) ( * 1813900 )
NEW met3 ( 194580 41140 ) M3M4_PR_M
NEW met3 ( 194580 1813900 ) M3M4_PR_M
NEW met2 ( 2401430 41140 ) M2M3_PR_M ;
- read_data_from_mem\[85\] ( core0 data_from_mem[85] ) ( chip_controller rd_data_out[85] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1800300 0 ) ( 609270 * )
NEW met2 ( 609270 1795030 ) ( * 1800300 )
NEW met1 ( 741750 1762730 ) ( 2449730 * )
NEW met1 ( 609270 1795030 ) ( 741750 * )
NEW met2 ( 741750 1762730 ) ( * 1795030 )
NEW met2 ( 2450650 1599700 ) ( 2452950 * 0 )
NEW met2 ( 2449730 1725000 ) ( * 1762730 )
NEW met2 ( 2449730 1725000 ) ( 2450650 * )
NEW met2 ( 2450650 1599700 ) ( * 1725000 )
NEW met2 ( 609270 1800300 ) M2M3_PR_M
NEW met1 ( 609270 1795030 ) M1M2_PR
NEW met1 ( 741750 1762730 ) M1M2_PR
NEW met1 ( 2449730 1762730 ) M1M2_PR
NEW met1 ( 741750 1795030 ) M1M2_PR ;
- read_data_from_mem\[86\] ( core0 data_from_mem[86] ) ( chip_controller rd_data_out[86] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1225020 ) ( * 1227910 )
NEW met1 ( 608350 1798770 ) ( 811670 * )
NEW met2 ( 811670 1227910 ) ( * 1798770 )
NEW met1 ( 811670 1227910 ) ( 1283630 * )
NEW met3 ( 1283630 1225020 ) ( 1300420 * 0 )
NEW met2 ( 608350 1798770 ) ( * 1800900 )
NEW met3 ( 599380 1803700 0 ) ( 608810 * )
NEW met2 ( 608810 1800900 ) ( * 1803700 )
NEW met2 ( 608350 1800900 ) ( 608810 * )
NEW met1 ( 608350 1798770 ) M1M2_PR
NEW met1 ( 1283630 1227910 ) M1M2_PR
NEW met2 ( 1283630 1225020 ) M2M3_PR_M
NEW met1 ( 811670 1227910 ) M1M2_PR
NEW met1 ( 811670 1798770 ) M1M2_PR
NEW met2 ( 608810 1803700 ) M2M3_PR_M ;
- read_data_from_mem\[87\] ( core0 data_from_mem[87] ) ( chip_controller rd_data_out[87] ) + USE SIGNAL
+ ROUTED met2 ( 512210 1899580 0 ) ( * 1912330 )
NEW met2 ( 613870 1778030 ) ( * 1779900 )
NEW met1 ( 612490 1797410 ) ( 613870 * )
NEW met2 ( 613870 1781940 ) ( * 1797410 )
NEW li1 ( 572930 1912330 ) ( * 1915390 )
NEW met1 ( 572930 1915390 ) ( 613870 * )
NEW met1 ( 512210 1912330 ) ( 572930 * )
NEW met2 ( 614330 1779900 ) ( * 1781940 )
NEW met2 ( 613870 1779900 ) ( 614330 * )
NEW met2 ( 613870 1781940 ) ( 614330 * )
NEW met2 ( 2484230 1599700 ) ( 2485150 * 0 )
NEW met1 ( 613870 1778030 ) ( 2484230 * )
NEW met2 ( 2484230 1599700 ) ( * 1778030 )
NEW met2 ( 612030 1807100 ) ( 612490 * )
NEW met2 ( 612030 1807100 ) ( * 1849090 )
NEW met1 ( 612030 1849090 ) ( 613870 * )
NEW met2 ( 612490 1797410 ) ( * 1807100 )
NEW met2 ( 613870 1849090 ) ( * 1915390 )
NEW met1 ( 512210 1912330 ) M1M2_PR
NEW met1 ( 613870 1778030 ) M1M2_PR
NEW met1 ( 612490 1797410 ) M1M2_PR
NEW met1 ( 613870 1797410 ) M1M2_PR
NEW li1 ( 572930 1912330 ) L1M1_PR_MR
NEW li1 ( 572930 1915390 ) L1M1_PR_MR
NEW met1 ( 613870 1915390 ) M1M2_PR
NEW met1 ( 2484230 1778030 ) M1M2_PR
NEW met1 ( 612030 1849090 ) M1M2_PR
NEW met1 ( 613870 1849090 ) M1M2_PR ;
- read_data_from_mem\[88\] ( core0 data_from_mem[88] ) ( chip_controller rd_data_out[88] ) + USE SIGNAL
+ ROUTED met1 ( 187450 1868130 ) ( 189290 * )
NEW met2 ( 187450 1828180 ) ( * 1868130 )
NEW met3 ( 187450 1828180 ) ( 200100 * 0 )
NEW met1 ( 187450 1876630 ) ( 189290 * )
NEW met2 ( 187450 1876630 ) ( * 1902130 )
NEW met2 ( 189290 1868130 ) ( * 1876630 )
NEW met2 ( 2501250 1599700 0 ) ( * 1613980 )
NEW met1 ( 187450 1902130 ) ( 698510 * )
NEW met3 ( 698510 1613980 ) ( 2501250 * )
NEW met2 ( 698510 1613980 ) ( * 1902130 )
NEW met1 ( 189290 1868130 ) M1M2_PR
NEW met1 ( 187450 1868130 ) M1M2_PR
NEW met2 ( 187450 1828180 ) M2M3_PR_M
NEW met1 ( 189290 1876630 ) M1M2_PR
NEW met1 ( 187450 1876630 ) M1M2_PR
NEW met1 ( 187450 1902130 ) M1M2_PR
NEW met2 ( 698510 1613980 ) M2M3_PR_M
NEW met1 ( 698510 1902130 ) M1M2_PR
NEW met2 ( 2501250 1613980 ) M2M3_PR_M ;
- read_data_from_mem\[89\] ( core0 data_from_mem[89] ) ( chip_controller rd_data_out[89] ) + USE SIGNAL
+ ROUTED met2 ( 2436850 86190 ) ( * 100300 0 )
NEW met1 ( 1305250 114070 ) ( * 115430 )
NEW met1 ( 1304790 115430 ) ( 1305250 * )
NEW met2 ( 1304790 115260 ) ( * 115430 )
NEW met2 ( 1304790 115260 ) ( 1305710 * )
NEW met2 ( 1305710 86190 ) ( * 115260 )
NEW met1 ( 523250 114070 ) ( 1305250 * )
NEW met1 ( 1305710 86190 ) ( 2436850 * )
NEW met1 ( 518190 1489370 ) ( 523250 * )
NEW met2 ( 518190 1489370 ) ( * 1500420 0 )
NEW met2 ( 523250 114070 ) ( * 1489370 )
NEW met1 ( 2436850 86190 ) M1M2_PR
NEW met1 ( 523250 114070 ) M1M2_PR
NEW met1 ( 1304790 115430 ) M1M2_PR
NEW met1 ( 1305710 86190 ) M1M2_PR
NEW met1 ( 523250 1489370 ) M1M2_PR
NEW met1 ( 518190 1489370 ) M1M2_PR ;
- read_data_from_mem\[8\] ( core0 data_from_mem[8] ) ( chip_controller rd_data_out[8] ) + USE SIGNAL
+ ROUTED met1 ( 254150 1931030 ) ( 624910 * )
NEW met2 ( 1485110 84150 ) ( * 100300 0 )
NEW met1 ( 624910 84150 ) ( 1485110 * )
NEW met2 ( 254150 1899580 0 ) ( * 1931030 )
NEW met2 ( 624910 84150 ) ( * 1931030 )
NEW met1 ( 254150 1931030 ) M1M2_PR
NEW met1 ( 624910 84150 ) M1M2_PR
NEW met1 ( 624910 1931030 ) M1M2_PR
NEW met1 ( 1485110 84150 ) M1M2_PR ;
- read_data_from_mem\[90\] ( core0 data_from_mem[90] ) ( chip_controller rd_data_out[90] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1242700 ) ( * 1248650 )
NEW met2 ( 797410 1248650 ) ( * 1791970 )
NEW met1 ( 797410 1248650 ) ( 1283630 * )
NEW met3 ( 1283630 1242700 ) ( 1300420 * 0 )
NEW met1 ( 610190 1791970 ) ( 797410 * )
NEW met3 ( 599380 1811860 0 ) ( 609270 * )
NEW met2 ( 609270 1803700 ) ( * 1811860 )
NEW met2 ( 609270 1803700 ) ( 609730 * )
NEW met2 ( 609730 1801660 ) ( * 1803700 )
NEW met2 ( 609730 1801660 ) ( 610190 * )
NEW met2 ( 610190 1791970 ) ( * 1801660 )
NEW met1 ( 797410 1248650 ) M1M2_PR
NEW met1 ( 1283630 1248650 ) M1M2_PR
NEW met2 ( 1283630 1242700 ) M2M3_PR_M
NEW met1 ( 610190 1791970 ) M1M2_PR
NEW met1 ( 797410 1791970 ) M1M2_PR
NEW met2 ( 609270 1811860 ) M2M3_PR_M ;
- read_data_from_mem\[91\] ( core0 data_from_mem[91] ) ( chip_controller rd_data_out[91] ) + USE SIGNAL
+ ROUTED met2 ( 2547250 1599700 ) ( 2549550 * 0 )
NEW met2 ( 2546330 1725000 ) ( 2547250 * )
NEW met2 ( 2547250 1599700 ) ( * 1725000 )
NEW met1 ( 704030 1778370 ) ( 2546330 * )
NEW met2 ( 2546330 1725000 ) ( * 1778370 )
NEW met3 ( 599380 1814580 0 ) ( 612950 * )
NEW met2 ( 612950 1808290 ) ( * 1814580 )
NEW met1 ( 612950 1808290 ) ( 641700 * )
NEW met1 ( 641700 1807950 ) ( * 1808290 )
NEW met1 ( 641700 1807950 ) ( 704030 * )
NEW met2 ( 704030 1778370 ) ( * 1807950 )
NEW met1 ( 704030 1778370 ) M1M2_PR
NEW met1 ( 2546330 1778370 ) M1M2_PR
NEW met2 ( 612950 1814580 ) M2M3_PR_M
NEW met1 ( 612950 1808290 ) M1M2_PR
NEW met1 ( 704030 1807950 ) M1M2_PR ;
- read_data_from_mem\[92\] ( core0 data_from_mem[92] ) ( chip_controller rd_data_out[92] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1279420 ) ( * 1283330 )
NEW met1 ( 530150 1283330 ) ( 1283630 * )
NEW met3 ( 1283630 1279420 ) ( 1300420 * 0 )
NEW met1 ( 526930 1485970 ) ( 530150 * )
NEW met2 ( 526930 1485970 ) ( * 1500420 0 )
NEW met2 ( 530150 1283330 ) ( * 1485970 )
NEW met1 ( 1283630 1283330 ) M1M2_PR
NEW met2 ( 1283630 1279420 ) M2M3_PR_M
NEW met1 ( 530150 1283330 ) M1M2_PR
NEW met1 ( 530150 1485970 ) M1M2_PR
NEW met1 ( 526930 1485970 ) M1M2_PR ;
- read_data_from_mem\[93\] ( core0 data_from_mem[93] ) ( chip_controller rd_data_out[93] ) + USE SIGNAL
+ ROUTED met2 ( 776250 88910 ) ( * 1799110 )
NEW met2 ( 2452950 88910 ) ( * 100300 0 )
NEW met1 ( 776250 88910 ) ( 2452950 * )
NEW met1 ( 612950 1799110 ) ( 776250 * )
NEW met3 ( 599380 1819340 0 ) ( 608810 * )
NEW met2 ( 608810 1806590 ) ( * 1819340 )
NEW met1 ( 608810 1806590 ) ( 612030 * )
NEW met2 ( 612030 1801660 ) ( * 1806590 )
NEW met3 ( 612030 1801660 ) ( 612260 * )
NEW met3 ( 612260 1800980 ) ( * 1801660 )
NEW met3 ( 612260 1800980 ) ( 612950 * )
NEW met2 ( 612950 1799110 ) ( * 1800980 )
NEW met1 ( 776250 88910 ) M1M2_PR
NEW met1 ( 612950 1799110 ) M1M2_PR
NEW met1 ( 776250 1799110 ) M1M2_PR
NEW met1 ( 2452950 88910 ) M1M2_PR
NEW met2 ( 608810 1819340 ) M2M3_PR_M
NEW met1 ( 608810 1806590 ) M1M2_PR
NEW met1 ( 612030 1806590 ) M1M2_PR
NEW met2 ( 612030 1801660 ) M2M3_PR_M
NEW met2 ( 612950 1800980 ) M2M3_PR_M ;
- read_data_from_mem\[94\] ( core0 data_from_mem[94] ) ( chip_controller rd_data_out[94] ) + USE SIGNAL
+ ROUTED met2 ( 648830 1798430 ) ( * 1815090 )
NEW met2 ( 2563810 1599700 ) ( 2566110 * 0 )
NEW met2 ( 2560130 1725000 ) ( 2563810 * )
NEW met2 ( 2563810 1599700 ) ( * 1725000 )
NEW met1 ( 648830 1798430 ) ( 2560130 * )
NEW met2 ( 2560130 1725000 ) ( * 1798430 )
NEW met3 ( 599380 1821380 0 ) ( 612950 * )
NEW met2 ( 612950 1815090 ) ( * 1821380 )
NEW met1 ( 612950 1815090 ) ( 648830 * )
NEW met1 ( 648830 1815090 ) M1M2_PR
NEW met1 ( 648830 1798430 ) M1M2_PR
NEW met1 ( 2560130 1798430 ) M1M2_PR
NEW met2 ( 612950 1821380 ) M2M3_PR_M
NEW met1 ( 612950 1815090 ) M1M2_PR ;
- read_data_from_mem\[95\] ( core0 data_from_mem[95] ) ( chip_controller rd_data_out[95] ) + USE SIGNAL
+ ROUTED met1 ( 569250 1489370 ) ( * 1489710 )
NEW li1 ( 565110 1485970 ) ( * 1489710 )
NEW met1 ( 537050 1485970 ) ( 565110 * )
NEW met2 ( 537050 1485970 ) ( * 1500420 0 )
NEW met1 ( 565110 1489710 ) ( 569250 * )
NEW met1 ( 569250 1489370 ) ( 615710 * )
NEW met2 ( 615710 1489370 ) ( * 1594090 )
NEW li1 ( 2580830 1594090 ) ( * 1598170 )
NEW met2 ( 2580830 1598170 ) ( * 1598340 )
NEW met2 ( 2580830 1598340 ) ( 2582210 * 0 )
NEW met1 ( 615710 1594090 ) ( 2580830 * )
NEW li1 ( 565110 1489710 ) L1M1_PR_MR
NEW li1 ( 565110 1485970 ) L1M1_PR_MR
NEW met1 ( 537050 1485970 ) M1M2_PR
NEW met1 ( 615710 1489370 ) M1M2_PR
NEW met1 ( 615710 1594090 ) M1M2_PR
NEW li1 ( 2580830 1594090 ) L1M1_PR_MR
NEW li1 ( 2580830 1598170 ) L1M1_PR_MR
NEW met1 ( 2580830 1598170 ) M1M2_PR
NEW met1 ( 2580830 1598170 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[96\] ( core0 data_from_mem[96] ) ( chip_controller rd_data_out[96] ) + USE SIGNAL
+ ROUTED met2 ( 2501250 87210 ) ( * 100300 0 )
NEW met1 ( 1887150 87210 ) ( 2501250 * )
NEW met2 ( 543490 1492260 ) ( 544410 * )
NEW met2 ( 543490 1492260 ) ( * 1500420 )
NEW met2 ( 542570 1500420 0 ) ( 543490 * )
NEW met2 ( 544410 43350 ) ( * 1492260 )
NEW met1 ( 544410 43350 ) ( 1887150 * )
NEW met2 ( 1887150 43350 ) ( * 87210 )
NEW met1 ( 2501250 87210 ) M1M2_PR
NEW met1 ( 1887150 87210 ) M1M2_PR
NEW met1 ( 544410 43350 ) M1M2_PR
NEW met1 ( 1887150 43350 ) M1M2_PR ;
- read_data_from_mem\[97\] ( core0 data_from_mem[97] ) ( chip_controller rd_data_out[97] ) + USE SIGNAL
+ ROUTED met2 ( 2517350 87380 ) ( * 100300 0 )
NEW met4 ( 668380 87380 ) ( * 1894140 )
NEW met3 ( 668380 87380 ) ( 2517350 * )
NEW li1 ( 533370 1894310 ) ( * 1896350 )
NEW met2 ( 533370 1896180 0 ) ( * 1896350 )
NEW met2 ( 661710 1894140 ) ( * 1894310 )
NEW met1 ( 533370 1894310 ) ( 661710 * )
NEW met3 ( 661710 1894140 ) ( 668380 * )
NEW met3 ( 668380 87380 ) M3M4_PR_M
NEW met2 ( 2517350 87380 ) M2M3_PR_M
NEW met3 ( 668380 1894140 ) M3M4_PR_M
NEW li1 ( 533370 1894310 ) L1M1_PR_MR
NEW li1 ( 533370 1896350 ) L1M1_PR_MR
NEW met1 ( 533370 1896350 ) M1M2_PR
NEW met1 ( 661710 1894310 ) M1M2_PR
NEW met2 ( 661710 1894140 ) M2M3_PR_M
NEW met1 ( 533370 1896350 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[98\] ( core0 data_from_mem[98] ) ( chip_controller rd_data_out[98] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1316140 0 ) ( 2811750 * )
NEW met2 ( 635030 1799110 ) ( 635490 * )
NEW met2 ( 635490 1777010 ) ( * 1799110 )
NEW met1 ( 635490 1777010 ) ( 2811750 * )
NEW met2 ( 2811750 1316140 ) ( * 1777010 )
NEW met3 ( 599380 1826140 0 ) ( 613870 * )
NEW met2 ( 613870 1825970 ) ( * 1826140 )
NEW met1 ( 613870 1825970 ) ( 635030 * )
NEW met2 ( 635030 1799110 ) ( * 1825970 )
NEW met2 ( 2811750 1316140 ) M2M3_PR_M
NEW met1 ( 2811750 1777010 ) M1M2_PR
NEW met1 ( 635490 1777010 ) M1M2_PR
NEW met2 ( 613870 1826140 ) M2M3_PR_M
NEW met1 ( 613870 1825970 ) M1M2_PR
NEW met1 ( 635030 1825970 ) M1M2_PR ;
- read_data_from_mem\[99\] ( core0 data_from_mem[99] ) ( chip_controller rd_data_out[99] ) + USE SIGNAL
+ ROUTED met2 ( 2630510 1599700 0 ) ( * 1607860 )
NEW met2 ( 547630 1494130 ) ( * 1500420 0 )
NEW met1 ( 547630 1494130 ) ( 1238550 * )
NEW met2 ( 1238550 1494130 ) ( * 1607860 )
NEW met3 ( 1238550 1607860 ) ( 2630510 * )
NEW met2 ( 2630510 1607860 ) M2M3_PR_M
NEW met1 ( 547630 1494130 ) M1M2_PR
NEW met1 ( 1238550 1494130 ) M1M2_PR
NEW met2 ( 1238550 1607860 ) M2M3_PR_M ;
- read_data_from_mem\[9\] ( core0 data_from_mem[9] ) ( chip_controller rd_data_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 1532030 100300 ) ( 1533410 * 0 )
NEW met1 ( 276230 1485630 ) ( 293250 * )
NEW met2 ( 276230 1485630 ) ( * 1500420 0 )
NEW met2 ( 293250 54910 ) ( * 1485630 )
NEW met2 ( 1532030 54910 ) ( * 100300 )
NEW met1 ( 293250 54910 ) ( 1532030 * )
NEW met1 ( 293250 54910 ) M1M2_PR
NEW met1 ( 293250 1485630 ) M1M2_PR
NEW met1 ( 276230 1485630 ) M1M2_PR
NEW met1 ( 1532030 54910 ) M1M2_PR ;
- read_enable_to_Elpis ( chip_controller read_enable_to_Elpis ) + USE SIGNAL ;
- read_interactive_req_core0 ( core0 read_interactive_req ) ( chip_controller read_interactive_req_core0 ) + USE SIGNAL
+ ROUTED met2 ( 217810 1482570 ) ( * 1500420 0 )
NEW met2 ( 1356310 1599700 0 ) ( * 1610750 )
NEW met1 ( 217810 1482570 ) ( 1226130 * )
NEW met2 ( 1226130 1482570 ) ( * 1610750 )
NEW met1 ( 1226130 1610750 ) ( 1356310 * )
NEW met1 ( 217810 1482570 ) M1M2_PR
NEW met1 ( 1356310 1610750 ) M1M2_PR
NEW met1 ( 1226130 1482570 ) M1M2_PR
NEW met1 ( 1226130 1610750 ) M1M2_PR ;
- read_value_to_Elpis\[0\] ( chip_controller read_value_to_Elpis[0] ) + USE SIGNAL ;
- read_value_to_Elpis\[10\] ( chip_controller read_value_to_Elpis[10] ) + USE SIGNAL ;
- read_value_to_Elpis\[11\] ( chip_controller read_value_to_Elpis[11] ) + USE SIGNAL ;
- read_value_to_Elpis\[12\] ( chip_controller read_value_to_Elpis[12] ) + USE SIGNAL ;
- read_value_to_Elpis\[13\] ( chip_controller read_value_to_Elpis[13] ) + USE SIGNAL ;
- read_value_to_Elpis\[14\] ( chip_controller read_value_to_Elpis[14] ) + USE SIGNAL ;
- read_value_to_Elpis\[15\] ( chip_controller read_value_to_Elpis[15] ) + USE SIGNAL ;
- read_value_to_Elpis\[16\] ( chip_controller read_value_to_Elpis[16] ) + USE SIGNAL ;
- read_value_to_Elpis\[17\] ( chip_controller read_value_to_Elpis[17] ) + USE SIGNAL ;
- read_value_to_Elpis\[18\] ( chip_controller read_value_to_Elpis[18] ) + USE SIGNAL ;
- read_value_to_Elpis\[19\] ( chip_controller read_value_to_Elpis[19] ) + USE SIGNAL ;
- read_value_to_Elpis\[1\] ( chip_controller read_value_to_Elpis[1] ) + USE SIGNAL ;
- read_value_to_Elpis\[20\] ( chip_controller read_value_to_Elpis[20] ) + USE SIGNAL ;
- read_value_to_Elpis\[21\] ( chip_controller read_value_to_Elpis[21] ) + USE SIGNAL ;
- read_value_to_Elpis\[22\] ( chip_controller read_value_to_Elpis[22] ) + USE SIGNAL ;
- read_value_to_Elpis\[23\] ( chip_controller read_value_to_Elpis[23] ) + USE SIGNAL ;
- read_value_to_Elpis\[24\] ( chip_controller read_value_to_Elpis[24] ) + USE SIGNAL ;
- read_value_to_Elpis\[25\] ( chip_controller read_value_to_Elpis[25] ) + USE SIGNAL ;
- read_value_to_Elpis\[26\] ( chip_controller read_value_to_Elpis[26] ) + USE SIGNAL ;
- read_value_to_Elpis\[27\] ( chip_controller read_value_to_Elpis[27] ) + USE SIGNAL ;
- read_value_to_Elpis\[28\] ( chip_controller read_value_to_Elpis[28] ) + USE SIGNAL ;
- read_value_to_Elpis\[29\] ( chip_controller read_value_to_Elpis[29] ) + USE SIGNAL ;
- read_value_to_Elpis\[2\] ( chip_controller read_value_to_Elpis[2] ) + USE SIGNAL ;
- read_value_to_Elpis\[30\] ( chip_controller read_value_to_Elpis[30] ) + USE SIGNAL ;
- read_value_to_Elpis\[31\] ( chip_controller read_value_to_Elpis[31] ) + USE SIGNAL ;
- read_value_to_Elpis\[3\] ( chip_controller read_value_to_Elpis[3] ) + USE SIGNAL ;
- read_value_to_Elpis\[4\] ( chip_controller read_value_to_Elpis[4] ) + USE SIGNAL ;
- read_value_to_Elpis\[5\] ( chip_controller read_value_to_Elpis[5] ) + USE SIGNAL ;
- read_value_to_Elpis\[6\] ( chip_controller read_value_to_Elpis[6] ) + USE SIGNAL ;
- read_value_to_Elpis\[7\] ( chip_controller read_value_to_Elpis[7] ) + USE SIGNAL ;
- read_value_to_Elpis\[8\] ( chip_controller read_value_to_Elpis[8] ) + USE SIGNAL ;
- read_value_to_Elpis\[9\] ( chip_controller read_value_to_Elpis[9] ) + USE SIGNAL ;
- req_out_core0 ( core0 hex_req ) ( chip_controller req_out_core0 ) + USE SIGNAL
+ ROUTED met2 ( 214130 1482910 ) ( * 1500420 0 )
NEW met2 ( 1280410 1482910 ) ( * 1613810 )
NEW met2 ( 1372410 1599700 0 ) ( * 1613810 )
NEW met1 ( 214130 1482910 ) ( 1280410 * )
NEW met1 ( 1280410 1613810 ) ( 1372410 * )
NEW met1 ( 214130 1482910 ) M1M2_PR
NEW met1 ( 1280410 1482910 ) M1M2_PR
NEW met1 ( 1280410 1613810 ) M1M2_PR
NEW met1 ( 1372410 1613810 ) M1M2_PR ;
- reset_core ( core0 rst ) ( chip_controller reset_core ) + USE SIGNAL
+ ROUTED met2 ( 207230 1495150 ) ( * 1500420 0 )
NEW met2 ( 1281330 1495150 ) ( * 1614490 )
NEW met1 ( 207230 1495150 ) ( 1281330 * )
NEW met2 ( 1324110 1599700 0 ) ( * 1614490 )
NEW met1 ( 1281330 1614490 ) ( 1324110 * )
NEW met1 ( 207230 1495150 ) M1M2_PR
NEW met1 ( 1281330 1495150 ) M1M2_PR
NEW met1 ( 1281330 1614490 ) M1M2_PR
NEW met1 ( 1324110 1614490 ) M1M2_PR ;
- rst ( chip_controller rst ) + USE SIGNAL ;
- spare_wen0_to_sram ( custom_sram spare_wen0_to_sram ) ( chip_controller spare_wen0_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 599380 1503820 0 ) ( 607430 * )
NEW met2 ( 607430 1503820 ) ( * 1503990 )
NEW met1 ( 665390 1973530 ) ( 683330 * )
NEW met2 ( 683330 1973530 ) ( * 1974380 )
NEW met3 ( 683330 1974380 ) ( 700580 * 0 )
NEW met2 ( 665390 1503990 ) ( * 1973530 )
NEW met1 ( 607430 1503990 ) ( 665390 * )
NEW met2 ( 607430 1503820 ) M2M3_PR_M
NEW met1 ( 607430 1503990 ) M1M2_PR
NEW met1 ( 665390 1503990 ) M1M2_PR
NEW met1 ( 665390 1973530 ) M1M2_PR
NEW met1 ( 683330 1973530 ) M1M2_PR
NEW met2 ( 683330 1974380 ) M2M3_PR_M ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( chip_controller wb_clk_i ) + USE SIGNAL
+ ROUTED met2 ( 2990 2380 0 ) ( * 17510 )
NEW met1 ( 2990 17510 ) ( 6670 * )
NEW met2 ( 6670 17510 ) ( * 1486990 )
NEW met2 ( 200790 1486990 ) ( * 1500420 0 )
NEW met1 ( 6670 1486990 ) ( 200790 * )
NEW met1 ( 2990 17510 ) M1M2_PR
NEW met1 ( 6670 17510 ) M1M2_PR
NEW met1 ( 6670 1486990 ) M1M2_PR
NEW met1 ( 200790 1486990 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( chip_controller wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 2380 0 ) ( * 17510 )
NEW met1 ( 8510 17510 ) ( 13570 * )
NEW met2 ( 13570 17510 ) ( * 1487670 )
NEW met2 ( 202170 1487670 ) ( * 1500420 0 )
NEW met1 ( 13570 1487670 ) ( 202170 * )
NEW met1 ( 8510 17510 ) M1M2_PR
NEW met1 ( 13570 17510 ) M1M2_PR
NEW met1 ( 13570 1487670 ) M1M2_PR
NEW met1 ( 202170 1487670 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) + USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) + USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) + USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) + USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) + USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) + USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) + USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) + USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) + USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) + USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) + USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) + USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) + USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) + USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) + USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) + USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) + USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) + USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) + USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) + USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) + USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) + USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) + USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) + USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) + USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) + USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) + USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) + USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) + USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) + USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) + USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) + USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) + USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) + USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) + USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) + USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) + USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) + USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) + USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) + USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) + USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) + USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) + USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) + USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) + USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) + USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) + USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) + USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) + USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) + USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) + USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) + USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) + USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) + USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) + USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) + USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) + USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) + USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) + USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) + USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) + USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) + USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) + USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( chip_controller wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 205850 1899580 0 ) ( * 1911310 )
NEW met2 ( 49910 2380 0 ) ( * 17510 )
NEW met1 ( 49910 17510 ) ( 54970 * )
NEW met2 ( 54970 17510 ) ( * 1911310 )
NEW met1 ( 54970 1911310 ) ( 205850 * )
NEW met1 ( 205850 1911310 ) M1M2_PR
NEW met1 ( 49910 17510 ) M1M2_PR
NEW met1 ( 54970 17510 ) M1M2_PR
NEW met1 ( 54970 1911310 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( chip_controller wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1573690 ) ( * 1578620 )
NEW met3 ( 188830 1578620 ) ( 200100 * 0 )
NEW met1 ( 158010 1573690 ) ( 188830 * )
NEW met2 ( 158010 1500250 ) ( * 1573690 )
NEW met2 ( 250010 82800 ) ( 250930 * )
NEW met2 ( 250930 2380 0 ) ( * 82800 )
NEW met2 ( 250010 82800 ) ( * 1483500 )
NEW met2 ( 249550 1483500 ) ( * 1500250 )
NEW met2 ( 249550 1483500 ) ( 250010 * )
NEW met1 ( 158010 1500250 ) ( 249550 * )
NEW met1 ( 188830 1573690 ) M1M2_PR
NEW met2 ( 188830 1578620 ) M2M3_PR_M
NEW met1 ( 158010 1573690 ) M1M2_PR
NEW met1 ( 158010 1500250 ) M1M2_PR
NEW met1 ( 249550 1500250 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( chip_controller wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 199410 1891420 ) ( 199870 * )
NEW met2 ( 199870 1891420 ) ( * 1912670 )
NEW met1 ( 199870 1912670 ) ( 201250 * )
NEW li1 ( 201250 1912670 ) ( * 1913350 )
NEW met2 ( 268870 2380 0 ) ( * 16830 )
NEW met1 ( 263350 16830 ) ( 268870 * )
NEW met2 ( 263350 16830 ) ( * 1499570 )
NEW met2 ( 273470 1899580 0 ) ( * 1913350 )
NEW met1 ( 201250 1913350 ) ( 273470 * )
NEW li1 ( 199410 1499570 ) ( * 1522690 )
NEW met2 ( 199410 1522690 ) ( * 1891420 )
NEW met1 ( 199410 1499570 ) ( 263350 * )
NEW met1 ( 199870 1912670 ) M1M2_PR
NEW li1 ( 201250 1912670 ) L1M1_PR_MR
NEW li1 ( 201250 1913350 ) L1M1_PR_MR
NEW met1 ( 268870 16830 ) M1M2_PR
NEW met1 ( 263350 16830 ) M1M2_PR
NEW met1 ( 263350 1499570 ) M1M2_PR
NEW met1 ( 273470 1913350 ) M1M2_PR
NEW li1 ( 199410 1522690 ) L1M1_PR_MR
NEW met1 ( 199410 1522690 ) M1M2_PR
NEW li1 ( 199410 1499570 ) L1M1_PR_MR
NEW met1 ( 199410 1522690 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( chip_controller wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 2380 0 ) ( * 16150 )
NEW met1 ( 286350 16150 ) ( 291410 * )
NEW met2 ( 291410 16150 ) ( * 1500420 0 )
NEW met1 ( 286350 16150 ) M1M2_PR
NEW met1 ( 291410 16150 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( chip_controller wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met1 ( 183310 1914030 ) ( 201710 * )
NEW li1 ( 201710 1912670 ) ( * 1914030 )
NEW met2 ( 303830 82800 ) ( 304290 * )
NEW met2 ( 304290 2380 0 ) ( * 82800 )
NEW met2 ( 303830 82800 ) ( * 1494130 )
NEW met2 ( 285430 1899580 0 ) ( * 1912670 )
NEW met1 ( 201710 1912670 ) ( 285430 * )
NEW met2 ( 183310 1494130 ) ( * 1914030 )
NEW met1 ( 183310 1494130 ) ( 303830 * )
NEW met1 ( 183310 1914030 ) M1M2_PR
NEW li1 ( 201710 1914030 ) L1M1_PR_MR
NEW li1 ( 201710 1912670 ) L1M1_PR_MR
NEW met1 ( 303830 1494130 ) M1M2_PR
NEW met1 ( 285430 1912670 ) M1M2_PR
NEW met1 ( 183310 1494130 ) M1M2_PR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( chip_controller wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 321770 2380 0 ) ( * 19550 )
NEW met3 ( 599380 1596300 0 ) ( 600530 * )
NEW met2 ( 600530 19550 ) ( * 1596300 )
NEW met1 ( 321770 19550 ) ( 600530 * )
NEW met1 ( 321770 19550 ) M1M2_PR
NEW met1 ( 600530 19550 ) M1M2_PR
NEW met2 ( 600530 1596300 ) M2M3_PR_M ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( chip_controller wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met1 ( 197570 1890910 ) ( 199870 * )
NEW met2 ( 197570 1890910 ) ( * 1916750 )
NEW met2 ( 299230 1899580 0 ) ( * 1916750 )
NEW met1 ( 197570 1916750 ) ( 299230 * )
NEW met2 ( 338330 82800 ) ( 339710 * )
NEW met2 ( 339710 2380 0 ) ( * 82800 )
NEW met2 ( 338330 82800 ) ( * 1492090 )
NEW met1 ( 195730 1535610 ) ( 199870 * )
NEW met2 ( 199870 1535610 ) ( * 1890910 )
NEW met2 ( 195730 1492090 ) ( * 1535610 )
NEW met1 ( 195730 1492090 ) ( 338330 * )
NEW met1 ( 199870 1890910 ) M1M2_PR
NEW met1 ( 197570 1890910 ) M1M2_PR
NEW met1 ( 197570 1916750 ) M1M2_PR
NEW met1 ( 299230 1916750 ) M1M2_PR
NEW met1 ( 338330 1492090 ) M1M2_PR
NEW met1 ( 195730 1535610 ) M1M2_PR
NEW met1 ( 199870 1535610 ) M1M2_PR
NEW met1 ( 195730 1492090 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( chip_controller wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 307970 1899580 0 ) ( * 1912500 )
NEW met3 ( 206540 1912500 ) ( 307970 * )
NEW met2 ( 352130 82800 ) ( 357650 * )
NEW met2 ( 357650 2380 0 ) ( * 82800 )
NEW met2 ( 352130 82800 ) ( * 1465740 )
NEW met4 ( 206540 1465740 ) ( * 1912500 )
NEW met3 ( 206540 1465740 ) ( 352130 * )
NEW met3 ( 206540 1912500 ) M3M4_PR_M
NEW met2 ( 307970 1912500 ) M2M3_PR_M
NEW met2 ( 352130 1465740 ) M2M3_PR_M
NEW met3 ( 206540 1465740 ) M3M4_PR_M ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( chip_controller wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1628770 ) ( * 1628940 )
NEW met3 ( 189750 1628940 ) ( 200100 * 0 )
NEW met2 ( 373750 82800 ) ( 375130 * )
NEW met2 ( 375130 2380 0 ) ( * 82800 )
NEW met2 ( 373750 82800 ) ( * 1493790 )
NEW met1 ( 151110 1628770 ) ( 189750 * )
NEW met2 ( 151110 1493790 ) ( * 1628770 )
NEW met1 ( 151110 1493790 ) ( 373750 * )
NEW met1 ( 189750 1628770 ) M1M2_PR
NEW met2 ( 189750 1628940 ) M2M3_PR_M
NEW met1 ( 373750 1493790 ) M1M2_PR
NEW met1 ( 151110 1628770 ) M1M2_PR
NEW met1 ( 151110 1493790 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( chip_controller wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1635570 ) ( * 1635740 )
NEW met3 ( 189750 1635740 ) ( 200100 * 0 )
NEW met2 ( 393070 2380 0 ) ( * 3060 )
NEW met2 ( 392150 3060 ) ( 393070 * )
NEW met2 ( 392150 2380 ) ( * 3060 )
NEW met2 ( 390770 2380 ) ( 392150 * )
NEW met2 ( 387550 82800 ) ( 390770 * )
NEW met2 ( 390770 2380 ) ( * 82800 )
NEW met2 ( 387550 82800 ) ( * 1483500 )
NEW met2 ( 387090 1483500 ) ( * 1491750 )
NEW met2 ( 387090 1483500 ) ( 387550 * )
NEW met1 ( 171810 1635570 ) ( 189750 * )
NEW met2 ( 171810 1491750 ) ( * 1635570 )
NEW met1 ( 171810 1491750 ) ( 387090 * )
NEW met1 ( 189750 1635570 ) M1M2_PR
NEW met2 ( 189750 1635740 ) M2M3_PR_M
NEW met1 ( 387090 1491750 ) M1M2_PR
NEW met1 ( 171810 1635570 ) M1M2_PR
NEW met1 ( 171810 1491750 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( chip_controller wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 410550 2380 0 ) ( * 3060 )
NEW met2 ( 409630 3060 ) ( 410550 * )
NEW met2 ( 409630 2380 ) ( * 3060 )
NEW met2 ( 408710 2380 ) ( 409630 * )
NEW met2 ( 408710 2380 ) ( * 1491410 )
NEW met2 ( 328670 1899580 0 ) ( * 1914710 )
NEW met1 ( 186530 1914710 ) ( 328670 * )
NEW met1 ( 186530 1534590 ) ( 187910 * )
NEW met2 ( 187910 1511810 ) ( * 1534590 )
NEW met1 ( 187910 1511810 ) ( 188830 * )
NEW met2 ( 186530 1534590 ) ( * 1914710 )
NEW met1 ( 188370 1510450 ) ( 188830 * )
NEW met2 ( 188370 1491410 ) ( * 1510450 )
NEW li1 ( 188830 1510450 ) ( * 1511810 )
NEW met1 ( 188370 1491410 ) ( 408710 * )
NEW met1 ( 186530 1914710 ) M1M2_PR
NEW met1 ( 408710 1491410 ) M1M2_PR
NEW met1 ( 328670 1914710 ) M1M2_PR
NEW met1 ( 186530 1534590 ) M1M2_PR
NEW met1 ( 187910 1534590 ) M1M2_PR
NEW met1 ( 187910 1511810 ) M1M2_PR
NEW li1 ( 188830 1511810 ) L1M1_PR_MR
NEW li1 ( 188830 1510450 ) L1M1_PR_MR
NEW met1 ( 188370 1510450 ) M1M2_PR
NEW met1 ( 188370 1491410 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( chip_controller wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1516740 0 ) ( 609730 * )
NEW met2 ( 609730 1470670 ) ( * 1516740 )
NEW met2 ( 73830 2380 0 ) ( * 34500 )
NEW met2 ( 73830 34500 ) ( 75670 * )
NEW met2 ( 75670 34500 ) ( * 1470670 )
NEW met1 ( 75670 1470670 ) ( 609730 * )
NEW met1 ( 609730 1470670 ) M1M2_PR
NEW met2 ( 609730 1516740 ) M2M3_PR_M
NEW met1 ( 75670 1470670 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( chip_controller wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1633700 0 ) ( 601450 * )
NEW met2 ( 601450 1500250 ) ( * 1633700 )
NEW met2 ( 428490 2380 0 ) ( * 16830 )
NEW met1 ( 428490 16830 ) ( 434470 * )
NEW met2 ( 434470 16830 ) ( * 1500250 )
NEW met1 ( 434470 1500250 ) ( 601450 * )
NEW met2 ( 601450 1633700 ) M2M3_PR_M
NEW met1 ( 601450 1500250 ) M1M2_PR
NEW met1 ( 428490 16830 ) M1M2_PR
NEW met1 ( 434470 16830 ) M1M2_PR
NEW met1 ( 434470 1500250 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( chip_controller wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1639820 0 ) ( 609270 * )
NEW met2 ( 606970 1499910 ) ( * 1501780 )
NEW met2 ( 606970 1501780 ) ( 607430 * )
NEW met2 ( 607430 1501780 ) ( * 1503140 )
NEW met2 ( 607430 1503140 ) ( 608350 * )
NEW met2 ( 608350 1503140 ) ( * 1524390 )
NEW met1 ( 608350 1524390 ) ( 609270 * )
NEW li1 ( 609270 1524390 ) ( * 1526090 )
NEW met2 ( 609270 1526090 ) ( * 1530340 )
NEW met3 ( 608580 1530340 ) ( 609270 * )
NEW met3 ( 608580 1530340 ) ( * 1531700 )
NEW met3 ( 608350 1531700 ) ( 608580 * )
NEW met2 ( 608350 1531700 ) ( * 1580100 )
NEW met2 ( 608350 1580100 ) ( 609270 * )
NEW met2 ( 609270 1580100 ) ( * 1639820 )
NEW met2 ( 445970 2380 0 ) ( * 20230 )
NEW met1 ( 445970 20230 ) ( 534750 * )
NEW met2 ( 534750 20230 ) ( * 1499910 )
NEW met1 ( 534750 1499910 ) ( 606970 * )
NEW met2 ( 609270 1639820 ) M2M3_PR_M
NEW met1 ( 606970 1499910 ) M1M2_PR
NEW met1 ( 608350 1524390 ) M1M2_PR
NEW li1 ( 609270 1524390 ) L1M1_PR_MR
NEW li1 ( 609270 1526090 ) L1M1_PR_MR
NEW met1 ( 609270 1526090 ) M1M2_PR
NEW met2 ( 609270 1530340 ) M2M3_PR_M
NEW met2 ( 608350 1531700 ) M2M3_PR_M
NEW met1 ( 445970 20230 ) M1M2_PR
NEW met1 ( 534750 20230 ) M1M2_PR
NEW met1 ( 534750 1499910 ) M1M2_PR
NEW met1 ( 609270 1526090 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( chip_controller wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1641860 0 ) ( 600990 * )
NEW met2 ( 600990 1491070 ) ( * 1641860 )
NEW met2 ( 463910 2380 0 ) ( * 16830 )
NEW met1 ( 463910 16830 ) ( 468970 * )
NEW met2 ( 468970 16830 ) ( * 1491070 )
NEW met1 ( 468970 1491070 ) ( 600990 * )
NEW met2 ( 600990 1641860 ) M2M3_PR_M
NEW met1 ( 600990 1491070 ) M1M2_PR
NEW met1 ( 463910 16830 ) M1M2_PR
NEW met1 ( 468970 16830 ) M1M2_PR
NEW met1 ( 468970 1491070 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( chip_controller wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1656990 ) ( * 1661580 )
NEW met3 ( 187910 1661580 ) ( 200100 * 0 )
NEW met2 ( 481390 2380 0 ) ( * 3060 )
NEW met2 ( 480470 3060 ) ( 481390 * )
NEW met2 ( 480470 2380 ) ( * 3060 )
NEW met2 ( 479090 2380 ) ( 480470 * )
NEW met2 ( 477710 82800 ) ( 479090 * )
NEW met2 ( 479090 2380 ) ( * 82800 )
NEW met2 ( 477710 82800 ) ( * 1490050 )
NEW met1 ( 154790 1656990 ) ( 187910 * )
NEW met2 ( 154790 1484950 ) ( * 1656990 )
NEW li1 ( 462530 1484950 ) ( * 1490050 )
NEW met1 ( 462530 1490050 ) ( 477710 * )
NEW met1 ( 154790 1484950 ) ( 462530 * )
NEW met1 ( 187910 1656990 ) M1M2_PR
NEW met2 ( 187910 1661580 ) M2M3_PR_M
NEW met1 ( 477710 1490050 ) M1M2_PR
NEW met1 ( 154790 1656990 ) M1M2_PR
NEW met1 ( 154790 1484950 ) M1M2_PR
NEW li1 ( 462530 1484950 ) L1M1_PR_MR
NEW li1 ( 462530 1490050 ) L1M1_PR_MR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( chip_controller wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 499330 2380 0 ) ( * 19890 )
NEW met3 ( 599380 1657500 0 ) ( 609730 * )
NEW met2 ( 609730 1629450 ) ( * 1657500 )
NEW met1 ( 607430 1629450 ) ( 609730 * )
NEW met1 ( 607430 1628770 ) ( * 1629450 )
NEW met1 ( 607430 1628770 ) ( 607890 * )
NEW met1 ( 607890 1628430 ) ( * 1628770 )
NEW met1 ( 599610 1499230 ) ( 610190 * )
NEW met2 ( 610190 1499230 ) ( * 1517420 )
NEW met2 ( 609730 1517420 ) ( 610190 * )
NEW met2 ( 609730 1517420 ) ( * 1530170 )
NEW met1 ( 607890 1530170 ) ( 609730 * )
NEW met2 ( 599610 19890 ) ( * 1499230 )
NEW met2 ( 607890 1530170 ) ( * 1628430 )
NEW met1 ( 499330 19890 ) ( 599610 * )
NEW met1 ( 499330 19890 ) M1M2_PR
NEW met1 ( 599610 19890 ) M1M2_PR
NEW met2 ( 609730 1657500 ) M2M3_PR_M
NEW met1 ( 609730 1629450 ) M1M2_PR
NEW met1 ( 607890 1628430 ) M1M2_PR
NEW met1 ( 599610 1499230 ) M1M2_PR
NEW met1 ( 610190 1499230 ) M1M2_PR
NEW met1 ( 609730 1530170 ) M1M2_PR
NEW met1 ( 607890 1530170 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( chip_controller wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1670930 ) ( * 1673820 )
NEW met3 ( 189750 1673820 ) ( 200100 * 0 )
NEW met1 ( 510830 58990 ) ( 516810 * )
NEW met2 ( 516810 2380 0 ) ( * 58990 )
NEW met2 ( 510830 58990 ) ( * 1477130 )
NEW met1 ( 146970 1670930 ) ( 189750 * )
NEW met2 ( 146970 1477130 ) ( * 1670930 )
NEW met1 ( 146970 1477130 ) ( 510830 * )
NEW met1 ( 189750 1670930 ) M1M2_PR
NEW met2 ( 189750 1673820 ) M2M3_PR_M
NEW met1 ( 510830 1477130 ) M1M2_PR
NEW met1 ( 510830 58990 ) M1M2_PR
NEW met1 ( 516810 58990 ) M1M2_PR
NEW met1 ( 146970 1477130 ) M1M2_PR
NEW met1 ( 146970 1670930 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( chip_controller wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 534750 2380 0 ) ( * 19210 )
NEW met1 ( 365470 19210 ) ( 534750 * )
NEW met2 ( 365470 19210 ) ( * 1500420 0 )
NEW met1 ( 365470 19210 ) M1M2_PR
NEW met1 ( 534750 19210 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( chip_controller wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1672460 0 ) ( 607890 * )
NEW met2 ( 607890 1670590 ) ( * 1672460 )
NEW met2 ( 552690 2380 0 ) ( * 16830 )
NEW met1 ( 552690 16830 ) ( 558670 * )
NEW met1 ( 558670 1477130 ) ( 628130 * )
NEW met1 ( 607890 1670590 ) ( 628130 * )
NEW met2 ( 558670 16830 ) ( * 1477130 )
NEW met2 ( 628130 1477130 ) ( * 1670590 )
NEW met2 ( 607890 1672460 ) M2M3_PR_M
NEW met1 ( 607890 1670590 ) M1M2_PR
NEW met1 ( 552690 16830 ) M1M2_PR
NEW met1 ( 558670 16830 ) M1M2_PR
NEW met1 ( 558670 1477130 ) M1M2_PR
NEW met1 ( 628130 1477130 ) M1M2_PR
NEW met1 ( 628130 1670590 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( chip_controller wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 570170 2380 0 ) ( * 19210 )
NEW met2 ( 384330 1899580 0 ) ( * 1900430 )
NEW met1 ( 570170 19210 ) ( 628590 * )
NEW met1 ( 384330 1900430 ) ( 628590 * )
NEW met2 ( 628590 19210 ) ( * 1900430 )
NEW met1 ( 570170 19210 ) M1M2_PR
NEW met1 ( 384330 1900430 ) M1M2_PR
NEW met1 ( 628590 19210 ) M1M2_PR
NEW met1 ( 628590 1900430 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( chip_controller wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 2380 0 ) ( * 16830 )
NEW met1 ( 588110 16830 ) ( 600070 * )
NEW met1 ( 600070 1499570 ) ( 609270 * )
NEW met2 ( 609270 1499570 ) ( * 1525410 )
NEW met1 ( 607430 1525410 ) ( 609270 * )
NEW met2 ( 600070 16830 ) ( * 1499570 )
NEW met3 ( 599380 1678580 0 ) ( 607430 * )
NEW met2 ( 607430 1525410 ) ( * 1678580 )
NEW met1 ( 588110 16830 ) M1M2_PR
NEW met1 ( 600070 16830 ) M1M2_PR
NEW met1 ( 600070 1499570 ) M1M2_PR
NEW met1 ( 609270 1499570 ) M1M2_PR
NEW met1 ( 609270 1525410 ) M1M2_PR
NEW met1 ( 607430 1525410 ) M1M2_PR
NEW met2 ( 607430 1678580 ) M2M3_PR_M ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( chip_controller wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 2380 0 ) ( * 17510 )
NEW met1 ( 97290 17510 ) ( 106950 * )
NEW met2 ( 106950 17510 ) ( * 1511130 )
NEW met1 ( 106950 1511130 ) ( 158700 * )
NEW met1 ( 158700 1511130 ) ( * 1512490 )
NEW met1 ( 158700 1512490 ) ( 188830 * )
NEW met2 ( 188830 1512490 ) ( * 1514700 )
NEW met3 ( 188830 1514700 ) ( 200100 * 0 )
NEW met1 ( 97290 17510 ) M1M2_PR
NEW met1 ( 106950 17510 ) M1M2_PR
NEW met1 ( 106950 1511130 ) M1M2_PR
NEW met1 ( 188830 1512490 ) M1M2_PR
NEW met2 ( 188830 1514700 ) M2M3_PR_M ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( chip_controller wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 2380 0 ) ( * 18870 )
NEW met2 ( 189750 1697450 ) ( * 1698300 )
NEW met3 ( 189750 1698300 ) ( 200100 * 0 )
NEW met1 ( 134550 18870 ) ( 605590 * )
NEW met2 ( 134550 18870 ) ( * 1697450 )
NEW met1 ( 134550 1697450 ) ( 189750 * )
NEW met1 ( 605590 18870 ) M1M2_PR
NEW met1 ( 189750 1697450 ) M1M2_PR
NEW met2 ( 189750 1698300 ) M2M3_PR_M
NEW met1 ( 134550 18870 ) M1M2_PR
NEW met1 ( 134550 1697450 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( chip_controller wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1705100 ) ( * 1705610 )
NEW met3 ( 189750 1705100 ) ( 200100 * 0 )
NEW met2 ( 140530 1470330 ) ( * 1705610 )
NEW met1 ( 140530 1705610 ) ( 189750 * )
NEW met2 ( 621230 82800 ) ( 623530 * )
NEW met2 ( 623530 2380 0 ) ( * 82800 )
NEW met2 ( 621230 82800 ) ( * 1470330 )
NEW met1 ( 140530 1470330 ) ( 621230 * )
NEW met1 ( 189750 1705610 ) M1M2_PR
NEW met2 ( 189750 1705100 ) M2M3_PR_M
NEW met1 ( 140530 1470330 ) M1M2_PR
NEW met1 ( 621230 1470330 ) M1M2_PR
NEW met1 ( 140530 1705610 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( chip_controller wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 2380 0 ) ( * 17510 )
NEW met1 ( 121210 17510 ) ( 126270 * )
NEW met2 ( 126270 17510 ) ( * 1525070 )
NEW met2 ( 186530 1525070 ) ( * 1528300 )
NEW met3 ( 186530 1528300 ) ( 200100 * 0 )
NEW met1 ( 126270 1525070 ) ( 186530 * )
NEW met1 ( 121210 17510 ) M1M2_PR
NEW met1 ( 126270 17510 ) M1M2_PR
NEW met1 ( 126270 1525070 ) M1M2_PR
NEW met1 ( 186530 1525070 ) M1M2_PR
NEW met2 ( 186530 1528300 ) M2M3_PR_M ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( chip_controller wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 144670 2380 0 ) ( * 1914370 )
NEW met2 ( 233450 1899580 0 ) ( * 1914370 )
NEW met1 ( 144670 1914370 ) ( 233450 * )
NEW met1 ( 144670 1914370 ) M1M2_PR
NEW met1 ( 233450 1914370 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( chip_controller wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 162150 2380 0 ) ( * 17170 )
NEW met1 ( 159850 17170 ) ( 162150 * )
NEW met2 ( 237130 1899580 0 ) ( * 1915730 )
NEW met1 ( 159850 1915730 ) ( 237130 * )
NEW met2 ( 159850 17170 ) ( * 1915730 )
NEW met1 ( 162150 17170 ) M1M2_PR
NEW met1 ( 159850 17170 ) M1M2_PR
NEW met1 ( 159850 1915730 ) M1M2_PR
NEW met1 ( 237130 1915730 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( chip_controller wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 2380 0 ) ( * 19210 )
NEW met1 ( 180090 19210 ) ( 258750 * )
NEW met1 ( 258750 1490390 ) ( 262430 * )
NEW met2 ( 262430 1490390 ) ( * 1500420 0 )
NEW met2 ( 258750 19210 ) ( * 1490390 )
NEW met1 ( 180090 19210 ) M1M2_PR
NEW met1 ( 258750 19210 ) M1M2_PR
NEW met1 ( 258750 1490390 ) M1M2_PR
NEW met1 ( 262430 1490390 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( chip_controller wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 198030 2380 0 ) ( * 15810 )
NEW met1 ( 198030 15810 ) ( 203550 * )
NEW met3 ( 599380 1562300 0 ) ( 609730 * )
NEW met2 ( 609730 1558220 ) ( * 1562300 )
NEW met2 ( 609730 1558220 ) ( 610190 * )
NEW met2 ( 610190 1532380 ) ( * 1558220 )
NEW met2 ( 609730 1532380 ) ( 610190 * )
NEW met2 ( 608810 1531020 ) ( 609730 * )
NEW met2 ( 608810 1469990 ) ( * 1531020 )
NEW met2 ( 609730 1531020 ) ( * 1532380 )
NEW met2 ( 203550 15810 ) ( * 1469990 )
NEW met1 ( 203550 1469990 ) ( 608810 * )
NEW met1 ( 198030 15810 ) M1M2_PR
NEW met1 ( 203550 15810 ) M1M2_PR
NEW met1 ( 608810 1469990 ) M1M2_PR
NEW met2 ( 609730 1562300 ) M2M3_PR_M
NEW met1 ( 203550 1469990 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( chip_controller wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 215050 82800 ) ( 215510 * )
NEW met2 ( 215510 2380 0 ) ( * 82800 )
NEW met2 ( 215050 82800 ) ( * 1498890 )
NEW met2 ( 255990 1899580 0 ) ( * 1916410 )
NEW met1 ( 176410 1916410 ) ( 255990 * )
NEW met2 ( 176410 1498890 ) ( * 1916410 )
NEW met1 ( 176410 1498890 ) ( 215050 * )
NEW met1 ( 215050 1498890 ) M1M2_PR
NEW met1 ( 176410 1916410 ) M1M2_PR
NEW met1 ( 255990 1916410 ) M1M2_PR
NEW met1 ( 176410 1498890 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( chip_controller wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 227470 1499060 ) ( * 1499230 )
NEW met2 ( 233450 2380 0 ) ( * 16830 )
NEW met1 ( 227930 16830 ) ( 233450 * )
NEW met2 ( 227470 1499060 ) ( 227930 * )
NEW met2 ( 227930 16830 ) ( * 1499060 )
NEW met2 ( 261050 1899580 0 ) ( * 1915390 )
NEW met1 ( 169510 1915390 ) ( 261050 * )
NEW met2 ( 169510 1499230 ) ( * 1915390 )
NEW met1 ( 169510 1499230 ) ( 227470 * )
NEW met1 ( 227470 1499230 ) M1M2_PR
NEW met1 ( 233450 16830 ) M1M2_PR
NEW met1 ( 227930 16830 ) M1M2_PR
NEW met1 ( 169510 1915390 ) M1M2_PR
NEW met1 ( 261050 1915390 ) M1M2_PR
NEW met1 ( 169510 1499230 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) + USE SIGNAL ;
- we_to_sram ( custom_sram we ) ( chip_controller we_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 599380 1501100 0 ) ( 607430 * )
NEW met2 ( 607430 1499910 ) ( * 1501100 )
NEW met2 ( 683790 1870850 ) ( * 1874420 )
NEW met3 ( 683790 1874420 ) ( 700580 * 0 )
NEW met1 ( 607430 1499910 ) ( 651130 * )
NEW met2 ( 651130 1499910 ) ( * 1870850 )
NEW met1 ( 651130 1870850 ) ( 683790 * )
NEW met2 ( 607430 1501100 ) M2M3_PR_M
NEW met1 ( 607430 1499910 ) M1M2_PR
NEW met1 ( 683790 1870850 ) M1M2_PR
NEW met2 ( 683790 1874420 ) M2M3_PR_M
NEW met1 ( 651130 1499910 ) M1M2_PR
NEW met1 ( 651130 1870850 ) M1M2_PR ;
END NETS
END DESIGN