blob: 628fd42e077627fa66c5245ca7243f5a0fcc3692 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO sram_wrapper
CLASS BLOCK ;
FOREIGN sram_wrapper ;
ORIGIN 0.000 0.000 ;
SIZE 180.000 BY 180.000 ;
PIN addr0_to_sram[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 35.400 4.000 36.000 ;
END
END addr0_to_sram[0]
PIN addr0_to_sram[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 5.480 4.000 6.080 ;
END
END addr0_to_sram[10]
PIN addr0_to_sram[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 130.600 180.000 131.200 ;
END
END addr0_to_sram[11]
PIN addr0_to_sram[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 179.490 0.000 179.770 4.000 ;
END
END addr0_to_sram[12]
PIN addr0_to_sram[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 32.680 180.000 33.280 ;
END
END addr0_to_sram[13]
PIN addr0_to_sram[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.250 0.000 44.530 4.000 ;
END
END addr0_to_sram[14]
PIN addr0_to_sram[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 38.730 176.000 39.010 180.000 ;
END
END addr0_to_sram[15]
PIN addr0_to_sram[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 88.410 176.000 88.690 180.000 ;
END
END addr0_to_sram[16]
PIN addr0_to_sram[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 155.570 176.000 155.850 180.000 ;
END
END addr0_to_sram[17]
PIN addr0_to_sram[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 16.360 180.000 16.960 ;
END
END addr0_to_sram[18]
PIN addr0_to_sram[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 176.730 0.000 177.010 4.000 ;
END
END addr0_to_sram[19]
PIN addr0_to_sram[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 13.890 176.000 14.170 180.000 ;
END
END addr0_to_sram[1]
PIN addr0_to_sram[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 55.800 4.000 56.400 ;
END
END addr0_to_sram[2]
PIN addr0_to_sram[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 123.800 180.000 124.400 ;
END
END addr0_to_sram[3]
PIN addr0_to_sram[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 127.050 0.000 127.330 4.000 ;
END
END addr0_to_sram[4]
PIN addr0_to_sram[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 178.570 176.000 178.850 180.000 ;
END
END addr0_to_sram[5]
PIN addr0_to_sram[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 176.730 176.000 177.010 180.000 ;
END
END addr0_to_sram[6]
PIN addr0_to_sram[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 74.840 4.000 75.440 ;
END
END addr0_to_sram[7]
PIN addr0_to_sram[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 62.600 4.000 63.200 ;
END
END addr0_to_sram[8]
PIN addr0_to_sram[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 5.480 180.000 6.080 ;
END
END addr0_to_sram[9]
PIN addr_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 147.290 0.000 147.570 4.000 ;
END
END addr_in[0]
PIN addr_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 19.410 0.000 19.690 4.000 ;
END
END addr_in[10]
PIN addr_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 138.760 180.000 139.360 ;
END
END addr_in[11]
PIN addr_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 170.040 180.000 170.640 ;
END
END addr_in[12]
PIN addr_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 60.810 0.000 61.090 4.000 ;
END
END addr_in[13]
PIN addr_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 173.970 176.000 174.250 180.000 ;
END
END addr_in[14]
PIN addr_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 137.400 4.000 138.000 ;
END
END addr_in[15]
PIN addr_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 25.850 176.000 26.130 180.000 ;
END
END addr_in[16]
PIN addr_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 125.210 0.000 125.490 4.000 ;
END
END addr_in[17]
PIN addr_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 41.490 176.000 41.770 180.000 ;
END
END addr_in[18]
PIN addr_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 176.840 180.000 177.440 ;
END
END addr_in[19]
PIN addr_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 50.360 180.000 50.960 ;
END
END addr_in[1]
PIN addr_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 136.040 180.000 136.640 ;
END
END addr_in[2]
PIN addr_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 174.120 180.000 174.720 ;
END
END addr_in[3]
PIN addr_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 70.760 4.000 71.360 ;
END
END addr_in[4]
PIN addr_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 85.720 4.000 86.320 ;
END
END addr_in[5]
PIN addr_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 153.720 180.000 154.320 ;
END
END addr_in[6]
PIN addr_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 103.130 176.000 103.410 180.000 ;
END
END addr_in[7]
PIN addr_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 141.770 176.000 142.050 180.000 ;
END
END addr_in[8]
PIN addr_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 26.770 176.000 27.050 180.000 ;
END
END addr_in[9]
PIN addr_to_core_mem[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 118.770 176.000 119.050 180.000 ;
END
END addr_to_core_mem[0]
PIN addr_to_core_mem[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 114.170 176.000 114.450 180.000 ;
END
END addr_to_core_mem[10]
PIN addr_to_core_mem[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 129.810 0.000 130.090 4.000 ;
END
END addr_to_core_mem[11]
PIN addr_to_core_mem[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.250 176.000 21.530 180.000 ;
END
END addr_to_core_mem[12]
PIN addr_to_core_mem[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 141.480 4.000 142.080 ;
END
END addr_to_core_mem[13]
PIN addr_to_core_mem[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 65.410 0.000 65.690 4.000 ;
END
END addr_to_core_mem[14]
PIN addr_to_core_mem[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 162.930 176.000 163.210 180.000 ;
END
END addr_to_core_mem[15]
PIN addr_to_core_mem[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 111.560 180.000 112.160 ;
END
END addr_to_core_mem[16]
PIN addr_to_core_mem[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 98.530 0.000 98.810 4.000 ;
END
END addr_to_core_mem[17]
PIN addr_to_core_mem[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 151.000 4.000 151.600 ;
END
END addr_to_core_mem[18]
PIN addr_to_core_mem[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 119.720 180.000 120.320 ;
END
END addr_to_core_mem[19]
PIN addr_to_core_mem[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 99.450 0.000 99.730 4.000 ;
END
END addr_to_core_mem[1]
PIN addr_to_core_mem[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 157.800 180.000 158.400 ;
END
END addr_to_core_mem[2]
PIN addr_to_core_mem[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 127.970 0.000 128.250 4.000 ;
END
END addr_to_core_mem[3]
PIN addr_to_core_mem[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 24.520 180.000 25.120 ;
END
END addr_to_core_mem[4]
PIN addr_to_core_mem[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 46.280 180.000 46.880 ;
END
END addr_to_core_mem[5]
PIN addr_to_core_mem[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 105.890 176.000 106.170 180.000 ;
END
END addr_to_core_mem[6]
PIN addr_to_core_mem[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 55.800 180.000 56.400 ;
END
END addr_to_core_mem[7]
PIN addr_to_core_mem[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 65.320 4.000 65.920 ;
END
END addr_to_core_mem[8]
PIN addr_to_core_mem[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 2.760 180.000 3.360 ;
END
END addr_to_core_mem[9]
PIN clk
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 163.850 176.000 164.130 180.000 ;
END
END clk
PIN csb0_to_sram
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 73.690 176.000 73.970 180.000 ;
END
END csb0_to_sram
PIN data_to_core_mem[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 164.600 180.000 165.200 ;
END
END data_to_core_mem[0]
PIN data_to_core_mem[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 135.330 176.000 135.610 180.000 ;
END
END data_to_core_mem[10]
PIN data_to_core_mem[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 90.250 176.000 90.530 180.000 ;
END
END data_to_core_mem[11]
PIN data_to_core_mem[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 131.960 4.000 132.560 ;
END
END data_to_core_mem[12]
PIN data_to_core_mem[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 175.810 0.000 176.090 4.000 ;
END
END data_to_core_mem[13]
PIN data_to_core_mem[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 131.650 0.000 131.930 4.000 ;
END
END data_to_core_mem[14]
PIN data_to_core_mem[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 11.130 176.000 11.410 180.000 ;
END
END data_to_core_mem[15]
PIN data_to_core_mem[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 68.170 0.000 68.450 4.000 ;
END
END data_to_core_mem[16]
PIN data_to_core_mem[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.930 0.000 94.210 4.000 ;
END
END data_to_core_mem[17]
PIN data_to_core_mem[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.650 0.000 16.930 4.000 ;
END
END data_to_core_mem[18]
PIN data_to_core_mem[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 9.290 0.000 9.570 4.000 ;
END
END data_to_core_mem[19]
PIN data_to_core_mem[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 142.690 0.000 142.970 4.000 ;
END
END data_to_core_mem[1]
PIN data_to_core_mem[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 36.890 0.000 37.170 4.000 ;
END
END data_to_core_mem[20]
PIN data_to_core_mem[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 67.250 176.000 67.530 180.000 ;
END
END data_to_core_mem[21]
PIN data_to_core_mem[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 52.530 176.000 52.810 180.000 ;
END
END data_to_core_mem[22]
PIN data_to_core_mem[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 153.720 4.000 154.320 ;
END
END data_to_core_mem[23]
PIN data_to_core_mem[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 134.410 176.000 134.690 180.000 ;
END
END data_to_core_mem[24]
PIN data_to_core_mem[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 81.640 180.000 82.240 ;
END
END data_to_core_mem[25]
PIN data_to_core_mem[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 51.610 176.000 51.890 180.000 ;
END
END data_to_core_mem[26]
PIN data_to_core_mem[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 42.200 4.000 42.800 ;
END
END data_to_core_mem[27]
PIN data_to_core_mem[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 81.640 4.000 82.240 ;
END
END data_to_core_mem[28]
PIN data_to_core_mem[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 165.960 4.000 166.560 ;
END
END data_to_core_mem[29]
PIN data_to_core_mem[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 102.210 0.000 102.490 4.000 ;
END
END data_to_core_mem[2]
PIN data_to_core_mem[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 86.570 176.000 86.850 180.000 ;
END
END data_to_core_mem[30]
PIN data_to_core_mem[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 42.410 176.000 42.690 180.000 ;
END
END data_to_core_mem[31]
PIN data_to_core_mem[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 49.770 176.000 50.050 180.000 ;
END
END data_to_core_mem[3]
PIN data_to_core_mem[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 63.570 0.000 63.850 4.000 ;
END
END data_to_core_mem[4]
PIN data_to_core_mem[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 31.370 176.000 31.650 180.000 ;
END
END data_to_core_mem[5]
PIN data_to_core_mem[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 4.120 4.000 4.720 ;
END
END data_to_core_mem[6]
PIN data_to_core_mem[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 108.840 4.000 109.440 ;
END
END data_to_core_mem[7]
PIN data_to_core_mem[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 105.890 0.000 106.170 4.000 ;
END
END data_to_core_mem[8]
PIN data_to_core_mem[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 153.730 0.000 154.010 4.000 ;
END
END data_to_core_mem[9]
PIN din0_to_sram[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 24.010 176.000 24.290 180.000 ;
END
END din0_to_sram[0]
PIN din0_to_sram[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 36.890 176.000 37.170 180.000 ;
END
END din0_to_sram[10]
PIN din0_to_sram[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 13.640 180.000 14.240 ;
END
END din0_to_sram[11]
PIN din0_to_sram[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 161.090 0.000 161.370 4.000 ;
END
END din0_to_sram[12]
PIN din0_to_sram[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 112.920 4.000 113.520 ;
END
END din0_to_sram[13]
PIN din0_to_sram[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 12.280 4.000 12.880 ;
END
END din0_to_sram[14]
PIN din0_to_sram[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 58.050 176.000 58.330 180.000 ;
END
END din0_to_sram[15]
PIN din0_to_sram[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 58.520 180.000 59.120 ;
END
END din0_to_sram[16]
PIN din0_to_sram[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 152.810 0.000 153.090 4.000 ;
END
END din0_to_sram[17]
PIN din0_to_sram[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 106.810 0.000 107.090 4.000 ;
END
END din0_to_sram[18]
PIN din0_to_sram[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 59.890 176.000 60.170 180.000 ;
END
END din0_to_sram[19]
PIN din0_to_sram[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 96.600 4.000 97.200 ;
END
END din0_to_sram[1]
PIN din0_to_sram[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 97.960 180.000 98.560 ;
END
END din0_to_sram[20]
PIN din0_to_sram[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 47.010 176.000 47.290 180.000 ;
END
END din0_to_sram[21]
PIN din0_to_sram[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 80.280 4.000 80.880 ;
END
END din0_to_sram[22]
PIN din0_to_sram[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 161.880 4.000 162.480 ;
END
END din0_to_sram[23]
PIN din0_to_sram[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.010 0.000 93.290 4.000 ;
END
END din0_to_sram[24]
PIN din0_to_sram[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 78.920 180.000 79.520 ;
END
END din0_to_sram[25]
PIN din0_to_sram[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 112.330 176.000 112.610 180.000 ;
END
END din0_to_sram[26]
PIN din0_to_sram[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 104.050 176.000 104.330 180.000 ;
END
END din0_to_sram[27]
PIN din0_to_sram[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 72.770 0.000 73.050 4.000 ;
END
END din0_to_sram[28]
PIN din0_to_sram[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 107.480 4.000 108.080 ;
END
END din0_to_sram[29]
PIN din0_to_sram[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 88.410 0.000 88.690 4.000 ;
END
END din0_to_sram[2]
PIN din0_to_sram[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 92.520 4.000 93.120 ;
END
END din0_to_sram[30]
PIN din0_to_sram[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 122.450 176.000 122.730 180.000 ;
END
END din0_to_sram[31]
PIN din0_to_sram[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 66.680 4.000 67.280 ;
END
END din0_to_sram[3]
PIN din0_to_sram[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 8.200 4.000 8.800 ;
END
END din0_to_sram[4]
PIN din0_to_sram[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 145.560 180.000 146.160 ;
END
END din0_to_sram[5]
PIN din0_to_sram[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 62.600 180.000 63.200 ;
END
END din0_to_sram[6]
PIN din0_to_sram[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 8.200 180.000 8.800 ;
END
END din0_to_sram[7]
PIN din0_to_sram[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 142.840 4.000 143.440 ;
END
END din0_to_sram[8]
PIN din0_to_sram[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 109.570 176.000 109.850 180.000 ;
END
END din0_to_sram[9]
PIN dout0_to_sram[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 59.880 180.000 60.480 ;
END
END dout0_to_sram[0]
PIN dout0_to_sram[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 23.160 4.000 23.760 ;
END
END dout0_to_sram[10]
PIN dout0_to_sram[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 29.530 176.000 29.810 180.000 ;
END
END dout0_to_sram[11]
PIN dout0_to_sram[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 112.330 0.000 112.610 4.000 ;
END
END dout0_to_sram[12]
PIN dout0_to_sram[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 51.720 4.000 52.320 ;
END
END dout0_to_sram[13]
PIN dout0_to_sram[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 60.810 176.000 61.090 180.000 ;
END
END dout0_to_sram[14]
PIN dout0_to_sram[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 145.450 176.000 145.730 180.000 ;
END
END dout0_to_sram[15]
PIN dout0_to_sram[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 54.370 0.000 54.650 4.000 ;
END
END dout0_to_sram[16]
PIN dout0_to_sram[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.930 176.000 94.210 180.000 ;
END
END dout0_to_sram[17]
PIN dout0_to_sram[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 68.170 176.000 68.450 180.000 ;
END
END dout0_to_sram[18]
PIN dout0_to_sram[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 23.090 176.000 23.370 180.000 ;
END
END dout0_to_sram[19]
PIN dout0_to_sram[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 80.130 176.000 80.410 180.000 ;
END
END dout0_to_sram[1]
PIN dout0_to_sram[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 137.170 0.000 137.450 4.000 ;
END
END dout0_to_sram[20]
PIN dout0_to_sram[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.250 176.000 44.530 180.000 ;
END
END dout0_to_sram[21]
PIN dout0_to_sram[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 47.930 0.000 48.210 4.000 ;
END
END dout0_to_sram[22]
PIN dout0_to_sram[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 20.440 180.000 21.040 ;
END
END dout0_to_sram[23]
PIN dout0_to_sram[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 50.690 0.000 50.970 4.000 ;
END
END dout0_to_sram[24]
PIN dout0_to_sram[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 121.530 176.000 121.810 180.000 ;
END
END dout0_to_sram[25]
PIN dout0_to_sram[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 152.810 176.000 153.090 180.000 ;
END
END dout0_to_sram[26]
PIN dout0_to_sram[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 89.800 4.000 90.400 ;
END
END dout0_to_sram[27]
PIN dout0_to_sram[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 175.480 4.000 176.080 ;
END
END dout0_to_sram[28]
PIN dout0_to_sram[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 118.360 4.000 118.960 ;
END
END dout0_to_sram[29]
PIN dout0_to_sram[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 167.530 176.000 167.810 180.000 ;
END
END dout0_to_sram[2]
PIN dout0_to_sram[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 126.520 180.000 127.120 ;
END
END dout0_to_sram[30]
PIN dout0_to_sram[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 157.800 4.000 158.400 ;
END
END dout0_to_sram[31]
PIN dout0_to_sram[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 70.010 0.000 70.290 4.000 ;
END
END dout0_to_sram[3]
PIN dout0_to_sram[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 108.650 176.000 108.930 180.000 ;
END
END dout0_to_sram[4]
PIN dout0_to_sram[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 39.480 180.000 40.080 ;
END
END dout0_to_sram[5]
PIN dout0_to_sram[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 52.530 0.000 52.810 4.000 ;
END
END dout0_to_sram[6]
PIN dout0_to_sram[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 179.490 176.000 179.770 180.000 ;
END
END dout0_to_sram[7]
PIN dout0_to_sram[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 168.680 180.000 169.280 ;
END
END dout0_to_sram[8]
PIN dout0_to_sram[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 24.930 0.000 25.210 4.000 ;
END
END dout0_to_sram[9]
PIN is_loading_memory_into_core
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 77.370 176.000 77.650 180.000 ;
END
END is_loading_memory_into_core
PIN rd_data_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 34.130 176.000 34.410 180.000 ;
END
END rd_data_out[0]
PIN rd_data_out[100]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 119.690 176.000 119.970 180.000 ;
END
END rd_data_out[100]
PIN rd_data_out[101]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 57.130 176.000 57.410 180.000 ;
END
END rd_data_out[101]
PIN rd_data_out[102]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 134.680 180.000 135.280 ;
END
END rd_data_out[102]
PIN rd_data_out[103]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 164.600 4.000 165.200 ;
END
END rd_data_out[103]
PIN rd_data_out[104]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 39.650 176.000 39.930 180.000 ;
END
END rd_data_out[104]
PIN rd_data_out[105]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 85.650 176.000 85.930 180.000 ;
END
END rd_data_out[105]
PIN rd_data_out[106]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 70.930 0.000 71.210 4.000 ;
END
END rd_data_out[106]
PIN rd_data_out[107]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 36.760 180.000 37.360 ;
END
END rd_data_out[107]
PIN rd_data_out[108]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 13.640 4.000 14.240 ;
END
END rd_data_out[108]
PIN rd_data_out[109]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 84.360 4.000 84.960 ;
END
END rd_data_out[109]
PIN rd_data_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 58.520 4.000 59.120 ;
END
END rd_data_out[10]
PIN rd_data_out[110]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 111.560 4.000 112.160 ;
END
END rd_data_out[110]
PIN rd_data_out[111]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 96.690 176.000 96.970 180.000 ;
END
END rd_data_out[111]
PIN rd_data_out[112]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 55.290 0.000 55.570 4.000 ;
END
END rd_data_out[112]
PIN rd_data_out[113]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 165.960 180.000 166.560 ;
END
END rd_data_out[113]
PIN rd_data_out[114]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 99.450 176.000 99.730 180.000 ;
END
END rd_data_out[114]
PIN rd_data_out[115]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 70.760 180.000 71.360 ;
END
END rd_data_out[115]
PIN rd_data_out[116]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 80.130 0.000 80.410 4.000 ;
END
END rd_data_out[116]
PIN rd_data_out[117]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 89.330 0.000 89.610 4.000 ;
END
END rd_data_out[117]
PIN rd_data_out[118]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 28.610 176.000 28.890 180.000 ;
END
END rd_data_out[118]
PIN rd_data_out[119]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 46.280 4.000 46.880 ;
END
END rd_data_out[119]
PIN rd_data_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 40.840 180.000 41.440 ;
END
END rd_data_out[11]
PIN rd_data_out[120]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 150.050 176.000 150.330 180.000 ;
END
END rd_data_out[120]
PIN rd_data_out[121]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 140.850 0.000 141.130 4.000 ;
END
END rd_data_out[121]
PIN rd_data_out[122]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 98.530 176.000 98.810 180.000 ;
END
END rd_data_out[122]
PIN rd_data_out[123]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 77.560 4.000 78.160 ;
END
END rd_data_out[123]
PIN rd_data_out[124]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 109.570 0.000 109.850 4.000 ;
END
END rd_data_out[124]
PIN rd_data_out[125]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 49.770 0.000 50.050 4.000 ;
END
END rd_data_out[125]
PIN rd_data_out[126]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 31.320 4.000 31.920 ;
END
END rd_data_out[126]
PIN rd_data_out[127]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 88.440 4.000 89.040 ;
END
END rd_data_out[127]
PIN rd_data_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 100.680 4.000 101.280 ;
END
END rd_data_out[12]
PIN rd_data_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 10.210 176.000 10.490 180.000 ;
END
END rd_data_out[13]
PIN rd_data_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 28.610 0.000 28.890 4.000 ;
END
END rd_data_out[14]
PIN rd_data_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 146.920 180.000 147.520 ;
END
END rd_data_out[15]
PIN rd_data_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 36.760 4.000 37.360 ;
END
END rd_data_out[16]
PIN rd_data_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 101.290 176.000 101.570 180.000 ;
END
END rd_data_out[17]
PIN rd_data_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 119.720 4.000 120.320 ;
END
END rd_data_out[18]
PIN rd_data_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 18.490 176.000 18.770 180.000 ;
END
END rd_data_out[19]
PIN rd_data_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 103.400 180.000 104.000 ;
END
END rd_data_out[1]
PIN rd_data_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 45.170 0.000 45.450 4.000 ;
END
END rd_data_out[20]
PIN rd_data_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 12.970 176.000 13.250 180.000 ;
END
END rd_data_out[21]
PIN rd_data_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1.010 176.000 1.290 180.000 ;
END
END rd_data_out[22]
PIN rd_data_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 173.050 0.000 173.330 4.000 ;
END
END rd_data_out[23]
PIN rd_data_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.250 0.000 21.530 4.000 ;
END
END rd_data_out[24]
PIN rd_data_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 91.170 176.000 91.450 180.000 ;
END
END rd_data_out[25]
PIN rd_data_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 39.480 4.000 40.080 ;
END
END rd_data_out[26]
PIN rd_data_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 59.890 0.000 60.170 4.000 ;
END
END rd_data_out[27]
PIN rd_data_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 65.320 180.000 65.920 ;
END
END rd_data_out[28]
PIN rd_data_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 160.170 0.000 160.450 4.000 ;
END
END rd_data_out[29]
PIN rd_data_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 5.610 176.000 5.890 180.000 ;
END
END rd_data_out[2]
PIN rd_data_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 1.400 180.000 2.000 ;
END
END rd_data_out[30]
PIN rd_data_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 73.690 0.000 73.970 4.000 ;
END
END rd_data_out[31]
PIN rd_data_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 41.490 0.000 41.770 4.000 ;
END
END rd_data_out[32]
PIN rd_data_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.010 176.000 93.290 180.000 ;
END
END rd_data_out[33]
PIN rd_data_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 47.640 180.000 48.240 ;
END
END rd_data_out[34]
PIN rd_data_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 155.570 0.000 155.850 4.000 ;
END
END rd_data_out[35]
PIN rd_data_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 173.970 0.000 174.250 4.000 ;
END
END rd_data_out[36]
PIN rd_data_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 96.690 0.000 96.970 4.000 ;
END
END rd_data_out[37]
PIN rd_data_out[38]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 148.210 0.000 148.490 4.000 ;
END
END rd_data_out[38]
PIN rd_data_out[39]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 28.600 180.000 29.200 ;
END
END rd_data_out[39]
PIN rd_data_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 149.640 180.000 150.240 ;
END
END rd_data_out[3]
PIN rd_data_out[40]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 8.370 0.000 8.650 4.000 ;
END
END rd_data_out[40]
PIN rd_data_out[41]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 62.650 0.000 62.930 4.000 ;
END
END rd_data_out[41]
PIN rd_data_out[42]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 116.010 176.000 116.290 180.000 ;
END
END rd_data_out[42]
PIN rd_data_out[43]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 47.930 176.000 48.210 180.000 ;
END
END rd_data_out[43]
PIN rd_data_out[44]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 156.440 4.000 157.040 ;
END
END rd_data_out[44]
PIN rd_data_out[45]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 35.050 0.000 35.330 4.000 ;
END
END rd_data_out[45]
PIN rd_data_out[46]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 138.090 0.000 138.370 4.000 ;
END
END rd_data_out[46]
PIN rd_data_out[47]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 111.410 176.000 111.690 180.000 ;
END
END rd_data_out[47]
PIN rd_data_out[48]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 171.210 0.000 171.490 4.000 ;
END
END rd_data_out[48]
PIN rd_data_out[49]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 12.050 0.000 12.330 4.000 ;
END
END rd_data_out[49]
PIN rd_data_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 162.930 0.000 163.210 4.000 ;
END
END rd_data_out[4]
PIN rd_data_out[50]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 19.410 176.000 19.690 180.000 ;
END
END rd_data_out[50]
PIN rd_data_out[51]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 112.920 180.000 113.520 ;
END
END rd_data_out[51]
PIN rd_data_out[52]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 82.890 176.000 83.170 180.000 ;
END
END rd_data_out[52]
PIN rd_data_out[53]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 12.280 180.000 12.880 ;
END
END rd_data_out[53]
PIN rd_data_out[54]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 139.930 176.000 140.210 180.000 ;
END
END rd_data_out[54]
PIN rd_data_out[55]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 115.640 180.000 116.240 ;
END
END rd_data_out[55]
PIN rd_data_out[56]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 160.520 180.000 161.120 ;
END
END rd_data_out[56]
PIN rd_data_out[57]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 93.880 180.000 94.480 ;
END
END rd_data_out[57]
PIN rd_data_out[58]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 168.680 4.000 169.280 ;
END
END rd_data_out[58]
PIN rd_data_out[59]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 39.650 0.000 39.930 4.000 ;
END
END rd_data_out[59]
PIN rd_data_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 132.570 0.000 132.850 4.000 ;
END
END rd_data_out[5]
PIN rd_data_out[60]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 163.850 0.000 164.130 4.000 ;
END
END rd_data_out[60]
PIN rd_data_out[61]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 99.320 4.000 99.920 ;
END
END rd_data_out[61]
PIN rd_data_out[62]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 170.290 176.000 170.570 180.000 ;
END
END rd_data_out[62]
PIN rd_data_out[63]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 15.730 176.000 16.010 180.000 ;
END
END rd_data_out[63]
PIN rd_data_out[64]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 32.290 176.000 32.570 180.000 ;
END
END rd_data_out[64]
PIN rd_data_out[65]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 43.560 180.000 44.160 ;
END
END rd_data_out[65]
PIN rd_data_out[66]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 117.000 180.000 117.600 ;
END
END rd_data_out[66]
PIN rd_data_out[67]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 85.720 180.000 86.320 ;
END
END rd_data_out[67]
PIN rd_data_out[68]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 83.810 176.000 84.090 180.000 ;
END
END rd_data_out[68]
PIN rd_data_out[69]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 89.800 180.000 90.400 ;
END
END rd_data_out[69]
PIN rd_data_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 111.410 0.000 111.690 4.000 ;
END
END rd_data_out[6]
PIN rd_data_out[70]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 134.410 0.000 134.690 4.000 ;
END
END rd_data_out[70]
PIN rd_data_out[71]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 123.800 4.000 124.400 ;
END
END rd_data_out[71]
PIN rd_data_out[72]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 34.130 0.000 34.410 4.000 ;
END
END rd_data_out[72]
PIN rd_data_out[73]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 50.360 4.000 50.960 ;
END
END rd_data_out[73]
PIN rd_data_out[74]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 165.690 0.000 165.970 4.000 ;
END
END rd_data_out[74]
PIN rd_data_out[75]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 74.840 180.000 75.440 ;
END
END rd_data_out[75]
PIN rd_data_out[76]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 165.690 176.000 165.970 180.000 ;
END
END rd_data_out[76]
PIN rd_data_out[77]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 158.330 176.000 158.610 180.000 ;
END
END rd_data_out[77]
PIN rd_data_out[78]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 138.090 176.000 138.370 180.000 ;
END
END rd_data_out[78]
PIN rd_data_out[79]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 42.410 0.000 42.690 4.000 ;
END
END rd_data_out[79]
PIN rd_data_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 96.600 180.000 97.200 ;
END
END rd_data_out[7]
PIN rd_data_out[80]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 135.330 0.000 135.610 4.000 ;
END
END rd_data_out[80]
PIN rd_data_out[81]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 16.360 4.000 16.960 ;
END
END rd_data_out[81]
PIN rd_data_out[82]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 26.770 0.000 27.050 4.000 ;
END
END rd_data_out[82]
PIN rd_data_out[83]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 77.560 180.000 78.160 ;
END
END rd_data_out[83]
PIN rd_data_out[84]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 172.760 4.000 173.360 ;
END
END rd_data_out[84]
PIN rd_data_out[85]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 175.810 176.000 176.090 180.000 ;
END
END rd_data_out[85]
PIN rd_data_out[86]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 146.920 4.000 147.520 ;
END
END rd_data_out[86]
PIN rd_data_out[87]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 142.840 180.000 143.440 ;
END
END rd_data_out[87]
PIN rd_data_out[88]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 141.480 180.000 142.080 ;
END
END rd_data_out[88]
PIN rd_data_out[89]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 76.450 0.000 76.730 4.000 ;
END
END rd_data_out[89]
PIN rd_data_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 160.170 176.000 160.450 180.000 ;
END
END rd_data_out[8]
PIN rd_data_out[90]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 3.770 0.000 4.050 4.000 ;
END
END rd_data_out[90]
PIN rd_data_out[91]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1.010 0.000 1.290 4.000 ;
END
END rd_data_out[91]
PIN rd_data_out[92]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 54.370 176.000 54.650 180.000 ;
END
END rd_data_out[92]
PIN rd_data_out[93]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 78.290 0.000 78.570 4.000 ;
END
END rd_data_out[93]
PIN rd_data_out[94]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 93.880 4.000 94.480 ;
END
END rd_data_out[94]
PIN rd_data_out[95]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 171.210 176.000 171.490 180.000 ;
END
END rd_data_out[95]
PIN rd_data_out[96]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 169.370 0.000 169.650 4.000 ;
END
END rd_data_out[96]
PIN rd_data_out[97]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 81.050 176.000 81.330 180.000 ;
END
END rd_data_out[97]
PIN rd_data_out[98]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 138.760 4.000 139.360 ;
END
END rd_data_out[98]
PIN rd_data_out[99]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 29.530 0.000 29.810 4.000 ;
END
END rd_data_out[99]
PIN rd_data_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 67.250 0.000 67.530 4.000 ;
END
END rd_data_out[9]
PIN ready
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 150.970 176.000 151.250 180.000 ;
END
END ready
PIN requested
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 121.530 0.000 121.810 4.000 ;
END
END requested
PIN reset
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 57.130 0.000 57.410 4.000 ;
END
END reset
PIN reset_mem_req
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 160.520 4.000 161.120 ;
END
END reset_mem_req
PIN spare_wen0_to_sram
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 1.400 4.000 2.000 ;
END
END spare_wen0_to_sram
PIN vccd1
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 32.875 10.640 34.475 168.880 ;
END
PORT
LAYER met4 ;
RECT 89.195 10.640 90.795 168.880 ;
END
PORT
LAYER met4 ;
RECT 145.515 10.640 147.115 168.880 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 61.035 10.640 62.635 168.880 ;
END
PORT
LAYER met4 ;
RECT 117.355 10.640 118.955 168.880 ;
END
END vssd1
PIN we
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 107.480 180.000 108.080 ;
END
END we
PIN we_to_sram
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 116.930 0.000 117.210 4.000 ;
END
END we_to_sram
PIN wr_data[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 149.640 4.000 150.240 ;
END
END wr_data[0]
PIN wr_data[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 150.050 0.000 150.330 4.000 ;
END
END wr_data[100]
PIN wr_data[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 100.680 180.000 101.280 ;
END
END wr_data[101]
PIN wr_data[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 129.810 176.000 130.090 180.000 ;
END
END wr_data[102]
PIN wr_data[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 134.680 4.000 135.280 ;
END
END wr_data[103]
PIN wr_data[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 115.090 0.000 115.370 4.000 ;
END
END wr_data[104]
PIN wr_data[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 161.090 176.000 161.370 180.000 ;
END
END wr_data[105]
PIN wr_data[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 166.610 0.000 166.890 4.000 ;
END
END wr_data[106]
PIN wr_data[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 116.930 176.000 117.210 180.000 ;
END
END wr_data[107]
PIN wr_data[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 139.930 0.000 140.210 4.000 ;
END
END wr_data[108]
PIN wr_data[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 62.650 176.000 62.930 180.000 ;
END
END wr_data[109]
PIN wr_data[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 18.490 0.000 18.770 4.000 ;
END
END wr_data[10]
PIN wr_data[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 69.400 180.000 70.000 ;
END
END wr_data[110]
PIN wr_data[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.530 176.000 6.810 180.000 ;
END
END wr_data[111]
PIN wr_data[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 104.760 180.000 105.360 ;
END
END wr_data[112]
PIN wr_data[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 37.810 0.000 38.090 4.000 ;
END
END wr_data[113]
PIN wr_data[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 144.530 0.000 144.810 4.000 ;
END
END wr_data[114]
PIN wr_data[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 70.930 176.000 71.210 180.000 ;
END
END wr_data[115]
PIN wr_data[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 157.410 176.000 157.690 180.000 ;
END
END wr_data[116]
PIN wr_data[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 28.600 4.000 29.200 ;
END
END wr_data[117]
PIN wr_data[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 65.410 176.000 65.690 180.000 ;
END
END wr_data[118]
PIN wr_data[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 176.840 4.000 177.440 ;
END
END wr_data[119]
PIN wr_data[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 178.570 0.000 178.850 4.000 ;
END
END wr_data[11]
PIN wr_data[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 55.290 176.000 55.570 180.000 ;
END
END wr_data[120]
PIN wr_data[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 35.400 180.000 36.000 ;
END
END wr_data[121]
PIN wr_data[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 127.050 176.000 127.330 180.000 ;
END
END wr_data[122]
PIN wr_data[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 95.770 176.000 96.050 180.000 ;
END
END wr_data[123]
PIN wr_data[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 126.520 4.000 127.120 ;
END
END wr_data[124]
PIN wr_data[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 13.890 0.000 14.170 4.000 ;
END
END wr_data[125]
PIN wr_data[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 83.810 0.000 84.090 4.000 ;
END
END wr_data[126]
PIN wr_data[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 20.440 4.000 21.040 ;
END
END wr_data[127]
PIN wr_data[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 108.840 180.000 109.440 ;
END
END wr_data[12]
PIN wr_data[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 95.770 0.000 96.050 4.000 ;
END
END wr_data[13]
PIN wr_data[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 122.440 4.000 123.040 ;
END
END wr_data[14]
PIN wr_data[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 27.240 180.000 27.840 ;
END
END wr_data[15]
PIN wr_data[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 145.450 0.000 145.730 4.000 ;
END
END wr_data[16]
PIN wr_data[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 124.290 176.000 124.570 180.000 ;
END
END wr_data[17]
PIN wr_data[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 127.880 4.000 128.480 ;
END
END wr_data[18]
PIN wr_data[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 85.650 0.000 85.930 4.000 ;
END
END wr_data[19]
PIN wr_data[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 122.450 0.000 122.730 4.000 ;
END
END wr_data[1]
PIN wr_data[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 9.560 180.000 10.160 ;
END
END wr_data[20]
PIN wr_data[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 9.560 4.000 10.160 ;
END
END wr_data[21]
PIN wr_data[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 82.890 0.000 83.170 4.000 ;
END
END wr_data[22]
PIN wr_data[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 15.730 0.000 16.010 4.000 ;
END
END wr_data[23]
PIN wr_data[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 78.290 176.000 78.570 180.000 ;
END
END wr_data[24]
PIN wr_data[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 88.440 180.000 89.040 ;
END
END wr_data[25]
PIN wr_data[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 172.760 180.000 173.360 ;
END
END wr_data[26]
PIN wr_data[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 24.520 4.000 25.120 ;
END
END wr_data[27]
PIN wr_data[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 147.290 176.000 147.570 180.000 ;
END
END wr_data[28]
PIN wr_data[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 51.720 180.000 52.320 ;
END
END wr_data[29]
PIN wr_data[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 118.770 0.000 119.050 4.000 ;
END
END wr_data[2]
PIN wr_data[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 144.530 176.000 144.810 180.000 ;
END
END wr_data[30]
PIN wr_data[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 114.170 0.000 114.450 4.000 ;
END
END wr_data[31]
PIN wr_data[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 54.440 4.000 55.040 ;
END
END wr_data[32]
PIN wr_data[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.530 0.000 6.810 4.000 ;
END
END wr_data[33]
PIN wr_data[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 150.970 0.000 151.250 4.000 ;
END
END wr_data[34]
PIN wr_data[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 32.680 4.000 33.280 ;
END
END wr_data[35]
PIN wr_data[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 75.530 176.000 75.810 180.000 ;
END
END wr_data[36]
PIN wr_data[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 151.000 180.000 151.600 ;
END
END wr_data[37]
PIN wr_data[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 170.040 4.000 170.640 ;
END
END wr_data[38]
PIN wr_data[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 3.770 176.000 4.050 180.000 ;
END
END wr_data[39]
PIN wr_data[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 0.090 176.000 0.370 180.000 ;
END
END wr_data[3]
PIN wr_data[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 5.610 0.000 5.890 4.000 ;
END
END wr_data[40]
PIN wr_data[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 27.240 4.000 27.840 ;
END
END wr_data[41]
PIN wr_data[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 73.480 180.000 74.080 ;
END
END wr_data[42]
PIN wr_data[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 86.570 0.000 86.850 4.000 ;
END
END wr_data[43]
PIN wr_data[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 131.650 176.000 131.930 180.000 ;
END
END wr_data[44]
PIN wr_data[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 168.450 176.000 168.730 180.000 ;
END
END wr_data[45]
PIN wr_data[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 35.050 176.000 35.330 180.000 ;
END
END wr_data[46]
PIN wr_data[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 22.170 0.000 22.450 4.000 ;
END
END wr_data[47]
PIN wr_data[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 103.400 4.000 104.000 ;
END
END wr_data[48]
PIN wr_data[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 173.050 176.000 173.330 180.000 ;
END
END wr_data[49]
PIN wr_data[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 130.600 4.000 131.200 ;
END
END wr_data[4]
PIN wr_data[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 131.960 180.000 132.560 ;
END
END wr_data[50]
PIN wr_data[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 31.320 180.000 31.920 ;
END
END wr_data[51]
PIN wr_data[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 104.050 0.000 104.330 4.000 ;
END
END wr_data[52]
PIN wr_data[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 154.650 176.000 154.930 180.000 ;
END
END wr_data[53]
PIN wr_data[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 64.490 176.000 64.770 180.000 ;
END
END wr_data[54]
PIN wr_data[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 47.010 0.000 47.290 4.000 ;
END
END wr_data[55]
PIN wr_data[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 92.520 180.000 93.120 ;
END
END wr_data[56]
PIN wr_data[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 124.290 0.000 124.570 4.000 ;
END
END wr_data[57]
PIN wr_data[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 21.800 180.000 22.400 ;
END
END wr_data[58]
PIN wr_data[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 115.640 4.000 116.240 ;
END
END wr_data[59]
PIN wr_data[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 156.490 0.000 156.770 4.000 ;
END
END wr_data[5]
PIN wr_data[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 24.010 0.000 24.290 4.000 ;
END
END wr_data[60]
PIN wr_data[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 75.530 0.000 75.810 4.000 ;
END
END wr_data[61]
PIN wr_data[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 54.440 180.000 55.040 ;
END
END wr_data[62]
PIN wr_data[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 148.210 176.000 148.490 180.000 ;
END
END wr_data[63]
PIN wr_data[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 155.080 180.000 155.680 ;
END
END wr_data[64]
PIN wr_data[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 158.330 0.000 158.610 4.000 ;
END
END wr_data[65]
PIN wr_data[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 43.560 4.000 44.160 ;
END
END wr_data[66]
PIN wr_data[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 84.360 180.000 84.960 ;
END
END wr_data[67]
PIN wr_data[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.850 0.000 3.130 4.000 ;
END
END wr_data[68]
PIN wr_data[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 161.880 180.000 162.480 ;
END
END wr_data[69]
PIN wr_data[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 142.690 176.000 142.970 180.000 ;
END
END wr_data[6]
PIN wr_data[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 168.450 0.000 168.730 4.000 ;
END
END wr_data[70]
PIN wr_data[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 137.170 176.000 137.450 180.000 ;
END
END wr_data[71]
PIN wr_data[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 61.240 4.000 61.840 ;
END
END wr_data[72]
PIN wr_data[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 69.400 4.000 70.000 ;
END
END wr_data[73]
PIN wr_data[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 101.290 0.000 101.570 4.000 ;
END
END wr_data[74]
PIN wr_data[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 0.090 0.000 0.370 4.000 ;
END
END wr_data[75]
PIN wr_data[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 119.690 0.000 119.970 4.000 ;
END
END wr_data[76]
PIN wr_data[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 104.760 4.000 105.360 ;
END
END wr_data[77]
PIN wr_data[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 8.370 176.000 8.650 180.000 ;
END
END wr_data[78]
PIN wr_data[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 70.010 176.000 70.290 180.000 ;
END
END wr_data[79]
PIN wr_data[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 73.480 4.000 74.080 ;
END
END wr_data[7]
PIN wr_data[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 31.370 0.000 31.650 4.000 ;
END
END wr_data[80]
PIN wr_data[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 11.130 0.000 11.410 4.000 ;
END
END wr_data[81]
PIN wr_data[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 106.810 176.000 107.090 180.000 ;
END
END wr_data[82]
PIN wr_data[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 72.770 176.000 73.050 180.000 ;
END
END wr_data[83]
PIN wr_data[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 81.050 0.000 81.330 4.000 ;
END
END wr_data[84]
PIN wr_data[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 132.570 176.000 132.850 180.000 ;
END
END wr_data[85]
PIN wr_data[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 17.720 180.000 18.320 ;
END
END wr_data[86]
PIN wr_data[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 145.560 4.000 146.160 ;
END
END wr_data[87]
PIN wr_data[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 127.880 180.000 128.480 ;
END
END wr_data[88]
PIN wr_data[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 32.290 0.000 32.570 4.000 ;
END
END wr_data[89]
PIN wr_data[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 58.050 0.000 58.330 4.000 ;
END
END wr_data[8]
PIN wr_data[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 17.720 4.000 18.320 ;
END
END wr_data[90]
PIN wr_data[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 122.440 180.000 123.040 ;
END
END wr_data[91]
PIN wr_data[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 91.170 0.000 91.450 4.000 ;
END
END wr_data[92]
PIN wr_data[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 128.890 176.000 129.170 180.000 ;
END
END wr_data[93]
PIN wr_data[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 125.210 176.000 125.490 180.000 ;
END
END wr_data[94]
PIN wr_data[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.650 176.000 16.930 180.000 ;
END
END wr_data[95]
PIN wr_data[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 45.170 176.000 45.450 180.000 ;
END
END wr_data[96]
PIN wr_data[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 108.650 0.000 108.930 4.000 ;
END
END wr_data[97]
PIN wr_data[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 47.640 4.000 48.240 ;
END
END wr_data[98]
PIN wr_data[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.850 176.000 3.130 180.000 ;
END
END wr_data[99]
PIN wr_data[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 176.000 66.680 180.000 67.280 ;
END
END wr_data[9]
OBS
LAYER li1 ;
RECT 5.520 10.795 178.795 170.255 ;
LAYER met1 ;
RECT 0.070 6.500 179.790 175.060 ;
LAYER met2 ;
RECT 0.650 175.720 0.730 176.530 ;
RECT 1.570 175.720 2.570 176.530 ;
RECT 3.410 175.720 3.490 176.530 ;
RECT 4.330 175.720 5.330 176.530 ;
RECT 6.170 175.720 6.250 176.530 ;
RECT 7.090 175.720 8.090 176.530 ;
RECT 8.930 175.720 9.930 176.530 ;
RECT 10.770 175.720 10.850 176.530 ;
RECT 11.690 175.720 12.690 176.530 ;
RECT 13.530 175.720 13.610 176.530 ;
RECT 14.450 175.720 15.450 176.530 ;
RECT 16.290 175.720 16.370 176.530 ;
RECT 17.210 175.720 18.210 176.530 ;
RECT 19.050 175.720 19.130 176.530 ;
RECT 19.970 175.720 20.970 176.530 ;
RECT 21.810 175.720 22.810 176.530 ;
RECT 23.650 175.720 23.730 176.530 ;
RECT 24.570 175.720 25.570 176.530 ;
RECT 26.410 175.720 26.490 176.530 ;
RECT 27.330 175.720 28.330 176.530 ;
RECT 29.170 175.720 29.250 176.530 ;
RECT 30.090 175.720 31.090 176.530 ;
RECT 31.930 175.720 32.010 176.530 ;
RECT 32.850 175.720 33.850 176.530 ;
RECT 34.690 175.720 34.770 176.530 ;
RECT 35.610 175.720 36.610 176.530 ;
RECT 37.450 175.720 38.450 176.530 ;
RECT 39.290 175.720 39.370 176.530 ;
RECT 40.210 175.720 41.210 176.530 ;
RECT 42.050 175.720 42.130 176.530 ;
RECT 42.970 175.720 43.970 176.530 ;
RECT 44.810 175.720 44.890 176.530 ;
RECT 45.730 175.720 46.730 176.530 ;
RECT 47.570 175.720 47.650 176.530 ;
RECT 48.490 175.720 49.490 176.530 ;
RECT 50.330 175.720 51.330 176.530 ;
RECT 52.170 175.720 52.250 176.530 ;
RECT 53.090 175.720 54.090 176.530 ;
RECT 54.930 175.720 55.010 176.530 ;
RECT 55.850 175.720 56.850 176.530 ;
RECT 57.690 175.720 57.770 176.530 ;
RECT 58.610 175.720 59.610 176.530 ;
RECT 60.450 175.720 60.530 176.530 ;
RECT 61.370 175.720 62.370 176.530 ;
RECT 63.210 175.720 64.210 176.530 ;
RECT 65.050 175.720 65.130 176.530 ;
RECT 65.970 175.720 66.970 176.530 ;
RECT 67.810 175.720 67.890 176.530 ;
RECT 68.730 175.720 69.730 176.530 ;
RECT 70.570 175.720 70.650 176.530 ;
RECT 71.490 175.720 72.490 176.530 ;
RECT 73.330 175.720 73.410 176.530 ;
RECT 74.250 175.720 75.250 176.530 ;
RECT 76.090 175.720 77.090 176.530 ;
RECT 77.930 175.720 78.010 176.530 ;
RECT 78.850 175.720 79.850 176.530 ;
RECT 80.690 175.720 80.770 176.530 ;
RECT 81.610 175.720 82.610 176.530 ;
RECT 83.450 175.720 83.530 176.530 ;
RECT 84.370 175.720 85.370 176.530 ;
RECT 86.210 175.720 86.290 176.530 ;
RECT 87.130 175.720 88.130 176.530 ;
RECT 88.970 175.720 89.970 176.530 ;
RECT 90.810 175.720 90.890 176.530 ;
RECT 91.730 175.720 92.730 176.530 ;
RECT 93.570 175.720 93.650 176.530 ;
RECT 94.490 175.720 95.490 176.530 ;
RECT 96.330 175.720 96.410 176.530 ;
RECT 97.250 175.720 98.250 176.530 ;
RECT 99.090 175.720 99.170 176.530 ;
RECT 100.010 175.720 101.010 176.530 ;
RECT 101.850 175.720 102.850 176.530 ;
RECT 103.690 175.720 103.770 176.530 ;
RECT 104.610 175.720 105.610 176.530 ;
RECT 106.450 175.720 106.530 176.530 ;
RECT 107.370 175.720 108.370 176.530 ;
RECT 109.210 175.720 109.290 176.530 ;
RECT 110.130 175.720 111.130 176.530 ;
RECT 111.970 175.720 112.050 176.530 ;
RECT 112.890 175.720 113.890 176.530 ;
RECT 114.730 175.720 115.730 176.530 ;
RECT 116.570 175.720 116.650 176.530 ;
RECT 117.490 175.720 118.490 176.530 ;
RECT 119.330 175.720 119.410 176.530 ;
RECT 120.250 175.720 121.250 176.530 ;
RECT 122.090 175.720 122.170 176.530 ;
RECT 123.010 175.720 124.010 176.530 ;
RECT 124.850 175.720 124.930 176.530 ;
RECT 125.770 175.720 126.770 176.530 ;
RECT 127.610 175.720 128.610 176.530 ;
RECT 129.450 175.720 129.530 176.530 ;
RECT 130.370 175.720 131.370 176.530 ;
RECT 132.210 175.720 132.290 176.530 ;
RECT 133.130 175.720 134.130 176.530 ;
RECT 134.970 175.720 135.050 176.530 ;
RECT 135.890 175.720 136.890 176.530 ;
RECT 137.730 175.720 137.810 176.530 ;
RECT 138.650 175.720 139.650 176.530 ;
RECT 140.490 175.720 141.490 176.530 ;
RECT 142.330 175.720 142.410 176.530 ;
RECT 143.250 175.720 144.250 176.530 ;
RECT 145.090 175.720 145.170 176.530 ;
RECT 146.010 175.720 147.010 176.530 ;
RECT 147.850 175.720 147.930 176.530 ;
RECT 148.770 175.720 149.770 176.530 ;
RECT 150.610 175.720 150.690 176.530 ;
RECT 151.530 175.720 152.530 176.530 ;
RECT 153.370 175.720 154.370 176.530 ;
RECT 155.210 175.720 155.290 176.530 ;
RECT 156.130 175.720 157.130 176.530 ;
RECT 157.970 175.720 158.050 176.530 ;
RECT 158.890 175.720 159.890 176.530 ;
RECT 160.730 175.720 160.810 176.530 ;
RECT 161.650 175.720 162.650 176.530 ;
RECT 163.490 175.720 163.570 176.530 ;
RECT 164.410 175.720 165.410 176.530 ;
RECT 166.250 175.720 167.250 176.530 ;
RECT 168.090 175.720 168.170 176.530 ;
RECT 169.010 175.720 170.010 176.530 ;
RECT 170.850 175.720 170.930 176.530 ;
RECT 171.770 175.720 172.770 176.530 ;
RECT 173.610 175.720 173.690 176.530 ;
RECT 174.530 175.720 175.530 176.530 ;
RECT 176.370 175.720 176.450 176.530 ;
RECT 177.290 175.720 178.290 176.530 ;
RECT 179.130 175.720 179.210 176.530 ;
RECT 0.100 4.280 179.760 175.720 ;
RECT 0.650 1.515 0.730 4.280 ;
RECT 1.570 1.515 2.570 4.280 ;
RECT 3.410 1.515 3.490 4.280 ;
RECT 4.330 1.515 5.330 4.280 ;
RECT 6.170 1.515 6.250 4.280 ;
RECT 7.090 1.515 8.090 4.280 ;
RECT 8.930 1.515 9.010 4.280 ;
RECT 9.850 1.515 10.850 4.280 ;
RECT 11.690 1.515 11.770 4.280 ;
RECT 12.610 1.515 13.610 4.280 ;
RECT 14.450 1.515 15.450 4.280 ;
RECT 16.290 1.515 16.370 4.280 ;
RECT 17.210 1.515 18.210 4.280 ;
RECT 19.050 1.515 19.130 4.280 ;
RECT 19.970 1.515 20.970 4.280 ;
RECT 21.810 1.515 21.890 4.280 ;
RECT 22.730 1.515 23.730 4.280 ;
RECT 24.570 1.515 24.650 4.280 ;
RECT 25.490 1.515 26.490 4.280 ;
RECT 27.330 1.515 28.330 4.280 ;
RECT 29.170 1.515 29.250 4.280 ;
RECT 30.090 1.515 31.090 4.280 ;
RECT 31.930 1.515 32.010 4.280 ;
RECT 32.850 1.515 33.850 4.280 ;
RECT 34.690 1.515 34.770 4.280 ;
RECT 35.610 1.515 36.610 4.280 ;
RECT 37.450 1.515 37.530 4.280 ;
RECT 38.370 1.515 39.370 4.280 ;
RECT 40.210 1.515 41.210 4.280 ;
RECT 42.050 1.515 42.130 4.280 ;
RECT 42.970 1.515 43.970 4.280 ;
RECT 44.810 1.515 44.890 4.280 ;
RECT 45.730 1.515 46.730 4.280 ;
RECT 47.570 1.515 47.650 4.280 ;
RECT 48.490 1.515 49.490 4.280 ;
RECT 50.330 1.515 50.410 4.280 ;
RECT 51.250 1.515 52.250 4.280 ;
RECT 53.090 1.515 54.090 4.280 ;
RECT 54.930 1.515 55.010 4.280 ;
RECT 55.850 1.515 56.850 4.280 ;
RECT 57.690 1.515 57.770 4.280 ;
RECT 58.610 1.515 59.610 4.280 ;
RECT 60.450 1.515 60.530 4.280 ;
RECT 61.370 1.515 62.370 4.280 ;
RECT 63.210 1.515 63.290 4.280 ;
RECT 64.130 1.515 65.130 4.280 ;
RECT 65.970 1.515 66.970 4.280 ;
RECT 67.810 1.515 67.890 4.280 ;
RECT 68.730 1.515 69.730 4.280 ;
RECT 70.570 1.515 70.650 4.280 ;
RECT 71.490 1.515 72.490 4.280 ;
RECT 73.330 1.515 73.410 4.280 ;
RECT 74.250 1.515 75.250 4.280 ;
RECT 76.090 1.515 76.170 4.280 ;
RECT 77.010 1.515 78.010 4.280 ;
RECT 78.850 1.515 79.850 4.280 ;
RECT 80.690 1.515 80.770 4.280 ;
RECT 81.610 1.515 82.610 4.280 ;
RECT 83.450 1.515 83.530 4.280 ;
RECT 84.370 1.515 85.370 4.280 ;
RECT 86.210 1.515 86.290 4.280 ;
RECT 87.130 1.515 88.130 4.280 ;
RECT 88.970 1.515 89.050 4.280 ;
RECT 89.890 1.515 90.890 4.280 ;
RECT 91.730 1.515 92.730 4.280 ;
RECT 93.570 1.515 93.650 4.280 ;
RECT 94.490 1.515 95.490 4.280 ;
RECT 96.330 1.515 96.410 4.280 ;
RECT 97.250 1.515 98.250 4.280 ;
RECT 99.090 1.515 99.170 4.280 ;
RECT 100.010 1.515 101.010 4.280 ;
RECT 101.850 1.515 101.930 4.280 ;
RECT 102.770 1.515 103.770 4.280 ;
RECT 104.610 1.515 105.610 4.280 ;
RECT 106.450 1.515 106.530 4.280 ;
RECT 107.370 1.515 108.370 4.280 ;
RECT 109.210 1.515 109.290 4.280 ;
RECT 110.130 1.515 111.130 4.280 ;
RECT 111.970 1.515 112.050 4.280 ;
RECT 112.890 1.515 113.890 4.280 ;
RECT 114.730 1.515 114.810 4.280 ;
RECT 115.650 1.515 116.650 4.280 ;
RECT 117.490 1.515 118.490 4.280 ;
RECT 119.330 1.515 119.410 4.280 ;
RECT 120.250 1.515 121.250 4.280 ;
RECT 122.090 1.515 122.170 4.280 ;
RECT 123.010 1.515 124.010 4.280 ;
RECT 124.850 1.515 124.930 4.280 ;
RECT 125.770 1.515 126.770 4.280 ;
RECT 127.610 1.515 127.690 4.280 ;
RECT 128.530 1.515 129.530 4.280 ;
RECT 130.370 1.515 131.370 4.280 ;
RECT 132.210 1.515 132.290 4.280 ;
RECT 133.130 1.515 134.130 4.280 ;
RECT 134.970 1.515 135.050 4.280 ;
RECT 135.890 1.515 136.890 4.280 ;
RECT 137.730 1.515 137.810 4.280 ;
RECT 138.650 1.515 139.650 4.280 ;
RECT 140.490 1.515 140.570 4.280 ;
RECT 141.410 1.515 142.410 4.280 ;
RECT 143.250 1.515 144.250 4.280 ;
RECT 145.090 1.515 145.170 4.280 ;
RECT 146.010 1.515 147.010 4.280 ;
RECT 147.850 1.515 147.930 4.280 ;
RECT 148.770 1.515 149.770 4.280 ;
RECT 150.610 1.515 150.690 4.280 ;
RECT 151.530 1.515 152.530 4.280 ;
RECT 153.370 1.515 153.450 4.280 ;
RECT 154.290 1.515 155.290 4.280 ;
RECT 156.130 1.515 156.210 4.280 ;
RECT 157.050 1.515 158.050 4.280 ;
RECT 158.890 1.515 159.890 4.280 ;
RECT 160.730 1.515 160.810 4.280 ;
RECT 161.650 1.515 162.650 4.280 ;
RECT 163.490 1.515 163.570 4.280 ;
RECT 164.410 1.515 165.410 4.280 ;
RECT 166.250 1.515 166.330 4.280 ;
RECT 167.170 1.515 168.170 4.280 ;
RECT 169.010 1.515 169.090 4.280 ;
RECT 169.930 1.515 170.930 4.280 ;
RECT 171.770 1.515 172.770 4.280 ;
RECT 173.610 1.515 173.690 4.280 ;
RECT 174.530 1.515 175.530 4.280 ;
RECT 176.370 1.515 176.450 4.280 ;
RECT 177.290 1.515 178.290 4.280 ;
RECT 179.130 1.515 179.210 4.280 ;
LAYER met3 ;
RECT 4.400 176.440 175.600 177.290 ;
RECT 4.400 175.120 176.115 176.440 ;
RECT 4.400 175.080 175.600 175.120 ;
RECT 3.745 173.760 175.600 175.080 ;
RECT 4.400 172.360 175.600 173.760 ;
RECT 3.745 171.040 176.115 172.360 ;
RECT 4.400 168.280 175.600 171.040 ;
RECT 3.745 166.960 176.115 168.280 ;
RECT 4.400 164.200 175.600 166.960 ;
RECT 3.745 162.880 176.115 164.200 ;
RECT 4.400 160.120 175.600 162.880 ;
RECT 3.745 158.800 176.115 160.120 ;
RECT 4.400 157.400 175.600 158.800 ;
RECT 4.400 156.080 176.115 157.400 ;
RECT 4.400 156.040 175.600 156.080 ;
RECT 3.745 154.720 175.600 156.040 ;
RECT 4.400 153.320 175.600 154.720 ;
RECT 3.745 152.000 176.115 153.320 ;
RECT 4.400 149.240 175.600 152.000 ;
RECT 3.745 147.920 176.115 149.240 ;
RECT 4.400 145.160 175.600 147.920 ;
RECT 3.745 143.840 176.115 145.160 ;
RECT 4.400 141.080 175.600 143.840 ;
RECT 3.745 139.760 176.115 141.080 ;
RECT 4.400 138.360 175.600 139.760 ;
RECT 4.400 137.040 176.115 138.360 ;
RECT 4.400 137.000 175.600 137.040 ;
RECT 3.745 135.680 175.600 137.000 ;
RECT 4.400 134.280 175.600 135.680 ;
RECT 3.745 132.960 176.115 134.280 ;
RECT 4.400 130.200 175.600 132.960 ;
RECT 3.745 128.880 176.115 130.200 ;
RECT 4.400 126.120 175.600 128.880 ;
RECT 3.745 124.800 176.115 126.120 ;
RECT 4.400 122.040 175.600 124.800 ;
RECT 3.745 120.720 176.115 122.040 ;
RECT 4.400 119.320 175.600 120.720 ;
RECT 4.400 118.000 176.115 119.320 ;
RECT 4.400 117.960 175.600 118.000 ;
RECT 3.745 116.640 175.600 117.960 ;
RECT 4.400 115.240 175.600 116.640 ;
RECT 3.745 113.920 176.115 115.240 ;
RECT 4.400 111.160 175.600 113.920 ;
RECT 3.745 109.840 176.115 111.160 ;
RECT 4.400 107.080 175.600 109.840 ;
RECT 3.745 105.760 176.115 107.080 ;
RECT 4.400 103.000 175.600 105.760 ;
RECT 3.745 101.680 176.115 103.000 ;
RECT 4.400 100.280 175.600 101.680 ;
RECT 4.400 98.960 176.115 100.280 ;
RECT 4.400 98.920 175.600 98.960 ;
RECT 3.745 97.600 175.600 98.920 ;
RECT 4.400 96.200 175.600 97.600 ;
RECT 3.745 94.880 176.115 96.200 ;
RECT 4.400 92.120 175.600 94.880 ;
RECT 3.745 90.800 176.115 92.120 ;
RECT 4.400 88.040 175.600 90.800 ;
RECT 3.745 86.720 176.115 88.040 ;
RECT 4.400 83.960 175.600 86.720 ;
RECT 3.745 82.640 176.115 83.960 ;
RECT 4.400 81.240 175.600 82.640 ;
RECT 4.400 79.920 176.115 81.240 ;
RECT 4.400 79.880 175.600 79.920 ;
RECT 3.745 78.560 175.600 79.880 ;
RECT 4.400 77.160 175.600 78.560 ;
RECT 3.745 75.840 176.115 77.160 ;
RECT 4.400 73.080 175.600 75.840 ;
RECT 3.745 71.760 176.115 73.080 ;
RECT 4.400 69.000 175.600 71.760 ;
RECT 3.745 67.680 176.115 69.000 ;
RECT 4.400 64.920 175.600 67.680 ;
RECT 3.745 63.600 176.115 64.920 ;
RECT 4.400 62.200 175.600 63.600 ;
RECT 4.400 60.880 176.115 62.200 ;
RECT 4.400 60.840 175.600 60.880 ;
RECT 3.745 59.520 175.600 60.840 ;
RECT 4.400 58.120 175.600 59.520 ;
RECT 3.745 56.800 176.115 58.120 ;
RECT 4.400 54.040 175.600 56.800 ;
RECT 3.745 52.720 176.115 54.040 ;
RECT 4.400 49.960 175.600 52.720 ;
RECT 3.745 48.640 176.115 49.960 ;
RECT 4.400 45.880 175.600 48.640 ;
RECT 3.745 44.560 176.115 45.880 ;
RECT 4.400 43.160 175.600 44.560 ;
RECT 4.400 41.840 176.115 43.160 ;
RECT 4.400 41.800 175.600 41.840 ;
RECT 3.745 40.480 175.600 41.800 ;
RECT 4.400 39.080 175.600 40.480 ;
RECT 3.745 37.760 176.115 39.080 ;
RECT 4.400 35.000 175.600 37.760 ;
RECT 3.745 33.680 176.115 35.000 ;
RECT 4.400 30.920 175.600 33.680 ;
RECT 3.745 29.600 176.115 30.920 ;
RECT 4.400 26.840 175.600 29.600 ;
RECT 3.745 25.520 176.115 26.840 ;
RECT 4.400 24.120 175.600 25.520 ;
RECT 4.400 22.800 176.115 24.120 ;
RECT 4.400 22.760 175.600 22.800 ;
RECT 3.745 21.440 175.600 22.760 ;
RECT 4.400 20.040 175.600 21.440 ;
RECT 3.745 18.720 176.115 20.040 ;
RECT 4.400 15.960 175.600 18.720 ;
RECT 3.745 14.640 176.115 15.960 ;
RECT 4.400 11.880 175.600 14.640 ;
RECT 3.745 10.560 176.115 11.880 ;
RECT 4.400 7.800 175.600 10.560 ;
RECT 3.745 6.480 176.115 7.800 ;
RECT 4.400 5.080 175.600 6.480 ;
RECT 4.400 3.760 176.115 5.080 ;
RECT 4.400 3.720 175.600 3.760 ;
RECT 3.745 2.400 175.600 3.720 ;
RECT 4.400 1.535 175.600 2.400 ;
LAYER met4 ;
RECT 14.095 10.240 32.475 168.880 ;
RECT 34.875 10.240 60.635 168.880 ;
RECT 63.035 10.240 88.795 168.880 ;
RECT 91.195 10.240 116.955 168.880 ;
RECT 119.355 10.240 145.115 168.880 ;
RECT 147.515 10.240 164.385 168.880 ;
RECT 14.095 4.255 164.385 10.240 ;
END
END sram_wrapper
END LIBRARY