blob: ed69fe5ae33a533ea5e39fbff5b0489ae8f227b4 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 2 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 3 ;
- chip_controller chip_controller + FIXED ( 200000 2300000 ) N ;
- core0 core + FIXED ( 1300000 200000 ) N ;
- custom_sram custom_sram + FIXED ( 1500000 1800000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 1550160 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 1550160 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 1550160 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 1550160 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 1550160 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 -49840 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 950160 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 950160 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 950160 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 530160 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 530160 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 530160 )
+ LAYER met4 ( -181550 -49840 ) ( -178450 30160 )
+ LAYER met4 ( -361550 -49840 ) ( -358450 30160 )
+ LAYER met4 ( -541550 -49840 ) ( -538450 30160 )
+ LAYER met4 ( -721550 -49840 ) ( -718450 30160 )
+ LAYER met4 ( -901550 -49840 ) ( -898450 30160 )
+ LAYER met4 ( -1081550 -49840 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -49840 ) ( -1258450 30160 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 -1569840 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 -1569840 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 -1569840 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 -1569840 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 -1569840 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 -1569840 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 -1569840 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 -1569840 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -1261550 -40210 ) ( -178450 -37110 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 -49840 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 1550160 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 1550160 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 1550160 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 1550160 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 -49840 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 -49840 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 950160 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 950160 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 950160 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 530160 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 530160 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 530160 )
+ LAYER met4 ( -361550 -49840 ) ( -358450 30160 )
+ LAYER met4 ( -541550 -49840 ) ( -538450 30160 )
+ LAYER met4 ( -721550 -49840 ) ( -718450 30160 )
+ LAYER met4 ( -901550 -49840 ) ( -898450 30160 )
+ LAYER met4 ( -1081550 -49840 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -49840 ) ( -1258450 30160 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 -1569840 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 -1569840 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 -1569840 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 -1569840 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 -1569840 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 -1569840 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 -1569840 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 -1569840 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 -1569840 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -1261550 -21610 ) ( -358450 -18510 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -919175 ) ( 1550 919175 )
+ LAYER met4 ( -181550 680825 ) ( -178450 919175 )
+ LAYER met4 ( -361550 680825 ) ( -358450 919175 )
+ LAYER met4 ( -541550 680825 ) ( -538450 919175 )
+ LAYER met4 ( -721550 680825 ) ( -718450 919175 )
+ LAYER met4 ( -901550 680825 ) ( -898450 919175 )
+ LAYER met4 ( -1081550 680825 ) ( -1078450 919175 )
+ LAYER met4 ( -1261550 -919175 ) ( -1258450 919175 )
+ LAYER met4 ( -1441550 -919175 ) ( -1438450 919175 )
+ LAYER met4 ( -1621550 -2657845 ) ( -1618450 919175 )
+ LAYER met4 ( -1801550 -2657845 ) ( -1798450 919175 )
+ LAYER met4 ( -1981550 -2657845 ) ( -1978450 919175 )
+ LAYER met4 ( -2161550 80825 ) ( -2158450 919175 )
+ LAYER met4 ( -2341550 80825 ) ( -2338450 919175 )
+ LAYER met4 ( -2521550 80825 ) ( -2518450 919175 )
+ LAYER met4 ( -2701550 -2657845 ) ( -2698450 919175 )
+ LAYER met4 ( 198030 -2653045 ) ( 201130 914375 )
+ LAYER met4 ( -2776950 -2653045 ) ( -2773850 914375 )
+ LAYER met4 ( -2161550 -2657845 ) ( -2158450 -339175 )
+ LAYER met4 ( -2341550 -2657845 ) ( -2338450 -339175 )
+ LAYER met4 ( -2521550 -2657845 ) ( -2518450 -339175 )
+ LAYER met4 ( -181550 -919175 ) ( -178450 -839175 )
+ LAYER met4 ( -361550 -919175 ) ( -358450 -839175 )
+ LAYER met4 ( -541550 -919175 ) ( -538450 -839175 )
+ LAYER met4 ( -721550 -919175 ) ( -718450 -839175 )
+ LAYER met4 ( -901550 -919175 ) ( -898450 -839175 )
+ LAYER met4 ( -1081550 -919175 ) ( -1078450 -839175 )
+ LAYER met4 ( -1550 -2657845 ) ( 1550 -2439175 )
+ LAYER met4 ( -181550 -2657845 ) ( -178450 -2439175 )
+ LAYER met4 ( -361550 -2657845 ) ( -358450 -2439175 )
+ LAYER met4 ( -541550 -2657845 ) ( -538450 -2439175 )
+ LAYER met4 ( -721550 -2657845 ) ( -718450 -2439175 )
+ LAYER met4 ( -901550 -2657845 ) ( -898450 -2439175 )
+ LAYER met4 ( -1081550 -2657845 ) ( -1078450 -2439175 )
+ LAYER met4 ( -1261550 -2657845 ) ( -1258450 -2439175 )
+ LAYER met4 ( -1441550 -2657845 ) ( -1438450 -2439175 )
+ LAYER met5 ( -2776950 911275 ) ( 201130 914375 )
+ LAYER met5 ( -2781750 842355 ) ( 205930 845455 )
+ LAYER met5 ( -2781750 662355 ) ( 205930 665455 )
+ LAYER met5 ( -2781750 482355 ) ( 205930 485455 )
+ LAYER met5 ( -2781750 302355 ) ( 205930 305455 )
+ LAYER met5 ( -2781750 122355 ) ( 205930 125455 )
+ LAYER met5 ( -2781750 -57645 ) ( 205930 -54545 )
+ LAYER met5 ( -2781750 -237645 ) ( 205930 -234545 )
+ LAYER met5 ( -2781750 -417645 ) ( 205930 -414545 )
+ LAYER met5 ( -2781750 -597645 ) ( 205930 -594545 )
+ LAYER met5 ( -2781750 -777645 ) ( 205930 -774545 )
+ LAYER met5 ( -1081550 -872345 ) ( -178450 -869245 )
+ LAYER met5 ( -2781750 -957645 ) ( 205930 -954545 )
+ LAYER met5 ( -2781750 -1137645 ) ( 205930 -1134545 )
+ LAYER met5 ( -2781750 -1317645 ) ( 205930 -1314545 )
+ LAYER met5 ( -2781750 -1497645 ) ( 205930 -1494545 )
+ LAYER met5 ( -2781750 -1677645 ) ( 205930 -1674545 )
+ LAYER met5 ( -2781750 -1857645 ) ( 205930 -1854545 )
+ LAYER met5 ( -2781750 -2037645 ) ( 205930 -2034545 )
+ LAYER met5 ( -2781750 -2217645 ) ( 205930 -2214545 )
+ LAYER met5 ( -2781750 -2397645 ) ( 205930 -2394545 )
+ LAYER met5 ( -2781750 -2577645 ) ( 205930 -2574545 )
+ LAYER met5 ( -2776950 -2653045 ) ( 201130 -2649945 )
+ FIXED ( 2747720 2629175 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -923975 ) ( 1550 923975 )
+ LAYER met4 ( -181550 676025 ) ( -178450 923975 )
+ LAYER met4 ( -361550 676025 ) ( -358450 923975 )
+ LAYER met4 ( -541550 676025 ) ( -538450 923975 )
+ LAYER met4 ( -721550 676025 ) ( -718450 923975 )
+ LAYER met4 ( -901550 676025 ) ( -898450 923975 )
+ LAYER met4 ( -1081550 676025 ) ( -1078450 923975 )
+ LAYER met4 ( -1261550 676025 ) ( -1258450 923975 )
+ LAYER met4 ( -1441550 -923975 ) ( -1438450 923975 )
+ LAYER met4 ( -1621550 -2672245 ) ( -1618450 923975 )
+ LAYER met4 ( -1801550 -2672245 ) ( -1798450 923975 )
+ LAYER met4 ( -1981550 -2672245 ) ( -1978450 923975 )
+ LAYER met4 ( -2161550 76025 ) ( -2158450 923975 )
+ LAYER met4 ( -2341550 76025 ) ( -2338450 923975 )
+ LAYER met4 ( -2521550 76025 ) ( -2518450 923975 )
+ LAYER met4 ( -2701550 -2672245 ) ( -2698450 923975 )
+ LAYER met4 ( 189030 -2667445 ) ( 192130 919175 )
+ LAYER met4 ( -2805150 -2667445 ) ( -2802050 919175 )
+ LAYER met4 ( -2161550 -2672245 ) ( -2158450 -343975 )
+ LAYER met4 ( -2341550 -2672245 ) ( -2338450 -343975 )
+ LAYER met4 ( -2521550 -2672245 ) ( -2518450 -343975 )
+ LAYER met4 ( -181550 -923975 ) ( -178450 -843975 )
+ LAYER met4 ( -361550 -923975 ) ( -358450 -843975 )
+ LAYER met4 ( -541550 -923975 ) ( -538450 -843975 )
+ LAYER met4 ( -721550 -923975 ) ( -718450 -843975 )
+ LAYER met4 ( -901550 -923975 ) ( -898450 -843975 )
+ LAYER met4 ( -1081550 -923975 ) ( -1078450 -843975 )
+ LAYER met4 ( -1261550 -923975 ) ( -1258450 -843975 )
+ LAYER met4 ( -1550 -2672245 ) ( 1550 -2443975 )
+ LAYER met4 ( -181550 -2672245 ) ( -178450 -2443975 )
+ LAYER met4 ( -361550 -2672245 ) ( -358450 -2443975 )
+ LAYER met4 ( -541550 -2672245 ) ( -538450 -2443975 )
+ LAYER met4 ( -721550 -2672245 ) ( -718450 -2443975 )
+ LAYER met4 ( -901550 -2672245 ) ( -898450 -2443975 )
+ LAYER met4 ( -1081550 -2672245 ) ( -1078450 -2443975 )
+ LAYER met4 ( -1261550 -2672245 ) ( -1258450 -2443975 )
+ LAYER met4 ( -1441550 -2672245 ) ( -1438450 -2443975 )
+ LAYER met5 ( -2805150 916075 ) ( 192130 919175 )
+ LAYER met5 ( -2809950 856155 ) ( 196930 859255 )
+ LAYER met5 ( -2809950 676155 ) ( 196930 679255 )
+ LAYER met5 ( -2809950 496155 ) ( 196930 499255 )
+ LAYER met5 ( -2809950 316155 ) ( 196930 319255 )
+ LAYER met5 ( -2809950 136155 ) ( 196930 139255 )
+ LAYER met5 ( -2809950 -43845 ) ( 196930 -40745 )
+ LAYER met5 ( -2809950 -223845 ) ( 196930 -220745 )
+ LAYER met5 ( -2809950 -403845 ) ( 196930 -400745 )
+ LAYER met5 ( -2809950 -583845 ) ( 196930 -580745 )
+ LAYER met5 ( -2809950 -763845 ) ( 196930 -760745 )
+ LAYER met5 ( -1261550 -858545 ) ( -178450 -855445 )
+ LAYER met5 ( -2809950 -943845 ) ( 196930 -940745 )
+ LAYER met5 ( -2809950 -1123845 ) ( 196930 -1120745 )
+ LAYER met5 ( -2809950 -1303845 ) ( 196930 -1300745 )
+ LAYER met5 ( -2809950 -1483845 ) ( 196930 -1480745 )
+ LAYER met5 ( -2809950 -1663845 ) ( 196930 -1660745 )
+ LAYER met5 ( -2809950 -1843845 ) ( 196930 -1840745 )
+ LAYER met5 ( -2809950 -2023845 ) ( 196930 -2020745 )
+ LAYER met5 ( -2809950 -2203845 ) ( 196930 -2200745 )
+ LAYER met5 ( -2809950 -2383845 ) ( 196930 -2380745 )
+ LAYER met5 ( -2809950 -2563845 ) ( 196930 -2560745 )
+ LAYER met5 ( -2805150 -2667445 ) ( 192130 -2664345 )
+ FIXED ( 2766320 2633975 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 1550160 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 1550160 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 1550160 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 1550160 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 1550160 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 1550160 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 1550160 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 -49840 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 950160 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 950160 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 530160 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 530160 )
+ LAYER met4 ( -295930 -49840 ) ( -292830 30160 )
+ LAYER met4 ( -475930 -49840 ) ( -472830 30160 )
+ LAYER met4 ( -655930 -49840 ) ( -652830 30160 )
+ LAYER met4 ( -835930 -49840 ) ( -832830 30160 )
+ LAYER met4 ( -1015930 -49840 ) ( -1012830 30160 )
+ LAYER met4 ( -1195930 -49840 ) ( -1192830 30160 )
+ LAYER met4 ( -1375930 -49840 ) ( -1372830 30160 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 -1569840 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 -1569840 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 -1569840 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 -1569840 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 -1569840 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 -1569840 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 -1569840 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 -1569840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 1550160 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 1550160 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 1550160 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 1550160 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 1550160 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 1550160 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 1550160 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 -49840 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 950160 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 950160 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 530160 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 530160 )
+ LAYER met4 ( -286930 -49840 ) ( -283830 30160 )
+ LAYER met4 ( -466930 -49840 ) ( -463830 30160 )
+ LAYER met4 ( -646930 -49840 ) ( -643830 30160 )
+ LAYER met4 ( -826930 -49840 ) ( -823830 30160 )
+ LAYER met4 ( -1006930 -49840 ) ( -1003830 30160 )
+ LAYER met4 ( -1186930 -49840 ) ( -1183830 30160 )
+ LAYER met4 ( -1366930 -49840 ) ( -1363830 30160 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 -1569840 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 -1569840 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 -1569840 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 -1569840 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 -1569840 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 -1569840 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 -1569840 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 -1569840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -49840 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 1550160 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 1550160 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 1550160 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 1550160 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 1550160 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 1550160 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 1550160 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 -49840 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 950160 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 950160 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 530160 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 530160 )
+ LAYER met4 ( -313930 -49840 ) ( -310830 30160 )
+ LAYER met4 ( -493930 -49840 ) ( -490830 30160 )
+ LAYER met4 ( -673930 -49840 ) ( -670830 30160 )
+ LAYER met4 ( -853930 -49840 ) ( -850830 30160 )
+ LAYER met4 ( -1033930 -49840 ) ( -1030830 30160 )
+ LAYER met4 ( -1213930 -49840 ) ( -1210830 30160 )
+ LAYER met4 ( -1393930 -49840 ) ( -1390830 30160 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 -1569840 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 -1569840 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 -1569840 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 -1569840 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 -1569840 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 -1569840 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 -1569840 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 -1569840 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 -1569840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 1550160 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 1550160 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 1550160 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 1550160 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 1550160 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 1550160 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 1550160 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 -49840 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 950160 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 950160 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 530160 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 530160 )
+ LAYER met4 ( -304930 -49840 ) ( -301830 30160 )
+ LAYER met4 ( -484930 -49840 ) ( -481830 30160 )
+ LAYER met4 ( -664930 -49840 ) ( -661830 30160 )
+ LAYER met4 ( -844930 -49840 ) ( -841830 30160 )
+ LAYER met4 ( -1024930 -49840 ) ( -1021830 30160 )
+ LAYER met4 ( -1204930 -49840 ) ( -1201830 30160 )
+ LAYER met4 ( -1384930 -49840 ) ( -1381830 30160 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 -1569840 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 -1569840 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 -1569840 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 -1569840 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 -1569840 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 -1569840 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 -1569840 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 -1569840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2597040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2597040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2443440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2289840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2136240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1982640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1829040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1675440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1521840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1721180 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1721180 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1721180 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1721180 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1721180 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1721180 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1721180 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( 1628970 1721180 ) ( 2712070 1721180 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 3310000 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 3310000 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 3310000 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 3310000 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 3310000 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 3310000 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 3310000 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 1710000 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 2710000 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 2710000 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 2710000 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 1710000 ) ( 2710520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 1710000 ) ( 2530520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 1710000 ) ( 2350520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 1710000 ) ( 2170520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 1710000 ) ( 1990520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 1710000 ) ( 1810520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 1710000 ) ( 1630520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 190000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1739780 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1739780 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1739780 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1739780 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1739780 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1739780 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( 1647570 1739780 ) ( 2550670 1739780 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 1710000 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 3310000 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 3310000 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 3310000 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 3310000 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 3310000 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 3310000 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 1710000 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 1710000 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 2710000 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 2710000 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 2710000 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 1710000 ) ( 2549120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 1710000 ) ( 2369120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 1710000 ) ( 2189120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 1710000 ) ( 2009120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 1710000 ) ( 1829120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 1710000 ) ( 1649120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 190000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1758380 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1758380 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1758380 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1758380 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1758380 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1758380 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( 1666170 1758380 ) ( 2569270 1758380 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 1710000 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 3310000 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 3310000 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 3310000 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 3310000 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 3310000 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 3310000 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 1710000 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 1710000 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 2710000 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 2710000 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 2710000 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 1710000 ) ( 2567720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 1710000 ) ( 2387720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 1710000 ) ( 2207720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 1710000 ) ( 2027720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 1710000 ) ( 1847720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 1710000 ) ( 1667720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 190000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1776980 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1776980 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1776980 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1776980 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1776980 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1776980 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1776980 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( 1504770 1776980 ) ( 2587870 1776980 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 1710000 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 3310000 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 3310000 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 3310000 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 3310000 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 3310000 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 3310000 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 3310000 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 1710000 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 2710000 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 2710000 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 2710000 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 1710000 ) ( 2586320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 1710000 ) ( 2406320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 1710000 ) ( 2226320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 1710000 ) ( 2046320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 1710000 ) ( 1866320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 1710000 ) ( 1686320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 1710000 ) ( 1506320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 190000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 3310000 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 3310000 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 3310000 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 3310000 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 3310000 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 3310000 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 3310000 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 1710000 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 2710000 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 2710000 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 1710000 ) ( 2657720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 1710000 ) ( 2477720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 1710000 ) ( 2297720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 1710000 ) ( 2117720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 1710000 ) ( 1937720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 1710000 ) ( 1757720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 1710000 ) ( 1577720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 190000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 3310000 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 3310000 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 3310000 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 3310000 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 3310000 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 3310000 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 3310000 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 1710000 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 2710000 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 2710000 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 1710000 ) ( 2676320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 1710000 ) ( 2496320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 1710000 ) ( 2316320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 1710000 ) ( 2136320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 1710000 ) ( 1956320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 1710000 ) ( 1776320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 1710000 ) ( 1596320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 190000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2673840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2673840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2520240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2366640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2213040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2059440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1905840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1752240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1598640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 452240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 298640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 452240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 298640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 1710000 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 3310000 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 3310000 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 3310000 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 3310000 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 3310000 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 3310000 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 3310000 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 1710000 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 2710000 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 2710000 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 1710000 ) ( 2620520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 1710000 ) ( 2440520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 1710000 ) ( 2260520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 1710000 ) ( 2080520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 1710000 ) ( 1900520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 1710000 ) ( 1720520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 1710000 ) ( 1540520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 190000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 3310000 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 3310000 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 3310000 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 3310000 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 3310000 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 3310000 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 3310000 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 1710000 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 2710000 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 2710000 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 2290000 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 1710000 ) ( 2639120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 1710000 ) ( 2459120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 1710000 ) ( 2279120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 1710000 ) ( 2099120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 1710000 ) ( 1919120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 1710000 ) ( 1739120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 1710000 ) ( 1559120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 190000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 190000 ) ;
END SPECIALNETS
NETS 1161 ;
- addr0_to_sram\[0\] ( custom_sram a[0] ) ( chip_controller addr0_to_sram[0] ) + USE SIGNAL
+ ROUTED met2 ( 225630 2289730 ) ( * 2300100 0 )
NEW met1 ( 225630 2289730 ) ( 266110 * )
NEW met2 ( 266110 1795710 ) ( * 2289730 )
NEW met2 ( 1522370 1795710 ) ( * 1800300 0 )
NEW met1 ( 266110 1795710 ) ( 1522370 * )
NEW met1 ( 225630 2289730 ) M1M2_PR
NEW met1 ( 266110 1795710 ) M1M2_PR
NEW met1 ( 266110 2289730 ) M1M2_PR
NEW met1 ( 1522370 1795710 ) M1M2_PR ;
- addr0_to_sram\[10\] ( custom_sram a[10] ) ( chip_controller addr0_to_sram[10] ) + USE SIGNAL
+ ROUTED met2 ( 296010 2292790 ) ( * 2300100 0 )
NEW met2 ( 1490170 2325430 ) ( * 2327300 )
NEW met3 ( 1490170 2327300 ) ( 1500060 * 0 )
NEW met1 ( 736230 2325430 ) ( 1490170 * )
NEW met2 ( 736230 2292790 ) ( * 2325430 )
NEW met1 ( 296010 2292790 ) ( 736230 * )
NEW met1 ( 296010 2292790 ) M1M2_PR
NEW met1 ( 736230 2325430 ) M1M2_PR
NEW met1 ( 1490170 2325430 ) M1M2_PR
NEW met2 ( 1490170 2327300 ) M2M3_PR_M
NEW met1 ( 736230 2292790 ) M1M2_PR ;
- addr0_to_sram\[11\] ( custom_sram a[11] ) ( chip_controller addr0_to_sram[11] ) + USE SIGNAL
+ ROUTED met2 ( 260590 2699940 ) ( 261970 * 0 )
NEW met2 ( 2066550 3299700 0 ) ( * 3306670 )
NEW met1 ( 260590 3306670 ) ( 2066550 * )
NEW met2 ( 260590 2699940 ) ( * 3306670 )
NEW met1 ( 260590 3306670 ) M1M2_PR
NEW met1 ( 2066550 3306670 ) M1M2_PR ;
- addr0_to_sram\[12\] ( custom_sram a[12] ) ( chip_controller addr0_to_sram[12] ) + USE SIGNAL
+ ROUTED met2 ( 1255570 2339370 ) ( * 2352630 )
NEW met1 ( 1255570 2339370 ) ( 1256030 * )
NEW met1 ( 1256030 2339030 ) ( * 2339370 )
NEW met1 ( 1256030 2339030 ) ( 1269370 * )
NEW met2 ( 1269370 2317780 ) ( * 2339030 )
NEW met2 ( 1269370 2317780 ) ( 1269830 * )
NEW met2 ( 1269830 2311490 ) ( * 2317780 )
NEW met1 ( 1366430 1966390 ) ( 1377470 * )
NEW met2 ( 1441870 1848580 ) ( * 1862690 )
NEW met2 ( 1441870 1848580 ) ( 1442330 * )
NEW met2 ( 1442330 1831750 ) ( * 1848580 )
NEW met3 ( 2696750 2407540 ) ( 2696980 * )
NEW met3 ( 2696980 2407540 ) ( * 2408900 0 )
NEW met3 ( 599380 2383060 0 ) ( 607890 * )
NEW met2 ( 607890 2380850 ) ( * 2383060 )
NEW met2 ( 1174150 2373370 ) ( * 2380850 )
NEW met2 ( 1377470 1917770 ) ( * 1966390 )
NEW met1 ( 1357230 2011270 ) ( 1362290 * )
NEW met2 ( 1362290 1966730 ) ( * 2011270 )
NEW met1 ( 1362290 1966730 ) ( 1366430 * )
NEW met1 ( 1366430 1966390 ) ( * 1966730 )
NEW met2 ( 1357230 2011270 ) ( * 2190790 )
NEW met2 ( 2696290 1807950 ) ( * 2352900 )
NEW met2 ( 2696290 2352900 ) ( 2696750 * )
NEW met2 ( 2696750 2352900 ) ( * 2407540 )
NEW met1 ( 1221070 2352630 ) ( 1255570 * )
NEW met1 ( 1296050 2249610 ) ( 1298810 * )
NEW met2 ( 1298810 2235670 ) ( * 2249610 )
NEW met1 ( 1298810 2235670 ) ( 1309850 * )
NEW met2 ( 1309850 2228530 ) ( * 2235670 )
NEW met1 ( 1309850 2228530 ) ( 1323190 * )
NEW met1 ( 1269830 2311490 ) ( 1296050 * )
NEW met1 ( 1412430 1863030 ) ( 1421630 * )
NEW met1 ( 1421630 1862690 ) ( * 1863030 )
NEW met1 ( 1421630 1862690 ) ( 1441870 * )
NEW met1 ( 1442330 1831750 ) ( 1484190 * )
NEW met1 ( 607890 2380850 ) ( 1174150 * )
NEW met2 ( 1198990 2362830 ) ( * 2373370 )
NEW met1 ( 1198990 2362830 ) ( 1221070 * )
NEW met1 ( 1174150 2373370 ) ( 1198990 * )
NEW met2 ( 1221070 2352630 ) ( * 2362830 )
NEW met2 ( 1323190 2199460 ) ( 1325030 * )
NEW met2 ( 1325030 2190790 ) ( * 2199460 )
NEW met2 ( 1323190 2199460 ) ( * 2228530 )
NEW met1 ( 1325030 2190790 ) ( 1357230 * )
NEW met2 ( 1296050 2249610 ) ( * 2311490 )
NEW met2 ( 1397710 1897710 ) ( * 1917770 )
NEW met1 ( 1397710 1897710 ) ( 1400930 * )
NEW met1 ( 1400930 1897370 ) ( * 1897710 )
NEW met1 ( 1400930 1897370 ) ( 1412430 * )
NEW met1 ( 1377470 1917770 ) ( 1397710 * )
NEW met2 ( 1412430 1863030 ) ( * 1897370 )
NEW met1 ( 1484190 1815090 ) ( 1504430 * )
NEW met2 ( 1504430 1807950 ) ( * 1815090 )
NEW met2 ( 1484190 1815090 ) ( * 1831750 )
NEW met1 ( 1504430 1807950 ) ( 2696290 * )
NEW met1 ( 1255570 2352630 ) M1M2_PR
NEW met1 ( 1255570 2339370 ) M1M2_PR
NEW met1 ( 1269370 2339030 ) M1M2_PR
NEW met1 ( 1269830 2311490 ) M1M2_PR
NEW met1 ( 1377470 1966390 ) M1M2_PR
NEW met1 ( 1441870 1862690 ) M1M2_PR
NEW met1 ( 1442330 1831750 ) M1M2_PR
NEW met2 ( 2696750 2407540 ) M2M3_PR_M
NEW met2 ( 607890 2383060 ) M2M3_PR_M
NEW met1 ( 607890 2380850 ) M1M2_PR
NEW met1 ( 1174150 2380850 ) M1M2_PR
NEW met1 ( 1174150 2373370 ) M1M2_PR
NEW met1 ( 1377470 1917770 ) M1M2_PR
NEW met1 ( 1357230 2011270 ) M1M2_PR
NEW met1 ( 1362290 2011270 ) M1M2_PR
NEW met1 ( 1362290 1966730 ) M1M2_PR
NEW met1 ( 1357230 2190790 ) M1M2_PR
NEW met1 ( 2696290 1807950 ) M1M2_PR
NEW met1 ( 1221070 2352630 ) M1M2_PR
NEW met1 ( 1296050 2249610 ) M1M2_PR
NEW met1 ( 1298810 2249610 ) M1M2_PR
NEW met1 ( 1298810 2235670 ) M1M2_PR
NEW met1 ( 1309850 2235670 ) M1M2_PR
NEW met1 ( 1309850 2228530 ) M1M2_PR
NEW met1 ( 1323190 2228530 ) M1M2_PR
NEW met1 ( 1296050 2311490 ) M1M2_PR
NEW met1 ( 1412430 1863030 ) M1M2_PR
NEW met1 ( 1484190 1831750 ) M1M2_PR
NEW met1 ( 1198990 2373370 ) M1M2_PR
NEW met1 ( 1198990 2362830 ) M1M2_PR
NEW met1 ( 1221070 2362830 ) M1M2_PR
NEW met1 ( 1325030 2190790 ) M1M2_PR
NEW met1 ( 1397710 1917770 ) M1M2_PR
NEW met1 ( 1397710 1897710 ) M1M2_PR
NEW met1 ( 1412430 1897370 ) M1M2_PR
NEW met1 ( 1484190 1815090 ) M1M2_PR
NEW met1 ( 1504430 1815090 ) M1M2_PR
NEW met1 ( 1504430 1807950 ) M1M2_PR ;
- addr0_to_sram\[13\] ( custom_sram a[13] ) ( chip_controller addr0_to_sram[13] ) + USE SIGNAL
+ ROUTED met2 ( 312570 2297210 ) ( * 2300100 0 )
NEW met2 ( 1336530 2297210 ) ( * 2491010 )
NEW met2 ( 1490170 2491010 ) ( * 2493900 )
NEW met3 ( 1490170 2493900 ) ( 1500060 * 0 )
NEW met1 ( 1336530 2491010 ) ( 1490170 * )
NEW met1 ( 312570 2297210 ) ( 1336530 * )
NEW met1 ( 312570 2297210 ) M1M2_PR
NEW met1 ( 1336530 2297210 ) M1M2_PR
NEW met1 ( 1336530 2491010 ) M1M2_PR
NEW met1 ( 1490170 2491010 ) M1M2_PR
NEW met2 ( 1490170 2493900 ) M2M3_PR_M ;
- addr0_to_sram\[14\] ( custom_sram a[14] ) ( chip_controller addr0_to_sram[14] ) + USE SIGNAL
+ ROUTED met2 ( 281750 2699260 0 ) ( 282670 * )
NEW met2 ( 282670 2699260 ) ( * 2724930 )
NEW met1 ( 282670 2724930 ) ( 1500290 * )
NEW met2 ( 1500290 1789250 ) ( * 2724930 )
NEW met2 ( 2100130 1789250 ) ( * 1800300 0 )
NEW met1 ( 1500290 1789250 ) ( 2100130 * )
NEW met1 ( 282670 2724930 ) M1M2_PR
NEW met1 ( 1500290 2724930 ) M1M2_PR
NEW met1 ( 1500290 1789250 ) M1M2_PR
NEW met1 ( 2100130 1789250 ) M1M2_PR ;
- addr0_to_sram\[15\] ( custom_sram a[15] ) ( chip_controller addr0_to_sram[15] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2395980 0 ) ( 607430 * )
NEW met2 ( 607430 2395980 ) ( * 2400910 )
NEW met2 ( 991070 2400910 ) ( * 2657270 )
NEW met1 ( 607430 2400910 ) ( 991070 * )
NEW met2 ( 1487410 2657270 ) ( * 2660500 )
NEW met3 ( 1487410 2660500 ) ( 1500060 * 0 )
NEW met1 ( 991070 2657270 ) ( 1487410 * )
NEW met2 ( 607430 2395980 ) M2M3_PR_M
NEW met1 ( 607430 2400910 ) M1M2_PR
NEW met1 ( 991070 2400910 ) M1M2_PR
NEW met1 ( 991070 2657270 ) M1M2_PR
NEW met1 ( 1487410 2657270 ) M1M2_PR
NEW met2 ( 1487410 2660500 ) M2M3_PR_M ;
- addr0_to_sram\[16\] ( custom_sram a[16] ) ( chip_controller addr0_to_sram[16] ) + USE SIGNAL
+ ROUTED met3 ( 185150 2407540 ) ( 199180 * )
NEW met3 ( 199180 2407540 ) ( * 2408220 )
NEW met3 ( 199180 2408220 ) ( 200100 * )
NEW met3 ( 200100 2407540 0 ) ( * 2408220 )
NEW met2 ( 185150 2407540 ) ( * 2725270 )
NEW met2 ( 1490170 2716260 ) ( * 2725270 )
NEW met3 ( 1490170 2716260 ) ( 1500060 * 0 )
NEW met1 ( 185150 2725270 ) ( 1490170 * )
NEW met2 ( 185150 2407540 ) M2M3_PR_M
NEW met1 ( 185150 2725270 ) M1M2_PR
NEW met1 ( 1490170 2725270 ) M1M2_PR
NEW met2 ( 1490170 2716260 ) M2M3_PR_M ;
- addr0_to_sram\[17\] ( custom_sram a[17] ) ( chip_controller addr0_to_sram[17] ) + USE SIGNAL
+ ROUTED met1 ( 1343430 1961630 ) ( 1353550 * )
NEW met2 ( 1353550 1938510 ) ( * 1961630 )
NEW met1 ( 1353550 1938510 ) ( 1362750 * )
NEW li1 ( 471270 2283950 ) ( * 2284970 )
NEW met1 ( 471270 2284970 ) ( 503930 * )
NEW met2 ( 503930 2282590 ) ( * 2284970 )
NEW met1 ( 1362750 1890570 ) ( 1380230 * )
NEW met2 ( 1380230 1880030 ) ( * 1890570 )
NEW met2 ( 1362750 1890570 ) ( * 1938510 )
NEW met2 ( 1343430 1961630 ) ( * 2014670 )
NEW met2 ( 1458890 1809990 ) ( * 1818150 )
NEW met1 ( 1303870 2043910 ) ( 1318130 * )
NEW met2 ( 1318130 2015010 ) ( * 2043910 )
NEW met1 ( 1318130 2015010 ) ( 1325030 * )
NEW met1 ( 1394030 1863030 ) ( 1407830 * )
NEW met1 ( 1407830 1862690 ) ( * 1863030 )
NEW met1 ( 1407830 1862690 ) ( 1414730 * )
NEW met2 ( 1414730 1848750 ) ( * 1862690 )
NEW met1 ( 1414730 1848750 ) ( 1425770 * )
NEW li1 ( 365470 2283950 ) ( * 2285990 )
NEW met1 ( 334650 2285990 ) ( 365470 * )
NEW met2 ( 334650 2285990 ) ( * 2300100 0 )
NEW met1 ( 365470 2283950 ) ( 471270 * )
NEW met1 ( 1325030 2014670 ) ( * 2015010 )
NEW met1 ( 1325030 2014670 ) ( 1343430 * )
NEW met1 ( 1295590 2090830 ) ( 1303870 * )
NEW met2 ( 1303870 2043910 ) ( * 2090830 )
NEW met1 ( 503930 2282590 ) ( 1295590 * )
NEW met2 ( 1295590 2090830 ) ( * 2282590 )
NEW met2 ( 1425770 1818150 ) ( * 1848750 )
NEW met1 ( 1425770 1818150 ) ( 1458890 * )
NEW met1 ( 1380230 1880030 ) ( 1394030 * )
NEW met2 ( 1394030 1863030 ) ( * 1880030 )
NEW met1 ( 1458890 1809990 ) ( 2695830 * )
NEW met2 ( 2695830 1809990 ) ( * 2559900 )
NEW met2 ( 2695830 2559900 ) ( 2696750 * )
NEW met2 ( 2696750 2559900 ) ( * 2593860 )
NEW met3 ( 2696750 2593860 ) ( 2696980 * )
NEW met3 ( 2696980 2593860 ) ( * 2596580 0 )
NEW met1 ( 1343430 1961630 ) M1M2_PR
NEW met1 ( 1353550 1961630 ) M1M2_PR
NEW met1 ( 1353550 1938510 ) M1M2_PR
NEW met1 ( 1362750 1938510 ) M1M2_PR
NEW li1 ( 471270 2283950 ) L1M1_PR_MR
NEW li1 ( 471270 2284970 ) L1M1_PR_MR
NEW met1 ( 503930 2284970 ) M1M2_PR
NEW met1 ( 503930 2282590 ) M1M2_PR
NEW met1 ( 1362750 1890570 ) M1M2_PR
NEW met1 ( 1380230 1890570 ) M1M2_PR
NEW met1 ( 1380230 1880030 ) M1M2_PR
NEW met1 ( 1343430 2014670 ) M1M2_PR
NEW met1 ( 1458890 1818150 ) M1M2_PR
NEW met1 ( 1458890 1809990 ) M1M2_PR
NEW met1 ( 2695830 1809990 ) M1M2_PR
NEW met1 ( 1303870 2043910 ) M1M2_PR
NEW met1 ( 1318130 2043910 ) M1M2_PR
NEW met1 ( 1318130 2015010 ) M1M2_PR
NEW met1 ( 1394030 1863030 ) M1M2_PR
NEW met1 ( 1414730 1862690 ) M1M2_PR
NEW met1 ( 1414730 1848750 ) M1M2_PR
NEW met1 ( 1425770 1848750 ) M1M2_PR
NEW li1 ( 365470 2283950 ) L1M1_PR_MR
NEW li1 ( 365470 2285990 ) L1M1_PR_MR
NEW met1 ( 334650 2285990 ) M1M2_PR
NEW met1 ( 1295590 2090830 ) M1M2_PR
NEW met1 ( 1303870 2090830 ) M1M2_PR
NEW met1 ( 1295590 2282590 ) M1M2_PR
NEW met1 ( 1425770 1818150 ) M1M2_PR
NEW met1 ( 1394030 1880030 ) M1M2_PR
NEW met2 ( 2696750 2593860 ) M2M3_PR_M ;
- addr0_to_sram\[18\] ( custom_sram a[18] ) ( chip_controller addr0_to_sram[18] ) + USE SIGNAL
+ ROUTED met1 ( 1453830 1867110 ) ( 1469010 * )
NEW met2 ( 1469010 1831070 ) ( * 1867110 )
NEW met1 ( 1438650 1931710 ) ( 1447390 * )
NEW met2 ( 497490 2281910 ) ( * 2286330 )
NEW met1 ( 1343430 2194870 ) ( 1372870 * )
NEW met2 ( 1372870 2187220 ) ( * 2194870 )
NEW met2 ( 1372870 2187220 ) ( 1373330 * )
NEW met2 ( 1373330 2162230 ) ( * 2187220 )
NEW met2 ( 1343430 2194870 ) ( * 2242470 )
NEW met1 ( 1447390 1883090 ) ( 1453830 * )
NEW met2 ( 1447390 1883090 ) ( * 1931710 )
NEW met2 ( 1453830 1867110 ) ( * 1883090 )
NEW met2 ( 1438650 1931710 ) ( * 1966730 )
NEW met2 ( 2695830 1808630 ) ( * 1809140 )
NEW met2 ( 2695370 1809140 ) ( 2695830 * )
NEW met1 ( 1336990 2242470 ) ( 1343430 * )
NEW met1 ( 1405990 2039830 ) ( 1412430 * )
NEW met1 ( 1394030 2131970 ) ( 1405990 * )
NEW met1 ( 1469010 1831070 ) ( 1484650 * )
NEW met2 ( 337870 2286330 ) ( * 2300100 0 )
NEW met1 ( 337870 2286330 ) ( 497490 * )
NEW met1 ( 497490 2281910 ) ( 1336990 * )
NEW met2 ( 1336990 2242470 ) ( * 2281910 )
NEW met1 ( 1412430 1994270 ) ( 1414730 * )
NEW met1 ( 1414730 1993930 ) ( * 1994270 )
NEW met1 ( 1414730 1993930 ) ( 1428530 * )
NEW met2 ( 1428530 1966730 ) ( * 1993930 )
NEW met2 ( 1412430 1994270 ) ( * 2039830 )
NEW met1 ( 1428530 1966730 ) ( 1438650 * )
NEW met2 ( 1405990 2039830 ) ( * 2131970 )
NEW met1 ( 1373330 2162230 ) ( 1394030 * )
NEW met2 ( 1394030 2131970 ) ( * 2162230 )
NEW met1 ( 1484650 1817470 ) ( 1497530 * )
NEW met2 ( 1497530 1808630 ) ( * 1817470 )
NEW met2 ( 1484650 1817470 ) ( * 1831070 )
NEW met1 ( 1497530 1808630 ) ( 2695830 * )
NEW met2 ( 2698130 2766900 ) ( * 2780860 )
NEW met2 ( 2695370 2757060 ) ( 2696750 * )
NEW met3 ( 2696750 2757060 ) ( 2697670 * )
NEW met2 ( 2697670 2757060 ) ( * 2766900 )
NEW met3 ( 2696980 2780860 ) ( * 2783580 0 )
NEW met2 ( 2695370 1809140 ) ( * 2757060 )
NEW met2 ( 2697670 2766900 ) ( 2698130 * )
NEW met3 ( 2696980 2780860 ) ( 2698130 * )
NEW met1 ( 1343430 2242470 ) M1M2_PR
NEW met1 ( 1453830 1867110 ) M1M2_PR
NEW met1 ( 1469010 1867110 ) M1M2_PR
NEW met1 ( 1469010 1831070 ) M1M2_PR
NEW met1 ( 1438650 1931710 ) M1M2_PR
NEW met1 ( 1447390 1931710 ) M1M2_PR
NEW met1 ( 497490 2286330 ) M1M2_PR
NEW met1 ( 497490 2281910 ) M1M2_PR
NEW met1 ( 1343430 2194870 ) M1M2_PR
NEW met1 ( 1372870 2194870 ) M1M2_PR
NEW met1 ( 1373330 2162230 ) M1M2_PR
NEW met1 ( 1447390 1883090 ) M1M2_PR
NEW met1 ( 1453830 1883090 ) M1M2_PR
NEW met1 ( 1438650 1966730 ) M1M2_PR
NEW met1 ( 2695830 1808630 ) M1M2_PR
NEW met1 ( 1336990 2242470 ) M1M2_PR
NEW met1 ( 1405990 2039830 ) M1M2_PR
NEW met1 ( 1412430 2039830 ) M1M2_PR
NEW met1 ( 1394030 2131970 ) M1M2_PR
NEW met1 ( 1405990 2131970 ) M1M2_PR
NEW met1 ( 1484650 1831070 ) M1M2_PR
NEW met1 ( 337870 2286330 ) M1M2_PR
NEW met1 ( 1336990 2281910 ) M1M2_PR
NEW met1 ( 1412430 1994270 ) M1M2_PR
NEW met1 ( 1428530 1993930 ) M1M2_PR
NEW met1 ( 1428530 1966730 ) M1M2_PR
NEW met1 ( 1394030 2162230 ) M1M2_PR
NEW met1 ( 1484650 1817470 ) M1M2_PR
NEW met1 ( 1497530 1817470 ) M1M2_PR
NEW met1 ( 1497530 1808630 ) M1M2_PR
NEW met2 ( 2698130 2780860 ) M2M3_PR_M
NEW met2 ( 2696750 2757060 ) M2M3_PR_M
NEW met2 ( 2697670 2757060 ) M2M3_PR_M ;
- addr0_to_sram\[19\] ( custom_sram a[19] ) ( chip_controller addr0_to_sram[19] ) + USE SIGNAL
+ ROUTED met1 ( 342930 2284630 ) ( 348910 * )
NEW met2 ( 342930 2284630 ) ( * 2300100 0 )
NEW met2 ( 348910 1794690 ) ( * 2284630 )
NEW met2 ( 2366470 1794690 ) ( * 1800300 0 )
NEW met1 ( 348910 1794690 ) ( 2366470 * )
NEW met1 ( 348910 1794690 ) M1M2_PR
NEW met1 ( 348910 2284630 ) M1M2_PR
NEW met1 ( 342930 2284630 ) M1M2_PR
NEW met1 ( 2366470 1794690 ) M1M2_PR ;
- addr0_to_sram\[1\] ( custom_sram a[1] ) ( chip_controller addr0_to_sram[1] ) + USE SIGNAL
+ ROUTED met3 ( 2699740 1939700 0 ) ( 2712390 * )
NEW met2 ( 2712390 1810330 ) ( * 1939700 )
NEW met1 ( 230690 2284290 ) ( 251850 * )
NEW met2 ( 230690 2284290 ) ( * 2300100 0 )
NEW met2 ( 251850 1810330 ) ( * 2284290 )
NEW met1 ( 251850 1810330 ) ( 2712390 * )
NEW met2 ( 2712390 1939700 ) M2M3_PR_M
NEW met1 ( 2712390 1810330 ) M1M2_PR
NEW met1 ( 251850 1810330 ) M1M2_PR
NEW met1 ( 251850 2284290 ) M1M2_PR
NEW met1 ( 230690 2284290 ) M1M2_PR ;
- addr0_to_sram\[2\] ( custom_sram a[2] ) ( chip_controller addr0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 210450 2699260 0 ) ( 211830 * )
NEW met2 ( 1533410 3299700 0 ) ( * 3308370 )
NEW met2 ( 211830 2699260 ) ( * 2739300 )
NEW met2 ( 211830 2739300 ) ( 213670 * )
NEW met2 ( 213670 2739300 ) ( * 3308370 )
NEW met1 ( 213670 3308370 ) ( 1533410 * )
NEW met1 ( 213670 3308370 ) M1M2_PR
NEW met1 ( 1533410 3308370 ) M1M2_PR ;
- addr0_to_sram\[3\] ( custom_sram a[3] ) ( chip_controller addr0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 218270 2699940 ) ( 218730 * 0 )
NEW met2 ( 218270 2699940 ) ( * 2714050 )
NEW li1 ( 375590 2712690 ) ( * 2714050 )
NEW met1 ( 375590 2712690 ) ( 388470 * )
NEW met2 ( 388470 2709290 ) ( * 2712690 )
NEW met2 ( 1270290 2121430 ) ( * 2149310 )
NEW met1 ( 1357690 2059210 ) ( 1371490 * )
NEW met2 ( 2697210 2050540 ) ( 2697670 * )
NEW met2 ( 2697670 2050540 ) ( * 2056490 )
NEW met1 ( 2697670 2056490 ) ( 2711930 * )
NEW met3 ( 2699740 2127380 0 ) ( 2711930 * )
NEW met1 ( 1371490 1997670 ) ( 1384830 * )
NEW met2 ( 1371490 1997670 ) ( * 2059210 )
NEW met2 ( 1384830 1921850 ) ( * 1997670 )
NEW met2 ( 1357690 2059210 ) ( * 2080290 )
NEW met2 ( 1448770 1882750 ) ( * 1897370 )
NEW met1 ( 1448770 1882750 ) ( 1474530 * )
NEW met2 ( 1474530 1809650 ) ( * 1882750 )
NEW met2 ( 2697210 1809650 ) ( * 2050540 )
NEW met2 ( 2711930 2056490 ) ( * 2127380 )
NEW met1 ( 218270 2714050 ) ( 375590 * )
NEW met1 ( 1205430 2149310 ) ( 1270290 * )
NEW met1 ( 1143330 2252670 ) ( 1205430 * )
NEW met1 ( 1270290 2121430 ) ( 1290990 * )
NEW met1 ( 1384830 1921850 ) ( 1420710 * )
NEW met2 ( 1119870 2290750 ) ( * 2300100 )
NEW met1 ( 1119870 2290750 ) ( 1143330 * )
NEW met2 ( 1143330 2252670 ) ( * 2290750 )
NEW met2 ( 1205430 2149310 ) ( * 2252670 )
NEW met2 ( 1290990 2080290 ) ( * 2121430 )
NEW met1 ( 1290990 2080290 ) ( 1357690 * )
NEW met1 ( 1420710 1907570 ) ( 1428530 * )
NEW met2 ( 1428530 1897370 ) ( * 1907570 )
NEW met2 ( 1420710 1907570 ) ( * 1921850 )
NEW met1 ( 1428530 1897370 ) ( 1448770 * )
NEW met1 ( 1474530 1809650 ) ( 2697210 * )
NEW met3 ( 444820 2300100 ) ( 1119870 * )
NEW met2 ( 421590 2702660 ) ( * 2709290 )
NEW met3 ( 421590 2702660 ) ( 444820 * )
NEW met1 ( 388470 2709290 ) ( 421590 * )
NEW met4 ( 444820 2300100 ) ( * 2702660 )
NEW met1 ( 218270 2714050 ) M1M2_PR
NEW li1 ( 375590 2714050 ) L1M1_PR_MR
NEW li1 ( 375590 2712690 ) L1M1_PR_MR
NEW met1 ( 388470 2712690 ) M1M2_PR
NEW met1 ( 388470 2709290 ) M1M2_PR
NEW met1 ( 1270290 2149310 ) M1M2_PR
NEW met1 ( 1270290 2121430 ) M1M2_PR
NEW met1 ( 1384830 1921850 ) M1M2_PR
NEW met1 ( 1357690 2059210 ) M1M2_PR
NEW met1 ( 1371490 2059210 ) M1M2_PR
NEW met1 ( 2697670 2056490 ) M1M2_PR
NEW met1 ( 2711930 2056490 ) M1M2_PR
NEW met2 ( 2711930 2127380 ) M2M3_PR_M
NEW met1 ( 1371490 1997670 ) M1M2_PR
NEW met1 ( 1384830 1997670 ) M1M2_PR
NEW met1 ( 1357690 2080290 ) M1M2_PR
NEW met1 ( 1474530 1809650 ) M1M2_PR
NEW met1 ( 1448770 1897370 ) M1M2_PR
NEW met1 ( 1448770 1882750 ) M1M2_PR
NEW met1 ( 1474530 1882750 ) M1M2_PR
NEW met1 ( 2697210 1809650 ) M1M2_PR
NEW met1 ( 1143330 2252670 ) M1M2_PR
NEW met1 ( 1205430 2149310 ) M1M2_PR
NEW met1 ( 1205430 2252670 ) M1M2_PR
NEW met1 ( 1290990 2121430 ) M1M2_PR
NEW met1 ( 1420710 1921850 ) M1M2_PR
NEW met3 ( 444820 2300100 ) M3M4_PR_M
NEW met2 ( 1119870 2300100 ) M2M3_PR_M
NEW met1 ( 1119870 2290750 ) M1M2_PR
NEW met1 ( 1143330 2290750 ) M1M2_PR
NEW met1 ( 1290990 2080290 ) M1M2_PR
NEW met1 ( 1420710 1907570 ) M1M2_PR
NEW met1 ( 1428530 1907570 ) M1M2_PR
NEW met1 ( 1428530 1897370 ) M1M2_PR
NEW met1 ( 421590 2709290 ) M1M2_PR
NEW met2 ( 421590 2702660 ) M2M3_PR_M
NEW met3 ( 444820 2702660 ) M3M4_PR_M ;
- addr0_to_sram\[4\] ( custom_sram a[4] ) ( chip_controller addr0_to_sram[4] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2325430 ) ( * 2326620 )
NEW met3 ( 189750 2326620 ) ( 200100 * )
NEW met3 ( 200100 2325940 0 ) ( * 2326620 )
NEW met1 ( 179170 2325430 ) ( 189750 * )
NEW met2 ( 179170 2273410 ) ( * 2325430 )
NEW met3 ( 1486950 2104940 ) ( 1500060 * 0 )
NEW met1 ( 179170 2273410 ) ( 1486950 * )
NEW met2 ( 1486950 2104940 ) ( * 2273410 )
NEW met1 ( 189750 2325430 ) M1M2_PR
NEW met2 ( 189750 2326620 ) M2M3_PR_M
NEW met1 ( 179170 2325430 ) M1M2_PR
NEW met1 ( 179170 2273410 ) M1M2_PR
NEW met2 ( 1486950 2104940 ) M2M3_PR_M
NEW met1 ( 1486950 2273410 ) M1M2_PR ;
- addr0_to_sram\[5\] ( custom_sram a[5] ) ( chip_controller addr0_to_sram[5] ) + USE SIGNAL
+ ROUTED met2 ( 293710 1795370 ) ( * 2290410 )
NEW met2 ( 257370 2290410 ) ( * 2300100 0 )
NEW met1 ( 257370 2290410 ) ( 293710 * )
NEW met2 ( 1699930 1795370 ) ( * 1800300 0 )
NEW met1 ( 293710 1795370 ) ( 1699930 * )
NEW met1 ( 293710 1795370 ) M1M2_PR
NEW met1 ( 293710 2290410 ) M1M2_PR
NEW met1 ( 257370 2290410 ) M1M2_PR
NEW met1 ( 1699930 1795370 ) M1M2_PR ;
- addr0_to_sram\[6\] ( custom_sram a[6] ) ( chip_controller addr0_to_sram[6] ) + USE SIGNAL
+ ROUTED met2 ( 1866450 3299700 0 ) ( * 3309390 )
NEW met2 ( 1438650 2297890 ) ( * 3309390 )
NEW met1 ( 1438650 3309390 ) ( 1866450 * )
NEW met2 ( 265650 2297890 ) ( * 2300100 0 )
NEW met1 ( 265650 2297890 ) ( 1438650 * )
NEW met1 ( 1438650 3309390 ) M1M2_PR
NEW met1 ( 1866450 3309390 ) M1M2_PR
NEW met1 ( 1438650 2297890 ) M1M2_PR
NEW met1 ( 265650 2297890 ) M1M2_PR ;
- addr0_to_sram\[7\] ( custom_sram a[7] ) ( chip_controller addr0_to_sram[7] ) + USE SIGNAL
+ ROUTED met2 ( 1473610 1788570 ) ( * 2725950 )
NEW met2 ( 1833330 1788570 ) ( * 1800300 0 )
NEW met2 ( 234830 2699940 ) ( 235290 * 0 )
NEW met2 ( 234830 2699940 ) ( * 2725950 )
NEW met1 ( 234830 2725950 ) ( 1473610 * )
NEW met1 ( 1473610 1788570 ) ( 1833330 * )
NEW met1 ( 1473610 2725950 ) M1M2_PR
NEW met1 ( 1473610 1788570 ) M1M2_PR
NEW met1 ( 1833330 1788570 ) M1M2_PR
NEW met1 ( 234830 2725950 ) M1M2_PR ;
- addr0_to_sram\[8\] ( custom_sram a[8] ) ( chip_controller addr0_to_sram[8] ) + USE SIGNAL
+ ROUTED met1 ( 284510 2284290 ) ( 313950 * )
NEW met2 ( 284510 2284290 ) ( * 2300100 0 )
NEW met2 ( 313950 1795030 ) ( * 2284290 )
NEW met2 ( 1877950 1795030 ) ( * 1800300 0 )
NEW met1 ( 313950 1795030 ) ( 1877950 * )
NEW met1 ( 313950 1795030 ) M1M2_PR
NEW met1 ( 313950 2284290 ) M1M2_PR
NEW met1 ( 284510 2284290 ) M1M2_PR
NEW met1 ( 1877950 1795030 ) M1M2_PR ;
- addr0_to_sram\[9\] ( custom_sram a[9] ) ( chip_controller addr0_to_sram[9] ) + USE SIGNAL
+ ROUTED met2 ( 113850 1803530 ) ( * 2360450 )
NEW met2 ( 188830 2360450 ) ( * 2361300 )
NEW met3 ( 188830 2361300 ) ( 200100 * )
NEW met3 ( 200100 2360620 0 ) ( * 2361300 )
NEW met1 ( 1965810 1803190 ) ( * 1803530 )
NEW met2 ( 1965810 1803020 ) ( * 1803190 )
NEW met1 ( 113850 2360450 ) ( 188830 * )
NEW met1 ( 113850 1803530 ) ( 1965810 * )
NEW met2 ( 1965810 1803020 ) ( 1966730 * 0 )
NEW met1 ( 113850 1803530 ) M1M2_PR
NEW met1 ( 113850 2360450 ) M1M2_PR
NEW met1 ( 188830 2360450 ) M1M2_PR
NEW met2 ( 188830 2361300 ) M2M3_PR_M
NEW met1 ( 1965810 1803190 ) M1M2_PR ;
- addr_to_core_mem\[0\] ( chip_controller addr_to_core_mem[0] ) + USE SIGNAL ;
- addr_to_core_mem\[10\] ( chip_controller addr_to_core_mem[10] ) + USE SIGNAL ;
- addr_to_core_mem\[11\] ( chip_controller addr_to_core_mem[11] ) + USE SIGNAL ;
- addr_to_core_mem\[12\] ( chip_controller addr_to_core_mem[12] ) + USE SIGNAL ;
- addr_to_core_mem\[13\] ( chip_controller addr_to_core_mem[13] ) + USE SIGNAL ;
- addr_to_core_mem\[14\] ( chip_controller addr_to_core_mem[14] ) + USE SIGNAL ;
- addr_to_core_mem\[15\] ( chip_controller addr_to_core_mem[15] ) + USE SIGNAL ;
- addr_to_core_mem\[16\] ( chip_controller addr_to_core_mem[16] ) + USE SIGNAL ;
- addr_to_core_mem\[17\] ( chip_controller addr_to_core_mem[17] ) + USE SIGNAL ;
- addr_to_core_mem\[18\] ( chip_controller addr_to_core_mem[18] ) + USE SIGNAL ;
- addr_to_core_mem\[19\] ( chip_controller addr_to_core_mem[19] ) + USE SIGNAL ;
- addr_to_core_mem\[1\] ( chip_controller addr_to_core_mem[1] ) + USE SIGNAL ;
- addr_to_core_mem\[2\] ( chip_controller addr_to_core_mem[2] ) + USE SIGNAL ;
- addr_to_core_mem\[3\] ( chip_controller addr_to_core_mem[3] ) + USE SIGNAL ;
- addr_to_core_mem\[4\] ( chip_controller addr_to_core_mem[4] ) + USE SIGNAL ;
- addr_to_core_mem\[5\] ( chip_controller addr_to_core_mem[5] ) + USE SIGNAL ;
- addr_to_core_mem\[6\] ( chip_controller addr_to_core_mem[6] ) + USE SIGNAL ;
- addr_to_core_mem\[7\] ( chip_controller addr_to_core_mem[7] ) + USE SIGNAL ;
- addr_to_core_mem\[8\] ( chip_controller addr_to_core_mem[8] ) + USE SIGNAL ;
- addr_to_core_mem\[9\] ( chip_controller addr_to_core_mem[9] ) + USE SIGNAL ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- clk ( custom_sram clk ) ( core0 clk ) ( chip_controller clk ) + USE SIGNAL
+ ROUTED met2 ( 204010 2290070 ) ( * 2300100 0 )
NEW met1 ( 272550 1731790 ) ( 1307550 * )
NEW met2 ( 1490170 1821890 ) ( * 1827500 )
NEW met3 ( 1490170 1827500 ) ( 1500060 * 0 )
NEW met1 ( 1307550 1821890 ) ( 1490170 * )
NEW met2 ( 272550 1731790 ) ( * 2256300 )
NEW met2 ( 271630 2256300 ) ( * 2290070 )
NEW met2 ( 271630 2256300 ) ( 272550 * )
NEW met1 ( 204010 2290070 ) ( 271630 * )
NEW met2 ( 1307090 1699660 0 ) ( 1307550 * )
NEW met2 ( 1307550 1699660 ) ( * 1821890 )
NEW met1 ( 204010 2290070 ) M1M2_PR
NEW met1 ( 272550 1731790 ) M1M2_PR
NEW met1 ( 1307550 1731790 ) M1M2_PR
NEW met1 ( 1307550 1821890 ) M1M2_PR
NEW met1 ( 1490170 1821890 ) M1M2_PR
NEW met2 ( 1490170 1827500 ) M2M3_PR_M
NEW met1 ( 271630 2290070 ) M1M2_PR
NEW met2 ( 1307550 1731790 ) RECT ( -70 -485 70 0 ) ;
- core0_data_print\[0\] ( core0 hex_out[0] ) ( chip_controller core0_data_print[0] ) + USE SIGNAL
+ ROUTED met3 ( 200100 2302140 0 ) ( * 2302820 )
NEW met3 ( 198490 2302820 ) ( 200100 * )
NEW met2 ( 198490 2298570 ) ( * 2302820 )
NEW met3 ( 2799100 228140 ) ( * 230860 0 )
NEW met3 ( 2799100 228140 ) ( 2801170 * )
NEW met2 ( 2801170 206890 ) ( * 228140 )
NEW met1 ( 273010 206890 ) ( 2801170 * )
NEW met1 ( 198490 2298570 ) ( 273010 * )
NEW met2 ( 273010 206890 ) ( * 2298570 )
NEW met1 ( 2801170 206890 ) M1M2_PR
NEW met2 ( 198490 2302820 ) M2M3_PR_M
NEW met1 ( 198490 2298570 ) M1M2_PR
NEW met2 ( 2801170 228140 ) M2M3_PR_M
NEW met1 ( 273010 206890 ) M1M2_PR
NEW met1 ( 273010 2298570 ) M1M2_PR ;
- core0_data_print\[10\] ( core0 hex_out[10] ) ( chip_controller core0_data_print[10] ) + USE SIGNAL
+ ROUTED met2 ( 1645190 1699660 0 ) ( * 1711390 )
NEW met2 ( 255530 2699260 0 ) ( 256450 * )
NEW met2 ( 256450 2699260 ) ( * 2732070 )
NEW met1 ( 256450 2732070 ) ( 1503510 * )
NEW met2 ( 1503510 1711390 ) ( * 2732070 )
NEW met1 ( 1503510 1711390 ) ( 1645190 * )
NEW met1 ( 1645190 1711390 ) M1M2_PR
NEW met1 ( 256450 2732070 ) M1M2_PR
NEW met1 ( 1503510 2732070 ) M1M2_PR
NEW met1 ( 1503510 1711390 ) M1M2_PR ;
- core0_data_print\[11\] ( core0 hex_out[11] ) ( chip_controller core0_data_print[11] ) + USE SIGNAL
+ ROUTED met2 ( 302910 2300100 0 ) ( 303370 * )
NEW met2 ( 303370 427550 ) ( * 2300100 )
NEW met2 ( 1283630 425340 ) ( * 427550 )
NEW met1 ( 303370 427550 ) ( 1283630 * )
NEW met3 ( 1283630 425340 ) ( 1300420 * 0 )
NEW met1 ( 303370 427550 ) M1M2_PR
NEW met1 ( 1283630 427550 ) M1M2_PR
NEW met2 ( 1283630 425340 ) M2M3_PR_M ;
- core0_data_print\[12\] ( core0 hex_out[12] ) ( chip_controller core0_data_print[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2384420 0 ) ( 608810 * )
NEW met2 ( 608810 2380510 ) ( * 2384420 )
NEW met2 ( 1674630 1699660 0 ) ( * 1736890 )
NEW met1 ( 1315370 1736890 ) ( 1674630 * )
NEW met1 ( 608810 2380510 ) ( 1315370 * )
NEW met2 ( 1315370 1736890 ) ( * 2380510 )
NEW met1 ( 1674630 1736890 ) M1M2_PR
NEW met2 ( 608810 2384420 ) M2M3_PR_M
NEW met1 ( 608810 2380510 ) M1M2_PR
NEW met1 ( 1315370 1736890 ) M1M2_PR
NEW met1 ( 1315370 2380510 ) M1M2_PR ;
- core0_data_print\[13\] ( core0 hex_out[13] ) ( chip_controller core0_data_print[13] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2387650 ) ( * 2390540 )
NEW met3 ( 186990 2390540 ) ( 201020 * )
NEW met3 ( 201020 2390540 ) ( * 2391220 0 )
NEW met2 ( 172730 1802850 ) ( * 2387650 )
NEW met1 ( 172730 2387650 ) ( 186990 * )
NEW met2 ( 1701770 1699660 ) ( 1704070 * 0 )
NEW met1 ( 172730 1802850 ) ( 1701770 * )
NEW met2 ( 1701770 1699660 ) ( * 1802850 )
NEW met1 ( 186990 2387650 ) M1M2_PR
NEW met2 ( 186990 2390540 ) M2M3_PR_M
NEW met1 ( 172730 1802850 ) M1M2_PR
NEW met1 ( 172730 2387650 ) M1M2_PR
NEW met1 ( 1701770 1802850 ) M1M2_PR ;
- core0_data_print\[14\] ( core0 hex_out[14] ) ( chip_controller core0_data_print[14] ) + USE SIGNAL
+ ROUTED met2 ( 283130 2699940 ) ( 283590 * 0 )
NEW met2 ( 283130 2699940 ) ( * 2723230 )
NEW met2 ( 1733510 1699660 0 ) ( * 1717170 )
NEW met1 ( 283130 2723230 ) ( 832830 * )
NEW met2 ( 832830 1717170 ) ( * 2723230 )
NEW met1 ( 832830 1717170 ) ( 1733510 * )
NEW met1 ( 283130 2723230 ) M1M2_PR
NEW met1 ( 1733510 1717170 ) M1M2_PR
NEW met1 ( 832830 2723230 ) M1M2_PR
NEW met1 ( 832830 1717170 ) M1M2_PR ;
- core0_data_print\[15\] ( core0 hex_out[15] ) ( chip_controller core0_data_print[15] ) + USE SIGNAL
+ ROUTED met2 ( 291870 2699260 0 ) ( 293250 * )
NEW met2 ( 293250 2699260 ) ( * 2715580 )
NEW met3 ( 2799100 579700 0 ) ( 2810140 * )
NEW met2 ( 603750 1693540 ) ( * 2715580 )
NEW met4 ( 2810140 579700 ) ( * 1693540 )
NEW met3 ( 603750 1693540 ) ( 2810140 * )
NEW met3 ( 293250 2715580 ) ( 603750 * )
NEW met2 ( 293250 2715580 ) M2M3_PR_M
NEW met2 ( 603750 2715580 ) M2M3_PR_M
NEW met3 ( 2810140 579700 ) M3M4_PR_M
NEW met2 ( 603750 1693540 ) M2M3_PR_M
NEW met3 ( 2810140 1693540 ) M3M4_PR_M ;
- core0_data_print\[16\] ( core0 hex_out[16] ) ( chip_controller core0_data_print[16] ) + USE SIGNAL
+ ROUTED met2 ( 300150 2699260 0 ) ( 301530 * )
NEW met2 ( 301530 2699260 ) ( * 2717450 )
NEW met3 ( 2799100 621180 0 ) ( 2811060 * )
NEW met4 ( 2811060 621180 ) ( * 1694220 )
NEW met2 ( 645610 1694220 ) ( * 2717450 )
NEW met3 ( 645610 1694220 ) ( 2811060 * )
NEW met1 ( 301530 2717450 ) ( 645610 * )
NEW met1 ( 301530 2717450 ) M1M2_PR
NEW met3 ( 2811060 621180 ) M3M4_PR_M
NEW met3 ( 2811060 1694220 ) M3M4_PR_M
NEW met1 ( 645610 2717450 ) M1M2_PR
NEW met2 ( 645610 1694220 ) M2M3_PR_M ;
- core0_data_print\[17\] ( core0 hex_out[17] ) ( chip_controller core0_data_print[17] ) + USE SIGNAL
+ ROUTED met2 ( 493350 201110 ) ( * 2256300 )
NEW met2 ( 492430 2256300 ) ( * 2285310 )
NEW met2 ( 492430 2256300 ) ( 493350 * )
NEW met2 ( 1621730 200940 ) ( * 201110 )
NEW met2 ( 1621730 200940 ) ( 1622650 * 0 )
NEW met1 ( 493350 201110 ) ( 1621730 * )
NEW met1 ( 350750 2284630 ) ( * 2284970 )
NEW met1 ( 336030 2284970 ) ( 350750 * )
NEW met2 ( 336030 2284970 ) ( * 2300100 0 )
NEW met1 ( 350750 2284630 ) ( 420900 * )
NEW met1 ( 420900 2284630 ) ( * 2285310 )
NEW met1 ( 420900 2285310 ) ( 492430 * )
NEW met1 ( 493350 201110 ) M1M2_PR
NEW met1 ( 492430 2285310 ) M1M2_PR
NEW met1 ( 1621730 201110 ) M1M2_PR
NEW met1 ( 336030 2284970 ) M1M2_PR ;
- core0_data_print\[18\] ( core0 hex_out[18] ) ( chip_controller core0_data_print[18] ) + USE SIGNAL
+ ROUTED met1 ( 180550 2408390 ) ( 186990 * )
NEW met2 ( 186990 2408390 ) ( * 2413660 )
NEW met3 ( 186990 2413660 ) ( 200100 * )
NEW met3 ( 200100 2412980 0 ) ( * 2413660 )
NEW met2 ( 180550 879750 ) ( * 2408390 )
NEW met2 ( 1289610 570860 ) ( * 879750 )
NEW met1 ( 180550 879750 ) ( 1289610 * )
NEW met3 ( 1289610 570860 ) ( 1300420 * 0 )
NEW met1 ( 180550 879750 ) M1M2_PR
NEW met1 ( 180550 2408390 ) M1M2_PR
NEW met1 ( 186990 2408390 ) M1M2_PR
NEW met2 ( 186990 2413660 ) M2M3_PR_M
NEW met2 ( 1289610 570860 ) M2M3_PR_M
NEW met1 ( 1289610 879750 ) M1M2_PR ;
- core0_data_print\[19\] ( core0 hex_out[19] ) ( chip_controller core0_data_print[19] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2415870 ) ( * 2419780 )
NEW met3 ( 188830 2419780 ) ( 201020 * )
NEW met3 ( 201020 2419780 ) ( * 2420460 0 )
NEW met2 ( 1286390 629340 ) ( * 1066070 )
NEW met1 ( 141910 2415870 ) ( 188830 * )
NEW met1 ( 141910 1066070 ) ( 1286390 * )
NEW met2 ( 141910 1066070 ) ( * 2415870 )
NEW met3 ( 1286390 629340 ) ( 1300420 * 0 )
NEW met1 ( 188830 2415870 ) M1M2_PR
NEW met2 ( 188830 2419780 ) M2M3_PR_M
NEW met1 ( 1286390 1066070 ) M1M2_PR
NEW met2 ( 1286390 629340 ) M2M3_PR_M
NEW met1 ( 141910 1066070 ) M1M2_PR
NEW met1 ( 141910 2415870 ) M1M2_PR ;
- core0_data_print\[1\] ( core0 hex_out[1] ) ( chip_controller core0_data_print[1] ) + USE SIGNAL
+ ROUTED met3 ( 202860 2308940 ) ( * 2309620 0 )
NEW met3 ( 202860 2297380 ) ( 203090 * )
NEW met2 ( 203090 2266610 ) ( * 2297380 )
NEW met4 ( 202860 2297380 ) ( * 2308940 )
NEW met2 ( 1422090 1699660 ) ( 1424390 * 0 )
NEW met2 ( 1421630 1821600 ) ( 1422090 * )
NEW met2 ( 1422090 1699660 ) ( * 1821600 )
NEW met1 ( 203090 2266610 ) ( 1421630 * )
NEW met2 ( 1421630 1821600 ) ( * 2266610 )
NEW met3 ( 202860 2308940 ) M3M4_PR_M
NEW met3 ( 202860 2297380 ) M3M4_PR_M
NEW met2 ( 203090 2297380 ) M2M3_PR_M
NEW met1 ( 203090 2266610 ) M1M2_PR
NEW met1 ( 1421630 2266610 ) M1M2_PR
NEW met3 ( 202860 2297380 ) RECT ( -390 -150 0 150 ) ;
- core0_data_print\[20\] ( core0 hex_out[20] ) ( chip_controller core0_data_print[20] ) + USE SIGNAL
+ ROUTED met3 ( 192740 2425220 ) ( 201020 * )
NEW met3 ( 201020 2425220 ) ( * 2425900 0 )
NEW met3 ( 2799100 683060 0 ) ( 2814970 * )
NEW met2 ( 2814970 682890 ) ( * 683060 )
NEW met4 ( 192740 1755420 ) ( * 2425220 )
NEW met1 ( 2814970 682890 ) ( 2856830 * )
NEW met3 ( 192740 1755420 ) ( 2856830 * )
NEW met2 ( 2856830 682890 ) ( * 1755420 )
NEW met3 ( 192740 1755420 ) M3M4_PR_M
NEW met3 ( 192740 2425220 ) M3M4_PR_M
NEW met2 ( 2814970 683060 ) M2M3_PR_M
NEW met1 ( 2814970 682890 ) M1M2_PR
NEW met1 ( 2856830 682890 ) M1M2_PR
NEW met2 ( 2856830 1755420 ) M2M3_PR_M ;
- core0_data_print\[21\] ( core0 hex_out[21] ) ( chip_controller core0_data_print[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2442220 0 ) ( 608810 * )
NEW met2 ( 608810 2436950 ) ( * 2442220 )
NEW met2 ( 1283630 672860 ) ( * 676090 )
NEW met2 ( 887110 676090 ) ( * 2436950 )
NEW met1 ( 608810 2436950 ) ( 887110 * )
NEW met1 ( 887110 676090 ) ( 1283630 * )
NEW met3 ( 1283630 672860 ) ( 1300420 * 0 )
NEW met2 ( 608810 2442220 ) M2M3_PR_M
NEW met1 ( 608810 2436950 ) M1M2_PR
NEW met1 ( 887110 676090 ) M1M2_PR
NEW met1 ( 887110 2436950 ) M1M2_PR
NEW met1 ( 1283630 676090 ) M1M2_PR
NEW met2 ( 1283630 672860 ) M2M3_PR_M ;
- core0_data_print\[22\] ( core0 hex_out[22] ) ( chip_controller core0_data_print[22] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 744260 0 ) ( 2813590 * )
NEW met2 ( 2813590 744260 ) ( * 1695750 )
NEW met2 ( 336950 2699260 0 ) ( 337870 * )
NEW met2 ( 337870 2699260 ) ( * 2716430 )
NEW met1 ( 337870 2716430 ) ( 372600 * )
NEW met1 ( 372600 2716430 ) ( * 2716770 )
NEW met2 ( 638250 1695750 ) ( * 2716770 )
NEW met1 ( 638250 1695750 ) ( 2813590 * )
NEW li1 ( 433550 2716770 ) ( 434470 * )
NEW met1 ( 372600 2716770 ) ( 433550 * )
NEW met1 ( 434470 2716770 ) ( 638250 * )
NEW met2 ( 2813590 744260 ) M2M3_PR_M
NEW met1 ( 2813590 1695750 ) M1M2_PR
NEW met1 ( 337870 2716430 ) M1M2_PR
NEW met1 ( 638250 2716770 ) M1M2_PR
NEW met1 ( 638250 1695750 ) M1M2_PR
NEW li1 ( 433550 2716770 ) L1M1_PR_MR
NEW li1 ( 434470 2716770 ) L1M1_PR_MR ;
- core0_data_print\[23\] ( core0 hex_out[23] ) ( chip_controller core0_data_print[23] ) + USE SIGNAL
+ ROUTED met2 ( 1725690 200770 ) ( * 200940 )
NEW met2 ( 1725690 200940 ) ( 1726610 * 0 )
NEW met3 ( 599380 2453780 0 ) ( 608350 * )
NEW met2 ( 608350 2449870 ) ( * 2453780 )
NEW met2 ( 1275810 200770 ) ( * 2449870 )
NEW met1 ( 1275810 200770 ) ( 1725690 * )
NEW met1 ( 608350 2449870 ) ( 1275810 * )
NEW met1 ( 1275810 200770 ) M1M2_PR
NEW met1 ( 1725690 200770 ) M1M2_PR
NEW met2 ( 608350 2453780 ) M2M3_PR_M
NEW met1 ( 608350 2449870 ) M1M2_PR
NEW met1 ( 1275810 2449870 ) M1M2_PR ;
- core0_data_print\[24\] ( core0 hex_out[24] ) ( chip_controller core0_data_print[24] ) + USE SIGNAL
+ ROUTED met2 ( 1766630 202980 ) ( * 203150 )
NEW met2 ( 1766630 202980 ) ( 1768470 * 0 )
NEW met1 ( 365010 203150 ) ( 1766630 * )
NEW met1 ( 359490 2283950 ) ( 365010 * )
NEW met2 ( 359490 2283950 ) ( * 2300100 0 )
NEW met2 ( 365010 203150 ) ( * 2283950 )
NEW met1 ( 1766630 203150 ) M1M2_PR
NEW met1 ( 365010 203150 ) M1M2_PR
NEW met1 ( 365010 2283950 ) M1M2_PR
NEW met1 ( 359490 2283950 ) M1M2_PR ;
- core0_data_print\[25\] ( core0 hex_out[25] ) ( chip_controller core0_data_print[25] ) + USE SIGNAL
+ ROUTED met3 ( 2797260 787100 ) ( 2797490 * )
NEW met3 ( 2797260 785740 0 ) ( * 787100 )
NEW met2 ( 2797950 1691500 ) ( * 1704250 )
NEW met2 ( 2797490 1691500 ) ( 2797950 * )
NEW met2 ( 2797490 787100 ) ( * 1691500 )
NEW met2 ( 355350 2699940 ) ( 356730 * 0 )
NEW met2 ( 355350 2699940 ) ( * 2717790 )
NEW met1 ( 352130 2717790 ) ( 355350 * )
NEW met2 ( 352130 2717790 ) ( * 2747030 )
NEW met1 ( 352130 2747030 ) ( 1501670 * )
NEW met2 ( 1501670 1704250 ) ( * 2747030 )
NEW met1 ( 1501670 1704250 ) ( 2797950 * )
NEW met2 ( 2797490 787100 ) M2M3_PR_M
NEW met1 ( 2797950 1704250 ) M1M2_PR
NEW met1 ( 355350 2717790 ) M1M2_PR
NEW met1 ( 352130 2717790 ) M1M2_PR
NEW met1 ( 352130 2747030 ) M1M2_PR
NEW met1 ( 1501670 1704250 ) M1M2_PR
NEW met1 ( 1501670 2747030 ) M1M2_PR ;
- core0_data_print\[26\] ( core0 hex_out[26] ) ( chip_controller core0_data_print[26] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 774860 ) ( * 779450 )
NEW met2 ( 1191170 779450 ) ( * 2727990 )
NEW met2 ( 361790 2699260 0 ) ( 362710 * )
NEW met2 ( 362710 2699260 ) ( * 2727990 )
NEW met1 ( 362710 2727990 ) ( 1191170 * )
NEW met1 ( 1191170 779450 ) ( 1283630 * )
NEW met3 ( 1283630 774860 ) ( 1300420 * 0 )
NEW met1 ( 1191170 779450 ) M1M2_PR
NEW met1 ( 1191170 2727990 ) M1M2_PR
NEW met1 ( 1283630 779450 ) M1M2_PR
NEW met2 ( 1283630 774860 ) M2M3_PR_M
NEW met1 ( 362710 2727990 ) M1M2_PR ;
- core0_data_print\[27\] ( core0 hex_out[27] ) ( chip_controller core0_data_print[27] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 804100 ) ( * 806990 )
NEW met1 ( 376510 2284290 ) ( 379270 * )
NEW met2 ( 376510 2284290 ) ( * 2300100 0 )
NEW met2 ( 379270 806990 ) ( * 2284290 )
NEW met1 ( 379270 806990 ) ( 1283630 * )
NEW met3 ( 1283630 804100 ) ( 1300420 * 0 )
NEW met1 ( 379270 806990 ) M1M2_PR
NEW met1 ( 1283630 806990 ) M1M2_PR
NEW met2 ( 1283630 804100 ) M2M3_PR_M
NEW met1 ( 379270 2284290 ) M1M2_PR
NEW met1 ( 376510 2284290 ) M1M2_PR ;
- core0_data_print\[28\] ( core0 hex_out[28] ) ( chip_controller core0_data_print[28] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2464490 ) ( * 2468740 )
NEW met3 ( 188830 2468740 ) ( 201020 * )
NEW met3 ( 201020 2468740 ) ( * 2469420 0 )
NEW met2 ( 1952930 1699660 ) ( 1953850 * 0 )
NEW met2 ( 1952930 1699660 ) ( * 1803190 )
NEW met2 ( 146970 1803190 ) ( * 2464490 )
NEW met1 ( 146970 2464490 ) ( 188830 * )
NEW met1 ( 146970 1803190 ) ( 1952930 * )
NEW met1 ( 188830 2464490 ) M1M2_PR
NEW met2 ( 188830 2468740 ) M2M3_PR_M
NEW met1 ( 1952930 1803190 ) M1M2_PR
NEW met1 ( 146970 1803190 ) M1M2_PR
NEW met1 ( 146970 2464490 ) M1M2_PR ;
- core0_data_print\[29\] ( core0 hex_out[29] ) ( chip_controller core0_data_print[29] ) + USE SIGNAL
+ ROUTED met2 ( 190670 2470950 ) ( * 2476220 )
NEW met3 ( 190670 2476220 ) ( 201020 * )
NEW met3 ( 201020 2476220 ) ( * 2476900 0 )
NEW met2 ( 1285470 818380 ) ( * 1058930 )
NEW met1 ( 156630 1058930 ) ( 1285470 * )
NEW met2 ( 156630 1058930 ) ( * 2470950 )
NEW met1 ( 156630 2470950 ) ( 190670 * )
NEW met3 ( 1285470 818380 ) ( 1300420 * 0 )
NEW met1 ( 1285470 1058930 ) M1M2_PR
NEW met1 ( 190670 2470950 ) M1M2_PR
NEW met2 ( 190670 2476220 ) M2M3_PR_M
NEW met2 ( 1285470 818380 ) M2M3_PR_M
NEW met1 ( 156630 1058930 ) M1M2_PR
NEW met1 ( 156630 2470950 ) M1M2_PR ;
- core0_data_print\[2\] ( core0 hex_out[2] ) ( chip_controller core0_data_print[2] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2323900 0 ) ( 607890 * )
NEW met2 ( 607890 2318970 ) ( * 2323900 )
NEW met2 ( 1066970 631210 ) ( * 2318970 )
NEW met2 ( 1289150 264860 ) ( * 631210 )
NEW met1 ( 607890 2318970 ) ( 1066970 * )
NEW met1 ( 1066970 631210 ) ( 1289150 * )
NEW met3 ( 1289150 264860 ) ( 1300420 * 0 )
NEW met2 ( 607890 2323900 ) M2M3_PR_M
NEW met1 ( 607890 2318970 ) M1M2_PR
NEW met1 ( 1066970 2318970 ) M1M2_PR
NEW met1 ( 1066970 631210 ) M1M2_PR
NEW met2 ( 1289150 264860 ) M2M3_PR_M
NEW met1 ( 1289150 631210 ) M1M2_PR ;
- core0_data_print\[30\] ( core0 hex_out[30] ) ( chip_controller core0_data_print[30] ) + USE SIGNAL
+ ROUTED met2 ( 384790 2699260 0 ) ( 385710 * )
NEW met2 ( 385710 2699260 ) ( * 2699940 )
NEW met2 ( 1245450 187510 ) ( * 2699940 )
NEW met2 ( 1914290 187510 ) ( * 200260 0 )
NEW met1 ( 1245450 187510 ) ( 1914290 * )
NEW met3 ( 385710 2699940 ) ( 1245450 * )
NEW met2 ( 385710 2699940 ) M2M3_PR_M
NEW met1 ( 1245450 187510 ) M1M2_PR
NEW met2 ( 1245450 2699940 ) M2M3_PR_M
NEW met1 ( 1914290 187510 ) M1M2_PR ;
- core0_data_print\[31\] ( core0 hex_out[31] ) ( chip_controller core0_data_print[31] ) + USE SIGNAL
+ ROUTED met3 ( 2797030 869380 ) ( 2797260 * )
NEW met3 ( 2797260 868020 0 ) ( * 869380 )
NEW met3 ( 599380 2490500 0 ) ( 610190 * )
NEW met2 ( 610190 1807610 ) ( * 2490500 )
NEW met2 ( 2797030 869380 ) ( * 1807610 )
NEW met1 ( 610190 1807610 ) ( 2797030 * )
NEW met2 ( 2797030 869380 ) M2M3_PR_M
NEW met1 ( 610190 1807610 ) M1M2_PR
NEW met2 ( 610190 2490500 ) M2M3_PR_M
NEW met1 ( 2797030 1807610 ) M1M2_PR ;
- core0_data_print\[3\] ( core0 hex_out[3] ) ( chip_controller core0_data_print[3] ) + USE SIGNAL
+ ROUTED met3 ( 198260 2316420 ) ( 201020 * )
NEW met3 ( 201020 2316420 ) ( * 2317100 0 )
NEW met3 ( 198260 2296020 ) ( 198490 * )
NEW met2 ( 198490 2291770 ) ( * 2296020 )
NEW met4 ( 198260 2296020 ) ( * 2316420 )
NEW met2 ( 1414270 189890 ) ( * 200260 0 )
NEW met1 ( 348450 189890 ) ( 1414270 * )
NEW met1 ( 198490 2291770 ) ( 348450 * )
NEW met2 ( 348450 189890 ) ( * 2291770 )
NEW met3 ( 198260 2316420 ) M3M4_PR_M
NEW met3 ( 198260 2296020 ) M3M4_PR_M
NEW met2 ( 198490 2296020 ) M2M3_PR_M
NEW met1 ( 198490 2291770 ) M1M2_PR
NEW met1 ( 348450 189890 ) M1M2_PR
NEW met1 ( 1414270 189890 ) M1M2_PR
NEW met1 ( 348450 2291770 ) M1M2_PR
NEW met3 ( 198260 2296020 ) RECT ( -390 -150 0 150 ) ;
- core0_data_print\[4\] ( core0 hex_out[4] ) ( chip_controller core0_data_print[4] ) + USE SIGNAL
+ ROUTED met3 ( 191820 2330700 ) ( 201020 * )
NEW met3 ( 201020 2330700 ) ( * 2331380 0 )
NEW met3 ( 186990 2298060 ) ( 191820 * )
NEW met2 ( 186990 1797410 ) ( * 2298060 )
NEW met4 ( 191820 2298060 ) ( * 2330700 )
NEW met2 ( 2795190 356660 ) ( 2796570 * )
NEW met3 ( 2796570 356660 ) ( 2797260 * )
NEW met3 ( 2797260 353940 0 ) ( * 356660 )
NEW met2 ( 2795190 356660 ) ( * 1797410 )
NEW met1 ( 186990 1797410 ) ( 2795190 * )
NEW met3 ( 191820 2330700 ) M3M4_PR_M
NEW met1 ( 186990 1797410 ) M1M2_PR
NEW met2 ( 186990 2298060 ) M2M3_PR_M
NEW met3 ( 191820 2298060 ) M3M4_PR_M
NEW met2 ( 2796570 356660 ) M2M3_PR_M
NEW met1 ( 2795190 1797410 ) M1M2_PR ;
- core0_data_print\[5\] ( core0 hex_out[5] ) ( chip_controller core0_data_print[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2338180 0 ) ( 608810 * )
NEW met2 ( 608810 2332230 ) ( * 2338180 )
NEW met2 ( 1439570 1756950 ) ( * 2332230 )
NEW met1 ( 608810 2332230 ) ( 1439570 * )
NEW met1 ( 1439570 1756950 ) ( 1511330 * )
NEW met2 ( 1511330 1699660 ) ( 1512710 * 0 )
NEW met2 ( 1511330 1699660 ) ( * 1756950 )
NEW met2 ( 608810 2338180 ) M2M3_PR_M
NEW met1 ( 608810 2332230 ) M1M2_PR
NEW met1 ( 1439570 1756950 ) M1M2_PR
NEW met1 ( 1439570 2332230 ) M1M2_PR
NEW met1 ( 1511330 1756950 ) M1M2_PR ;
- core0_data_print\[6\] ( core0 hex_out[6] ) ( chip_controller core0_data_print[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2343620 0 ) ( 608350 * )
NEW met2 ( 608350 2339710 ) ( * 2343620 )
NEW met2 ( 1087210 769250 ) ( * 2339710 )
NEW met2 ( 1288230 308380 ) ( * 769250 )
NEW met1 ( 608350 2339710 ) ( 1087210 * )
NEW met1 ( 1087210 769250 ) ( 1288230 * )
NEW met3 ( 1288230 308380 ) ( 1300420 * 0 )
NEW met2 ( 608350 2343620 ) M2M3_PR_M
NEW met1 ( 608350 2339710 ) M1M2_PR
NEW met1 ( 1087210 769250 ) M1M2_PR
NEW met1 ( 1087210 2339710 ) M1M2_PR
NEW met2 ( 1288230 308380 ) M2M3_PR_M
NEW met1 ( 1288230 769250 ) M1M2_PR ;
- core0_data_print\[7\] ( core0 hex_out[7] ) ( chip_controller core0_data_print[7] ) + USE SIGNAL
+ ROUTED met2 ( 275770 2289730 ) ( * 2300100 0 )
NEW met1 ( 275770 2289730 ) ( 362710 * )
NEW met2 ( 362710 1799790 ) ( * 2289730 )
NEW met2 ( 1584010 1699660 ) ( 1586310 * 0 )
NEW met1 ( 362710 1799790 ) ( 1584010 * )
NEW met2 ( 1584010 1699660 ) ( * 1799790 )
NEW met1 ( 275770 2289730 ) M1M2_PR
NEW met1 ( 362710 1799790 ) M1M2_PR
NEW met1 ( 362710 2289730 ) M1M2_PR
NEW met1 ( 1584010 1799790 ) M1M2_PR ;
- core0_data_print\[8\] ( core0 hex_out[8] ) ( chip_controller core0_data_print[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2356540 0 ) ( 607430 * )
NEW met2 ( 607430 2353650 ) ( * 2356540 )
NEW met2 ( 1285930 381820 ) ( * 665890 )
NEW met1 ( 1122170 665890 ) ( 1285930 * )
NEW met3 ( 1285930 381820 ) ( 1300420 * 0 )
NEW met1 ( 607430 2353650 ) ( 1122170 * )
NEW met2 ( 1122170 665890 ) ( * 2353650 )
NEW met2 ( 1285930 381820 ) M2M3_PR_M
NEW met1 ( 1285930 665890 ) M1M2_PR
NEW met2 ( 607430 2356540 ) M2M3_PR_M
NEW met1 ( 607430 2353650 ) M1M2_PR
NEW met1 ( 1122170 665890 ) M1M2_PR
NEW met1 ( 1122170 2353650 ) M1M2_PR ;
- core0_data_print\[9\] ( core0 hex_out[9] ) ( chip_controller core0_data_print[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2363340 0 ) ( 607430 * )
NEW met2 ( 607430 2360110 ) ( * 2363340 )
NEW met1 ( 1405070 1742670 ) ( 1614830 * )
NEW met1 ( 607430 2360110 ) ( 1405070 * )
NEW met2 ( 1405070 1742670 ) ( * 2360110 )
NEW met2 ( 1614830 1699660 ) ( 1615750 * 0 )
NEW met2 ( 1614830 1699660 ) ( * 1742670 )
NEW met2 ( 607430 2363340 ) M2M3_PR_M
NEW met1 ( 607430 2360110 ) M1M2_PR
NEW met1 ( 1405070 1742670 ) M1M2_PR
NEW met1 ( 1614830 1742670 ) M1M2_PR
NEW met1 ( 1405070 2360110 ) M1M2_PR ;
- core0_is_mem_we ( core0 is_memory_we ) ( chip_controller we ) + USE SIGNAL
+ ROUTED met2 ( 1283630 221340 ) ( * 227630 )
NEW met2 ( 219190 227630 ) ( * 2300100 0 )
NEW met1 ( 219190 227630 ) ( 1283630 * )
NEW met3 ( 1283630 221340 ) ( 1300420 * 0 )
NEW met1 ( 219190 227630 ) M1M2_PR
NEW met1 ( 1283630 227630 ) M1M2_PR
NEW met2 ( 1283630 221340 ) M2M3_PR_M ;
- core0_need_reset_mem_req ( core0 is_mem_req_reset ) ( chip_controller reset_mem_req ) + USE SIGNAL
+ ROUTED met3 ( 2796570 213180 ) ( 2797260 * )
NEW met3 ( 2797260 210460 0 ) ( * 213180 )
NEW met1 ( 222410 2283950 ) ( 226550 * )
NEW met2 ( 222410 2283950 ) ( * 2300100 0 )
NEW met2 ( 226550 1784490 ) ( * 2283950 )
NEW met2 ( 2794730 276000 ) ( 2796570 * )
NEW met2 ( 2796570 213180 ) ( * 276000 )
NEW met2 ( 2794730 276000 ) ( * 1784490 )
NEW met1 ( 226550 1784490 ) ( 2794730 * )
NEW met2 ( 2796570 213180 ) M2M3_PR_M
NEW met1 ( 226550 1784490 ) M1M2_PR
NEW met1 ( 226550 2283950 ) M1M2_PR
NEW met1 ( 222410 2283950 ) M1M2_PR
NEW met1 ( 2794730 1784490 ) M1M2_PR ;
- core0_to_mem_address\[0\] ( core0 mem_addr_out[0] ) ( chip_controller addr_in[0] ) + USE SIGNAL
+ ROUTED met3 ( 203780 2299420 ) ( * 2300780 0 )
NEW met3 ( 203780 2299420 ) ( 206770 * )
NEW met2 ( 206770 2298910 ) ( * 2299420 )
NEW met2 ( 1306630 202980 ) ( 1310310 * 0 )
NEW met2 ( 1306630 202980 ) ( * 204340 )
NEW met2 ( 1304790 204340 ) ( 1306630 * )
NEW met2 ( 1304790 204340 ) ( * 210290 )
NEW met1 ( 534750 210290 ) ( 1304790 * )
NEW met2 ( 534750 210290 ) ( * 2256300 )
NEW met2 ( 532910 2256300 ) ( * 2298910 )
NEW met2 ( 532910 2256300 ) ( 534750 * )
NEW met1 ( 206770 2298910 ) ( 532910 * )
NEW met2 ( 206770 2299420 ) M2M3_PR_M
NEW met1 ( 206770 2298910 ) M1M2_PR
NEW met1 ( 534750 210290 ) M1M2_PR
NEW met1 ( 1304790 210290 ) M1M2_PR
NEW met1 ( 532910 2298910 ) M1M2_PR ;
- core0_to_mem_address\[10\] ( core0 mem_addr_out[10] ) ( chip_controller addr_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 297850 2290070 ) ( * 2300100 0 )
NEW met2 ( 382950 181050 ) ( * 2290070 )
NEW met2 ( 1497530 181050 ) ( * 200260 0 )
NEW met1 ( 382950 181050 ) ( 1497530 * )
NEW met1 ( 297850 2290070 ) ( 382950 * )
NEW met1 ( 382950 181050 ) M1M2_PR
NEW met1 ( 297850 2290070 ) M1M2_PR
NEW met1 ( 382950 2290070 ) M1M2_PR
NEW met1 ( 1497530 181050 ) M1M2_PR ;
- core0_to_mem_address\[11\] ( core0 mem_addr_out[11] ) ( chip_controller addr_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 127190 1141890 ) ( * 2367250 )
NEW met2 ( 188830 2367250 ) ( * 2368100 )
NEW met3 ( 188830 2368100 ) ( 200100 * )
NEW met3 ( 200100 2367420 0 ) ( * 2368100 )
NEW met2 ( 1288690 439620 ) ( * 1141890 )
NEW met1 ( 127190 2367250 ) ( 188830 * )
NEW met1 ( 127190 1141890 ) ( 1288690 * )
NEW met3 ( 1288690 439620 ) ( 1300420 * 0 )
NEW met1 ( 127190 1141890 ) M1M2_PR
NEW met1 ( 127190 2367250 ) M1M2_PR
NEW met1 ( 188830 2367250 ) M1M2_PR
NEW met2 ( 188830 2368100 ) M2M3_PR_M
NEW met2 ( 1288690 439620 ) M2M3_PR_M
NEW met1 ( 1288690 1141890 ) M1M2_PR ;
- core0_to_mem_address\[12\] ( core0 mem_addr_out[12] ) ( chip_controller addr_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 121670 1114350 ) ( * 2373710 )
NEW met2 ( 187910 2373710 ) ( * 2379660 )
NEW met3 ( 187910 2379660 ) ( 201020 * )
NEW met3 ( 201020 2379660 ) ( * 2380340 0 )
NEW met2 ( 1290070 483140 ) ( * 1114350 )
NEW met3 ( 1290070 483140 ) ( 1300420 * 0 )
NEW met1 ( 121670 2373710 ) ( 187910 * )
NEW met1 ( 121670 1114350 ) ( 1290070 * )
NEW met2 ( 1290070 483140 ) M2M3_PR_M
NEW met1 ( 121670 1114350 ) M1M2_PR
NEW met1 ( 121670 2373710 ) M1M2_PR
NEW met1 ( 187910 2373710 ) M1M2_PR
NEW met2 ( 187910 2379660 ) M2M3_PR_M
NEW met1 ( 1290070 1114350 ) M1M2_PR ;
- core0_to_mem_address\[13\] ( core0 mem_addr_out[13] ) ( chip_controller addr_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 316710 1784830 ) ( * 2256300 )
NEW met2 ( 315790 2256300 ) ( 316710 * )
NEW met2 ( 315790 2256300 ) ( * 2300100 )
NEW met2 ( 314410 2300100 0 ) ( 315790 * )
NEW met3 ( 2799100 559300 0 ) ( 2802550 * )
NEW met2 ( 2802550 559300 ) ( * 1784830 )
NEW met1 ( 316710 1784830 ) ( 2802550 * )
NEW met1 ( 316710 1784830 ) M1M2_PR
NEW met2 ( 2802550 559300 ) M2M3_PR_M
NEW met1 ( 2802550 1784830 ) M1M2_PR ;
- core0_to_mem_address\[14\] ( core0 mem_addr_out[14] ) ( chip_controller addr_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 317630 2284630 ) ( * 2300100 0 )
NEW met2 ( 1745930 1699660 ) ( 1748230 * 0 )
NEW met2 ( 1745930 1699660 ) ( * 1799450 )
NEW met1 ( 317630 2284630 ) ( 327750 * )
NEW met2 ( 327750 1799450 ) ( * 2284630 )
NEW met1 ( 327750 1799450 ) ( 1745930 * )
NEW met1 ( 317630 2284630 ) M1M2_PR
NEW met1 ( 1745930 1799450 ) M1M2_PR
NEW met1 ( 327750 1799450 ) M1M2_PR
NEW met1 ( 327750 2284630 ) M1M2_PR ;
- core0_to_mem_address\[15\] ( core0 mem_addr_out[15] ) ( chip_controller addr_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 1601950 182070 ) ( * 200260 0 )
NEW met1 ( 330510 182070 ) ( 1601950 * )
NEW met1 ( 326370 2283950 ) ( 330510 * )
NEW met2 ( 326370 2283950 ) ( * 2300100 0 )
NEW met2 ( 330510 182070 ) ( * 2283950 )
NEW met1 ( 330510 182070 ) M1M2_PR
NEW met1 ( 1601950 182070 ) M1M2_PR
NEW met1 ( 330510 2283950 ) M1M2_PR
NEW met1 ( 326370 2283950 ) M1M2_PR ;
- core0_to_mem_address\[16\] ( core0 mem_addr_out[16] ) ( chip_controller addr_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 298310 2699260 0 ) ( 299690 * )
NEW met2 ( 299690 2699260 ) ( * 2729350 )
NEW met2 ( 1283630 512380 ) ( * 517310 )
NEW met2 ( 867330 517310 ) ( * 2729350 )
NEW met1 ( 299690 2729350 ) ( 867330 * )
NEW met1 ( 867330 517310 ) ( 1283630 * )
NEW met3 ( 1283630 512380 ) ( 1300420 * 0 )
NEW met1 ( 299690 2729350 ) M1M2_PR
NEW met1 ( 867330 517310 ) M1M2_PR
NEW met1 ( 867330 2729350 ) M1M2_PR
NEW met1 ( 1283630 517310 ) M1M2_PR
NEW met2 ( 1283630 512380 ) M2M3_PR_M ;
- core0_to_mem_address\[17\] ( core0 mem_addr_out[17] ) ( chip_controller addr_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 307050 2699260 0 ) ( 307970 * )
NEW met2 ( 307970 2699260 ) ( * 2730030 )
NEW met2 ( 797870 558790 ) ( * 2730030 )
NEW met2 ( 1283630 556580 ) ( * 558790 )
NEW met1 ( 307970 2730030 ) ( 797870 * )
NEW met1 ( 797870 558790 ) ( 1283630 * )
NEW met3 ( 1283630 556580 ) ( 1300420 * 0 )
NEW met1 ( 307970 2730030 ) M1M2_PR
NEW met1 ( 797870 2730030 ) M1M2_PR
NEW met1 ( 797870 558790 ) M1M2_PR
NEW met1 ( 1283630 558790 ) M1M2_PR
NEW met2 ( 1283630 556580 ) M2M3_PR_M ;
- core0_to_mem_address\[18\] ( core0 mem_addr_out[18] ) ( chip_controller addr_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 319930 2699260 0 ) ( 321310 * )
NEW met2 ( 321310 2699260 ) ( * 2729010 )
NEW met2 ( 1283630 585140 ) ( * 586330 )
NEW met2 ( 894470 586330 ) ( * 2729010 )
NEW met1 ( 321310 2729010 ) ( 894470 * )
NEW met1 ( 894470 586330 ) ( 1283630 * )
NEW met3 ( 1283630 585140 ) ( 1300420 * 0 )
NEW met1 ( 321310 2729010 ) M1M2_PR
NEW met1 ( 894470 586330 ) M1M2_PR
NEW met1 ( 894470 2729010 ) M1M2_PR
NEW met1 ( 1283630 586330 ) M1M2_PR
NEW met2 ( 1283630 585140 ) M2M3_PR_M ;
- core0_to_mem_address\[19\] ( core0 mem_addr_out[19] ) ( chip_controller addr_in[19] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2415190 ) ( * 2416380 )
NEW met3 ( 187910 2416380 ) ( 201020 * )
NEW met3 ( 201020 2416380 ) ( * 2417060 0 )
NEW met2 ( 95450 205530 ) ( * 2415190 )
NEW met1 ( 95450 2415190 ) ( 187910 * )
NEW met2 ( 1683830 202300 ) ( 1685210 * 0 )
NEW met2 ( 1683830 202130 ) ( * 202300 )
NEW li1 ( 1683830 202130 ) ( * 205530 )
NEW met1 ( 95450 205530 ) ( 1683830 * )
NEW met1 ( 95450 205530 ) M1M2_PR
NEW met1 ( 95450 2415190 ) M1M2_PR
NEW met1 ( 187910 2415190 ) M1M2_PR
NEW met2 ( 187910 2416380 ) M2M3_PR_M
NEW li1 ( 1683830 202130 ) L1M1_PR_MR
NEW met1 ( 1683830 202130 ) M1M2_PR
NEW li1 ( 1683830 205530 ) L1M1_PR_MR
NEW met1 ( 1683830 202130 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_address\[1\] ( core0 mem_addr_out[1] ) ( chip_controller addr_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 202170 2699260 0 ) ( 203550 * )
NEW met2 ( 203550 2699260 ) ( * 2726970 )
NEW met2 ( 1163570 255170 ) ( * 2726970 )
NEW met2 ( 1283630 250580 ) ( * 255170 )
NEW met1 ( 203550 2726970 ) ( 1163570 * )
NEW met1 ( 1163570 255170 ) ( 1283630 * )
NEW met3 ( 1283630 250580 ) ( 1300420 * 0 )
NEW met1 ( 203550 2726970 ) M1M2_PR
NEW met1 ( 1163570 2726970 ) M1M2_PR
NEW met1 ( 1163570 255170 ) M1M2_PR
NEW met1 ( 1283630 255170 ) M1M2_PR
NEW met2 ( 1283630 250580 ) M2M3_PR_M ;
- core0_to_mem_address\[2\] ( core0 mem_addr_out[2] ) ( chip_controller addr_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 1351710 198390 ) ( * 200260 0 )
NEW met1 ( 233910 198390 ) ( 1351710 * )
NEW met2 ( 233910 198390 ) ( * 2300100 0 )
NEW met1 ( 1351710 198390 ) M1M2_PR
NEW met1 ( 233910 198390 ) M1M2_PR ;
- core0_to_mem_address\[3\] ( core0 mem_addr_out[3] ) ( chip_controller addr_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 219190 2699940 ) ( 220570 * 0 )
NEW met2 ( 219190 2699940 ) ( * 2712010 )
NEW met2 ( 2808530 276000 ) ( 2808990 * )
NEW met2 ( 2808990 206380 ) ( * 276000 )
NEW met3 ( 2799100 333540 0 ) ( 2808530 * )
NEW met2 ( 2808530 276000 ) ( * 333540 )
NEW met1 ( 82570 2712010 ) ( 219190 * )
NEW met3 ( 82570 206380 ) ( 2808990 * )
NEW met2 ( 82570 206380 ) ( * 2712010 )
NEW met1 ( 219190 2712010 ) M1M2_PR
NEW met2 ( 2808990 206380 ) M2M3_PR_M
NEW met2 ( 2808530 333540 ) M2M3_PR_M
NEW met2 ( 82570 206380 ) M2M3_PR_M
NEW met1 ( 82570 2712010 ) M1M2_PR ;
- core0_to_mem_address\[4\] ( core0 mem_addr_out[4] ) ( chip_controller addr_in[4] ) + USE SIGNAL
+ ROUTED met3 ( 186300 2327300 ) ( 201020 * )
NEW met3 ( 201020 2327300 ) ( * 2327980 0 )
NEW met3 ( 2799100 374340 0 ) ( 2802090 * )
NEW met4 ( 186300 1805060 ) ( * 2327300 )
NEW met2 ( 2802090 374340 ) ( * 1805060 )
NEW met3 ( 186300 1805060 ) ( 2802090 * )
NEW met3 ( 186300 2327300 ) M3M4_PR_M
NEW met2 ( 2802090 374340 ) M2M3_PR_M
NEW met3 ( 186300 1805060 ) M3M4_PR_M
NEW met2 ( 2802090 1805060 ) M2M3_PR_M ;
- core0_to_mem_address\[5\] ( core0 mem_addr_out[5] ) ( chip_controller addr_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 307510 1783130 ) ( * 2287690 )
NEW met2 ( 259210 2287690 ) ( * 2300100 0 )
NEW met1 ( 259210 2287690 ) ( 307510 * )
NEW met2 ( 1525130 1699660 ) ( 1527430 * 0 )
NEW met1 ( 307510 1783130 ) ( 1525130 * )
NEW met2 ( 1525130 1699660 ) ( * 1783130 )
NEW met1 ( 307510 1783130 ) M1M2_PR
NEW met1 ( 307510 2287690 ) M1M2_PR
NEW met1 ( 259210 2287690 ) M1M2_PR
NEW met1 ( 1525130 1783130 ) M1M2_PR ;
- core0_to_mem_address\[6\] ( core0 mem_addr_out[6] ) ( chip_controller addr_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 323340 ) ( * 324190 )
NEW met1 ( 268410 324190 ) ( 1283630 * )
NEW met3 ( 1283630 323340 ) ( 1300420 * 0 )
NEW met2 ( 267490 2300100 0 ) ( 268410 * )
NEW met2 ( 268410 324190 ) ( * 2300100 )
NEW met1 ( 1283630 324190 ) M1M2_PR
NEW met2 ( 1283630 323340 ) M2M3_PR_M
NEW met1 ( 268410 324190 ) M1M2_PR ;
- core0_to_mem_address\[7\] ( core0 mem_addr_out[7] ) ( chip_controller addr_in[7] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 415820 0 ) ( 2808300 * )
NEW met4 ( 184460 1762900 ) ( * 2712860 )
NEW met4 ( 2808300 415820 ) ( * 1762900 )
NEW met2 ( 235750 2699940 ) ( 237130 * 0 )
NEW met2 ( 235750 2699940 ) ( * 2712860 )
NEW met3 ( 184460 2712860 ) ( 235750 * )
NEW met3 ( 184460 1762900 ) ( 2808300 * )
NEW met3 ( 184460 1762900 ) M3M4_PR_M
NEW met3 ( 184460 2712860 ) M3M4_PR_M
NEW met3 ( 2808300 415820 ) M3M4_PR_M
NEW met3 ( 2808300 1762900 ) M3M4_PR_M
NEW met2 ( 235750 2712860 ) M2M3_PR_M ;
- core0_to_mem_address\[8\] ( core0 mem_addr_out[8] ) ( chip_controller addr_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 396100 ) ( * 400010 )
NEW met3 ( 599380 2355180 0 ) ( 607890 * )
NEW met2 ( 607890 2353310 ) ( * 2355180 )
NEW met1 ( 1135510 400010 ) ( 1283630 * )
NEW met3 ( 1283630 396100 ) ( 1300420 * 0 )
NEW met1 ( 607890 2353310 ) ( 1135510 * )
NEW met2 ( 1135510 400010 ) ( * 2353310 )
NEW met1 ( 1283630 400010 ) M1M2_PR
NEW met2 ( 1283630 396100 ) M2M3_PR_M
NEW met2 ( 607890 2355180 ) M2M3_PR_M
NEW met1 ( 607890 2353310 ) M1M2_PR
NEW met1 ( 1135510 400010 ) M1M2_PR
NEW met1 ( 1135510 2353310 ) M1M2_PR ;
- core0_to_mem_address\[9\] ( core0 mem_addr_out[9] ) ( chip_controller addr_in[9] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 477020 0 ) ( 2809220 * )
NEW met1 ( 181010 2360110 ) ( 187910 * )
NEW met2 ( 187910 2360110 ) ( * 2362660 )
NEW met3 ( 187910 2362660 ) ( 200100 * )
NEW met3 ( 200100 2361980 0 ) ( * 2362660 )
NEW met2 ( 181010 1700340 ) ( * 2360110 )
NEW met4 ( 2809220 477020 ) ( * 1700340 )
NEW met3 ( 181010 1700340 ) ( 2809220 * )
NEW met3 ( 2809220 477020 ) M3M4_PR_M
NEW met2 ( 181010 1700340 ) M2M3_PR_M
NEW met1 ( 181010 2360110 ) M1M2_PR
NEW met1 ( 187910 2360110 ) M1M2_PR
NEW met2 ( 187910 2362660 ) M2M3_PR_M
NEW met3 ( 2809220 1700340 ) M3M4_PR_M ;
- core0_to_mem_data\[0\] ( core0 mem_data_out[0] ) ( chip_controller wr_data[0] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2313700 0 ) ( 607430 * )
NEW met2 ( 607430 2312510 ) ( * 2313700 )
NEW met2 ( 1281330 206550 ) ( * 2312510 )
NEW met3 ( 2799100 251260 0 ) ( 2809450 * )
NEW met2 ( 2809450 206550 ) ( * 251260 )
NEW met1 ( 607430 2312510 ) ( 1281330 * )
NEW met1 ( 1281330 206550 ) ( 2809450 * )
NEW met2 ( 607430 2313700 ) M2M3_PR_M
NEW met1 ( 607430 2312510 ) M1M2_PR
NEW met1 ( 1281330 206550 ) M1M2_PR
NEW met1 ( 1281330 2312510 ) M1M2_PR
NEW met1 ( 2809450 206550 ) M1M2_PR
NEW met2 ( 2809450 251260 ) M2M3_PR_M ;
- core0_to_mem_data\[100\] ( core0 mem_data_out[100] ) ( chip_controller wr_data[100] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2630580 0 ) ( 608350 * )
NEW met2 ( 608350 2629050 ) ( * 2630580 )
NEW met2 ( 1283630 1473900 ) ( * 1476450 )
NEW met2 ( 901830 1476450 ) ( * 2629050 )
NEW met1 ( 608350 2629050 ) ( 901830 * )
NEW met1 ( 901830 1476450 ) ( 1283630 * )
NEW met3 ( 1283630 1473900 ) ( 1300420 * 0 )
NEW met2 ( 608350 2630580 ) M2M3_PR_M
NEW met1 ( 608350 2629050 ) M1M2_PR
NEW met1 ( 901830 1476450 ) M1M2_PR
NEW met1 ( 901830 2629050 ) M1M2_PR
NEW met1 ( 1283630 1476450 ) M1M2_PR
NEW met2 ( 1283630 1473900 ) M2M3_PR_M ;
- core0_to_mem_data\[101\] ( core0 mem_data_out[101] ) ( chip_controller wr_data[101] ) + USE SIGNAL
+ ROUTED met2 ( 2630510 1699660 0 ) ( * 1715300 )
NEW met2 ( 537510 2699260 0 ) ( 537970 * )
NEW met2 ( 537970 2699260 ) ( * 2705550 )
NEW met1 ( 537970 2705550 ) ( 1404610 * )
NEW met2 ( 1404610 1715300 ) ( * 2705550 )
NEW met3 ( 1404610 1715300 ) ( 2630510 * )
NEW met2 ( 2630510 1715300 ) M2M3_PR_M
NEW met1 ( 537970 2705550 ) M1M2_PR
NEW met1 ( 1404610 2705550 ) M1M2_PR
NEW met2 ( 1404610 1715300 ) M2M3_PR_M ;
- core0_to_mem_data\[102\] ( core0 mem_data_out[102] ) ( chip_controller wr_data[102] ) + USE SIGNAL
+ ROUTED met2 ( 2518270 193460 ) ( * 200260 0 )
NEW met2 ( 129950 193460 ) ( * 2650470 )
NEW met2 ( 186530 2650470 ) ( * 2654380 )
NEW met3 ( 186530 2654380 ) ( 201020 * )
NEW met3 ( 201020 2654380 ) ( * 2655060 0 )
NEW met3 ( 129950 193460 ) ( 2518270 * )
NEW met1 ( 129950 2650470 ) ( 186530 * )
NEW met2 ( 129950 193460 ) M2M3_PR_M
NEW met2 ( 2518270 193460 ) M2M3_PR_M
NEW met1 ( 129950 2650470 ) M1M2_PR
NEW met1 ( 186530 2650470 ) M1M2_PR
NEW met2 ( 186530 2654380 ) M2M3_PR_M ;
- core0_to_mem_data\[103\] ( core0 mem_data_out[103] ) ( chip_controller wr_data[103] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2639420 0 ) ( 611110 * )
NEW met3 ( 2799100 1463700 0 ) ( * 1466420 )
NEW met3 ( 2799100 1466420 ) ( 2799790 * )
NEW met2 ( 611110 1701870 ) ( * 2639420 )
NEW met2 ( 2799790 1466420 ) ( * 1701870 )
NEW met1 ( 611110 1701870 ) ( 2799790 * )
NEW met2 ( 611110 2639420 ) M2M3_PR_M
NEW met2 ( 2799790 1466420 ) M2M3_PR_M
NEW met1 ( 611110 1701870 ) M1M2_PR
NEW met1 ( 2799790 1701870 ) M1M2_PR ;
- core0_to_mem_data\[104\] ( core0 mem_data_out[104] ) ( chip_controller wr_data[104] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2656930 ) ( * 2657780 )
NEW met3 ( 187910 2657780 ) ( 201020 * )
NEW met3 ( 201020 2657780 ) ( * 2658460 0 )
NEW met2 ( 2580830 185810 ) ( * 200260 0 )
NEW met1 ( 167210 185810 ) ( 2580830 * )
NEW met2 ( 167210 185810 ) ( * 2656930 )
NEW met1 ( 167210 2656930 ) ( 187910 * )
NEW met1 ( 187910 2656930 ) M1M2_PR
NEW met2 ( 187910 2657780 ) M2M3_PR_M
NEW met1 ( 167210 185810 ) M1M2_PR
NEW met1 ( 2580830 185810 ) M1M2_PR
NEW met1 ( 167210 2656930 ) M1M2_PR ;
- core0_to_mem_data\[105\] ( core0 mem_data_out[105] ) ( chip_controller wr_data[105] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1504500 0 ) ( 2803470 * )
NEW met2 ( 2803470 1504500 ) ( * 1797580 )
NEW met3 ( 546250 2700620 ) ( 547860 * )
NEW met2 ( 546250 2699260 ) ( * 2700620 )
NEW met2 ( 545790 2699260 0 ) ( 546250 * )
NEW met3 ( 547860 1797580 ) ( 2803470 * )
NEW met4 ( 547860 1797580 ) ( * 2700620 )
NEW met2 ( 2803470 1504500 ) M2M3_PR_M
NEW met2 ( 2803470 1797580 ) M2M3_PR_M
NEW met3 ( 547860 2700620 ) M3M4_PR_M
NEW met2 ( 546250 2700620 ) M2M3_PR_M
NEW met3 ( 547860 1797580 ) M3M4_PR_M ;
- core0_to_mem_data\[106\] ( core0 mem_data_out[106] ) ( chip_controller wr_data[106] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2642140 0 ) ( 608810 * )
NEW met2 ( 608810 2635850 ) ( * 2642140 )
NEW met2 ( 2622690 196010 ) ( * 200260 0 )
NEW met2 ( 1267070 196010 ) ( * 2635850 )
NEW met1 ( 608810 2635850 ) ( 1267070 * )
NEW met1 ( 1267070 196010 ) ( 2622690 * )
NEW met2 ( 608810 2642140 ) M2M3_PR_M
NEW met1 ( 608810 2635850 ) M1M2_PR
NEW met1 ( 1267070 196010 ) M1M2_PR
NEW met1 ( 1267070 2635850 ) M1M2_PR
NEW met1 ( 2622690 196010 ) M1M2_PR ;
- core0_to_mem_data\[107\] ( core0 mem_data_out[107] ) ( chip_controller wr_data[107] ) + USE SIGNAL
+ ROUTED met2 ( 991530 1517930 ) ( * 2704190 )
NEW met2 ( 1283630 1517420 ) ( * 1517930 )
NEW met2 ( 554070 2699260 0 ) ( 555450 * )
NEW met2 ( 555450 2699260 ) ( * 2704190 )
NEW met1 ( 555450 2704190 ) ( 991530 * )
NEW met1 ( 991530 1517930 ) ( 1283630 * )
NEW met3 ( 1283630 1517420 ) ( 1300420 * 0 )
NEW met1 ( 991530 2704190 ) M1M2_PR
NEW met1 ( 991530 1517930 ) M1M2_PR
NEW met1 ( 1283630 1517930 ) M1M2_PR
NEW met2 ( 1283630 1517420 ) M2M3_PR_M
NEW met1 ( 555450 2704190 ) M1M2_PR ;
- core0_to_mem_data\[108\] ( core0 mem_data_out[108] ) ( chip_controller wr_data[108] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2650980 0 ) ( 607430 * )
NEW met2 ( 607430 2649790 ) ( * 2650980 )
NEW met1 ( 1411510 1729070 ) ( 2659950 * )
NEW met1 ( 607430 2649790 ) ( 1411510 * )
NEW met2 ( 1411510 1729070 ) ( * 2649790 )
NEW met2 ( 2659950 1699660 0 ) ( * 1729070 )
NEW met2 ( 607430 2650980 ) M2M3_PR_M
NEW met1 ( 607430 2649790 ) M1M2_PR
NEW met1 ( 1411510 1729070 ) M1M2_PR
NEW met1 ( 2659950 1729070 ) M1M2_PR
NEW met1 ( 1411510 2649790 ) M1M2_PR ;
- core0_to_mem_data\[109\] ( core0 mem_data_out[109] ) ( chip_controller wr_data[109] ) + USE SIGNAL
+ ROUTED met2 ( 609730 2618340 ) ( 610190 * )
NEW met2 ( 610190 2594400 ) ( * 2618340 )
NEW met2 ( 610190 2594400 ) ( 610650 * )
NEW met2 ( 610650 1701530 ) ( * 2594400 )
NEW met3 ( 599380 2653700 0 ) ( 609730 * )
NEW met2 ( 609730 2618340 ) ( * 2653700 )
NEW met3 ( 2799100 1525580 0 ) ( 2808530 * )
NEW met2 ( 2808530 1525580 ) ( * 1525750 )
NEW met1 ( 2808530 1525750 ) ( 2818190 * )
NEW met2 ( 2818190 1525750 ) ( * 1701530 )
NEW met1 ( 610650 1701530 ) ( 2818190 * )
NEW met1 ( 610650 1701530 ) M1M2_PR
NEW met2 ( 609730 2653700 ) M2M3_PR_M
NEW met2 ( 2808530 1525580 ) M2M3_PR_M
NEW met1 ( 2808530 1525750 ) M1M2_PR
NEW met1 ( 2818190 1525750 ) M1M2_PR
NEW met1 ( 2818190 1701530 ) M1M2_PR ;
- core0_to_mem_data\[10\] ( core0 mem_data_out[10] ) ( chip_controller wr_data[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2378300 0 ) ( 609730 * )
NEW met2 ( 609730 1806930 ) ( * 2378300 )
NEW met3 ( 2799100 518500 0 ) ( 2808990 * )
NEW met2 ( 2808990 518500 ) ( * 524110 )
NEW met1 ( 2808990 524110 ) ( 2823250 * )
NEW met2 ( 2823250 524110 ) ( * 1806930 )
NEW met1 ( 609730 1806930 ) ( 2823250 * )
NEW met1 ( 609730 1806930 ) M1M2_PR
NEW met2 ( 609730 2378300 ) M2M3_PR_M
NEW met2 ( 2808990 518500 ) M2M3_PR_M
NEW met1 ( 2808990 524110 ) M1M2_PR
NEW met1 ( 2823250 524110 ) M1M2_PR
NEW met1 ( 2823250 1806930 ) M1M2_PR ;
- core0_to_mem_data\[110\] ( core0 mem_data_out[110] ) ( chip_controller wr_data[110] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1566380 0 ) ( 2800710 * )
NEW met2 ( 2800710 1566380 ) ( * 1676700 )
NEW met2 ( 2801170 1676700 ) ( * 1708500 )
NEW met2 ( 2800710 1676700 ) ( 2801170 * )
NEW met3 ( 564420 2700620 ) ( 564650 * )
NEW met2 ( 564650 2699260 ) ( * 2700620 )
NEW met2 ( 564190 2699260 0 ) ( 564650 * )
NEW met4 ( 564420 2691000 ) ( * 2700620 )
NEW met4 ( 564420 2691000 ) ( 565340 * )
NEW met3 ( 565340 1708500 ) ( 2801170 * )
NEW met4 ( 565340 1708500 ) ( * 2691000 )
NEW met2 ( 2800710 1566380 ) M2M3_PR_M
NEW met2 ( 2801170 1708500 ) M2M3_PR_M
NEW met3 ( 564420 2700620 ) M3M4_PR_M
NEW met2 ( 564650 2700620 ) M2M3_PR_M
NEW met3 ( 565340 1708500 ) M3M4_PR_M
NEW met3 ( 564420 2700620 ) RECT ( -390 -150 0 150 ) ;
- core0_to_mem_data\[111\] ( core0 mem_data_out[111] ) ( chip_controller wr_data[111] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2662540 0 ) ( 608350 * )
NEW met2 ( 608350 2656590 ) ( * 2662540 )
NEW met2 ( 1349410 1742330 ) ( * 2656590 )
NEW met1 ( 1349410 1742330 ) ( 2687090 * )
NEW met1 ( 608350 2656590 ) ( 1349410 * )
NEW met2 ( 2687090 1699660 ) ( 2689390 * 0 )
NEW met2 ( 2687090 1699660 ) ( * 1742330 )
NEW met1 ( 1349410 1742330 ) M1M2_PR
NEW met2 ( 608350 2662540 ) M2M3_PR_M
NEW met1 ( 608350 2656590 ) M1M2_PR
NEW met1 ( 1349410 2656590 ) M1M2_PR
NEW met1 ( 2687090 1742330 ) M1M2_PR ;
- core0_to_mem_data\[112\] ( core0 mem_data_out[112] ) ( chip_controller wr_data[112] ) + USE SIGNAL
+ ROUTED met2 ( 569250 2699260 0 ) ( 570630 * )
NEW met2 ( 570630 2699260 ) ( * 2704530 )
NEW met2 ( 1283630 1546660 ) ( * 1552270 )
NEW met2 ( 963010 1552270 ) ( * 2704530 )
NEW met1 ( 570630 2704530 ) ( 963010 * )
NEW met1 ( 963010 1552270 ) ( 1283630 * )
NEW met3 ( 1283630 1546660 ) ( 1300420 * 0 )
NEW met1 ( 570630 2704530 ) M1M2_PR
NEW met1 ( 963010 1552270 ) M1M2_PR
NEW met1 ( 963010 2704530 ) M1M2_PR
NEW met1 ( 1283630 1552270 ) M1M2_PR
NEW met2 ( 1283630 1546660 ) M2M3_PR_M ;
- core0_to_mem_data\[113\] ( core0 mem_data_out[113] ) ( chip_controller wr_data[113] ) + USE SIGNAL
+ ROUTED met2 ( 574310 2699260 0 ) ( 575230 * )
NEW met2 ( 575230 2699260 ) ( * 2704700 )
NEW met3 ( 575230 2704700 ) ( 614100 * )
NEW met3 ( 614100 2704020 ) ( * 2704700 )
NEW met3 ( 614100 2704020 ) ( 1210950 * )
NEW met2 ( 2643390 191250 ) ( * 200260 0 )
NEW met1 ( 1210950 191250 ) ( 2643390 * )
NEW met2 ( 1210950 191250 ) ( * 2704020 )
NEW met2 ( 575230 2704700 ) M2M3_PR_M
NEW met1 ( 1210950 191250 ) M1M2_PR
NEW met2 ( 1210950 2704020 ) M2M3_PR_M
NEW met1 ( 2643390 191250 ) M1M2_PR ;
- core0_to_mem_data\[114\] ( core0 mem_data_out[114] ) ( chip_controller wr_data[114] ) + USE SIGNAL
+ ROUTED met2 ( 575690 2699260 0 ) ( 577070 * )
NEW met2 ( 577070 2699260 ) ( * 2718980 )
NEW met3 ( 577070 2718980 ) ( 1204510 * )
NEW met2 ( 2664090 191590 ) ( * 200260 0 )
NEW met1 ( 1204510 191590 ) ( 2664090 * )
NEW met2 ( 1204510 191590 ) ( * 2718980 )
NEW met2 ( 577070 2718980 ) M2M3_PR_M
NEW met1 ( 1204510 191590 ) M1M2_PR
NEW met2 ( 1204510 2718980 ) M2M3_PR_M
NEW met1 ( 2664090 191590 ) M1M2_PR ;
- core0_to_mem_data\[115\] ( core0 mem_data_out[115] ) ( chip_controller wr_data[115] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2674100 0 ) ( 608810 * )
NEW met2 ( 608810 2670530 ) ( * 2674100 )
NEW met2 ( 1253270 195670 ) ( * 2670530 )
NEW met2 ( 2685250 195670 ) ( * 200260 0 )
NEW met1 ( 1253270 195670 ) ( 2685250 * )
NEW met1 ( 608810 2670530 ) ( 1253270 * )
NEW met1 ( 1253270 195670 ) M1M2_PR
NEW met2 ( 608810 2674100 ) M2M3_PR_M
NEW met1 ( 608810 2670530 ) M1M2_PR
NEW met1 ( 1253270 2670530 ) M1M2_PR
NEW met1 ( 2685250 195670 ) M1M2_PR ;
- core0_to_mem_data\[116\] ( core0 mem_data_out[116] ) ( chip_controller wr_data[116] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1575900 ) ( * 1579810 )
NEW met3 ( 599380 2678860 0 ) ( 607430 * )
NEW met2 ( 607430 2678010 ) ( * 2678860 )
NEW met1 ( 943230 1579810 ) ( 1283630 * )
NEW met3 ( 1283630 1575900 ) ( 1300420 * 0 )
NEW met1 ( 607430 2678010 ) ( 943230 * )
NEW met2 ( 943230 1579810 ) ( * 2678010 )
NEW met1 ( 1283630 1579810 ) M1M2_PR
NEW met2 ( 1283630 1575900 ) M2M3_PR_M
NEW met2 ( 607430 2678860 ) M2M3_PR_M
NEW met1 ( 607430 2678010 ) M1M2_PR
NEW met1 ( 943230 1579810 ) M1M2_PR
NEW met1 ( 943230 2678010 ) M1M2_PR ;
- core0_to_mem_data\[117\] ( core0 mem_data_out[117] ) ( chip_controller wr_data[117] ) + USE SIGNAL
+ ROUTED met2 ( 577990 1593750 ) ( * 2256300 )
NEW met2 ( 577070 2256300 ) ( 577990 * )
NEW met2 ( 1283630 1590180 ) ( * 1593750 )
NEW met1 ( 577990 1593750 ) ( 1283630 * )
NEW met3 ( 1283630 1590180 ) ( 1300420 * 0 )
NEW met2 ( 575690 2300100 0 ) ( 577070 * )
NEW met2 ( 577070 2256300 ) ( * 2300100 )
NEW met1 ( 577990 1593750 ) M1M2_PR
NEW met1 ( 1283630 1593750 ) M1M2_PR
NEW met2 ( 1283630 1590180 ) M2M3_PR_M ;
- core0_to_mem_data\[118\] ( core0 mem_data_out[118] ) ( chip_controller wr_data[118] ) + USE SIGNAL
+ ROUTED met2 ( 578910 2699940 ) ( 579370 * 0 )
NEW met2 ( 578910 2699940 ) ( * 2704870 )
NEW met2 ( 666310 1607690 ) ( * 2704870 )
NEW met2 ( 1283630 1605140 ) ( * 1607690 )
NEW met1 ( 578910 2704870 ) ( 666310 * )
NEW met1 ( 666310 1607690 ) ( 1283630 * )
NEW met3 ( 1283630 1605140 ) ( 1300420 * 0 )
NEW met1 ( 578910 2704870 ) M1M2_PR
NEW met1 ( 666310 2704870 ) M1M2_PR
NEW met1 ( 666310 1607690 ) M1M2_PR
NEW met1 ( 1283630 1607690 ) M1M2_PR
NEW met2 ( 1283630 1605140 ) M2M3_PR_M ;
- core0_to_mem_data\[119\] ( core0 mem_data_out[119] ) ( chip_controller wr_data[119] ) + USE SIGNAL
+ ROUTED met2 ( 2206390 192270 ) ( * 196690 )
NEW met1 ( 578910 196690 ) ( 2206390 * )
NEW met2 ( 2768510 192270 ) ( * 200260 0 )
NEW met1 ( 2206390 192270 ) ( 2768510 * )
NEW met2 ( 578910 196690 ) ( * 2300100 0 )
NEW met1 ( 578910 196690 ) M1M2_PR
NEW met1 ( 2206390 196690 ) M1M2_PR
NEW met1 ( 2206390 192270 ) M1M2_PR
NEW met1 ( 2768510 192270 ) M1M2_PR ;
- core0_to_mem_data\[11\] ( core0 mem_data_out[11] ) ( chip_controller wr_data[11] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 454580 ) ( * 455090 )
NEW met2 ( 267030 2699260 0 ) ( 267950 * )
NEW met2 ( 267950 2699260 ) ( * 2727310 )
NEW met1 ( 267950 2727310 ) ( 1115270 * )
NEW met2 ( 1115270 455090 ) ( * 2727310 )
NEW met1 ( 1115270 455090 ) ( 1283630 * )
NEW met3 ( 1283630 454580 ) ( 1300420 * 0 )
NEW met1 ( 1283630 455090 ) M1M2_PR
NEW met2 ( 1283630 454580 ) M2M3_PR_M
NEW met1 ( 267950 2727310 ) M1M2_PR
NEW met1 ( 1115270 2727310 ) M1M2_PR
NEW met1 ( 1115270 455090 ) M1M2_PR ;
- core0_to_mem_data\[120\] ( core0 mem_data_out[120] ) ( chip_controller wr_data[120] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2685660 0 ) ( 607890 * )
NEW met2 ( 607890 2684130 ) ( * 2685660 )
NEW met2 ( 2718830 1699660 0 ) ( * 1786870 )
NEW met1 ( 607890 2684130 ) ( 1432210 * )
NEW met2 ( 1432210 1786870 ) ( * 2684130 )
NEW met1 ( 1432210 1786870 ) ( 2718830 * )
NEW met2 ( 607890 2685660 ) M2M3_PR_M
NEW met1 ( 607890 2684130 ) M1M2_PR
NEW met1 ( 2718830 1786870 ) M1M2_PR
NEW met1 ( 1432210 1786870 ) M1M2_PR
NEW met1 ( 1432210 2684130 ) M1M2_PR ;
- core0_to_mem_data\[121\] ( core0 mem_data_out[121] ) ( chip_controller wr_data[121] ) + USE SIGNAL
+ ROUTED met1 ( 586270 2714050 ) ( 604670 * )
NEW met2 ( 586270 2699260 ) ( * 2714050 )
NEW met2 ( 585810 2699260 0 ) ( 586270 * )
NEW met3 ( 2799100 1648660 0 ) ( 2814050 * )
NEW met2 ( 604670 1807270 ) ( * 2714050 )
NEW met2 ( 2814050 1648660 ) ( * 1807270 )
NEW met1 ( 604670 1807270 ) ( 2814050 * )
NEW met1 ( 604670 2714050 ) M1M2_PR
NEW met1 ( 586270 2714050 ) M1M2_PR
NEW met2 ( 2814050 1648660 ) M2M3_PR_M
NEW met1 ( 604670 1807270 ) M1M2_PR
NEW met1 ( 2814050 1807270 ) M1M2_PR ;
- core0_to_mem_data\[122\] ( core0 mem_data_out[122] ) ( chip_controller wr_data[122] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2691780 ) ( * 2692290 )
NEW met3 ( 189750 2691780 ) ( 200100 * )
NEW met3 ( 200100 2691100 0 ) ( * 2691780 )
NEW met2 ( 2732630 1699660 ) ( 2733550 * 0 )
NEW met2 ( 2732630 1699660 ) ( * 1797750 )
NEW met1 ( 147890 2692290 ) ( 189750 * )
NEW met2 ( 147890 1797750 ) ( * 2692290 )
NEW met1 ( 147890 1797750 ) ( 2732630 * )
NEW met1 ( 189750 2692290 ) M1M2_PR
NEW met2 ( 189750 2691780 ) M2M3_PR_M
NEW met1 ( 2732630 1797750 ) M1M2_PR
NEW met1 ( 147890 2692290 ) M1M2_PR
NEW met1 ( 147890 1797750 ) M1M2_PR ;
- core0_to_mem_data\[123\] ( core0 mem_data_out[123] ) ( chip_controller wr_data[123] ) + USE SIGNAL
+ ROUTED met1 ( 588570 2712350 ) ( 604210 * )
NEW met2 ( 588570 2699260 ) ( * 2712350 )
NEW met2 ( 587650 2699260 0 ) ( 588570 * )
NEW met3 ( 2799100 1669060 0 ) ( 2814510 * )
NEW met2 ( 604210 1798090 ) ( * 2712350 )
NEW met2 ( 2814510 1669060 ) ( * 1798090 )
NEW met1 ( 604210 1798090 ) ( 2814510 * )
NEW met1 ( 604210 2712350 ) M1M2_PR
NEW met1 ( 588570 2712350 ) M1M2_PR
NEW met2 ( 2814510 1669060 ) M2M3_PR_M
NEW met1 ( 604210 1798090 ) M1M2_PR
NEW met1 ( 2814510 1798090 ) M1M2_PR ;
- core0_to_mem_data\[124\] ( core0 mem_data_out[124] ) ( chip_controller wr_data[124] ) + USE SIGNAL
+ ROUTED met3 ( 590410 2702660 ) ( 592020 * )
NEW met2 ( 590410 2699260 ) ( * 2702660 )
NEW met2 ( 589030 2699260 0 ) ( 590410 * )
NEW met3 ( 592020 1728220 ) ( 2777710 * )
NEW met2 ( 2777710 1699660 0 ) ( * 1728220 )
NEW met4 ( 592020 1728220 ) ( * 2702660 )
NEW met3 ( 592020 1728220 ) M3M4_PR_M
NEW met3 ( 592020 2702660 ) M3M4_PR_M
NEW met2 ( 590410 2702660 ) M2M3_PR_M
NEW met2 ( 2777710 1728220 ) M2M3_PR_M ;
- core0_to_mem_data\[125\] ( core0 mem_data_out[125] ) ( chip_controller wr_data[125] ) + USE SIGNAL
+ ROUTED met2 ( 2789210 194310 ) ( * 200260 0 )
NEW met2 ( 594090 2284290 ) ( * 2300100 0 )
NEW met1 ( 1225670 194310 ) ( 2789210 * )
NEW met1 ( 594090 2284290 ) ( 614100 * )
NEW met1 ( 614100 2283950 ) ( * 2284290 )
NEW met1 ( 614100 2283950 ) ( 1225670 * )
NEW met2 ( 1225670 194310 ) ( * 2283950 )
NEW met1 ( 2789210 194310 ) M1M2_PR
NEW met1 ( 594090 2284290 ) M1M2_PR
NEW met1 ( 1225670 194310 ) M1M2_PR
NEW met1 ( 1225670 2283950 ) M1M2_PR ;
- core0_to_mem_data\[126\] ( core0 mem_data_out[126] ) ( chip_controller wr_data[126] ) + USE SIGNAL
+ ROUTED met2 ( 595930 2699260 0 ) ( 596850 * )
NEW met2 ( 596850 2699260 ) ( * 2716090 )
NEW met1 ( 596850 2716090 ) ( 605130 * )
NEW li1 ( 605130 2714050 ) ( * 2716090 )
NEW met2 ( 1383450 1702890 ) ( * 2714050 )
NEW met2 ( 2797490 1692180 ) ( * 1702890 )
NEW met3 ( 2797260 1692180 ) ( 2797490 * )
NEW met3 ( 2797260 1689460 0 ) ( * 1692180 )
NEW met1 ( 605130 2714050 ) ( 1383450 * )
NEW met1 ( 1383450 1702890 ) ( 2797490 * )
NEW met1 ( 596850 2716090 ) M1M2_PR
NEW li1 ( 605130 2716090 ) L1M1_PR_MR
NEW li1 ( 605130 2714050 ) L1M1_PR_MR
NEW met1 ( 1383450 2714050 ) M1M2_PR
NEW met1 ( 1383450 1702890 ) M1M2_PR
NEW met1 ( 2797490 1702890 ) M1M2_PR
NEW met2 ( 2797490 1692180 ) M2M3_PR_M ;
- core0_to_mem_data\[127\] ( core0 mem_data_out[127] ) ( chip_controller wr_data[127] ) + USE SIGNAL
+ ROUTED met2 ( 600070 2691270 ) ( * 2696540 )
NEW met2 ( 599150 2696540 0 ) ( 600070 * )
NEW met2 ( 1170470 1697110 ) ( * 2691270 )
NEW met2 ( 1283630 1692180 ) ( * 1697110 )
NEW met1 ( 600070 2691270 ) ( 1170470 * )
NEW met1 ( 1170470 1697110 ) ( 1283630 * )
NEW met3 ( 1283630 1692180 ) ( 1300420 * 0 )
NEW met1 ( 600070 2691270 ) M1M2_PR
NEW met1 ( 1170470 2691270 ) M1M2_PR
NEW met1 ( 1170470 1697110 ) M1M2_PR
NEW met1 ( 1283630 1697110 ) M1M2_PR
NEW met2 ( 1283630 1692180 ) M2M3_PR_M ;
- core0_to_mem_data\[12\] ( core0 mem_data_out[12] ) ( chip_controller wr_data[12] ) + USE SIGNAL
+ ROUTED met2 ( 88550 206210 ) ( * 2388670 )
NEW met2 ( 188830 2388670 ) ( * 2389860 )
NEW met3 ( 188830 2389860 ) ( 200100 * )
NEW met3 ( 200100 2389180 0 ) ( * 2389860 )
NEW met2 ( 1518230 201620 0 ) ( 1518690 * )
NEW met2 ( 1518690 201620 ) ( * 201790 )
NEW li1 ( 1518690 201790 ) ( * 206210 )
NEW met1 ( 88550 206210 ) ( 1518690 * )
NEW met1 ( 88550 2388670 ) ( 188830 * )
NEW met1 ( 88550 206210 ) M1M2_PR
NEW met1 ( 88550 2388670 ) M1M2_PR
NEW met1 ( 188830 2388670 ) M1M2_PR
NEW met2 ( 188830 2389860 ) M2M3_PR_M
NEW li1 ( 1518690 201790 ) L1M1_PR_MR
NEW met1 ( 1518690 201790 ) M1M2_PR
NEW li1 ( 1518690 206210 ) L1M1_PR_MR
NEW met1 ( 1518690 201790 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[13\] ( core0 mem_data_out[13] ) ( chip_controller wr_data[13] ) + USE SIGNAL
+ ROUTED met2 ( 1560090 179690 ) ( * 200260 0 )
NEW met3 ( 599380 2391220 0 ) ( 607430 * )
NEW met2 ( 607430 2387650 ) ( * 2391220 )
NEW met1 ( 1197150 179690 ) ( 1560090 * )
NEW met1 ( 607430 2387650 ) ( 1197150 * )
NEW met2 ( 1197150 179690 ) ( * 2387650 )
NEW met1 ( 1560090 179690 ) M1M2_PR
NEW met2 ( 607430 2391220 ) M2M3_PR_M
NEW met1 ( 607430 2387650 ) M1M2_PR
NEW met1 ( 1197150 179690 ) M1M2_PR
NEW met1 ( 1197150 2387650 ) M1M2_PR ;
- core0_to_mem_data\[14\] ( core0 mem_data_out[14] ) ( chip_controller wr_data[14] ) + USE SIGNAL
+ ROUTED met2 ( 1760650 1699660 ) ( 1762950 * 0 )
NEW met2 ( 1760650 1699660 ) ( * 1786530 )
NEW met1 ( 324530 2284290 ) ( 330050 * )
NEW met2 ( 324530 2284290 ) ( * 2300100 0 )
NEW met2 ( 330050 1786530 ) ( * 2284290 )
NEW met1 ( 330050 1786530 ) ( 1760650 * )
NEW met1 ( 1760650 1786530 ) M1M2_PR
NEW met1 ( 330050 1786530 ) M1M2_PR
NEW met1 ( 330050 2284290 ) M1M2_PR
NEW met1 ( 324530 2284290 ) M1M2_PR ;
- core0_to_mem_data\[15\] ( core0 mem_data_out[15] ) ( chip_controller wr_data[15] ) + USE SIGNAL
+ ROUTED met2 ( 296930 2699260 0 ) ( 297850 * )
NEW met2 ( 297850 2699260 ) ( * 2728330 )
NEW met2 ( 1283630 498100 ) ( * 503370 )
NEW met1 ( 297850 2728330 ) ( 1025570 * )
NEW met1 ( 1025570 503370 ) ( 1283630 * )
NEW met3 ( 1283630 498100 ) ( 1300420 * 0 )
NEW met2 ( 1025570 503370 ) ( * 2728330 )
NEW met1 ( 297850 2728330 ) M1M2_PR
NEW met1 ( 1283630 503370 ) M1M2_PR
NEW met2 ( 1283630 498100 ) M2M3_PR_M
NEW met1 ( 1025570 503370 ) M1M2_PR
NEW met1 ( 1025570 2728330 ) M1M2_PR ;
- core0_to_mem_data\[16\] ( core0 mem_data_out[16] ) ( chip_controller wr_data[16] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2410940 0 ) ( 607430 * )
NEW met2 ( 607430 2409070 ) ( * 2410940 )
NEW met2 ( 1283630 527340 ) ( * 531250 )
NEW met1 ( 607430 2409070 ) ( 907810 * )
NEW met2 ( 907810 531250 ) ( * 2409070 )
NEW met1 ( 907810 531250 ) ( 1283630 * )
NEW met3 ( 1283630 527340 ) ( 1300420 * 0 )
NEW met2 ( 607430 2410940 ) M2M3_PR_M
NEW met1 ( 607430 2409070 ) M1M2_PR
NEW met1 ( 1283630 531250 ) M1M2_PR
NEW met2 ( 1283630 527340 ) M2M3_PR_M
NEW met1 ( 907810 2409070 ) M1M2_PR
NEW met1 ( 907810 531250 ) M1M2_PR ;
- core0_to_mem_data\[17\] ( core0 mem_data_out[17] ) ( chip_controller wr_data[17] ) + USE SIGNAL
+ ROUTED met2 ( 318550 2699260 0 ) ( 319470 * )
NEW met2 ( 319470 2699260 ) ( * 2718130 )
NEW met3 ( 2799100 641580 0 ) ( 2811980 * )
NEW met4 ( 2811980 641580 ) ( * 1701700 )
NEW met2 ( 1335610 1701700 ) ( * 2718130 )
NEW met3 ( 1335610 1701700 ) ( 2811980 * )
NEW met1 ( 319470 2718130 ) ( 1335610 * )
NEW met1 ( 319470 2718130 ) M1M2_PR
NEW met3 ( 2811980 641580 ) M3M4_PR_M
NEW met3 ( 2811980 1701700 ) M3M4_PR_M
NEW met1 ( 1335610 2718130 ) M1M2_PR
NEW met2 ( 1335610 1701700 ) M2M3_PR_M ;
- core0_to_mem_data\[18\] ( core0 mem_data_out[18] ) ( chip_controller wr_data[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2427940 0 ) ( 608350 * )
NEW met2 ( 608350 2422330 ) ( * 2427940 )
NEW met2 ( 1664050 180030 ) ( * 200260 0 )
NEW met2 ( 1156670 180030 ) ( * 2422330 )
NEW met1 ( 608350 2422330 ) ( 1156670 * )
NEW met1 ( 1156670 180030 ) ( 1664050 * )
NEW met2 ( 608350 2427940 ) M2M3_PR_M
NEW met1 ( 608350 2422330 ) M1M2_PR
NEW met1 ( 1156670 180030 ) M1M2_PR
NEW met1 ( 1156670 2422330 ) M1M2_PR
NEW met1 ( 1664050 180030 ) M1M2_PR ;
- core0_to_mem_data\[19\] ( core0 mem_data_out[19] ) ( chip_controller wr_data[19] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 661980 0 ) ( 2812900 * )
NEW met4 ( 2812900 661980 ) ( * 1702380 )
NEW met2 ( 330050 2699260 0 ) ( 330970 * )
NEW met2 ( 330970 2699260 ) ( * 2712690 )
NEW met1 ( 330970 2712690 ) ( 355810 * )
NEW li1 ( 355810 2712690 ) ( * 2717790 )
NEW met2 ( 1425310 1702380 ) ( * 2717790 )
NEW met3 ( 1425310 1702380 ) ( 2812900 * )
NEW met1 ( 355810 2717790 ) ( 1425310 * )
NEW met3 ( 2812900 661980 ) M3M4_PR_M
NEW met3 ( 2812900 1702380 ) M3M4_PR_M
NEW met1 ( 330970 2712690 ) M1M2_PR
NEW li1 ( 355810 2712690 ) L1M1_PR_MR
NEW li1 ( 355810 2717790 ) L1M1_PR_MR
NEW met1 ( 1425310 2717790 ) M1M2_PR
NEW met2 ( 1425310 1702380 ) M2M3_PR_M ;
- core0_to_mem_data\[1\] ( core0 mem_data_out[1] ) ( chip_controller wr_data[1] ) + USE SIGNAL
+ ROUTED met3 ( 194580 2313700 ) ( 200100 * )
NEW met3 ( 200100 2313020 0 ) ( * 2313700 )
NEW met3 ( 2796570 294780 ) ( 2797260 * )
NEW met3 ( 2797260 292060 0 ) ( * 294780 )
NEW met4 ( 194580 1701020 ) ( * 2313700 )
NEW met2 ( 2796570 294780 ) ( * 324300 )
NEW met2 ( 2795650 372600 ) ( 2797030 * )
NEW met2 ( 2797030 324300 ) ( * 372600 )
NEW met2 ( 2796570 324300 ) ( 2797030 * )
NEW met2 ( 2795650 372600 ) ( * 1701020 )
NEW met3 ( 194580 1701020 ) ( 2795650 * )
NEW met3 ( 194580 2313700 ) M3M4_PR_M
NEW met2 ( 2796570 294780 ) M2M3_PR_M
NEW met3 ( 194580 1701020 ) M3M4_PR_M
NEW met2 ( 2795650 1701020 ) M2M3_PR_M ;
- core0_to_mem_data\[20\] ( core0 mem_data_out[20] ) ( chip_controller wr_data[20] ) + USE SIGNAL
+ ROUTED met3 ( 2796570 704820 ) ( 2797260 * )
NEW met3 ( 2797260 703460 0 ) ( * 704820 )
NEW met2 ( 2796110 759000 ) ( 2796570 * )
NEW met2 ( 2796570 704820 ) ( * 759000 )
NEW met2 ( 2796110 759000 ) ( * 1700170 )
NEW met2 ( 331430 2699940 ) ( 331890 * 0 )
NEW met2 ( 331430 2699940 ) ( * 2746350 )
NEW met1 ( 331430 2746350 ) ( 1502590 * )
NEW met2 ( 1502590 1700170 ) ( * 2746350 )
NEW met1 ( 1502590 1700170 ) ( 2796110 * )
NEW met2 ( 2796570 704820 ) M2M3_PR_M
NEW met1 ( 2796110 1700170 ) M1M2_PR
NEW met1 ( 331430 2746350 ) M1M2_PR
NEW met1 ( 1502590 1700170 ) M1M2_PR
NEW met1 ( 1502590 2746350 ) M1M2_PR ;
- core0_to_mem_data\[21\] ( core0 mem_data_out[21] ) ( chip_controller wr_data[21] ) + USE SIGNAL
+ ROUTED met2 ( 190210 2436270 ) ( * 2440860 )
NEW met3 ( 190210 2440860 ) ( 200100 * )
NEW met3 ( 200100 2440180 0 ) ( * 2440860 )
NEW met2 ( 1285930 687140 ) ( * 1238450 )
NEW met1 ( 161690 2436270 ) ( 190210 * )
NEW met3 ( 1285930 687140 ) ( 1300420 * 0 )
NEW met2 ( 161690 1238450 ) ( * 2436270 )
NEW met1 ( 161690 1238450 ) ( 1285930 * )
NEW met1 ( 190210 2436270 ) M1M2_PR
NEW met2 ( 190210 2440860 ) M2M3_PR_M
NEW met2 ( 1285930 687140 ) M2M3_PR_M
NEW met1 ( 1285930 1238450 ) M1M2_PR
NEW met1 ( 161690 2436270 ) M1M2_PR
NEW met1 ( 161690 1238450 ) M1M2_PR ;
- core0_to_mem_data\[22\] ( core0 mem_data_out[22] ) ( chip_controller wr_data[22] ) + USE SIGNAL
+ ROUTED met3 ( 2796570 766020 ) ( 2797260 * )
NEW met3 ( 2797260 764660 0 ) ( * 766020 )
NEW met2 ( 2796570 766020 ) ( * 1700510 )
NEW met2 ( 342010 2699940 ) ( 343390 * 0 )
NEW met2 ( 342010 2699940 ) ( * 2717790 )
NEW met1 ( 338790 2717790 ) ( 342010 * )
NEW met2 ( 338790 2717790 ) ( * 2746690 )
NEW met1 ( 338790 2746690 ) ( 1502130 * )
NEW met2 ( 1502130 1700510 ) ( * 2746690 )
NEW met1 ( 1502130 1700510 ) ( 2796570 * )
NEW met2 ( 2796570 766020 ) M2M3_PR_M
NEW met1 ( 2796570 1700510 ) M1M2_PR
NEW met1 ( 342010 2717790 ) M1M2_PR
NEW met1 ( 338790 2717790 ) M1M2_PR
NEW met1 ( 338790 2746690 ) M1M2_PR
NEW met1 ( 1502130 1700510 ) M1M2_PR
NEW met1 ( 1502130 2746690 ) M1M2_PR ;
- core0_to_mem_data\[23\] ( core0 mem_data_out[23] ) ( chip_controller wr_data[23] ) + USE SIGNAL
+ ROUTED met2 ( 358110 1722950 ) ( * 2300100 0 )
NEW met2 ( 1880250 1699660 0 ) ( * 1722950 )
NEW met1 ( 358110 1722950 ) ( 1880250 * )
NEW met1 ( 358110 1722950 ) M1M2_PR
NEW met1 ( 1880250 1722950 ) M1M2_PR ;
- core0_to_mem_data\[24\] ( core0 mem_data_out[24] ) ( chip_controller wr_data[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2463980 0 ) ( 607430 * )
NEW met2 ( 607430 2463810 ) ( * 2463980 )
NEW met2 ( 1052250 173570 ) ( * 2463810 )
NEW met2 ( 1787330 200260 ) ( 1789170 * 0 )
NEW met1 ( 607430 2463810 ) ( 1052250 * )
NEW met1 ( 1052250 173570 ) ( 1787330 * )
NEW met2 ( 1787330 173570 ) ( * 200260 )
NEW met2 ( 607430 2463980 ) M2M3_PR_M
NEW met1 ( 607430 2463810 ) M1M2_PR
NEW met1 ( 1052250 173570 ) M1M2_PR
NEW met1 ( 1052250 2463810 ) M1M2_PR
NEW met1 ( 1787330 173570 ) M1M2_PR ;
- core0_to_mem_data\[25\] ( core0 mem_data_out[25] ) ( chip_controller wr_data[25] ) + USE SIGNAL
+ ROUTED met2 ( 359950 2699260 0 ) ( 361330 * )
NEW met2 ( 361330 2699260 ) ( * 2726630 )
NEW met1 ( 361330 2726630 ) ( 1503050 * )
NEW met2 ( 1503050 1713090 ) ( * 2726630 )
NEW met2 ( 1909690 1699660 0 ) ( * 1713090 )
NEW met1 ( 1503050 1713090 ) ( 1909690 * )
NEW met1 ( 361330 2726630 ) M1M2_PR
NEW met1 ( 1503050 2726630 ) M1M2_PR
NEW met1 ( 1503050 1713090 ) M1M2_PR
NEW met1 ( 1909690 1713090 ) M1M2_PR ;
- core0_to_mem_data\[26\] ( core0 mem_data_out[26] ) ( chip_controller wr_data[26] ) + USE SIGNAL
+ ROUTED met2 ( 1831030 187170 ) ( * 200260 0 )
NEW met2 ( 1176450 187170 ) ( * 2740060 )
NEW met2 ( 366850 2699940 ) ( 368230 * 0 )
NEW met1 ( 1176450 187170 ) ( 1831030 * )
NEW met2 ( 366850 2699940 ) ( * 2740060 )
NEW met3 ( 366850 2740060 ) ( 1176450 * )
NEW met1 ( 1176450 187170 ) M1M2_PR
NEW met1 ( 1831030 187170 ) M1M2_PR
NEW met2 ( 1176450 2740060 ) M2M3_PR_M
NEW met2 ( 366850 2740060 ) M2M3_PR_M ;
- core0_to_mem_data\[27\] ( core0 mem_data_out[27] ) ( chip_controller wr_data[27] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 806140 0 ) ( 2809910 * )
NEW met2 ( 2809910 806140 ) ( * 806650 )
NEW met1 ( 2809910 806650 ) ( 2823710 * )
NEW met2 ( 190670 2455820 ) ( 191130 * )
NEW met2 ( 191130 2455820 ) ( * 2468060 )
NEW met3 ( 191130 2468060 ) ( 200100 * )
NEW met3 ( 200100 2467380 0 ) ( * 2468060 )
NEW met2 ( 190670 1805570 ) ( * 2455820 )
NEW met2 ( 2823710 806650 ) ( * 1805570 )
NEW met1 ( 190670 1805570 ) ( 2823710 * )
NEW met2 ( 2809910 806140 ) M2M3_PR_M
NEW met1 ( 2809910 806650 ) M1M2_PR
NEW met1 ( 2823710 806650 ) M1M2_PR
NEW met1 ( 190670 1805570 ) M1M2_PR
NEW met2 ( 191130 2468060 ) M2M3_PR_M
NEW met1 ( 2823710 1805570 ) M1M2_PR ;
- core0_to_mem_data\[28\] ( core0 mem_data_out[28] ) ( chip_controller wr_data[28] ) + USE SIGNAL
+ ROUTED met2 ( 1849430 200260 ) ( 1851730 * 0 )
NEW met2 ( 389850 2287010 ) ( * 2300100 0 )
NEW met2 ( 596850 174930 ) ( * 2256300 )
NEW met2 ( 595470 2256300 ) ( * 2283950 )
NEW met2 ( 595470 2256300 ) ( 596850 * )
NEW met2 ( 1849430 174930 ) ( * 200260 )
NEW li1 ( 466670 2284290 ) ( * 2287010 )
NEW met1 ( 389850 2287010 ) ( 466670 * )
NEW met1 ( 596850 174930 ) ( 1849430 * )
NEW met1 ( 593400 2283950 ) ( 595470 * )
NEW li1 ( 568330 2284290 ) ( 569710 * )
NEW met1 ( 569710 2284290 ) ( 593400 * )
NEW met1 ( 593400 2283950 ) ( * 2284290 )
NEW met1 ( 466670 2284290 ) ( 568330 * )
NEW met1 ( 389850 2287010 ) M1M2_PR
NEW met1 ( 596850 174930 ) M1M2_PR
NEW met1 ( 595470 2283950 ) M1M2_PR
NEW met1 ( 1849430 174930 ) M1M2_PR
NEW li1 ( 466670 2287010 ) L1M1_PR_MR
NEW li1 ( 466670 2284290 ) L1M1_PR_MR
NEW li1 ( 568330 2284290 ) L1M1_PR_MR
NEW li1 ( 569710 2284290 ) L1M1_PR_MR ;
- core0_to_mem_data\[29\] ( core0 mem_data_out[29] ) ( chip_controller wr_data[29] ) + USE SIGNAL
+ ROUTED met2 ( 383410 2699260 0 ) ( 384330 * )
NEW met2 ( 384330 2699260 ) ( * 2728670 )
NEW met2 ( 1283630 832660 ) ( * 834870 )
NEW met1 ( 384330 2728670 ) ( 1046270 * )
NEW met2 ( 1046270 834870 ) ( * 2728670 )
NEW met1 ( 1046270 834870 ) ( 1283630 * )
NEW met3 ( 1283630 832660 ) ( 1300420 * 0 )
NEW met1 ( 384330 2728670 ) M1M2_PR
NEW met1 ( 1283630 834870 ) M1M2_PR
NEW met2 ( 1283630 832660 ) M2M3_PR_M
NEW met1 ( 1046270 2728670 ) M1M2_PR
NEW met1 ( 1046270 834870 ) M1M2_PR ;
- core0_to_mem_data\[2\] ( core0 mem_data_out[2] ) ( chip_controller wr_data[2] ) + USE SIGNAL
+ ROUTED met2 ( 217350 2699260 0 ) ( 217810 * )
NEW met2 ( 217810 2699260 ) ( * 2715070 )
NEW met2 ( 1436810 1699660 ) ( 1439110 * 0 )
NEW met2 ( 1435430 1821600 ) ( 1436810 * )
NEW met2 ( 1436810 1699660 ) ( * 1821600 )
NEW met2 ( 1435430 1821600 ) ( * 2726290 )
NEW met2 ( 251850 2715070 ) ( * 2726290 )
NEW met1 ( 217810 2715070 ) ( 251850 * )
NEW met1 ( 251850 2726290 ) ( 1435430 * )
NEW met1 ( 217810 2715070 ) M1M2_PR
NEW met1 ( 1435430 2726290 ) M1M2_PR
NEW met1 ( 251850 2715070 ) M1M2_PR
NEW met1 ( 251850 2726290 ) M1M2_PR ;
- core0_to_mem_data\[30\] ( core0 mem_data_out[30] ) ( chip_controller wr_data[30] ) + USE SIGNAL
+ ROUTED met2 ( 391690 2699260 0 ) ( 392610 * )
NEW met2 ( 392610 2699260 ) ( * 2730370 )
NEW met2 ( 1934990 190570 ) ( * 200260 0 )
NEW met1 ( 392610 2730370 ) ( 831450 * )
NEW met1 ( 831450 190570 ) ( 1934990 * )
NEW met2 ( 831450 190570 ) ( * 2730370 )
NEW met1 ( 392610 2730370 ) M1M2_PR
NEW met1 ( 1934990 190570 ) M1M2_PR
NEW met1 ( 831450 190570 ) M1M2_PR
NEW met1 ( 831450 2730370 ) M1M2_PR ;
- core0_to_mem_data\[31\] ( core0 mem_data_out[31] ) ( chip_controller wr_data[31] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 888420 0 ) ( 2813130 * )
NEW met2 ( 108330 1707650 ) ( * 2484210 )
NEW met2 ( 190670 2484210 ) ( * 2485060 )
NEW met3 ( 190670 2485060 ) ( 201020 * )
NEW met3 ( 201020 2485060 ) ( * 2485740 0 )
NEW met2 ( 2813130 888420 ) ( * 1707650 )
NEW met1 ( 108330 2484210 ) ( 190670 * )
NEW met1 ( 108330 1707650 ) ( 2813130 * )
NEW met2 ( 2813130 888420 ) M2M3_PR_M
NEW met1 ( 108330 1707650 ) M1M2_PR
NEW met1 ( 108330 2484210 ) M1M2_PR
NEW met1 ( 190670 2484210 ) M1M2_PR
NEW met2 ( 190670 2485060 ) M2M3_PR_M
NEW met1 ( 2813130 1707650 ) M1M2_PR ;
- core0_to_mem_data\[32\] ( core0 mem_data_out[32] ) ( chip_controller wr_data[32] ) + USE SIGNAL
+ ROUTED met2 ( 114770 1707990 ) ( * 2484550 )
NEW met2 ( 186990 2484550 ) ( * 2487100 )
NEW met3 ( 186990 2487100 ) ( 201020 * )
NEW met3 ( 201020 2487100 ) ( * 2487780 0 )
NEW met3 ( 2799100 908820 0 ) ( 2809450 * )
NEW met2 ( 2809450 908820 ) ( * 1707990 )
NEW met1 ( 114770 2484550 ) ( 186990 * )
NEW met1 ( 114770 1707990 ) ( 2809450 * )
NEW met1 ( 114770 1707990 ) M1M2_PR
NEW met1 ( 114770 2484550 ) M1M2_PR
NEW met1 ( 186990 2484550 ) M1M2_PR
NEW met2 ( 186990 2487100 ) M2M3_PR_M
NEW met2 ( 2809450 908820 ) M2M3_PR_M
NEW met1 ( 2809450 1707990 ) M1M2_PR ;
- core0_to_mem_data\[33\] ( core0 mem_data_out[33] ) ( chip_controller wr_data[33] ) + USE SIGNAL
+ ROUTED met2 ( 398130 2699260 0 ) ( 399510 * )
NEW met2 ( 399510 2699260 ) ( * 2731050 )
NEW met2 ( 1283630 891140 ) ( * 896750 )
NEW met2 ( 790510 896750 ) ( * 2731050 )
NEW met1 ( 399510 2731050 ) ( 790510 * )
NEW met1 ( 790510 896750 ) ( 1283630 * )
NEW met3 ( 1283630 891140 ) ( 1300420 * 0 )
NEW met1 ( 399510 2731050 ) M1M2_PR
NEW met1 ( 790510 896750 ) M1M2_PR
NEW met1 ( 790510 2731050 ) M1M2_PR
NEW met1 ( 1283630 896750 ) M1M2_PR
NEW met2 ( 1283630 891140 ) M2M3_PR_M ;
- core0_to_mem_data\[34\] ( core0 mem_data_out[34] ) ( chip_controller wr_data[34] ) + USE SIGNAL
+ ROUTED met1 ( 416530 2284290 ) ( 419750 * )
NEW met2 ( 416530 2284290 ) ( * 2300100 0 )
NEW met2 ( 419750 1722610 ) ( * 2284290 )
NEW met2 ( 2012730 1699660 0 ) ( * 1722610 )
NEW met1 ( 419750 1722610 ) ( 2012730 * )
NEW met1 ( 419750 1722610 ) M1M2_PR
NEW met1 ( 419750 2284290 ) M1M2_PR
NEW met1 ( 416530 2284290 ) M1M2_PR
NEW met1 ( 2012730 1722610 ) M1M2_PR ;
- core0_to_mem_data\[35\] ( core0 mem_data_out[35] ) ( chip_controller wr_data[35] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2497300 0 ) ( 613870 * )
NEW met2 ( 613870 2464150 ) ( * 2497300 )
NEW met2 ( 613870 1798430 ) ( * 2463300 )
NEW met3 ( 2799100 949620 0 ) ( 2815890 * )
NEW met2 ( 2815890 949620 ) ( * 1798430 )
NEW met2 ( 614330 2463300 ) ( * 2464150 )
NEW met2 ( 613870 2463300 ) ( 614330 * )
NEW met1 ( 613870 2464150 ) ( 614330 * )
NEW met1 ( 613870 1798430 ) ( 2815890 * )
NEW met1 ( 613870 1798430 ) M1M2_PR
NEW met2 ( 613870 2497300 ) M2M3_PR_M
NEW met1 ( 613870 2464150 ) M1M2_PR
NEW met2 ( 2815890 949620 ) M2M3_PR_M
NEW met1 ( 2815890 1798430 ) M1M2_PR
NEW met1 ( 614330 2464150 ) M1M2_PR ;
- core0_to_mem_data\[36\] ( core0 mem_data_out[36] ) ( chip_controller wr_data[36] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2500700 0 ) ( 607890 * )
NEW met2 ( 607890 2498830 ) ( * 2500700 )
NEW met2 ( 1283630 906100 ) ( * 910690 )
NEW met1 ( 607890 2498830 ) ( 1011310 * )
NEW met2 ( 1011310 910690 ) ( * 2498830 )
NEW met1 ( 1011310 910690 ) ( 1283630 * )
NEW met3 ( 1283630 906100 ) ( 1300420 * 0 )
NEW met2 ( 607890 2500700 ) M2M3_PR_M
NEW met1 ( 607890 2498830 ) M1M2_PR
NEW met1 ( 1283630 910690 ) M1M2_PR
NEW met2 ( 1283630 906100 ) M2M3_PR_M
NEW met1 ( 1011310 2498830 ) M1M2_PR
NEW met1 ( 1011310 910690 ) M1M2_PR ;
- core0_to_mem_data\[37\] ( core0 mem_data_out[37] ) ( chip_controller wr_data[37] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 970700 0 ) ( 2810370 * )
NEW met2 ( 2810370 970700 ) ( * 1696090 )
NEW met1 ( 1314450 1696770 ) ( 1338600 * )
NEW met1 ( 1338600 1696090 ) ( * 1696770 )
NEW met2 ( 1314450 1696770 ) ( * 2713030 )
NEW met1 ( 1338600 1696090 ) ( 2810370 * )
NEW met2 ( 408250 2699260 0 ) ( 409170 * )
NEW met2 ( 409170 2699260 ) ( * 2715410 )
NEW met1 ( 409170 2715410 ) ( 435390 * )
NEW li1 ( 435390 2713030 ) ( * 2715410 )
NEW met1 ( 435390 2713030 ) ( 1314450 * )
NEW met2 ( 2810370 970700 ) M2M3_PR_M
NEW met1 ( 2810370 1696090 ) M1M2_PR
NEW met1 ( 1314450 2713030 ) M1M2_PR
NEW met1 ( 1314450 1696770 ) M1M2_PR
NEW met1 ( 409170 2715410 ) M1M2_PR
NEW li1 ( 435390 2715410 ) L1M1_PR_MR
NEW li1 ( 435390 2713030 ) L1M1_PR_MR ;
- core0_to_mem_data\[38\] ( core0 mem_data_out[38] ) ( chip_controller wr_data[38] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 991100 0 ) ( 2809910 * )
NEW met2 ( 2809910 991100 ) ( * 1771230 )
NEW met1 ( 631350 1771230 ) ( 2809910 * )
NEW met2 ( 631350 1771230 ) ( * 2717110 )
NEW met2 ( 409630 2699260 0 ) ( 411010 * )
NEW met2 ( 411010 2699260 ) ( * 2712690 )
NEW met1 ( 411010 2712690 ) ( 414690 * )
NEW li1 ( 414690 2712690 ) ( * 2716430 )
NEW met1 ( 414690 2716430 ) ( 434010 * )
NEW met1 ( 434010 2716430 ) ( * 2717110 )
NEW met1 ( 434010 2717110 ) ( 631350 * )
NEW met2 ( 2809910 991100 ) M2M3_PR_M
NEW met1 ( 2809910 1771230 ) M1M2_PR
NEW met1 ( 631350 1771230 ) M1M2_PR
NEW met1 ( 631350 2717110 ) M1M2_PR
NEW met1 ( 411010 2712690 ) M1M2_PR
NEW li1 ( 414690 2712690 ) L1M1_PR_MR
NEW li1 ( 414690 2716430 ) L1M1_PR_MR ;
- core0_to_mem_data\[39\] ( core0 mem_data_out[39] ) ( chip_controller wr_data[39] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2504950 ) ( * 2505460 )
NEW met3 ( 188830 2505460 ) ( 201020 * )
NEW met3 ( 201020 2505460 ) ( * 2506140 0 )
NEW met2 ( 1283630 920380 ) ( * 924290 )
NEW met1 ( 176410 2504950 ) ( 188830 * )
NEW met2 ( 176410 924290 ) ( * 2504950 )
NEW met1 ( 176410 924290 ) ( 1283630 * )
NEW met3 ( 1283630 920380 ) ( 1300420 * 0 )
NEW met1 ( 188830 2504950 ) M1M2_PR
NEW met2 ( 188830 2505460 ) M2M3_PR_M
NEW met1 ( 1283630 924290 ) M1M2_PR
NEW met2 ( 1283630 920380 ) M2M3_PR_M
NEW met1 ( 176410 2504950 ) M1M2_PR
NEW met1 ( 176410 924290 ) M1M2_PR ;
- core0_to_mem_data\[3\] ( core0 mem_data_out[3] ) ( chip_controller wr_data[3] ) + USE SIGNAL
+ ROUTED met1 ( 198490 2304690 ) ( 199410 * )
NEW met2 ( 198490 2304690 ) ( * 2324580 )
NEW met3 ( 198490 2324580 ) ( 200100 * )
NEW met3 ( 200100 2323900 0 ) ( * 2324580 )
NEW met2 ( 199410 2301290 ) ( * 2304690 )
NEW met2 ( 1451530 1699660 ) ( 1453830 * 0 )
NEW met2 ( 1449230 1821600 ) ( 1451530 * )
NEW met2 ( 1451530 1699660 ) ( * 1821600 )
NEW met2 ( 1449230 1821600 ) ( * 2301290 )
NEW met1 ( 199410 2301290 ) ( 1449230 * )
NEW met1 ( 199410 2304690 ) M1M2_PR
NEW met1 ( 198490 2304690 ) M1M2_PR
NEW met2 ( 198490 2324580 ) M2M3_PR_M
NEW met1 ( 199410 2301290 ) M1M2_PR
NEW met1 ( 1449230 2301290 ) M1M2_PR ;
- core0_to_mem_data\[40\] ( core0 mem_data_out[40] ) ( chip_controller wr_data[40] ) + USE SIGNAL
+ ROUTED met2 ( 190670 2505290 ) ( * 2508860 )
NEW met3 ( 190670 2508860 ) ( 201020 * )
NEW met3 ( 201020 2508860 ) ( * 2509540 0 )
NEW met2 ( 1284090 934660 ) ( * 962370 )
NEW met1 ( 135010 2505290 ) ( 190670 * )
NEW met1 ( 135010 962370 ) ( 1284090 * )
NEW met2 ( 135010 962370 ) ( * 2505290 )
NEW met3 ( 1284090 934660 ) ( 1300420 * 0 )
NEW met1 ( 190670 2505290 ) M1M2_PR
NEW met2 ( 190670 2508860 ) M2M3_PR_M
NEW met1 ( 1284090 962370 ) M1M2_PR
NEW met2 ( 1284090 934660 ) M2M3_PR_M
NEW met1 ( 135010 962370 ) M1M2_PR
NEW met1 ( 135010 2505290 ) M1M2_PR ;
- core0_to_mem_data\[41\] ( core0 mem_data_out[41] ) ( chip_controller wr_data[41] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2510220 0 ) ( 608350 * )
NEW met2 ( 608350 2504950 ) ( * 2510220 )
NEW met1 ( 608350 2504950 ) ( 1391270 * )
NEW met1 ( 1391270 1729410 ) ( 2101050 * )
NEW met2 ( 1391270 1729410 ) ( * 2504950 )
NEW met2 ( 2101050 1699660 0 ) ( * 1729410 )
NEW met2 ( 608350 2510220 ) M2M3_PR_M
NEW met1 ( 608350 2504950 ) M1M2_PR
NEW met1 ( 1391270 1729410 ) M1M2_PR
NEW met1 ( 1391270 2504950 ) M1M2_PR
NEW met1 ( 2101050 1729410 ) M1M2_PR ;
- core0_to_mem_data\[42\] ( core0 mem_data_out[42] ) ( chip_controller wr_data[42] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2512260 0 ) ( 607430 * )
NEW met2 ( 607430 2512260 ) ( * 2512430 )
NEW met2 ( 777170 952170 ) ( * 2512430 )
NEW met2 ( 1283630 949620 ) ( * 952170 )
NEW met1 ( 607430 2512430 ) ( 777170 * )
NEW met1 ( 777170 952170 ) ( 1283630 * )
NEW met3 ( 1283630 949620 ) ( 1300420 * 0 )
NEW met2 ( 607430 2512260 ) M2M3_PR_M
NEW met1 ( 607430 2512430 ) M1M2_PR
NEW met1 ( 777170 2512430 ) M1M2_PR
NEW met1 ( 777170 952170 ) M1M2_PR
NEW met1 ( 1283630 952170 ) M1M2_PR
NEW met2 ( 1283630 949620 ) M2M3_PR_M ;
- core0_to_mem_data\[43\] ( core0 mem_data_out[43] ) ( chip_controller wr_data[43] ) + USE SIGNAL
+ ROUTED met3 ( 193890 2524500 ) ( 200100 * )
NEW met3 ( 200100 2523820 0 ) ( * 2524500 )
NEW met2 ( 193890 178670 ) ( * 2524500 )
NEW met2 ( 1994330 200260 ) ( 1997550 * 0 )
NEW met1 ( 193890 178670 ) ( 1994330 * )
NEW met2 ( 1994330 178670 ) ( * 200260 )
NEW met2 ( 193890 2524500 ) M2M3_PR_M
NEW met1 ( 193890 178670 ) M1M2_PR
NEW met1 ( 1994330 178670 ) M1M2_PR ;
- core0_to_mem_data\[44\] ( core0 mem_data_out[44] ) ( chip_controller wr_data[44] ) + USE SIGNAL
+ ROUTED met2 ( 1480970 1713770 ) ( * 2705210 )
NEW met2 ( 2130490 1699660 0 ) ( * 1713770 )
NEW met1 ( 1480970 1713770 ) ( 2130490 * )
NEW met2 ( 419750 2699260 0 ) ( 420670 * )
NEW met2 ( 420670 2699260 ) ( * 2705210 )
NEW met1 ( 420670 2705210 ) ( 1480970 * )
NEW met1 ( 1480970 2705210 ) M1M2_PR
NEW met1 ( 1480970 1713770 ) M1M2_PR
NEW met1 ( 2130490 1713770 ) M1M2_PR
NEW met1 ( 420670 2705210 ) M1M2_PR ;
- core0_to_mem_data\[45\] ( core0 mem_data_out[45] ) ( chip_controller wr_data[45] ) + USE SIGNAL
+ ROUTED met3 ( 2797950 1055020 ) ( 2798180 * )
NEW met3 ( 2798180 1052300 0 ) ( * 1055020 )
NEW met2 ( 2798410 1690820 ) ( * 1703910 )
NEW met2 ( 2797950 1690820 ) ( 2798410 * )
NEW met2 ( 2797950 1055020 ) ( * 1690820 )
NEW met1 ( 422510 2747370 ) ( 1501210 * )
NEW met2 ( 1501210 1703910 ) ( * 2747370 )
NEW met1 ( 1501210 1703910 ) ( 2798410 * )
NEW met2 ( 421590 2699260 0 ) ( 422510 * )
NEW met2 ( 422510 2699260 ) ( * 2747370 )
NEW met2 ( 2797950 1055020 ) M2M3_PR_M
NEW met1 ( 2798410 1703910 ) M1M2_PR
NEW met1 ( 422510 2747370 ) M1M2_PR
NEW met1 ( 1501210 1703910 ) M1M2_PR
NEW met1 ( 1501210 2747370 ) M1M2_PR ;
- core0_to_mem_data\[46\] ( core0 mem_data_out[46] ) ( chip_controller wr_data[46] ) + USE SIGNAL
+ ROUTED met2 ( 1342050 1717850 ) ( * 2740570 )
NEW met2 ( 2145210 1699660 0 ) ( * 1717850 )
NEW met1 ( 421590 2740570 ) ( 1342050 * )
NEW met1 ( 1502590 1717850 ) ( * 1718530 )
NEW met1 ( 1502590 1718530 ) ( 1509950 * )
NEW met1 ( 1509950 1717850 ) ( * 1718530 )
NEW met1 ( 1342050 1717850 ) ( 1502590 * )
NEW met1 ( 1509950 1717850 ) ( 2145210 * )
NEW met2 ( 424810 2699260 0 ) ( 425730 * )
NEW met2 ( 425730 2699260 ) ( * 2712350 )
NEW met1 ( 421590 2712350 ) ( 425730 * )
NEW met2 ( 421590 2712350 ) ( * 2740570 )
NEW met1 ( 1342050 1717850 ) M1M2_PR
NEW met1 ( 1342050 2740570 ) M1M2_PR
NEW met1 ( 2145210 1717850 ) M1M2_PR
NEW met1 ( 421590 2740570 ) M1M2_PR
NEW met1 ( 425730 2712350 ) M1M2_PR
NEW met1 ( 421590 2712350 ) M1M2_PR ;
- core0_to_mem_data\[47\] ( core0 mem_data_out[47] ) ( chip_controller wr_data[47] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2525180 0 ) ( 608810 * )
NEW met2 ( 608810 2519230 ) ( * 2525180 )
NEW met2 ( 1283630 978860 ) ( * 979710 )
NEW met1 ( 608810 2519230 ) ( 818110 * )
NEW met1 ( 818110 979710 ) ( 1283630 * )
NEW met3 ( 1283630 978860 ) ( 1300420 * 0 )
NEW met2 ( 818110 979710 ) ( * 2519230 )
NEW met2 ( 608810 2525180 ) M2M3_PR_M
NEW met1 ( 608810 2519230 ) M1M2_PR
NEW met1 ( 1283630 979710 ) M1M2_PR
NEW met2 ( 1283630 978860 ) M2M3_PR_M
NEW met1 ( 818110 979710 ) M1M2_PR
NEW met1 ( 818110 2519230 ) M1M2_PR ;
- core0_to_mem_data\[48\] ( core0 mem_data_out[48] ) ( chip_controller wr_data[48] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2528580 0 ) ( 607890 * )
NEW met2 ( 607890 2526370 ) ( * 2528580 )
NEW met2 ( 1283630 993140 ) ( * 993310 )
NEW met1 ( 607890 2526370 ) ( 853070 * )
NEW met1 ( 853070 993310 ) ( 1283630 * )
NEW met3 ( 1283630 993140 ) ( 1300420 * 0 )
NEW met2 ( 853070 993310 ) ( * 2526370 )
NEW met2 ( 607890 2528580 ) M2M3_PR_M
NEW met1 ( 607890 2526370 ) M1M2_PR
NEW met1 ( 1283630 993310 ) M1M2_PR
NEW met2 ( 1283630 993140 ) M2M3_PR_M
NEW met1 ( 853070 993310 ) M1M2_PR
NEW met1 ( 853070 2526370 ) M1M2_PR ;
- core0_to_mem_data\[49\] ( core0 mem_data_out[49] ) ( chip_controller wr_data[49] ) + USE SIGNAL
+ ROUTED met3 ( 2798180 1095140 ) ( 2798410 * )
NEW met3 ( 2798180 1093780 0 ) ( * 1095140 )
NEW met2 ( 2798870 1690140 ) ( * 1703570 )
NEW met2 ( 2798410 1690140 ) ( 2798870 * )
NEW met2 ( 2798410 1095140 ) ( * 1690140 )
NEW met1 ( 428030 2747710 ) ( 1500750 * )
NEW met2 ( 1500750 1703570 ) ( * 2747710 )
NEW met1 ( 1500750 1703570 ) ( 2798870 * )
NEW met2 ( 431710 2699940 ) ( 433090 * 0 )
NEW met2 ( 431710 2699940 ) ( * 2712010 )
NEW met1 ( 428030 2712010 ) ( 431710 * )
NEW met2 ( 428030 2712010 ) ( * 2747710 )
NEW met2 ( 2798410 1095140 ) M2M3_PR_M
NEW met1 ( 2798870 1703570 ) M1M2_PR
NEW met1 ( 428030 2747710 ) M1M2_PR
NEW met1 ( 1500750 1703570 ) M1M2_PR
NEW met1 ( 1500750 2747710 ) M1M2_PR
NEW met1 ( 431710 2712010 ) M1M2_PR
NEW met1 ( 428030 2712010 ) M1M2_PR ;
- core0_to_mem_data\[4\] ( core0 mem_data_out[4] ) ( chip_controller wr_data[4] ) + USE SIGNAL
+ ROUTED met1 ( 255990 2284290 ) ( 261970 * )
NEW met2 ( 255990 2284290 ) ( * 2300100 0 )
NEW met2 ( 261970 1723630 ) ( * 2284290 )
NEW met2 ( 1497990 1699660 0 ) ( * 1723630 )
NEW met1 ( 261970 1723630 ) ( 1497990 * )
NEW met1 ( 261970 1723630 ) M1M2_PR
NEW met1 ( 261970 2284290 ) M1M2_PR
NEW met1 ( 255990 2284290 ) M1M2_PR
NEW met1 ( 1497990 1723630 ) M1M2_PR ;
- core0_to_mem_data\[50\] ( core0 mem_data_out[50] ) ( chip_controller wr_data[50] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2531980 0 ) ( 608350 * )
NEW met2 ( 608350 2525690 ) ( * 2531980 )
NEW met2 ( 1283630 1007420 ) ( * 1014050 )
NEW met1 ( 608350 2525690 ) ( 618470 * )
NEW met2 ( 618470 1014050 ) ( * 2525690 )
NEW met1 ( 618470 1014050 ) ( 1283630 * )
NEW met3 ( 1283630 1007420 ) ( 1300420 * 0 )
NEW met2 ( 608350 2531980 ) M2M3_PR_M
NEW met1 ( 608350 2525690 ) M1M2_PR
NEW met1 ( 1283630 1014050 ) M1M2_PR
NEW met2 ( 1283630 1007420 ) M2M3_PR_M
NEW met1 ( 618470 2525690 ) M1M2_PR
NEW met1 ( 618470 1014050 ) M1M2_PR ;
- core0_to_mem_data\[51\] ( core0 mem_data_out[51] ) ( chip_controller wr_data[51] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2539290 ) ( * 2540820 )
NEW met3 ( 188830 2540820 ) ( 200100 * )
NEW met3 ( 200100 2540140 0 ) ( * 2540820 )
NEW met2 ( 114310 1783470 ) ( * 2539290 )
NEW met3 ( 2799100 1114180 0 ) ( 2811290 * )
NEW met2 ( 2811290 1114180 ) ( * 1783470 )
NEW met1 ( 114310 2539290 ) ( 188830 * )
NEW met1 ( 114310 1783470 ) ( 2811290 * )
NEW met1 ( 114310 2539290 ) M1M2_PR
NEW met1 ( 188830 2539290 ) M1M2_PR
NEW met2 ( 188830 2540820 ) M2M3_PR_M
NEW met1 ( 114310 1783470 ) M1M2_PR
NEW met2 ( 2811290 1114180 ) M2M3_PR_M
NEW met1 ( 2811290 1783470 ) M1M2_PR ;
- core0_to_mem_data\[52\] ( core0 mem_data_out[52] ) ( chip_controller wr_data[52] ) + USE SIGNAL
+ ROUTED met3 ( 193430 2541500 ) ( 201020 * )
NEW met3 ( 201020 2541500 ) ( * 2542180 0 )
NEW met2 ( 2056430 200260 ) ( 2060110 * 0 )
NEW met2 ( 193430 179350 ) ( * 2541500 )
NEW met2 ( 2056430 179350 ) ( * 200260 )
NEW met1 ( 193430 179350 ) ( 2056430 * )
NEW met2 ( 193430 2541500 ) M2M3_PR_M
NEW met1 ( 193430 179350 ) M1M2_PR
NEW met1 ( 2056430 179350 ) M1M2_PR ;
- core0_to_mem_data\[53\] ( core0 mem_data_out[53] ) ( chip_controller wr_data[53] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2538100 0 ) ( 608350 * )
NEW met2 ( 608350 2533170 ) ( * 2538100 )
NEW met2 ( 1183350 180710 ) ( * 2533170 )
NEW met1 ( 608350 2533170 ) ( 1183350 * )
NEW met2 ( 2080810 180710 ) ( * 200260 0 )
NEW met1 ( 1183350 180710 ) ( 2080810 * )
NEW met2 ( 608350 2538100 ) M2M3_PR_M
NEW met1 ( 608350 2533170 ) M1M2_PR
NEW met1 ( 1183350 180710 ) M1M2_PR
NEW met1 ( 1183350 2533170 ) M1M2_PR
NEW met1 ( 2080810 180710 ) M1M2_PR ;
- core0_to_mem_data\[54\] ( core0 mem_data_out[54] ) ( chip_controller wr_data[54] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2539630 ) ( * 2544900 )
NEW met3 ( 188370 2544900 ) ( 199180 * )
NEW met2 ( 122130 1041590 ) ( * 2539630 )
NEW met3 ( 200100 2545580 0 ) ( * 2546100 )
NEW met3 ( 199180 2544900 ) ( * 2546100 )
NEW met3 ( 199180 2546100 ) ( 200100 * )
NEW met2 ( 1283630 1036660 ) ( * 1041590 )
NEW met1 ( 122130 2539630 ) ( 188370 * )
NEW met1 ( 122130 1041590 ) ( 1283630 * )
NEW met3 ( 1283630 1036660 ) ( 1300420 * 0 )
NEW met1 ( 122130 2539630 ) M1M2_PR
NEW met1 ( 188370 2539630 ) M1M2_PR
NEW met2 ( 188370 2544900 ) M2M3_PR_M
NEW met1 ( 122130 1041590 ) M1M2_PR
NEW met1 ( 1283630 1041590 ) M1M2_PR
NEW met2 ( 1283630 1036660 ) M2M3_PR_M ;
- core0_to_mem_data\[55\] ( core0 mem_data_out[55] ) ( chip_controller wr_data[55] ) + USE SIGNAL
+ ROUTED met2 ( 451490 2699260 0 ) ( 452410 * )
NEW met2 ( 452410 2699260 ) ( * 2727650 )
NEW met1 ( 452410 2727650 ) ( 1294670 * )
NEW met2 ( 2101970 188190 ) ( * 200260 0 )
NEW met1 ( 1294670 188190 ) ( 2101970 * )
NEW met2 ( 1294670 188190 ) ( * 2727650 )
NEW met1 ( 452410 2727650 ) M1M2_PR
NEW met1 ( 1294670 188190 ) M1M2_PR
NEW met1 ( 1294670 2727650 ) M1M2_PR
NEW met1 ( 2101970 188190 ) M1M2_PR ;
- core0_to_mem_data\[56\] ( core0 mem_data_out[56] ) ( chip_controller wr_data[56] ) + USE SIGNAL
+ ROUTED met2 ( 2143370 188530 ) ( * 200260 0 )
NEW met2 ( 1280870 188530 ) ( * 2725780 )
NEW met2 ( 454710 2699260 0 ) ( 455170 * )
NEW met2 ( 455170 2699260 ) ( * 2725780 )
NEW met3 ( 455170 2725780 ) ( 1280870 * )
NEW met1 ( 1280870 188530 ) ( 2143370 * )
NEW met1 ( 1280870 188530 ) M1M2_PR
NEW met2 ( 1280870 2725780 ) M2M3_PR_M
NEW met1 ( 2143370 188530 ) M1M2_PR
NEW met2 ( 455170 2725780 ) M2M3_PR_M ;
- core0_to_mem_data\[57\] ( core0 mem_data_out[57] ) ( chip_controller wr_data[57] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2544900 0 ) ( 608810 * )
NEW met2 ( 608810 2539290 ) ( * 2544900 )
NEW met2 ( 2216970 1699660 ) ( 2218810 * 0 )
NEW met2 ( 2216970 1699660 ) ( * 1756610 )
NEW met1 ( 608810 2539290 ) ( 1432670 * )
NEW met1 ( 1432670 1756610 ) ( 2216970 * )
NEW met2 ( 1432670 1756610 ) ( * 2539290 )
NEW met2 ( 608810 2544900 ) M2M3_PR_M
NEW met1 ( 608810 2539290 ) M1M2_PR
NEW met1 ( 2216970 1756610 ) M1M2_PR
NEW met1 ( 1432670 1756610 ) M1M2_PR
NEW met1 ( 1432670 2539290 ) M1M2_PR ;
- core0_to_mem_data\[58\] ( core0 mem_data_out[58] ) ( chip_controller wr_data[58] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2546940 0 ) ( 607430 * )
NEW met2 ( 607430 2546430 ) ( * 2546940 )
NEW met2 ( 1459810 1736550 ) ( * 2546430 )
NEW met2 ( 2233530 1699660 0 ) ( * 1736550 )
NEW met1 ( 1459810 1736550 ) ( 2233530 * )
NEW met1 ( 607430 2546430 ) ( 1459810 * )
NEW met1 ( 1459810 1736550 ) M1M2_PR
NEW met1 ( 2233530 1736550 ) M1M2_PR
NEW met2 ( 607430 2546940 ) M2M3_PR_M
NEW met1 ( 607430 2546430 ) M1M2_PR
NEW met1 ( 1459810 2546430 ) M1M2_PR ;
- core0_to_mem_data\[59\] ( core0 mem_data_out[59] ) ( chip_controller wr_data[59] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2553570 ) ( * 2557820 )
NEW met3 ( 188830 2557820 ) ( 201020 * )
NEW met3 ( 201020 2557820 ) ( * 2558500 0 )
NEW met2 ( 2246410 1699660 ) ( 2248250 * 0 )
NEW met2 ( 2246410 1699660 ) ( * 1785850 )
NEW met2 ( 147430 1785850 ) ( * 2553570 )
NEW met1 ( 147430 2553570 ) ( 188830 * )
NEW met1 ( 147430 1785850 ) ( 2246410 * )
NEW met1 ( 188830 2553570 ) M1M2_PR
NEW met2 ( 188830 2557820 ) M2M3_PR_M
NEW met1 ( 2246410 1785850 ) M1M2_PR
NEW met1 ( 147430 1785850 ) M1M2_PR
NEW met1 ( 147430 2553570 ) M1M2_PR ;
- core0_to_mem_data\[5\] ( core0 mem_data_out[5] ) ( chip_controller wr_data[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2341580 0 ) ( 607890 * )
NEW met2 ( 607890 2340390 ) ( * 2341580 )
NEW met2 ( 1370570 1729750 ) ( * 2340390 )
NEW met2 ( 1542150 1699660 0 ) ( * 1729750 )
NEW met1 ( 607890 2340390 ) ( 1370570 * )
NEW met1 ( 1370570 1729750 ) ( 1542150 * )
NEW met2 ( 607890 2341580 ) M2M3_PR_M
NEW met1 ( 607890 2340390 ) M1M2_PR
NEW met1 ( 1370570 1729750 ) M1M2_PR
NEW met1 ( 1370570 2340390 ) M1M2_PR
NEW met1 ( 1542150 1729750 ) M1M2_PR ;
- core0_to_mem_data\[60\] ( core0 mem_data_out[60] ) ( chip_controller wr_data[60] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1095140 ) ( * 1097010 )
NEW met2 ( 461150 2699260 0 ) ( 462070 * )
NEW met2 ( 462070 2699260 ) ( * 2731730 )
NEW met1 ( 462070 2731730 ) ( 811670 * )
NEW met1 ( 811670 1097010 ) ( 1283630 * )
NEW met3 ( 1283630 1095140 ) ( 1300420 * 0 )
NEW met2 ( 811670 1097010 ) ( * 2731730 )
NEW met1 ( 1283630 1097010 ) M1M2_PR
NEW met2 ( 1283630 1095140 ) M2M3_PR_M
NEW met1 ( 462070 2731730 ) M1M2_PR
NEW met1 ( 811670 1097010 ) M1M2_PR
NEW met1 ( 811670 2731730 ) M1M2_PR ;
- core0_to_mem_data\[61\] ( core0 mem_data_out[61] ) ( chip_controller wr_data[61] ) + USE SIGNAL
+ ROUTED met2 ( 1259710 189210 ) ( * 2726460 )
NEW met2 ( 462990 2699260 0 ) ( 463450 * )
NEW met2 ( 463450 2699260 ) ( * 2726460 )
NEW met3 ( 463450 2726460 ) ( 1259710 * )
NEW met2 ( 2164070 189210 ) ( * 200260 0 )
NEW met1 ( 1259710 189210 ) ( 2164070 * )
NEW met1 ( 1259710 189210 ) M1M2_PR
NEW met2 ( 1259710 2726460 ) M2M3_PR_M
NEW met2 ( 463450 2726460 ) M2M3_PR_M
NEW met1 ( 2164070 189210 ) M1M2_PR ;
- core0_to_mem_data\[62\] ( core0 mem_data_out[62] ) ( chip_controller wr_data[62] ) + USE SIGNAL
+ ROUTED met2 ( 463910 2699940 ) ( 464370 * 0 )
NEW met2 ( 463910 2699940 ) ( * 2739890 )
NEW met1 ( 1493850 1717510 ) ( 1503510 * )
NEW met1 ( 1503510 1717510 ) ( * 1717850 )
NEW met1 ( 1503510 1717850 ) ( 1509030 * )
NEW met1 ( 1509030 1717510 ) ( * 1717850 )
NEW met1 ( 463910 2739890 ) ( 1493850 * )
NEW met2 ( 1493850 1717510 ) ( * 2739890 )
NEW met2 ( 2292410 1699660 0 ) ( * 1717510 )
NEW met1 ( 1509030 1717510 ) ( 2292410 * )
NEW met1 ( 463910 2739890 ) M1M2_PR
NEW met1 ( 1493850 1717510 ) M1M2_PR
NEW met1 ( 1493850 2739890 ) M1M2_PR
NEW met1 ( 2292410 1717510 ) M1M2_PR ;
- core0_to_mem_data\[63\] ( core0 mem_data_out[63] ) ( chip_controller wr_data[63] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2556460 0 ) ( 608350 * )
NEW met2 ( 608350 2553910 ) ( * 2556460 )
NEW met2 ( 873310 1110610 ) ( * 2553910 )
NEW met2 ( 1283630 1109420 ) ( * 1110610 )
NEW met1 ( 608350 2553910 ) ( 873310 * )
NEW met1 ( 873310 1110610 ) ( 1283630 * )
NEW met3 ( 1283630 1109420 ) ( 1300420 * 0 )
NEW met2 ( 608350 2556460 ) M2M3_PR_M
NEW met1 ( 608350 2553910 ) M1M2_PR
NEW met1 ( 873310 1110610 ) M1M2_PR
NEW met1 ( 873310 2553910 ) M1M2_PR
NEW met1 ( 1283630 1110610 ) M1M2_PR
NEW met2 ( 1283630 1109420 ) M2M3_PR_M ;
- core0_to_mem_data\[64\] ( core0 mem_data_out[64] ) ( chip_controller wr_data[64] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2558500 0 ) ( 607430 * )
NEW met2 ( 607430 2558330 ) ( * 2558500 )
NEW met2 ( 1283630 1124380 ) ( * 1124550 )
NEW met1 ( 607430 2558330 ) ( 618930 * )
NEW met2 ( 618930 1124550 ) ( * 2558330 )
NEW met1 ( 618930 1124550 ) ( 1283630 * )
NEW met3 ( 1283630 1124380 ) ( 1300420 * 0 )
NEW met2 ( 607430 2558500 ) M2M3_PR_M
NEW met1 ( 607430 2558330 ) M1M2_PR
NEW met1 ( 1283630 1124550 ) M1M2_PR
NEW met2 ( 1283630 1124380 ) M2M3_PR_M
NEW met1 ( 618930 1124550 ) M1M2_PR
NEW met1 ( 618930 2558330 ) M1M2_PR ;
- core0_to_mem_data\[65\] ( core0 mem_data_out[65] ) ( chip_controller wr_data[65] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2567170 ) ( * 2573460 )
NEW met3 ( 189290 2573460 ) ( 200100 * )
NEW met3 ( 200100 2572780 0 ) ( * 2573460 )
NEW met2 ( 1290070 1138660 ) ( * 1314270 )
NEW met2 ( 141450 1314270 ) ( * 2567170 )
NEW met1 ( 141450 2567170 ) ( 189290 * )
NEW met1 ( 141450 1314270 ) ( 1290070 * )
NEW met3 ( 1290070 1138660 ) ( 1300420 * 0 )
NEW met1 ( 189290 2567170 ) M1M2_PR
NEW met2 ( 189290 2573460 ) M2M3_PR_M
NEW met2 ( 1290070 1138660 ) M2M3_PR_M
NEW met1 ( 1290070 1314270 ) M1M2_PR
NEW met1 ( 141450 1314270 ) M1M2_PR
NEW met1 ( 141450 2567170 ) M1M2_PR ;
- core0_to_mem_data\[66\] ( core0 mem_data_out[66] ) ( chip_controller wr_data[66] ) + USE SIGNAL
+ ROUTED met1 ( 471730 2283950 ) ( 475410 * )
NEW met2 ( 471730 2283950 ) ( * 2300100 0 )
NEW met2 ( 475410 1709010 ) ( * 2283950 )
NEW met3 ( 2799100 1216860 0 ) ( 2808530 * )
NEW met2 ( 2808530 1216860 ) ( * 1219750 )
NEW met1 ( 2808530 1219750 ) ( 2816810 * )
NEW met2 ( 2816810 1219750 ) ( * 1709010 )
NEW met1 ( 475410 1709010 ) ( 2816810 * )
NEW met1 ( 475410 1709010 ) M1M2_PR
NEW met1 ( 475410 2283950 ) M1M2_PR
NEW met1 ( 471730 2283950 ) M1M2_PR
NEW met2 ( 2808530 1216860 ) M2M3_PR_M
NEW met1 ( 2808530 1219750 ) M1M2_PR
NEW met1 ( 2816810 1219750 ) M1M2_PR
NEW met1 ( 2816810 1709010 ) M1M2_PR ;
- core0_to_mem_data\[67\] ( core0 mem_data_out[67] ) ( chip_controller wr_data[67] ) + USE SIGNAL
+ ROUTED met2 ( 471270 2699260 0 ) ( 472650 * )
NEW met2 ( 472650 2699260 ) ( * 2727140 )
NEW met2 ( 2247790 190230 ) ( * 200260 0 )
NEW met3 ( 472650 2727140 ) ( 1142870 * )
NEW met1 ( 1142870 190230 ) ( 2247790 * )
NEW met2 ( 1142870 190230 ) ( * 2727140 )
NEW met2 ( 472650 2727140 ) M2M3_PR_M
NEW met1 ( 2247790 190230 ) M1M2_PR
NEW met1 ( 1142870 190230 ) M1M2_PR
NEW met2 ( 1142870 2727140 ) M2M3_PR_M ;
- core0_to_mem_data\[68\] ( core0 mem_data_out[68] ) ( chip_controller wr_data[68] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1182180 ) ( * 1186770 )
NEW met3 ( 599380 2570060 0 ) ( 607890 * )
NEW met2 ( 607890 2567510 ) ( * 2570060 )
NEW met1 ( 619390 1186770 ) ( 1283630 * )
NEW met3 ( 1283630 1182180 ) ( 1300420 * 0 )
NEW met1 ( 607890 2567510 ) ( 619390 * )
NEW met2 ( 619390 1186770 ) ( * 2567510 )
NEW met1 ( 1283630 1186770 ) M1M2_PR
NEW met2 ( 1283630 1182180 ) M2M3_PR_M
NEW met2 ( 607890 2570060 ) M2M3_PR_M
NEW met1 ( 607890 2567510 ) M1M2_PR
NEW met1 ( 619390 1186770 ) M1M2_PR
NEW met1 ( 619390 2567510 ) M1M2_PR ;
- core0_to_mem_data\[69\] ( core0 mem_data_out[69] ) ( chip_controller wr_data[69] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2574820 0 ) ( 612950 * )
NEW met2 ( 612950 1806590 ) ( * 2574820 )
NEW met3 ( 2799100 1237260 0 ) ( 2814050 * )
NEW met2 ( 2814050 1237260 ) ( * 1237430 )
NEW met1 ( 2814050 1237430 ) ( 2831530 * )
NEW met2 ( 2831530 1237430 ) ( * 1806590 )
NEW met1 ( 612950 1806590 ) ( 2831530 * )
NEW met1 ( 612950 1806590 ) M1M2_PR
NEW met2 ( 612950 2574820 ) M2M3_PR_M
NEW met2 ( 2814050 1237260 ) M2M3_PR_M
NEW met1 ( 2814050 1237430 ) M1M2_PR
NEW met1 ( 2831530 1237430 ) M1M2_PR
NEW met1 ( 2831530 1806590 ) M1M2_PR ;
- core0_to_mem_data\[6\] ( core0 mem_data_out[6] ) ( chip_controller wr_data[6] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 394740 0 ) ( 2811750 * )
NEW met2 ( 2811750 394740 ) ( * 399330 )
NEW met1 ( 2811750 399330 ) ( 2829690 * )
NEW met2 ( 2829690 399330 ) ( * 1777010 )
NEW met2 ( 273930 2278340 ) ( 275310 * )
NEW met2 ( 273930 2278340 ) ( * 2300100 )
NEW met2 ( 272550 2300100 0 ) ( 273930 * )
NEW met2 ( 275310 1777010 ) ( * 2278340 )
NEW met1 ( 275310 1777010 ) ( 2829690 * )
NEW met2 ( 2811750 394740 ) M2M3_PR_M
NEW met1 ( 2811750 399330 ) M1M2_PR
NEW met1 ( 2829690 399330 ) M1M2_PR
NEW met1 ( 2829690 1777010 ) M1M2_PR
NEW met1 ( 275310 1777010 ) M1M2_PR ;
- core0_to_mem_data\[70\] ( core0 mem_data_out[70] ) ( chip_controller wr_data[70] ) + USE SIGNAL
+ ROUTED met3 ( 193660 2578900 ) ( 200100 * )
NEW met3 ( 200100 2578220 0 ) ( * 2578900 )
NEW met4 ( 193660 194140 ) ( * 2578900 )
NEW met2 ( 2268490 194140 ) ( * 200260 0 )
NEW met3 ( 193660 194140 ) ( 2268490 * )
NEW met3 ( 193660 194140 ) M3M4_PR_M
NEW met3 ( 193660 2578900 ) M3M4_PR_M
NEW met2 ( 2268490 194140 ) M2M3_PR_M ;
- core0_to_mem_data\[71\] ( core0 mem_data_out[71] ) ( chip_controller wr_data[71] ) + USE SIGNAL
+ ROUTED met2 ( 486450 2277660 ) ( 488750 * )
NEW met2 ( 486450 2277660 ) ( * 2300100 )
NEW met2 ( 485070 2300100 0 ) ( 486450 * )
NEW met2 ( 488750 1227910 ) ( * 2277660 )
NEW met2 ( 1283630 1226380 ) ( * 1227910 )
NEW met1 ( 488750 1227910 ) ( 1283630 * )
NEW met3 ( 1283630 1226380 ) ( 1300420 * 0 )
NEW met1 ( 488750 1227910 ) M1M2_PR
NEW met1 ( 1283630 1227910 ) M1M2_PR
NEW met2 ( 1283630 1226380 ) M2M3_PR_M ;
- core0_to_mem_data\[72\] ( core0 mem_data_out[72] ) ( chip_controller wr_data[72] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2581620 0 ) ( 607890 * )
NEW met2 ( 607890 2580770 ) ( * 2581620 )
NEW met2 ( 1446470 1780070 ) ( * 2580770 )
NEW met2 ( 2319090 1699660 ) ( 2321390 * 0 )
NEW met2 ( 2319090 1699660 ) ( * 1780070 )
NEW met1 ( 607890 2580770 ) ( 1446470 * )
NEW met1 ( 1446470 1780070 ) ( 2319090 * )
NEW met2 ( 607890 2581620 ) M2M3_PR_M
NEW met1 ( 607890 2580770 ) M1M2_PR
NEW met1 ( 1446470 1780070 ) M1M2_PR
NEW met1 ( 1446470 2580770 ) M1M2_PR
NEW met1 ( 2319090 1780070 ) M1M2_PR ;
- core0_to_mem_data\[73\] ( core0 mem_data_out[73] ) ( chip_controller wr_data[73] ) + USE SIGNAL
+ ROUTED met3 ( 479090 2700620 ) ( 482540 * )
NEW met2 ( 479090 2699260 ) ( * 2700620 )
NEW met2 ( 477710 2699260 0 ) ( 479090 * )
NEW met3 ( 2799100 1258340 0 ) ( 2811750 * )
NEW met2 ( 2811750 1258340 ) ( * 1259870 )
NEW met1 ( 2811750 1259870 ) ( 2824630 * )
NEW met4 ( 482540 1776500 ) ( * 2700620 )
NEW met2 ( 2824630 1259870 ) ( * 1776500 )
NEW met3 ( 482540 1776500 ) ( 2824630 * )
NEW met3 ( 482540 2700620 ) M3M4_PR_M
NEW met2 ( 479090 2700620 ) M2M3_PR_M
NEW met2 ( 2811750 1258340 ) M2M3_PR_M
NEW met1 ( 2811750 1259870 ) M1M2_PR
NEW met1 ( 2824630 1259870 ) M1M2_PR
NEW met3 ( 482540 1776500 ) M3M4_PR_M
NEW met2 ( 2824630 1776500 ) M2M3_PR_M ;
- core0_to_mem_data\[74\] ( core0 mem_data_out[74] ) ( chip_controller wr_data[74] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2588250 ) ( * 2590460 )
NEW met3 ( 189750 2590460 ) ( 201020 * )
NEW met3 ( 201020 2590460 ) ( * 2591140 0 )
NEW met2 ( 2333810 1699660 ) ( 2336110 * 0 )
NEW met2 ( 2333810 1699660 ) ( * 1798770 )
NEW met2 ( 161230 1798770 ) ( * 2588250 )
NEW met1 ( 161230 2588250 ) ( 189750 * )
NEW met1 ( 161230 1798770 ) ( 2333810 * )
NEW met1 ( 189750 2588250 ) M1M2_PR
NEW met2 ( 189750 2590460 ) M2M3_PR_M
NEW met1 ( 2333810 1798770 ) M1M2_PR
NEW met1 ( 161230 1798770 ) M1M2_PR
NEW met1 ( 161230 2588250 ) M1M2_PR ;
- core0_to_mem_data\[75\] ( core0 mem_data_out[75] ) ( chip_controller wr_data[75] ) + USE SIGNAL
+ ROUTED met2 ( 493350 2270180 ) ( 496110 * )
NEW met2 ( 493350 2270180 ) ( * 2300100 )
NEW met2 ( 491970 2300100 0 ) ( 493350 * )
NEW met2 ( 496110 178330 ) ( * 2270180 )
NEW met2 ( 2284130 200260 ) ( 2289190 * 0 )
NEW met1 ( 496110 178330 ) ( 2284130 * )
NEW met2 ( 2284130 178330 ) ( * 200260 )
NEW met1 ( 496110 178330 ) M1M2_PR
NEW met1 ( 2284130 178330 ) M1M2_PR ;
- core0_to_mem_data\[76\] ( core0 mem_data_out[76] ) ( chip_controller wr_data[76] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2595050 ) ( * 2597940 )
NEW met3 ( 186990 2597940 ) ( 201020 * )
NEW met3 ( 201020 2597940 ) ( * 2598620 0 )
NEW met2 ( 126730 1785510 ) ( * 2595050 )
NEW met2 ( 2348530 1699660 ) ( 2350830 * 0 )
NEW met2 ( 2348530 1699660 ) ( * 1785510 )
NEW met1 ( 126730 2595050 ) ( 186990 * )
NEW met1 ( 126730 1785510 ) ( 2348530 * )
NEW met1 ( 126730 2595050 ) M1M2_PR
NEW met1 ( 186990 2595050 ) M1M2_PR
NEW met2 ( 186990 2597940 ) M2M3_PR_M
NEW met1 ( 126730 1785510 ) M1M2_PR
NEW met1 ( 2348530 1785510 ) M1M2_PR ;
- core0_to_mem_data\[77\] ( core0 mem_data_out[77] ) ( chip_controller wr_data[77] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2602530 ) ( * 2602700 )
NEW met3 ( 189750 2602700 ) ( 200100 * )
NEW met3 ( 200100 2602020 0 ) ( * 2602700 )
NEW met2 ( 102350 1694050 ) ( * 2602530 )
NEW met3 ( 2799100 1319540 0 ) ( 2812210 * )
NEW met2 ( 2812210 1319540 ) ( * 1694050 )
NEW met1 ( 102350 2602530 ) ( 189750 * )
NEW met1 ( 102350 1694050 ) ( 2812210 * )
NEW met1 ( 102350 2602530 ) M1M2_PR
NEW met1 ( 189750 2602530 ) M1M2_PR
NEW met2 ( 189750 2602700 ) M2M3_PR_M
NEW met1 ( 102350 1694050 ) M1M2_PR
NEW met2 ( 2812210 1319540 ) M2M3_PR_M
NEW met1 ( 2812210 1694050 ) M1M2_PR ;
- core0_to_mem_data\[78\] ( core0 mem_data_out[78] ) ( chip_controller wr_data[78] ) + USE SIGNAL
+ ROUTED met2 ( 2325530 200260 ) ( 2331050 * 0 )
NEW met1 ( 505310 2283950 ) ( 509450 * )
NEW met2 ( 505310 2283950 ) ( * 2300100 0 )
NEW met2 ( 509450 179010 ) ( * 2283950 )
NEW met2 ( 2325530 179010 ) ( * 200260 )
NEW met1 ( 509450 179010 ) ( 2325530 * )
NEW met1 ( 509450 179010 ) M1M2_PR
NEW met1 ( 509450 2283950 ) M1M2_PR
NEW met1 ( 505310 2283950 ) M1M2_PR
NEW met1 ( 2325530 179010 ) M1M2_PR ;
- core0_to_mem_data\[79\] ( core0 mem_data_out[79] ) ( chip_controller wr_data[79] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2601850 ) ( * 2603380 )
NEW met3 ( 188830 2603380 ) ( 201020 * )
NEW met3 ( 201020 2603380 ) ( * 2604060 0 )
NEW met1 ( 134550 2601850 ) ( 188830 * )
NEW met2 ( 134550 1785170 ) ( * 2601850 )
NEW met2 ( 2363250 1699660 ) ( 2365550 * 0 )
NEW met1 ( 134550 1785170 ) ( 2363250 * )
NEW met2 ( 2363250 1699660 ) ( * 1785170 )
NEW met1 ( 188830 2601850 ) M1M2_PR
NEW met2 ( 188830 2603380 ) M2M3_PR_M
NEW met1 ( 134550 2601850 ) M1M2_PR
NEW met1 ( 134550 1785170 ) M1M2_PR
NEW met1 ( 2363250 1785170 ) M1M2_PR ;
- core0_to_mem_data\[7\] ( core0 mem_data_out[7] ) ( chip_controller wr_data[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2353140 0 ) ( 607430 * )
NEW met2 ( 607430 2352970 ) ( * 2353140 )
NEW met2 ( 1356770 1775990 ) ( * 2352970 )
NEW met1 ( 607430 2352970 ) ( 1356770 * )
NEW met1 ( 1356770 1775990 ) ( 1601030 * )
NEW met2 ( 1601030 1699660 0 ) ( * 1775990 )
NEW met2 ( 607430 2353140 ) M2M3_PR_M
NEW met1 ( 607430 2352970 ) M1M2_PR
NEW met1 ( 1356770 1775990 ) M1M2_PR
NEW met1 ( 1356770 2352970 ) M1M2_PR
NEW met1 ( 1601030 1775990 ) M1M2_PR ;
- core0_to_mem_data\[80\] ( core0 mem_data_out[80] ) ( chip_controller wr_data[80] ) + USE SIGNAL
+ ROUTED met3 ( 184690 2605420 ) ( 201020 * )
NEW met3 ( 201020 2605420 ) ( * 2606100 0 )
NEW met2 ( 184690 1778370 ) ( * 2605420 )
NEW met2 ( 2377970 1699660 ) ( 2380270 * 0 )
NEW met1 ( 184690 1778370 ) ( 2377970 * )
NEW met2 ( 2377970 1699660 ) ( * 1778370 )
NEW met2 ( 184690 2605420 ) M2M3_PR_M
NEW met1 ( 184690 1778370 ) M1M2_PR
NEW met1 ( 2377970 1778370 ) M1M2_PR ;
- core0_to_mem_data\[81\] ( core0 mem_data_out[81] ) ( chip_controller wr_data[81] ) + USE SIGNAL
+ ROUTED met2 ( 186530 2608650 ) ( * 2610860 )
NEW met3 ( 186530 2610860 ) ( 201020 * )
NEW met3 ( 201020 2610860 ) ( * 2611540 0 )
NEW met1 ( 167670 2608650 ) ( 186530 * )
NEW met2 ( 167670 1777690 ) ( * 2608650 )
NEW met2 ( 2394530 1699660 ) ( 2394990 * 0 )
NEW met1 ( 167670 1777690 ) ( 2394530 * )
NEW met2 ( 2394530 1699660 ) ( * 1777690 )
NEW met1 ( 186530 2608650 ) M1M2_PR
NEW met2 ( 186530 2610860 ) M2M3_PR_M
NEW met1 ( 167670 2608650 ) M1M2_PR
NEW met1 ( 167670 1777690 ) M1M2_PR
NEW met1 ( 2394530 1777690 ) M1M2_PR ;
- core0_to_mem_data\[82\] ( core0 mem_data_out[82] ) ( chip_controller wr_data[82] ) + USE SIGNAL
+ ROUTED met2 ( 187450 2615110 ) ( * 2616300 )
NEW met3 ( 187450 2616300 ) ( 201020 * )
NEW met3 ( 201020 2616300 ) ( * 2616980 0 )
NEW met2 ( 2351750 193290 ) ( * 200260 0 )
NEW met2 ( 89470 193290 ) ( * 2615110 )
NEW met1 ( 89470 2615110 ) ( 187450 * )
NEW met1 ( 89470 193290 ) ( 2351750 * )
NEW met1 ( 89470 193290 ) M1M2_PR
NEW met1 ( 89470 2615110 ) M1M2_PR
NEW met1 ( 187450 2615110 ) M1M2_PR
NEW met2 ( 187450 2616300 ) M2M3_PR_M
NEW met1 ( 2351750 193290 ) M1M2_PR ;
- core0_to_mem_data\[83\] ( core0 mem_data_out[83] ) ( chip_controller wr_data[83] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2615450 ) ( * 2619020 )
NEW met3 ( 189750 2619020 ) ( 200100 * )
NEW met3 ( 200100 2618340 0 ) ( * 2619020 )
NEW met3 ( 2799100 1361020 0 ) ( 2811750 * )
NEW met2 ( 108790 1741990 ) ( * 2615450 )
NEW met2 ( 2811750 1361020 ) ( * 1741990 )
NEW met1 ( 108790 2615450 ) ( 189750 * )
NEW met1 ( 108790 1741990 ) ( 2811750 * )
NEW met1 ( 108790 1741990 ) M1M2_PR
NEW met1 ( 108790 2615450 ) M1M2_PR
NEW met1 ( 189750 2615450 ) M1M2_PR
NEW met2 ( 189750 2619020 ) M2M3_PR_M
NEW met2 ( 2811750 1361020 ) M2M3_PR_M
NEW met1 ( 2811750 1741990 ) M1M2_PR ;
- core0_to_mem_data\[84\] ( core0 mem_data_out[84] ) ( chip_controller wr_data[84] ) + USE SIGNAL
+ ROUTED met2 ( 494270 2699260 0 ) ( 495650 * )
NEW met2 ( 495650 2699260 ) ( * 2719490 )
NEW met2 ( 2424430 1699660 0 ) ( * 1716830 )
NEW met1 ( 495650 2719490 ) ( 1503970 * )
NEW met1 ( 1503970 1717510 ) ( 1508570 * )
NEW li1 ( 1508570 1716830 ) ( * 1717510 )
NEW met2 ( 1503970 1717510 ) ( * 2719490 )
NEW met1 ( 1508570 1716830 ) ( 2424430 * )
NEW met1 ( 495650 2719490 ) M1M2_PR
NEW met1 ( 2424430 1716830 ) M1M2_PR
NEW met1 ( 1503970 2719490 ) M1M2_PR
NEW met1 ( 1503970 1717510 ) M1M2_PR
NEW li1 ( 1508570 1717510 ) L1M1_PR_MR
NEW li1 ( 1508570 1716830 ) L1M1_PR_MR ;
- core0_to_mem_data\[85\] ( core0 mem_data_out[85] ) ( chip_controller wr_data[85] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1342660 ) ( * 1345210 )
NEW met1 ( 523710 1345210 ) ( 1283630 * )
NEW met3 ( 1283630 1342660 ) ( 1300420 * 0 )
NEW met2 ( 523250 2266100 ) ( 523710 * )
NEW met2 ( 523250 2266100 ) ( * 2300100 )
NEW met2 ( 521870 2300100 0 ) ( 523250 * )
NEW met2 ( 523710 1345210 ) ( * 2266100 )
NEW met1 ( 1283630 1345210 ) M1M2_PR
NEW met2 ( 1283630 1342660 ) M2M3_PR_M
NEW met1 ( 523710 1345210 ) M1M2_PR ;
- core0_to_mem_data\[86\] ( core0 mem_data_out[86] ) ( chip_controller wr_data[86] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2604740 0 ) ( 607890 * )
NEW met2 ( 607890 2601510 ) ( * 2604740 )
NEW met2 ( 2412470 202810 ) ( * 202980 )
NEW met2 ( 2412470 202980 ) ( 2414310 * 0 )
NEW met1 ( 607890 2601510 ) ( 1101470 * )
NEW met1 ( 1101470 202810 ) ( 2412470 * )
NEW met2 ( 1101470 202810 ) ( * 2601510 )
NEW met2 ( 607890 2604740 ) M2M3_PR_M
NEW met1 ( 607890 2601510 ) M1M2_PR
NEW met1 ( 2412470 202810 ) M1M2_PR
NEW met1 ( 1101470 202810 ) M1M2_PR
NEW met1 ( 1101470 2601510 ) M1M2_PR ;
- core0_to_mem_data\[87\] ( core0 mem_data_out[87] ) ( chip_controller wr_data[87] ) + USE SIGNAL
+ ROUTED met1 ( 525550 2284630 ) ( 530150 * )
NEW met2 ( 525550 2284630 ) ( * 2300100 0 )
NEW met2 ( 530150 1722270 ) ( * 2284630 )
NEW met2 ( 2453870 1699660 0 ) ( * 1722270 )
NEW met1 ( 530150 1722270 ) ( 2453870 * )
NEW met1 ( 530150 1722270 ) M1M2_PR
NEW met1 ( 530150 2284630 ) M1M2_PR
NEW met1 ( 525550 2284630 ) M1M2_PR
NEW met1 ( 2453870 1722270 ) M1M2_PR ;
- core0_to_mem_data\[88\] ( core0 mem_data_out[88] ) ( chip_controller wr_data[88] ) + USE SIGNAL
+ ROUTED met2 ( 2456170 185470 ) ( * 200260 0 )
NEW met1 ( 576610 185470 ) ( 2456170 * )
NEW met2 ( 528770 2289220 ) ( * 2300100 0 )
NEW met3 ( 528770 2289220 ) ( 576610 * )
NEW met2 ( 576610 185470 ) ( * 2289220 )
NEW met1 ( 576610 185470 ) M1M2_PR
NEW met1 ( 2456170 185470 ) M1M2_PR
NEW met2 ( 528770 2289220 ) M2M3_PR_M
NEW met2 ( 576610 2289220 ) M2M3_PR_M ;
- core0_to_mem_data\[89\] ( core0 mem_data_out[89] ) ( chip_controller wr_data[89] ) + USE SIGNAL
+ ROUTED met3 ( 503930 2700620 ) ( 510140 * )
NEW met2 ( 503930 2699940 ) ( * 2700620 )
NEW met2 ( 503930 2699940 ) ( 504390 * 0 )
NEW met3 ( 2799100 1381420 0 ) ( * 1384140 )
NEW met3 ( 2799100 1384140 ) ( 2799330 * )
NEW met4 ( 510140 1707140 ) ( * 2700620 )
NEW met2 ( 2799330 1384140 ) ( * 1707140 )
NEW met3 ( 510140 1707140 ) ( 2799330 * )
NEW met3 ( 510140 2700620 ) M3M4_PR_M
NEW met2 ( 503930 2700620 ) M2M3_PR_M
NEW met2 ( 2799330 1384140 ) M2M3_PR_M
NEW met3 ( 510140 1707140 ) M3M4_PR_M
NEW met2 ( 2799330 1707140 ) M2M3_PR_M ;
- core0_to_mem_data\[8\] ( core0 mem_data_out[8] ) ( chip_controller wr_data[8] ) + USE SIGNAL
+ ROUTED met2 ( 296010 1735190 ) ( * 2256300 )
NEW met2 ( 295090 2256300 ) ( 296010 * )
NEW met2 ( 295090 2256300 ) ( * 2283950 )
NEW met1 ( 290950 2283950 ) ( 295090 * )
NEW met2 ( 290950 2283950 ) ( * 2300100 0 )
NEW met3 ( 2799100 456620 0 ) ( 2811750 * )
NEW met2 ( 2811750 456620 ) ( * 461210 )
NEW met1 ( 2811750 461210 ) ( 2830610 * )
NEW met2 ( 2830610 461210 ) ( * 1735190 )
NEW met1 ( 296010 1735190 ) ( 2830610 * )
NEW met1 ( 296010 1735190 ) M1M2_PR
NEW met1 ( 2830610 1735190 ) M1M2_PR
NEW met1 ( 295090 2283950 ) M1M2_PR
NEW met1 ( 290950 2283950 ) M1M2_PR
NEW met2 ( 2811750 456620 ) M2M3_PR_M
NEW met1 ( 2811750 461210 ) M1M2_PR
NEW met1 ( 2830610 461210 ) M1M2_PR ;
- core0_to_mem_data\[90\] ( core0 mem_data_out[90] ) ( chip_controller wr_data[90] ) + USE SIGNAL
+ ROUTED met3 ( 194810 2632620 ) ( 201020 * )
NEW met3 ( 201020 2632620 ) ( * 2633300 0 )
NEW met2 ( 194810 1777350 ) ( * 2632620 )
NEW met2 ( 2498030 1699660 0 ) ( * 1777350 )
NEW met1 ( 194810 1777350 ) ( 2498030 * )
NEW met2 ( 194810 2632620 ) M2M3_PR_M
NEW met1 ( 194810 1777350 ) M1M2_PR
NEW met1 ( 2498030 1777350 ) M1M2_PR ;
- core0_to_mem_data\[91\] ( core0 mem_data_out[91] ) ( chip_controller wr_data[91] ) + USE SIGNAL
+ ROUTED met2 ( 509450 2699260 0 ) ( 510370 * )
NEW met2 ( 510370 2699260 ) ( * 2707930 )
NEW met2 ( 1283630 1386180 ) ( * 1386690 )
NEW met2 ( 783610 1386690 ) ( * 2707930 )
NEW met1 ( 510370 2707930 ) ( 783610 * )
NEW met1 ( 783610 1386690 ) ( 1283630 * )
NEW met3 ( 1283630 1386180 ) ( 1300420 * 0 )
NEW met1 ( 510370 2707930 ) M1M2_PR
NEW met1 ( 783610 1386690 ) M1M2_PR
NEW met1 ( 783610 2707930 ) M1M2_PR
NEW met1 ( 1283630 1386690 ) M1M2_PR
NEW met2 ( 1283630 1386180 ) M2M3_PR_M ;
- core0_to_mem_data\[92\] ( core0 mem_data_out[92] ) ( chip_controller wr_data[92] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2612900 0 ) ( 607430 * )
NEW met2 ( 607430 2610350 ) ( * 2612900 )
NEW met2 ( 1283630 1401140 ) ( * 1407430 )
NEW met1 ( 607430 2610350 ) ( 619850 * )
NEW met2 ( 619850 1407430 ) ( * 2610350 )
NEW met1 ( 619850 1407430 ) ( 1283630 * )
NEW met3 ( 1283630 1401140 ) ( 1300420 * 0 )
NEW met2 ( 607430 2612900 ) M2M3_PR_M
NEW met1 ( 607430 2610350 ) M1M2_PR
NEW met1 ( 1283630 1407430 ) M1M2_PR
NEW met2 ( 1283630 1401140 ) M2M3_PR_M
NEW met1 ( 619850 2610350 ) M1M2_PR
NEW met1 ( 619850 1407430 ) M1M2_PR ;
- core0_to_mem_data\[93\] ( core0 mem_data_out[93] ) ( chip_controller wr_data[93] ) + USE SIGNAL
+ ROUTED met2 ( 2476870 193970 ) ( * 200260 0 )
NEW met1 ( 562810 193970 ) ( 2476870 * )
NEW met2 ( 540270 2288370 ) ( * 2300100 0 )
NEW met2 ( 548550 2287860 ) ( * 2288370 )
NEW met3 ( 548550 2287860 ) ( 562810 * )
NEW met1 ( 540270 2288370 ) ( 548550 * )
NEW met2 ( 562810 193970 ) ( * 2287860 )
NEW met1 ( 562810 193970 ) M1M2_PR
NEW met1 ( 2476870 193970 ) M1M2_PR
NEW met1 ( 540270 2288370 ) M1M2_PR
NEW met1 ( 548550 2288370 ) M1M2_PR
NEW met2 ( 548550 2287860 ) M2M3_PR_M
NEW met2 ( 562810 2287860 ) M2M3_PR_M ;
- core0_to_mem_data\[94\] ( core0 mem_data_out[94] ) ( chip_controller wr_data[94] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1430380 ) ( * 1434970 )
NEW met2 ( 517730 2699260 0 ) ( 518650 * )
NEW met2 ( 518650 2699260 ) ( * 2731390 )
NEW met1 ( 518650 2731390 ) ( 914710 * )
NEW met2 ( 914710 1434970 ) ( * 2731390 )
NEW met1 ( 914710 1434970 ) ( 1283630 * )
NEW met3 ( 1283630 1430380 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1434970 ) M1M2_PR
NEW met2 ( 1283630 1430380 ) M2M3_PR_M
NEW met1 ( 518650 2731390 ) M1M2_PR
NEW met1 ( 914710 2731390 ) M1M2_PR
NEW met1 ( 914710 1434970 ) M1M2_PR ;
- core0_to_mem_data\[95\] ( core0 mem_data_out[95] ) ( chip_controller wr_data[95] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1444660 ) ( * 1448910 )
NEW met1 ( 543950 1448910 ) ( 1283630 * )
NEW met3 ( 1283630 1444660 ) ( 1300420 * 0 )
NEW met2 ( 543950 1448910 ) ( * 2300100 0 )
NEW met1 ( 1283630 1448910 ) M1M2_PR
NEW met2 ( 1283630 1444660 ) M2M3_PR_M
NEW met1 ( 543950 1448910 ) M1M2_PR ;
- core0_to_mem_data\[96\] ( core0 mem_data_out[96] ) ( chip_controller wr_data[96] ) + USE SIGNAL
+ ROUTED met2 ( 2542190 1699660 0 ) ( * 1721930 )
NEW met1 ( 550850 1721930 ) ( 2542190 * )
NEW met1 ( 545330 2285310 ) ( 550850 * )
NEW met2 ( 545330 2285310 ) ( * 2300100 0 )
NEW met2 ( 550850 1721930 ) ( * 2285310 )
NEW met1 ( 2542190 1721930 ) M1M2_PR
NEW met1 ( 550850 1721930 ) M1M2_PR
NEW met1 ( 550850 2285310 ) M1M2_PR
NEW met1 ( 545330 2285310 ) M1M2_PR ;
- core0_to_mem_data\[97\] ( core0 mem_data_out[97] ) ( chip_controller wr_data[97] ) + USE SIGNAL
+ ROUTED met2 ( 687930 1714620 ) ( * 2725610 )
NEW met2 ( 522790 2699260 0 ) ( 523250 * )
NEW met2 ( 523250 2699260 ) ( * 2725610 )
NEW met1 ( 523250 2725610 ) ( 687930 * )
NEW met2 ( 2556910 1699660 0 ) ( * 1714620 )
NEW met3 ( 687930 1714620 ) ( 2556910 * )
NEW met1 ( 687930 2725610 ) M1M2_PR
NEW met2 ( 687930 1714620 ) M2M3_PR_M
NEW met1 ( 523250 2725610 ) M1M2_PR
NEW met2 ( 2556910 1714620 ) M2M3_PR_M ;
- core0_to_mem_data\[98\] ( core0 mem_data_out[98] ) ( chip_controller wr_data[98] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1422220 0 ) ( 2803930 * )
NEW met2 ( 2803930 1422220 ) ( * 1707820 )
NEW met3 ( 530150 2700620 ) ( 530380 * )
NEW met2 ( 530150 2699260 ) ( * 2700620 )
NEW met2 ( 529230 2699260 0 ) ( 530150 * )
NEW met4 ( 530380 1707820 ) ( * 2700620 )
NEW met3 ( 530380 1707820 ) ( 2803930 * )
NEW met2 ( 2803930 1422220 ) M2M3_PR_M
NEW met2 ( 2803930 1707820 ) M2M3_PR_M
NEW met3 ( 530380 2700620 ) M3M4_PR_M
NEW met2 ( 530150 2700620 ) M2M3_PR_M
NEW met3 ( 530380 1707820 ) M3M4_PR_M
NEW met3 ( 530380 2700620 ) RECT ( 0 -150 390 150 ) ;
- core0_to_mem_data\[99\] ( core0 mem_data_out[99] ) ( chip_controller wr_data[99] ) + USE SIGNAL
+ ROUTED met2 ( 2601070 1699660 0 ) ( * 1721590 )
NEW met1 ( 551310 1721590 ) ( 2601070 * )
NEW met1 ( 549010 2288370 ) ( 551310 * )
NEW met2 ( 549010 2288370 ) ( * 2300100 0 )
NEW met2 ( 551310 1721590 ) ( * 2288370 )
NEW met1 ( 2601070 1721590 ) M1M2_PR
NEW met1 ( 551310 1721590 ) M1M2_PR
NEW met1 ( 551310 2288370 ) M1M2_PR
NEW met1 ( 549010 2288370 ) M1M2_PR ;
- core0_to_mem_data\[9\] ( core0 mem_data_out[9] ) ( chip_controller wr_data[9] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 498100 0 ) ( 2809910 * )
NEW met2 ( 2809910 498100 ) ( * 498950 )
NEW met1 ( 2809910 498950 ) ( 2830150 * )
NEW met3 ( 599380 2370140 0 ) ( 609270 * )
NEW met2 ( 609270 1806250 ) ( * 2370140 )
NEW met2 ( 2830150 498950 ) ( * 1806250 )
NEW met1 ( 609270 1806250 ) ( 2830150 * )
NEW met2 ( 2809910 498100 ) M2M3_PR_M
NEW met1 ( 2809910 498950 ) M1M2_PR
NEW met1 ( 2830150 498950 ) M1M2_PR
NEW met1 ( 609270 1806250 ) M1M2_PR
NEW met2 ( 609270 2370140 ) M2M3_PR_M
NEW met1 ( 2830150 1806250 ) M1M2_PR ;
- csb0_to_sram ( custom_sram csb0_to_sram ) ( chip_controller csb0_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 599380 2302140 0 ) ( 607890 * )
NEW met2 ( 607890 2298910 ) ( * 2302140 )
NEW met2 ( 1490170 1938340 ) ( * 1938850 )
NEW met3 ( 1490170 1938340 ) ( 1500060 * 0 )
NEW met1 ( 1322730 1938850 ) ( 1490170 * )
NEW met1 ( 607890 2298910 ) ( 1322730 * )
NEW met2 ( 1322730 1938850 ) ( * 2298910 )
NEW met2 ( 607890 2302140 ) M2M3_PR_M
NEW met1 ( 607890 2298910 ) M1M2_PR
NEW met1 ( 1322730 1938850 ) M1M2_PR
NEW met1 ( 1490170 1938850 ) M1M2_PR
NEW met2 ( 1490170 1938340 ) M2M3_PR_M
NEW met1 ( 1322730 2298910 ) M1M2_PR ;
- data_out_to_core\[0\] ( core0 read_interactive_value[0] ) ( chip_controller data_out_to_core[0] ) + USE SIGNAL
+ ROUTED met2 ( 227010 2300100 ) ( 227470 * 0 )
NEW met2 ( 227010 1708670 ) ( * 2300100 )
NEW met3 ( 2799100 271660 0 ) ( 2809450 * )
NEW met2 ( 2809450 271660 ) ( * 272170 )
NEW met1 ( 2809450 272170 ) ( 2822790 * )
NEW met2 ( 2822790 272170 ) ( * 1708670 )
NEW met1 ( 227010 1708670 ) ( 2822790 * )
NEW met1 ( 227010 1708670 ) M1M2_PR
NEW met2 ( 2809450 271660 ) M2M3_PR_M
NEW met1 ( 2809450 272170 ) M1M2_PR
NEW met1 ( 2822790 272170 ) M1M2_PR
NEW met1 ( 2822790 1708670 ) M1M2_PR ;
- data_out_to_core\[10\] ( core0 read_interactive_value[10] ) ( chip_controller data_out_to_core[10] ) + USE SIGNAL
+ ROUTED met2 ( 693910 1716490 ) ( * 2730710 )
NEW met2 ( 1659910 1699660 0 ) ( * 1716490 )
NEW met2 ( 256910 2699260 0 ) ( 258290 * )
NEW met2 ( 258290 2699260 ) ( * 2730710 )
NEW met1 ( 258290 2730710 ) ( 693910 * )
NEW met1 ( 693910 1716490 ) ( 1659910 * )
NEW met1 ( 693910 2730710 ) M1M2_PR
NEW met1 ( 693910 1716490 ) M1M2_PR
NEW met1 ( 1659910 1716490 ) M1M2_PR
NEW met1 ( 258290 2730710 ) M1M2_PR ;
- data_out_to_core\[11\] ( core0 read_interactive_value[11] ) ( chip_controller data_out_to_core[11] ) + USE SIGNAL
+ ROUTED met2 ( 94990 469030 ) ( * 2367930 )
NEW met2 ( 187910 2367930 ) ( * 2368780 )
NEW met3 ( 187910 2368780 ) ( 201020 * )
NEW met3 ( 201020 2368780 ) ( * 2369460 0 )
NEW met2 ( 1283630 468860 ) ( * 469030 )
NEW met1 ( 94990 2367930 ) ( 187910 * )
NEW met1 ( 94990 469030 ) ( 1283630 * )
NEW met3 ( 1283630 468860 ) ( 1300420 * 0 )
NEW met1 ( 94990 469030 ) M1M2_PR
NEW met1 ( 94990 2367930 ) M1M2_PR
NEW met1 ( 187910 2367930 ) M1M2_PR
NEW met2 ( 187910 2368780 ) M2M3_PR_M
NEW met1 ( 1283630 469030 ) M1M2_PR
NEW met2 ( 1283630 468860 ) M2M3_PR_M ;
- data_out_to_core\[12\] ( core0 read_interactive_value[12] ) ( chip_controller data_out_to_core[12] ) + USE SIGNAL
+ ROUTED met2 ( 1539390 184790 ) ( * 200260 0 )
NEW met2 ( 89010 184790 ) ( * 2380510 )
NEW met2 ( 188830 2380510 ) ( * 2384420 )
NEW met3 ( 188830 2384420 ) ( 200100 * )
NEW met3 ( 200100 2383740 0 ) ( * 2384420 )
NEW met1 ( 89010 184790 ) ( 1539390 * )
NEW met1 ( 89010 2380510 ) ( 188830 * )
NEW met1 ( 89010 184790 ) M1M2_PR
NEW met1 ( 1539390 184790 ) M1M2_PR
NEW met1 ( 89010 2380510 ) M1M2_PR
NEW met1 ( 188830 2380510 ) M1M2_PR
NEW met2 ( 188830 2384420 ) M2M3_PR_M ;
- data_out_to_core\[13\] ( core0 read_interactive_value[13] ) ( chip_controller data_out_to_core[13] ) + USE SIGNAL
+ ROUTED met2 ( 272090 2699260 0 ) ( 273010 * )
NEW met2 ( 273010 2699260 ) ( * 2739210 )
NEW met1 ( 273010 2739210 ) ( 1494770 * )
NEW met2 ( 1494770 1712410 ) ( * 2739210 )
NEW met2 ( 1718790 1699660 0 ) ( * 1712410 )
NEW met1 ( 1494770 1712410 ) ( 1718790 * )
NEW met1 ( 273010 2739210 ) M1M2_PR
NEW met1 ( 1494770 2739210 ) M1M2_PR
NEW met1 ( 1494770 1712410 ) M1M2_PR
NEW met1 ( 1718790 1712410 ) M1M2_PR ;
- data_out_to_core\[14\] ( core0 read_interactive_value[14] ) ( chip_controller data_out_to_core[14] ) + USE SIGNAL
+ ROUTED met2 ( 284050 2699940 ) ( 285430 * 0 )
NEW met2 ( 284050 2699940 ) ( * 2701130 )
NEW met2 ( 283590 2701130 ) ( 284050 * )
NEW met2 ( 283590 2701130 ) ( * 2723740 )
NEW met2 ( 283130 2723740 ) ( 283590 * )
NEW met2 ( 283130 2723740 ) ( * 2739550 )
NEW met1 ( 283130 2739550 ) ( 1494310 * )
NEW met2 ( 1494310 1712750 ) ( * 2739550 )
NEW met2 ( 1777670 1699660 0 ) ( * 1712750 )
NEW met1 ( 1494310 1712750 ) ( 1777670 * )
NEW met1 ( 283130 2739550 ) M1M2_PR
NEW met1 ( 1494310 1712750 ) M1M2_PR
NEW met1 ( 1494310 2739550 ) M1M2_PR
NEW met1 ( 1777670 1712750 ) M1M2_PR ;
- data_out_to_core\[15\] ( core0 read_interactive_value[15] ) ( chip_controller data_out_to_core[15] ) + USE SIGNAL
+ ROUTED met3 ( 197570 2400060 ) ( 201020 * )
NEW met3 ( 201020 2400060 ) ( * 2400740 0 )
NEW met2 ( 197570 1771910 ) ( * 2400060 )
NEW met1 ( 197570 1771910 ) ( 1804810 * )
NEW met2 ( 1804810 1699660 ) ( 1807110 * 0 )
NEW met2 ( 1804810 1699660 ) ( * 1771910 )
NEW met1 ( 197570 1771910 ) M1M2_PR
NEW met2 ( 197570 2400060 ) M2M3_PR_M
NEW met1 ( 1804810 1771910 ) M1M2_PR ;
- data_out_to_core\[16\] ( core0 read_interactive_value[16] ) ( chip_controller data_out_to_core[16] ) + USE SIGNAL
+ ROUTED met1 ( 1336530 1769530 ) ( 1819530 * )
NEW met1 ( 367770 2286670 ) ( * 2287690 )
NEW met1 ( 330970 2286670 ) ( 367770 * )
NEW met2 ( 330970 2286670 ) ( * 2300100 0 )
NEW met2 ( 1336530 1769530 ) ( * 2287690 )
NEW met2 ( 1819530 1699660 ) ( 1821370 * 0 )
NEW met2 ( 1819530 1699660 ) ( * 1769530 )
NEW met1 ( 367770 2287690 ) ( 1336530 * )
NEW met1 ( 1336530 1769530 ) M1M2_PR
NEW met1 ( 1819530 1769530 ) M1M2_PR
NEW met1 ( 330970 2286670 ) M1M2_PR
NEW met1 ( 1336530 2287690 ) M1M2_PR ;
- data_out_to_core\[17\] ( core0 read_interactive_value[17] ) ( chip_controller data_out_to_core[17] ) + USE SIGNAL
+ ROUTED met2 ( 309810 2699940 ) ( 310270 * 0 )
NEW met2 ( 309810 2699940 ) ( * 2736150 )
NEW met2 ( 1643350 186830 ) ( * 200260 0 )
NEW met2 ( 1093650 186830 ) ( * 2736150 )
NEW met1 ( 309810 2736150 ) ( 1093650 * )
NEW met1 ( 1093650 186830 ) ( 1643350 * )
NEW met1 ( 309810 2736150 ) M1M2_PR
NEW met1 ( 1093650 186830 ) M1M2_PR
NEW met1 ( 1093650 2736150 ) M1M2_PR
NEW met1 ( 1643350 186830 ) M1M2_PR ;
- data_out_to_core\[18\] ( core0 read_interactive_value[18] ) ( chip_controller data_out_to_core[18] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 600100 ) ( * 600270 )
NEW met1 ( 344310 600270 ) ( 1283630 * )
NEW met3 ( 1283630 600100 ) ( 1300420 * 0 )
NEW met1 ( 339710 2283950 ) ( 344310 * )
NEW met2 ( 339710 2283950 ) ( * 2300100 0 )
NEW met2 ( 344310 600270 ) ( * 2283950 )
NEW met1 ( 1283630 600270 ) M1M2_PR
NEW met2 ( 1283630 600100 ) M2M3_PR_M
NEW met1 ( 344310 600270 ) M1M2_PR
NEW met1 ( 344310 2283950 ) M1M2_PR
NEW met1 ( 339710 2283950 ) M1M2_PR ;
- data_out_to_core\[19\] ( core0 read_interactive_value[19] ) ( chip_controller data_out_to_core[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2429300 0 ) ( 607890 * )
NEW met2 ( 607890 2429300 ) ( * 2430150 )
NEW met2 ( 1349870 1773270 ) ( * 2430150 )
NEW met2 ( 1849430 1699660 ) ( 1850810 * 0 )
NEW met2 ( 1849430 1699660 ) ( * 1773270 )
NEW met1 ( 607890 2430150 ) ( 1349870 * )
NEW met1 ( 1349870 1773270 ) ( 1849430 * )
NEW met2 ( 607890 2429300 ) M2M3_PR_M
NEW met1 ( 607890 2430150 ) M1M2_PR
NEW met1 ( 1349870 1773270 ) M1M2_PR
NEW met1 ( 1349870 2430150 ) M1M2_PR
NEW met1 ( 1849430 1773270 ) M1M2_PR ;
- data_out_to_core\[1\] ( core0 read_interactive_value[1] ) ( chip_controller data_out_to_core[1] ) + USE SIGNAL
+ ROUTED met3 ( 206310 2699940 ) ( 206540 * )
NEW met2 ( 206310 2699260 ) ( * 2699940 )
NEW met2 ( 205390 2699260 0 ) ( 206310 * )
NEW met3 ( 2799100 313140 0 ) ( 2814970 * )
NEW met2 ( 2814970 311610 ) ( * 313140 )
NEW met4 ( 206540 1783300 ) ( * 2699940 )
NEW met1 ( 2814970 311610 ) ( 2843030 * )
NEW met3 ( 206540 1783300 ) ( 2843030 * )
NEW met2 ( 2843030 311610 ) ( * 1783300 )
NEW met3 ( 206540 2699940 ) M3M4_PR_M
NEW met2 ( 206310 2699940 ) M2M3_PR_M
NEW met2 ( 2814970 313140 ) M2M3_PR_M
NEW met1 ( 2814970 311610 ) M1M2_PR
NEW met3 ( 206540 1783300 ) M3M4_PR_M
NEW met1 ( 2843030 311610 ) M1M2_PR
NEW met2 ( 2843030 1783300 ) M2M3_PR_M
NEW met3 ( 206540 2699940 ) RECT ( 0 -150 390 150 ) ;
- data_out_to_core\[20\] ( core0 read_interactive_value[20] ) ( chip_controller data_out_to_core[20] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 657900 ) ( * 662150 )
NEW met1 ( 346150 2284290 ) ( 351210 * )
NEW met2 ( 346150 2284290 ) ( * 2300100 0 )
NEW met2 ( 351210 662150 ) ( * 2284290 )
NEW met1 ( 351210 662150 ) ( 1283630 * )
NEW met3 ( 1283630 657900 ) ( 1300420 * 0 )
NEW met1 ( 1283630 662150 ) M1M2_PR
NEW met2 ( 1283630 657900 ) M2M3_PR_M
NEW met1 ( 351210 662150 ) M1M2_PR
NEW met1 ( 351210 2284290 ) M1M2_PR
NEW met1 ( 346150 2284290 ) M1M2_PR ;
- data_out_to_core\[21\] ( core0 read_interactive_value[21] ) ( chip_controller data_out_to_core[21] ) + USE SIGNAL
+ ROUTED met2 ( 1865530 1699660 0 ) ( * 1735530 )
NEW met1 ( 362250 1735530 ) ( 1865530 * )
NEW met1 ( 351210 2284970 ) ( 362250 * )
NEW met2 ( 351210 2284970 ) ( * 2300100 0 )
NEW met2 ( 362250 1735530 ) ( * 2284970 )
NEW met1 ( 1865530 1735530 ) M1M2_PR
NEW met1 ( 362250 1735530 ) M1M2_PR
NEW met1 ( 362250 2284970 ) M1M2_PR
NEW met1 ( 351210 2284970 ) M1M2_PR ;
- data_out_to_core\[22\] ( core0 read_interactive_value[22] ) ( chip_controller data_out_to_core[22] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2449020 0 ) ( 607890 * )
NEW met2 ( 607890 2444090 ) ( * 2449020 )
NEW met2 ( 1283630 716380 ) ( * 717570 )
NEW met1 ( 607890 2444090 ) ( 618010 * )
NEW met2 ( 618010 717570 ) ( * 2444090 )
NEW met1 ( 618010 717570 ) ( 1283630 * )
NEW met3 ( 1283630 716380 ) ( 1300420 * 0 )
NEW met2 ( 607890 2449020 ) M2M3_PR_M
NEW met1 ( 607890 2444090 ) M1M2_PR
NEW met1 ( 1283630 717570 ) M1M2_PR
NEW met2 ( 1283630 716380 ) M2M3_PR_M
NEW met1 ( 618010 2444090 ) M1M2_PR
NEW met1 ( 618010 717570 ) M1M2_PR ;
- data_out_to_core\[23\] ( core0 read_interactive_value[23] ) ( chip_controller data_out_to_core[23] ) + USE SIGNAL
+ ROUTED met2 ( 1747770 197030 ) ( * 200260 0 )
NEW met2 ( 472650 197030 ) ( * 2287010 )
NEW met1 ( 472650 197030 ) ( 1747770 * )
NEW met2 ( 356270 2290410 ) ( * 2300100 0 )
NEW li1 ( 467130 2287010 ) ( * 2290410 )
NEW met1 ( 356270 2290410 ) ( 467130 * )
NEW met1 ( 467130 2287010 ) ( 472650 * )
NEW met1 ( 472650 197030 ) M1M2_PR
NEW met1 ( 1747770 197030 ) M1M2_PR
NEW met1 ( 472650 2287010 ) M1M2_PR
NEW met1 ( 356270 2290410 ) M1M2_PR
NEW li1 ( 467130 2290410 ) L1M1_PR_MR
NEW li1 ( 467130 2287010 ) L1M1_PR_MR ;
- data_out_to_core\[24\] ( core0 read_interactive_value[24] ) ( chip_controller data_out_to_core[24] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 745620 ) ( * 751910 )
NEW met2 ( 349830 2699260 0 ) ( 350750 * )
NEW met2 ( 350750 2699260 ) ( * 2737510 )
NEW met1 ( 350750 2737510 ) ( 935870 * )
NEW met2 ( 935870 751910 ) ( * 2737510 )
NEW met1 ( 935870 751910 ) ( 1283630 * )
NEW met3 ( 1283630 745620 ) ( 1300420 * 0 )
NEW met1 ( 1283630 751910 ) M1M2_PR
NEW met2 ( 1283630 745620 ) M2M3_PR_M
NEW met1 ( 350750 2737510 ) M1M2_PR
NEW met1 ( 935870 2737510 ) M1M2_PR
NEW met1 ( 935870 751910 ) M1M2_PR ;
- data_out_to_core\[25\] ( core0 read_interactive_value[25] ) ( chip_controller data_out_to_core[25] ) + USE SIGNAL
+ ROUTED met2 ( 1810330 195330 ) ( * 200260 0 )
NEW met1 ( 365470 195330 ) ( 1810330 * )
NEW met2 ( 364550 2300100 0 ) ( 365470 * )
NEW met2 ( 365470 195330 ) ( * 2300100 )
NEW met1 ( 365470 195330 ) M1M2_PR
NEW met1 ( 1810330 195330 ) M1M2_PR ;
- data_out_to_core\[26\] ( core0 read_interactive_value[26] ) ( chip_controller data_out_to_core[26] ) + USE SIGNAL
+ ROUTED met2 ( 383870 1749130 ) ( * 2285310 )
NEW met2 ( 1922570 1699660 ) ( 1924410 * 0 )
NEW met2 ( 1922570 1699660 ) ( * 1749130 )
NEW met1 ( 383870 1749130 ) ( 1922570 * )
NEW met2 ( 371450 2285310 ) ( * 2300100 0 )
NEW met1 ( 371450 2285310 ) ( 383870 * )
NEW met1 ( 383870 1749130 ) M1M2_PR
NEW met1 ( 1922570 1749130 ) M1M2_PR
NEW met1 ( 383870 2285310 ) M1M2_PR
NEW met1 ( 371450 2285310 ) M1M2_PR ;
- data_out_to_core\[27\] ( core0 read_interactive_value[27] ) ( chip_controller data_out_to_core[27] ) + USE SIGNAL
+ ROUTED met2 ( 377890 2300100 0 ) ( 378810 * )
NEW met2 ( 378810 1762730 ) ( * 2300100 )
NEW met3 ( 2799100 826540 0 ) ( 2814970 * )
NEW met2 ( 2814970 826540 ) ( * 827730 )
NEW met1 ( 378810 1762730 ) ( 2836590 * )
NEW met1 ( 2814970 827730 ) ( 2836590 * )
NEW met2 ( 2836590 827730 ) ( * 1762730 )
NEW met1 ( 378810 1762730 ) M1M2_PR
NEW met2 ( 2814970 826540 ) M2M3_PR_M
NEW met1 ( 2814970 827730 ) M1M2_PR
NEW met1 ( 2836590 1762730 ) M1M2_PR
NEW met1 ( 2836590 827730 ) M1M2_PR ;
- data_out_to_core\[28\] ( core0 read_interactive_value[28] ) ( chip_controller data_out_to_core[28] ) + USE SIGNAL
+ ROUTED met2 ( 373290 2699260 0 ) ( 374670 * )
NEW met2 ( 374670 2699260 ) ( * 2737850 )
NEW met1 ( 374670 2737850 ) ( 743130 * )
NEW met2 ( 743130 1714790 ) ( * 2737850 )
NEW met2 ( 1968570 1699660 0 ) ( * 1714790 )
NEW met1 ( 743130 1714790 ) ( 1968570 * )
NEW met1 ( 374670 2737850 ) M1M2_PR
NEW met1 ( 743130 2737850 ) M1M2_PR
NEW met1 ( 743130 1714790 ) M1M2_PR
NEW met1 ( 1968570 1714790 ) M1M2_PR ;
- data_out_to_core\[29\] ( core0 read_interactive_value[29] ) ( chip_controller data_out_to_core[29] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2476900 0 ) ( 608350 * )
NEW met2 ( 608350 2470270 ) ( * 2476900 )
NEW met1 ( 1321810 1749810 ) ( 1981450 * )
NEW met1 ( 608350 2470270 ) ( 1321810 * )
NEW met2 ( 1321810 1749810 ) ( * 2470270 )
NEW met2 ( 1981450 1699660 ) ( 1983290 * 0 )
NEW met2 ( 1981450 1699660 ) ( * 1749810 )
NEW met2 ( 608350 2476900 ) M2M3_PR_M
NEW met1 ( 608350 2470270 ) M1M2_PR
NEW met1 ( 1321810 1749810 ) M1M2_PR
NEW met1 ( 1981450 1749810 ) M1M2_PR
NEW met1 ( 1321810 2470270 ) M1M2_PR ;
- data_out_to_core\[2\] ( core0 read_interactive_value[2] ) ( chip_controller data_out_to_core[2] ) + USE SIGNAL
+ ROUTED met2 ( 1372410 198730 ) ( * 200260 0 )
NEW met1 ( 258750 198730 ) ( 1372410 * )
NEW met1 ( 237590 2284630 ) ( 258750 * )
NEW met2 ( 237590 2284630 ) ( * 2300100 0 )
NEW met2 ( 258750 198730 ) ( * 2284630 )
NEW met1 ( 1372410 198730 ) M1M2_PR
NEW met1 ( 258750 198730 ) M1M2_PR
NEW met1 ( 258750 2284630 ) M1M2_PR
NEW met1 ( 237590 2284630 ) M1M2_PR ;
- data_out_to_core\[30\] ( core0 read_interactive_value[30] ) ( chip_controller data_out_to_core[30] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2464660 ) ( 188370 * )
NEW met2 ( 187910 2464660 ) ( * 2479620 )
NEW met3 ( 187910 2479620 ) ( 201020 * )
NEW met3 ( 201020 2479620 ) ( * 2480300 0 )
NEW met2 ( 188370 1804890 ) ( * 2464660 )
NEW met3 ( 2799100 846940 0 ) ( 2810830 * )
NEW met2 ( 2810830 846940 ) ( * 848470 )
NEW met1 ( 2810830 848470 ) ( 2843950 * )
NEW met1 ( 188370 1804890 ) ( 2843950 * )
NEW met2 ( 2843950 848470 ) ( * 1804890 )
NEW met1 ( 188370 1804890 ) M1M2_PR
NEW met2 ( 187910 2479620 ) M2M3_PR_M
NEW met2 ( 2810830 846940 ) M2M3_PR_M
NEW met1 ( 2810830 848470 ) M1M2_PR
NEW met1 ( 2843950 848470 ) M1M2_PR
NEW met1 ( 2843950 1804890 ) M1M2_PR ;
- data_out_to_core\[31\] ( core0 read_interactive_value[31] ) ( chip_controller data_out_to_core[31] ) + USE SIGNAL
+ ROUTED met2 ( 399510 2300100 ) ( 399970 * 0 )
NEW met2 ( 399510 1772250 ) ( * 2300100 )
NEW met1 ( 399510 1772250 ) ( 1996170 * )
NEW met2 ( 1996170 1699660 ) ( 1998010 * 0 )
NEW met2 ( 1996170 1699660 ) ( * 1772250 )
NEW met1 ( 399510 1772250 ) M1M2_PR
NEW met1 ( 1996170 1772250 ) M1M2_PR ;
- data_out_to_core\[3\] ( core0 read_interactive_value[3] ) ( chip_controller data_out_to_core[3] ) + USE SIGNAL
+ ROUTED met2 ( 199410 2305540 ) ( 199870 * )
NEW met2 ( 199410 2305540 ) ( * 2319140 )
NEW met3 ( 199410 2319140 ) ( 200100 * )
NEW met3 ( 200100 2318460 0 ) ( * 2319140 )
NEW met2 ( 199870 2300950 ) ( * 2305540 )
NEW met2 ( 1466250 1699660 ) ( 1468550 * 0 )
NEW met2 ( 1466250 1699660 ) ( * 1725000 )
NEW met2 ( 1463030 1725000 ) ( 1466250 * )
NEW met2 ( 1463030 1725000 ) ( * 2300950 )
NEW met1 ( 199870 2300950 ) ( 1463030 * )
NEW met2 ( 199410 2319140 ) M2M3_PR_M
NEW met1 ( 199870 2300950 ) M1M2_PR
NEW met1 ( 1463030 2300950 ) M1M2_PR ;
- data_out_to_core\[4\] ( core0 read_interactive_value[4] ) ( chip_controller data_out_to_core[4] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 279820 ) ( * 282710 )
NEW met1 ( 254610 282710 ) ( 1283630 * )
NEW met3 ( 1283630 279820 ) ( 1300420 * 0 )
NEW met1 ( 249090 2283950 ) ( 254610 * )
NEW met2 ( 249090 2283950 ) ( * 2300100 0 )
NEW met2 ( 254610 282710 ) ( * 2283950 )
NEW met1 ( 1283630 282710 ) M1M2_PR
NEW met2 ( 1283630 279820 ) M2M3_PR_M
NEW met1 ( 254610 282710 ) M1M2_PR
NEW met1 ( 254610 2283950 ) M1M2_PR
NEW met1 ( 249090 2283950 ) M1M2_PR ;
- data_out_to_core\[5\] ( core0 read_interactive_value[5] ) ( chip_controller data_out_to_core[5] ) + USE SIGNAL
+ ROUTED met2 ( 1554570 1699660 ) ( 1556870 * 0 )
NEW met2 ( 1554570 1699660 ) ( * 1772930 )
NEW met1 ( 265650 1772930 ) ( 1554570 * )
NEW met1 ( 261050 2283950 ) ( 265650 * )
NEW met2 ( 261050 2283950 ) ( * 2300100 0 )
NEW met2 ( 265650 1772930 ) ( * 2283950 )
NEW met1 ( 1554570 1772930 ) M1M2_PR
NEW met1 ( 265650 1772930 ) M1M2_PR
NEW met1 ( 265650 2283950 ) M1M2_PR
NEW met1 ( 261050 2283950 ) M1M2_PR ;
- data_out_to_core\[6\] ( core0 read_interactive_value[6] ) ( chip_controller data_out_to_core[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2344980 0 ) ( 607430 * )
NEW met2 ( 607430 2344130 ) ( * 2344980 )
NEW met2 ( 1283630 337620 ) ( * 337790 )
NEW met1 ( 607430 2344130 ) ( 617550 * )
NEW met2 ( 617550 337790 ) ( * 2344130 )
NEW met1 ( 617550 337790 ) ( 1283630 * )
NEW met3 ( 1283630 337620 ) ( 1300420 * 0 )
NEW met2 ( 607430 2344980 ) M2M3_PR_M
NEW met1 ( 607430 2344130 ) M1M2_PR
NEW met1 ( 1283630 337790 ) M1M2_PR
NEW met2 ( 1283630 337620 ) M2M3_PR_M
NEW met1 ( 617550 2344130 ) M1M2_PR
NEW met1 ( 617550 337790 ) M1M2_PR ;
- data_out_to_core\[7\] ( core0 read_interactive_value[7] ) ( chip_controller data_out_to_core[7] ) + USE SIGNAL
+ ROUTED met3 ( 187220 2353820 ) ( 200100 * )
NEW met3 ( 200100 2353140 0 ) ( * 2353820 )
NEW met4 ( 187220 1796900 ) ( * 2353820 )
NEW met3 ( 2799100 436220 0 ) ( 2812670 * )
NEW met2 ( 2812670 436220 ) ( * 441490 )
NEW met1 ( 2812670 441490 ) ( 2850390 * )
NEW met3 ( 187220 1796900 ) ( 2850390 * )
NEW met2 ( 2850390 441490 ) ( * 1796900 )
NEW met3 ( 187220 1796900 ) M3M4_PR_M
NEW met3 ( 187220 2353820 ) M3M4_PR_M
NEW met2 ( 2812670 436220 ) M2M3_PR_M
NEW met1 ( 2812670 441490 ) M1M2_PR
NEW met1 ( 2850390 441490 ) M1M2_PR
NEW met2 ( 2850390 1796900 ) M2M3_PR_M ;
- data_out_to_core\[8\] ( core0 read_interactive_value[8] ) ( chip_controller data_out_to_core[8] ) + USE SIGNAL
+ ROUTED met1 ( 287730 2283950 ) ( 289570 * )
NEW met2 ( 287730 2283950 ) ( * 2300100 0 )
NEW met2 ( 289570 201450 ) ( * 2283950 )
NEW met2 ( 1433590 201450 ) ( * 201620 )
NEW met2 ( 1433590 201620 ) ( 1434970 * 0 )
NEW met1 ( 289570 201450 ) ( 1433590 * )
NEW met1 ( 289570 201450 ) M1M2_PR
NEW met1 ( 289570 2283950 ) M1M2_PR
NEW met1 ( 287730 2283950 ) M1M2_PR
NEW met1 ( 1433590 201450 ) M1M2_PR ;
- data_out_to_core\[9\] ( core0 read_interactive_value[9] ) ( chip_controller data_out_to_core[9] ) + USE SIGNAL
+ ROUTED met2 ( 1476830 186490 ) ( * 200260 0 )
NEW met2 ( 997050 186490 ) ( * 2700110 )
NEW met2 ( 247710 2699260 ) ( * 2699770 )
NEW met2 ( 247250 2699260 0 ) ( 247710 * )
NEW met1 ( 247710 2699770 ) ( 324300 * )
NEW met1 ( 324300 2699770 ) ( * 2700110 )
NEW met1 ( 997050 186490 ) ( 1476830 * )
NEW met1 ( 324300 2700110 ) ( 997050 * )
NEW met1 ( 997050 186490 ) M1M2_PR
NEW met1 ( 997050 2700110 ) M1M2_PR
NEW met1 ( 1476830 186490 ) M1M2_PR
NEW met1 ( 247710 2699770 ) M1M2_PR ;
- data_to_core_mem\[0\] ( chip_controller data_to_core_mem[0] ) + USE SIGNAL ;
- data_to_core_mem\[10\] ( chip_controller data_to_core_mem[10] ) + USE SIGNAL ;
- data_to_core_mem\[11\] ( chip_controller data_to_core_mem[11] ) + USE SIGNAL ;
- data_to_core_mem\[12\] ( chip_controller data_to_core_mem[12] ) + USE SIGNAL ;
- data_to_core_mem\[13\] ( chip_controller data_to_core_mem[13] ) + USE SIGNAL ;
- data_to_core_mem\[14\] ( chip_controller data_to_core_mem[14] ) + USE SIGNAL ;
- data_to_core_mem\[15\] ( chip_controller data_to_core_mem[15] ) + USE SIGNAL ;
- data_to_core_mem\[16\] ( chip_controller data_to_core_mem[16] ) + USE SIGNAL ;
- data_to_core_mem\[17\] ( chip_controller data_to_core_mem[17] ) + USE SIGNAL ;
- data_to_core_mem\[18\] ( chip_controller data_to_core_mem[18] ) + USE SIGNAL ;
- data_to_core_mem\[19\] ( chip_controller data_to_core_mem[19] ) + USE SIGNAL ;
- data_to_core_mem\[1\] ( chip_controller data_to_core_mem[1] ) + USE SIGNAL ;
- data_to_core_mem\[20\] ( chip_controller data_to_core_mem[20] ) + USE SIGNAL ;
- data_to_core_mem\[21\] ( chip_controller data_to_core_mem[21] ) + USE SIGNAL ;
- data_to_core_mem\[22\] ( chip_controller data_to_core_mem[22] ) + USE SIGNAL ;
- data_to_core_mem\[23\] ( chip_controller data_to_core_mem[23] ) + USE SIGNAL ;
- data_to_core_mem\[24\] ( chip_controller data_to_core_mem[24] ) + USE SIGNAL ;
- data_to_core_mem\[25\] ( chip_controller data_to_core_mem[25] ) + USE SIGNAL ;
- data_to_core_mem\[26\] ( chip_controller data_to_core_mem[26] ) + USE SIGNAL ;
- data_to_core_mem\[27\] ( chip_controller data_to_core_mem[27] ) + USE SIGNAL ;
- data_to_core_mem\[28\] ( chip_controller data_to_core_mem[28] ) + USE SIGNAL ;
- data_to_core_mem\[29\] ( chip_controller data_to_core_mem[29] ) + USE SIGNAL ;
- data_to_core_mem\[2\] ( chip_controller data_to_core_mem[2] ) + USE SIGNAL ;
- data_to_core_mem\[30\] ( chip_controller data_to_core_mem[30] ) + USE SIGNAL ;
- data_to_core_mem\[31\] ( chip_controller data_to_core_mem[31] ) + USE SIGNAL ;
- data_to_core_mem\[3\] ( chip_controller data_to_core_mem[3] ) + USE SIGNAL ;
- data_to_core_mem\[4\] ( chip_controller data_to_core_mem[4] ) + USE SIGNAL ;
- data_to_core_mem\[5\] ( chip_controller data_to_core_mem[5] ) + USE SIGNAL ;
- data_to_core_mem\[6\] ( chip_controller data_to_core_mem[6] ) + USE SIGNAL ;
- data_to_core_mem\[7\] ( chip_controller data_to_core_mem[7] ) + USE SIGNAL ;
- data_to_core_mem\[8\] ( chip_controller data_to_core_mem[8] ) + USE SIGNAL ;
- data_to_core_mem\[9\] ( chip_controller data_to_core_mem[9] ) + USE SIGNAL ;
- din0_to_sram\[0\] ( custom_sram d[0] ) ( chip_controller din0_to_sram[0] ) + USE SIGNAL
+ ROUTED met3 ( 201020 2303500 ) ( * 2304180 0 )
NEW met3 ( 197340 2303500 ) ( 201020 * )
NEW met3 ( 197340 2301460 ) ( * 2303500 )
NEW met3 ( 197340 2301460 ) ( 198950 * )
NEW met2 ( 198950 2298230 ) ( * 2301460 )
NEW met2 ( 307970 2049010 ) ( * 2298570 )
NEW met2 ( 1490170 2049010 ) ( * 2049180 )
NEW met3 ( 1490170 2049180 ) ( 1500060 * 0 )
NEW met1 ( 307970 2049010 ) ( 1490170 * )
NEW met1 ( 276000 2298570 ) ( 307970 * )
NEW met1 ( 276000 2298230 ) ( * 2298570 )
NEW met1 ( 198950 2298230 ) ( 276000 * )
NEW met1 ( 307970 2049010 ) M1M2_PR
NEW met2 ( 198950 2301460 ) M2M3_PR_M
NEW met1 ( 198950 2298230 ) M1M2_PR
NEW met1 ( 307970 2298570 ) M1M2_PR
NEW met1 ( 1490170 2049010 ) M1M2_PR
NEW met2 ( 1490170 2049180 ) M2M3_PR_M ;
- din0_to_sram\[10\] ( custom_sram d[10] ) ( chip_controller din0_to_sram[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2371500 0 ) ( 607430 * )
NEW met2 ( 607430 2371500 ) ( * 2371670 )
NEW met2 ( 1999850 3299700 0 ) ( * 3308030 )
NEW met1 ( 617550 3308030 ) ( 1999850 * )
NEW met1 ( 607430 2371670 ) ( 617550 * )
NEW met2 ( 617550 2371670 ) ( * 3308030 )
NEW met2 ( 607430 2371500 ) M2M3_PR_M
NEW met1 ( 607430 2371670 ) M1M2_PR
NEW met1 ( 617550 3308030 ) M1M2_PR
NEW met1 ( 1999850 3308030 ) M1M2_PR
NEW met1 ( 617550 2371670 ) M1M2_PR ;
- din0_to_sram\[11\] ( custom_sram d[11] ) ( chip_controller din0_to_sram[11] ) + USE SIGNAL
+ ROUTED met2 ( 2133250 3299700 0 ) ( * 3309050 )
NEW met2 ( 304290 2298230 ) ( * 2300100 0 )
NEW met1 ( 1425770 3309050 ) ( 2133250 * )
NEW met2 ( 1425770 2298230 ) ( * 3309050 )
NEW met1 ( 304290 2298230 ) ( 1425770 * )
NEW met1 ( 2133250 3309050 ) M1M2_PR
NEW met1 ( 304290 2298230 ) M1M2_PR
NEW met1 ( 1425770 3309050 ) M1M2_PR
NEW met1 ( 1425770 2298230 ) M1M2_PR ;
- din0_to_sram\[12\] ( custom_sram d[12] ) ( chip_controller din0_to_sram[12] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2380850 ) ( * 2385100 )
NEW met3 ( 187910 2385100 ) ( 201020 * )
NEW met3 ( 201020 2385100 ) ( * 2385780 0 )
NEW met2 ( 158470 2304350 ) ( * 2380850 )
NEW met1 ( 158470 2380850 ) ( 187910 * )
NEW met3 ( 1486950 2383060 ) ( 1500060 * 0 )
NEW met2 ( 1486950 2304350 ) ( * 2383060 )
NEW met1 ( 158470 2304350 ) ( 1486950 * )
NEW met1 ( 187910 2380850 ) M1M2_PR
NEW met2 ( 187910 2385100 ) M2M3_PR_M
NEW met1 ( 158470 2304350 ) M1M2_PR
NEW met1 ( 158470 2380850 ) M1M2_PR
NEW met1 ( 1486950 2304350 ) M1M2_PR
NEW met2 ( 1486950 2383060 ) M2M3_PR_M ;
- din0_to_sram\[13\] ( custom_sram d[13] ) ( chip_controller din0_to_sram[13] ) + USE SIGNAL
+ ROUTED met2 ( 1345270 2884390 ) ( * 2905470 )
NEW met1 ( 1345270 2905470 ) ( 1355850 * )
NEW met1 ( 1355850 3008490 ) ( 1369650 * )
NEW met1 ( 1369650 3083970 ) ( 1377010 * )
NEW met3 ( 2697900 2505460 ) ( 2698130 * )
NEW met3 ( 2697900 2502740 0 ) ( * 2505460 )
NEW met2 ( 1255570 2760290 ) ( * 2770150 )
NEW met2 ( 1355850 2905470 ) ( * 3008490 )
NEW met2 ( 1369650 3008490 ) ( * 3083970 )
NEW met1 ( 1377010 3138030 ) ( 1384370 * )
NEW met2 ( 1377010 3083970 ) ( * 3138030 )
NEW met2 ( 1384370 3138030 ) ( * 3174070 )
NEW met1 ( 1442330 3229150 ) ( 1449230 * )
NEW met1 ( 1449230 3229150 ) ( * 3229490 )
NEW met1 ( 1449230 3229490 ) ( 1459810 * )
NEW met2 ( 1442330 3218610 ) ( * 3229150 )
NEW met2 ( 1459810 3229490 ) ( * 3274030 )
NEW met2 ( 2698130 2505460 ) ( * 2546100 )
NEW met2 ( 2697670 2546100 ) ( 2698130 * )
NEW met2 ( 275310 2699260 0 ) ( 275770 * )
NEW met2 ( 275770 2699260 ) ( * 2715410 )
NEW met1 ( 400430 2724590 ) ( 517730 * )
NEW met1 ( 1331930 2884390 ) ( 1345270 * )
NEW met2 ( 1393570 3174070 ) ( * 3201610 )
NEW met2 ( 1393570 3201610 ) ( 1394030 * )
NEW met2 ( 1394030 3201610 ) ( * 3218610 )
NEW met1 ( 1384370 3174070 ) ( 1393570 * )
NEW met1 ( 1394030 3218610 ) ( 1442330 * )
NEW met2 ( 1503050 3274030 ) ( * 3278110 )
NEW met1 ( 1503050 3278110 ) ( 1504890 * )
NEW met2 ( 1504890 3278110 ) ( * 3290350 )
NEW met1 ( 1459810 3274030 ) ( 1503050 * )
NEW met1 ( 1504890 3290350 ) ( 2642700 * )
NEW met1 ( 2642700 3290350 ) ( * 3290690 )
NEW met1 ( 2642700 3290690 ) ( 2696290 * )
NEW met2 ( 517730 2724590 ) ( * 2743290 )
NEW met1 ( 1242000 2760290 ) ( 1255570 * )
NEW met2 ( 1221530 2743290 ) ( * 2759950 )
NEW met1 ( 1221530 2759950 ) ( 1242000 * )
NEW met1 ( 1242000 2759950 ) ( * 2760290 )
NEW met1 ( 517730 2743290 ) ( 1221530 * )
NEW met2 ( 1297430 2770150 ) ( * 2774230 )
NEW met1 ( 1297430 2774230 ) ( 1308010 * )
NEW met1 ( 1255570 2770150 ) ( 1297430 * )
NEW met1 ( 1308010 2884050 ) ( 1331930 * )
NEW met2 ( 1308010 2774230 ) ( * 2884050 )
NEW met1 ( 1331930 2884050 ) ( * 2884390 )
NEW met2 ( 2696290 2815200 ) ( 2696750 * )
NEW met2 ( 2695830 2742100 ) ( 2696290 * )
NEW met1 ( 275770 2715410 ) ( 400430 * )
NEW met2 ( 400430 2715410 ) ( * 2724590 )
NEW met2 ( 2696290 2946300 ) ( * 3290690 )
NEW met2 ( 2696290 2922300 ) ( 2697210 * )
NEW met2 ( 2697210 2922300 ) ( * 2946300 )
NEW met2 ( 2696290 2946300 ) ( 2697210 * )
NEW met2 ( 2696290 2815200 ) ( * 2922300 )
NEW met2 ( 2696290 2608200 ) ( * 2742100 )
NEW met2 ( 2696290 2608200 ) ( 2697670 * )
NEW met2 ( 2697670 2546100 ) ( * 2608200 )
NEW met2 ( 2695830 2742100 ) ( * 2746200 )
NEW met2 ( 2696750 2794500 ) ( * 2815200 )
NEW met2 ( 2695830 2746200 ) ( 2697210 * )
NEW met2 ( 2697210 2746200 ) ( * 2757740 )
NEW met2 ( 2696750 2757740 ) ( 2697210 * )
NEW met2 ( 2696750 2757740 ) ( * 2788340 )
NEW met2 ( 2696750 2788340 ) ( 2697210 * )
NEW met2 ( 2697210 2788340 ) ( * 2794500 )
NEW met2 ( 2696750 2794500 ) ( 2697210 * )
NEW met1 ( 400430 2724590 ) M1M2_PR
NEW met1 ( 1345270 2884390 ) M1M2_PR
NEW met1 ( 1345270 2905470 ) M1M2_PR
NEW met1 ( 1355850 2905470 ) M1M2_PR
NEW met1 ( 1355850 3008490 ) M1M2_PR
NEW met1 ( 1369650 3008490 ) M1M2_PR
NEW met1 ( 1369650 3083970 ) M1M2_PR
NEW met1 ( 1377010 3083970 ) M1M2_PR
NEW met1 ( 1384370 3174070 ) M1M2_PR
NEW met1 ( 1442330 3218610 ) M1M2_PR
NEW met1 ( 1459810 3274030 ) M1M2_PR
NEW met2 ( 2698130 2505460 ) M2M3_PR_M
NEW met1 ( 2696290 3290690 ) M1M2_PR
NEW met1 ( 1255570 2760290 ) M1M2_PR
NEW met1 ( 1255570 2770150 ) M1M2_PR
NEW met1 ( 1377010 3138030 ) M1M2_PR
NEW met1 ( 1384370 3138030 ) M1M2_PR
NEW met1 ( 1442330 3229150 ) M1M2_PR
NEW met1 ( 1459810 3229490 ) M1M2_PR
NEW met1 ( 275770 2715410 ) M1M2_PR
NEW met1 ( 517730 2724590 ) M1M2_PR
NEW met1 ( 1393570 3174070 ) M1M2_PR
NEW met1 ( 1394030 3218610 ) M1M2_PR
NEW met1 ( 1503050 3274030 ) M1M2_PR
NEW met1 ( 1503050 3278110 ) M1M2_PR
NEW met1 ( 1504890 3278110 ) M1M2_PR
NEW met1 ( 1504890 3290350 ) M1M2_PR
NEW met1 ( 517730 2743290 ) M1M2_PR
NEW met1 ( 1221530 2743290 ) M1M2_PR
NEW met1 ( 1221530 2759950 ) M1M2_PR
NEW met1 ( 1297430 2770150 ) M1M2_PR
NEW met1 ( 1297430 2774230 ) M1M2_PR
NEW met1 ( 1308010 2774230 ) M1M2_PR
NEW met1 ( 1308010 2884050 ) M1M2_PR
NEW met1 ( 400430 2715410 ) M1M2_PR ;
- din0_to_sram\[14\] ( custom_sram d[14] ) ( chip_controller din0_to_sram[14] ) + USE SIGNAL
+ ROUTED met2 ( 288650 2699260 0 ) ( 289570 * )
NEW met2 ( 289570 2699260 ) ( * 2710990 )
NEW met2 ( 1369650 1790270 ) ( * 2710990 )
NEW met2 ( 2144290 1790270 ) ( * 1800300 0 )
NEW met1 ( 1369650 1790270 ) ( 2144290 * )
NEW met1 ( 289570 2710990 ) ( 1369650 * )
NEW met1 ( 289570 2710990 ) M1M2_PR
NEW met1 ( 1369650 2710990 ) M1M2_PR
NEW met1 ( 1369650 1790270 ) M1M2_PR
NEW met1 ( 2144290 1790270 ) M1M2_PR ;
- din0_to_sram\[15\] ( custom_sram d[15] ) ( chip_controller din0_to_sram[15] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2401590 ) ( * 2402780 )
NEW met3 ( 186990 2402780 ) ( 200100 * )
NEW met3 ( 200100 2402100 0 ) ( * 2402780 )
NEW met2 ( 107410 1794350 ) ( * 2401590 )
NEW met1 ( 107410 2401590 ) ( 186990 * )
NEW met2 ( 2188910 1794350 ) ( * 1800300 0 )
NEW met1 ( 107410 1794350 ) ( 2188910 * )
NEW met1 ( 107410 2401590 ) M1M2_PR
NEW met1 ( 186990 2401590 ) M1M2_PR
NEW met2 ( 186990 2402780 ) M2M3_PR_M
NEW met1 ( 107410 1794350 ) M1M2_PR
NEW met1 ( 2188910 1794350 ) M1M2_PR ;
- din0_to_sram\[16\] ( custom_sram d[16] ) ( chip_controller din0_to_sram[16] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2404820 0 ) ( 607890 * )
NEW met2 ( 607890 2401250 ) ( * 2404820 )
NEW met1 ( 607890 2401250 ) ( 1405530 * )
NEW met2 ( 1405530 1796050 ) ( * 2401250 )
NEW met2 ( 2277690 1796050 ) ( * 1800300 0 )
NEW met1 ( 1405530 1796050 ) ( 2277690 * )
NEW met2 ( 607890 2404820 ) M2M3_PR_M
NEW met1 ( 607890 2401250 ) M1M2_PR
NEW met1 ( 1405530 2401250 ) M1M2_PR
NEW met1 ( 1405530 1796050 ) M1M2_PR
NEW met1 ( 2277690 1796050 ) M1M2_PR ;
- din0_to_sram\[17\] ( custom_sram d[17] ) ( chip_controller din0_to_sram[17] ) + USE SIGNAL
+ ROUTED met2 ( 313490 2699260 0 ) ( 314870 * )
NEW met2 ( 314870 2699260 ) ( * 2715070 )
NEW met1 ( 1173230 2984010 ) ( 1187030 * )
NEW met2 ( 1187030 2984010 ) ( * 3008490 )
NEW met1 ( 1338830 3077510 ) ( 1349410 * )
NEW met2 ( 1349410 3077510 ) ( * 3097910 )
NEW met1 ( 1349410 3097910 ) ( 1366430 * )
NEW met2 ( 1366430 3097910 ) ( * 3118990 )
NEW met1 ( 1366430 3118990 ) ( 1383910 * )
NEW met2 ( 2695830 3289500 ) ( * 3289670 )
NEW met2 ( 2695370 3289500 ) ( 2695830 * )
NEW met2 ( 507150 2718810 ) ( * 2743630 )
NEW met1 ( 1145630 2847330 ) ( 1154370 * )
NEW met2 ( 1154370 2847330 ) ( * 2870450 )
NEW met1 ( 1154370 2870450 ) ( 1162650 * )
NEW met2 ( 1145630 2822340 ) ( * 2847330 )
NEW met1 ( 1162650 2956810 ) ( 1173230 * )
NEW met2 ( 1162650 2870450 ) ( * 2956810 )
NEW met2 ( 1173230 2956810 ) ( * 2984010 )
NEW met1 ( 1276730 3034330 ) ( 1283630 * )
NEW met2 ( 1283630 3034330 ) ( * 3047250 )
NEW met2 ( 1276730 3025490 ) ( * 3034330 )
NEW met1 ( 1338830 3077170 ) ( * 3077510 )
NEW met2 ( 1383910 3118990 ) ( * 3163190 )
NEW met1 ( 1435890 3235950 ) ( 1442330 * )
NEW met1 ( 1442330 3235950 ) ( * 3236290 )
NEW met1 ( 1442330 3236290 ) ( 1455670 * )
NEW met2 ( 1455670 3236290 ) ( * 3250230 )
NEW met1 ( 1455670 3250230 ) ( 1463030 * )
NEW met2 ( 1463030 3250230 ) ( * 3263830 )
NEW met1 ( 1463030 3263830 ) ( 1476830 * )
NEW met2 ( 1435890 3201610 ) ( * 3235950 )
NEW met2 ( 1476830 3263830 ) ( * 3277770 )
NEW met3 ( 2699740 2689740 0 ) ( 2705030 * )
NEW met2 ( 448730 2715070 ) ( * 2718810 )
NEW met1 ( 448730 2718810 ) ( 507150 * )
NEW met1 ( 1128150 2804830 ) ( 1145170 * )
NEW met2 ( 1145170 2804830 ) ( * 2822340 )
NEW met2 ( 1145170 2822340 ) ( 1145630 * )
NEW met2 ( 1197150 3008490 ) ( * 3016650 )
NEW met1 ( 1197150 3016650 ) ( 1209110 * )
NEW met2 ( 1209110 3016650 ) ( * 3025490 )
NEW met1 ( 1187030 3008490 ) ( 1197150 * )
NEW met1 ( 1209110 3025490 ) ( 1276730 * )
NEW met1 ( 1435200 3201610 ) ( 1435890 * )
NEW met1 ( 1391270 3187670 ) ( 1394030 * )
NEW met1 ( 1394030 3187670 ) ( * 3188010 )
NEW met1 ( 1394030 3188010 ) ( 1421630 * )
NEW met2 ( 1421630 3188010 ) ( * 3201270 )
NEW met1 ( 1421630 3201270 ) ( 1435200 * )
NEW met1 ( 1435200 3201270 ) ( * 3201610 )
NEW met2 ( 1486950 3277770 ) ( * 3289670 )
NEW met1 ( 1476830 3277770 ) ( 1486950 * )
NEW met1 ( 1486950 3289670 ) ( 2695830 * )
NEW met1 ( 507150 2743630 ) ( 1128150 * )
NEW met2 ( 1128150 2743630 ) ( * 2804830 )
NEW met2 ( 1303870 3047250 ) ( * 3050140 )
NEW met2 ( 1303870 3050140 ) ( 1304330 * )
NEW met2 ( 1304330 3050140 ) ( * 3077170 )
NEW met1 ( 1283630 3047250 ) ( 1303870 * )
NEW met1 ( 1304330 3077170 ) ( 1338830 * )
NEW met1 ( 1383910 3163190 ) ( 1391270 * )
NEW met2 ( 1391270 3163190 ) ( * 3187670 )
NEW met2 ( 2704570 2753660 ) ( 2705030 * )
NEW met2 ( 2704570 2753660 ) ( * 2787490 )
NEW met2 ( 2705030 2689740 ) ( * 2753660 )
NEW met1 ( 314870 2715070 ) ( 448730 * )
NEW met2 ( 2695370 2791060 ) ( 2696750 * )
NEW met3 ( 2696750 2791060 ) ( 2697670 * )
NEW met2 ( 2697670 2787490 ) ( * 2791060 )
NEW met2 ( 2695370 2791060 ) ( * 3289500 )
NEW met1 ( 2697670 2787490 ) ( 2704570 * )
NEW met1 ( 314870 2715070 ) M1M2_PR
NEW met1 ( 507150 2718810 ) M1M2_PR
NEW met1 ( 1173230 2984010 ) M1M2_PR
NEW met1 ( 1187030 2984010 ) M1M2_PR
NEW met1 ( 1187030 3008490 ) M1M2_PR
NEW met1 ( 1276730 3025490 ) M1M2_PR
NEW met1 ( 1349410 3077510 ) M1M2_PR
NEW met1 ( 1349410 3097910 ) M1M2_PR
NEW met1 ( 1366430 3097910 ) M1M2_PR
NEW met1 ( 1366430 3118990 ) M1M2_PR
NEW met1 ( 1383910 3118990 ) M1M2_PR
NEW met1 ( 1435890 3201610 ) M1M2_PR
NEW met1 ( 1476830 3277770 ) M1M2_PR
NEW met1 ( 2695830 3289670 ) M1M2_PR
NEW met1 ( 507150 2743630 ) M1M2_PR
NEW met1 ( 1145630 2847330 ) M1M2_PR
NEW met1 ( 1154370 2847330 ) M1M2_PR
NEW met1 ( 1154370 2870450 ) M1M2_PR
NEW met1 ( 1162650 2870450 ) M1M2_PR
NEW met1 ( 1162650 2956810 ) M1M2_PR
NEW met1 ( 1173230 2956810 ) M1M2_PR
NEW met1 ( 1276730 3034330 ) M1M2_PR
NEW met1 ( 1283630 3034330 ) M1M2_PR
NEW met1 ( 1283630 3047250 ) M1M2_PR
NEW met1 ( 1383910 3163190 ) M1M2_PR
NEW met1 ( 1435890 3235950 ) M1M2_PR
NEW met1 ( 1455670 3236290 ) M1M2_PR
NEW met1 ( 1455670 3250230 ) M1M2_PR
NEW met1 ( 1463030 3250230 ) M1M2_PR
NEW met1 ( 1463030 3263830 ) M1M2_PR
NEW met1 ( 1476830 3263830 ) M1M2_PR
NEW met2 ( 2705030 2689740 ) M2M3_PR_M
NEW met1 ( 448730 2715070 ) M1M2_PR
NEW met1 ( 448730 2718810 ) M1M2_PR
NEW met1 ( 1128150 2804830 ) M1M2_PR
NEW met1 ( 1145170 2804830 ) M1M2_PR
NEW met1 ( 1197150 3008490 ) M1M2_PR
NEW met1 ( 1197150 3016650 ) M1M2_PR
NEW met1 ( 1209110 3016650 ) M1M2_PR
NEW met1 ( 1209110 3025490 ) M1M2_PR
NEW met1 ( 1391270 3187670 ) M1M2_PR
NEW met1 ( 1421630 3188010 ) M1M2_PR
NEW met1 ( 1421630 3201270 ) M1M2_PR
NEW met1 ( 1486950 3277770 ) M1M2_PR
NEW met1 ( 1486950 3289670 ) M1M2_PR
NEW met1 ( 1128150 2743630 ) M1M2_PR
NEW met1 ( 1303870 3047250 ) M1M2_PR
NEW met1 ( 1304330 3077170 ) M1M2_PR
NEW met1 ( 1391270 3163190 ) M1M2_PR
NEW met1 ( 2704570 2787490 ) M1M2_PR
NEW met2 ( 2696750 2791060 ) M2M3_PR_M
NEW met2 ( 2697670 2791060 ) M2M3_PR_M
NEW met1 ( 2697670 2787490 ) M1M2_PR ;
- din0_to_sram\[18\] ( custom_sram d[18] ) ( chip_controller din0_to_sram[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2421140 0 ) ( 607430 * )
NEW met2 ( 607430 2421140 ) ( * 2421310 )
NEW met1 ( 607430 2421310 ) ( 620310 * )
NEW met2 ( 2266650 3299700 0 ) ( * 3307350 )
NEW met1 ( 620310 3307350 ) ( 2266650 * )
NEW met2 ( 620310 2421310 ) ( * 3307350 )
NEW met2 ( 607430 2421140 ) M2M3_PR_M
NEW met1 ( 607430 2421310 ) M1M2_PR
NEW met1 ( 620310 2421310 ) M1M2_PR
NEW met1 ( 620310 3307350 ) M1M2_PR
NEW met1 ( 2266650 3307350 ) M1M2_PR ;
- din0_to_sram\[19\] ( custom_sram d[19] ) ( chip_controller din0_to_sram[19] ) + USE SIGNAL
+ ROUTED met2 ( 2333350 3299700 0 ) ( * 3305650 )
NEW met2 ( 328210 2699260 0 ) ( 329590 * )
NEW met1 ( 330970 3305650 ) ( 2333350 * )
NEW met2 ( 329590 2699260 ) ( * 2739300 )
NEW met2 ( 329590 2739300 ) ( 330970 * )
NEW met2 ( 330970 2739300 ) ( * 3305650 )
NEW met1 ( 2333350 3305650 ) M1M2_PR
NEW met1 ( 330970 3305650 ) M1M2_PR ;
- din0_to_sram\[1\] ( custom_sram d[1] ) ( chip_controller din0_to_sram[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2315060 0 ) ( 607890 * )
NEW met2 ( 607890 2311830 ) ( * 2315060 )
NEW met3 ( 2699740 2033540 0 ) ( 2711930 * )
NEW met2 ( 2711930 1809310 ) ( * 2033540 )
NEW met1 ( 607890 2311830 ) ( 1499830 * )
NEW met2 ( 1499830 1809310 ) ( * 2311830 )
NEW met1 ( 1499830 1809310 ) ( 2711930 * )
NEW met2 ( 607890 2315060 ) M2M3_PR_M
NEW met1 ( 607890 2311830 ) M1M2_PR
NEW met2 ( 2711930 2033540 ) M2M3_PR_M
NEW met1 ( 2711930 1809310 ) M1M2_PR
NEW met1 ( 1499830 2311830 ) M1M2_PR
NEW met1 ( 1499830 1809310 ) M1M2_PR ;
- din0_to_sram\[20\] ( custom_sram d[20] ) ( chip_controller din0_to_sram[20] ) + USE SIGNAL
+ ROUTED met3 ( 198030 2429980 ) ( 200100 * )
NEW met3 ( 200100 2429300 0 ) ( * 2429980 )
NEW met2 ( 198030 1794010 ) ( * 2429980 )
NEW met2 ( 2411090 1794010 ) ( * 1800300 0 )
NEW met1 ( 198030 1794010 ) ( 2411090 * )
NEW met2 ( 198030 2429980 ) M2M3_PR_M
NEW met1 ( 198030 1794010 ) M1M2_PR
NEW met1 ( 2411090 1794010 ) M1M2_PR ;
- din0_to_sram\[21\] ( custom_sram d[21] ) ( chip_controller din0_to_sram[21] ) + USE SIGNAL
+ ROUTED met3 ( 196650 2436100 ) ( 201020 * )
NEW met3 ( 201020 2436100 ) ( * 2436780 0 )
NEW met2 ( 196650 1792990 ) ( * 2436100 )
NEW met2 ( 2499870 1792990 ) ( * 1800300 0 )
NEW met1 ( 196650 1792990 ) ( 2499870 * )
NEW met2 ( 196650 2436100 ) M2M3_PR_M
NEW met1 ( 196650 1792990 ) M1M2_PR
NEW met1 ( 2499870 1792990 ) M1M2_PR ;
- din0_to_sram\[22\] ( custom_sram d[22] ) ( chip_controller din0_to_sram[22] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2451060 0 ) ( 607890 * )
NEW met2 ( 607890 2451060 ) ( * 2454630 )
NEW met2 ( 2400050 3299700 0 ) ( * 3307010 )
NEW met1 ( 618010 3307010 ) ( 2400050 * )
NEW met1 ( 607890 2454630 ) ( 618010 * )
NEW met2 ( 618010 2454630 ) ( * 3307010 )
NEW met2 ( 607890 2451060 ) M2M3_PR_M
NEW met1 ( 607890 2454630 ) M1M2_PR
NEW met1 ( 618010 3307010 ) M1M2_PR
NEW met1 ( 2400050 3307010 ) M1M2_PR
NEW met1 ( 618010 2454630 ) M1M2_PR ;
- din0_to_sram\[23\] ( custom_sram d[23] ) ( chip_controller din0_to_sram[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2455820 0 ) ( 608810 * )
NEW met2 ( 608810 2449530 ) ( * 2455820 )
NEW met2 ( 2544490 1791630 ) ( * 1800300 0 )
NEW met1 ( 608810 2449530 ) ( 1322270 * )
NEW met2 ( 1322270 1791630 ) ( * 2449530 )
NEW met1 ( 1322270 1791630 ) ( 2544490 * )
NEW met2 ( 608810 2455820 ) M2M3_PR_M
NEW met1 ( 608810 2449530 ) M1M2_PR
NEW met1 ( 2544490 1791630 ) M1M2_PR
NEW met1 ( 1322270 1791630 ) M1M2_PR
NEW met1 ( 1322270 2449530 ) M1M2_PR ;
- din0_to_sram\[24\] ( custom_sram d[24] ) ( chip_controller din0_to_sram[24] ) + USE SIGNAL
+ ROUTED met2 ( 511290 2719150 ) ( * 2732410 )
NEW met1 ( 1338830 2980950 ) ( 1349410 * )
NEW met2 ( 1349410 2980950 ) ( * 2995910 )
NEW met1 ( 1349410 2995910 ) ( 1359070 * )
NEW met2 ( 1359070 2995910 ) ( * 3008660 )
NEW met2 ( 1359070 3008660 ) ( 1359530 * )
NEW met2 ( 1359530 3008660 ) ( * 3028890 )
NEW met1 ( 1359530 3028890 ) ( 1366430 * )
NEW met1 ( 1376550 3101650 ) ( 1386670 * )
NEW met2 ( 1439570 3192770 ) ( * 3204670 )
NEW met1 ( 1439570 3204670 ) ( 1456130 * )
NEW met2 ( 1456130 3204670 ) ( * 3215890 )
NEW met1 ( 1456130 3215890 ) ( 1466250 * )
NEW met1 ( 2708250 3015630 ) ( 2711930 * )
NEW met1 ( 1338830 2980610 ) ( * 2980950 )
NEW met1 ( 1366430 3029230 ) ( 1376550 * )
NEW met1 ( 1366430 3028890 ) ( * 3029230 )
NEW met2 ( 1376550 3029230 ) ( * 3101650 )
NEW met2 ( 1386670 3101650 ) ( * 3135990 )
NEW met1 ( 1466250 3249890 ) ( 1469930 * )
NEW met1 ( 1469930 3249890 ) ( * 3250230 )
NEW met1 ( 1469930 3250230 ) ( 1483270 * )
NEW met2 ( 1483270 3250230 ) ( * 3264340 )
NEW met2 ( 1466250 3215890 ) ( * 3249890 )
NEW met3 ( 2699740 2971260 0 ) ( 2711930 * )
NEW met2 ( 2711930 2971260 ) ( * 3015630 )
NEW met1 ( 2697670 3058810 ) ( 2708250 * )
NEW met2 ( 2708250 3015630 ) ( * 3058810 )
NEW met2 ( 2696750 3174000 ) ( 2697670 * )
NEW met2 ( 2697670 3058810 ) ( * 3174000 )
NEW met2 ( 2696750 3174000 ) ( * 3290350 )
NEW met2 ( 351210 2699940 ) ( 351670 * 0 )
NEW met2 ( 351210 2699940 ) ( * 2715750 )
NEW met1 ( 427570 2719150 ) ( 511290 * )
NEW met1 ( 511290 2732410 ) ( 525550 * )
NEW met1 ( 1314450 2918730 ) ( 1325030 * )
NEW met1 ( 1404610 3179170 ) ( 1415190 * )
NEW met2 ( 1415190 3179170 ) ( * 3192770 )
NEW met1 ( 1415190 3192770 ) ( 1439570 * )
NEW met1 ( 1484190 3275050 ) ( 1504430 * )
NEW met2 ( 1504430 3275050 ) ( * 3290010 )
NEW met1 ( 2691000 3290350 ) ( 2696750 * )
NEW met1 ( 2691000 3290010 ) ( * 3290350 )
NEW met1 ( 1504430 3290010 ) ( 2691000 * )
NEW met2 ( 525550 2732410 ) ( * 2742950 )
NEW met2 ( 1294670 2742950 ) ( * 2753830 )
NEW met1 ( 1294670 2753830 ) ( 1307550 * )
NEW met1 ( 525550 2742950 ) ( 1294670 * )
NEW met1 ( 1307550 2870450 ) ( 1314450 * )
NEW met2 ( 1307550 2753830 ) ( * 2870450 )
NEW met2 ( 1314450 2870450 ) ( * 2918730 )
NEW met1 ( 1325030 2943210 ) ( 1331930 * )
NEW met2 ( 1331930 2943210 ) ( * 2980610 )
NEW met2 ( 1325030 2918730 ) ( * 2943210 )
NEW met1 ( 1331930 2980610 ) ( 1338830 * )
NEW met1 ( 1386670 3135990 ) ( 1404610 * )
NEW met2 ( 1404610 3135990 ) ( * 3179170 )
NEW met2 ( 1483270 3264340 ) ( 1484190 * )
NEW met2 ( 1484190 3264340 ) ( * 3275050 )
NEW met1 ( 351210 2715750 ) ( 427570 * )
NEW met2 ( 427570 2715750 ) ( * 2719150 )
NEW met1 ( 511290 2719150 ) M1M2_PR
NEW met1 ( 511290 2732410 ) M1M2_PR
NEW met1 ( 1349410 2980950 ) M1M2_PR
NEW met1 ( 1349410 2995910 ) M1M2_PR
NEW met1 ( 1359070 2995910 ) M1M2_PR
NEW met1 ( 1359530 3028890 ) M1M2_PR
NEW met1 ( 1376550 3101650 ) M1M2_PR
NEW met1 ( 1386670 3101650 ) M1M2_PR
NEW met1 ( 1439570 3192770 ) M1M2_PR
NEW met1 ( 1439570 3204670 ) M1M2_PR
NEW met1 ( 1456130 3204670 ) M1M2_PR
NEW met1 ( 1456130 3215890 ) M1M2_PR
NEW met1 ( 1466250 3215890 ) M1M2_PR
NEW met1 ( 2708250 3015630 ) M1M2_PR
NEW met1 ( 2711930 3015630 ) M1M2_PR
NEW met1 ( 2696750 3290350 ) M1M2_PR
NEW met1 ( 1376550 3029230 ) M1M2_PR
NEW met1 ( 1386670 3135990 ) M1M2_PR
NEW met1 ( 1466250 3249890 ) M1M2_PR
NEW met1 ( 1483270 3250230 ) M1M2_PR
NEW met2 ( 2711930 2971260 ) M2M3_PR_M
NEW met1 ( 2697670 3058810 ) M1M2_PR
NEW met1 ( 2708250 3058810 ) M1M2_PR
NEW met1 ( 351210 2715750 ) M1M2_PR
NEW met1 ( 427570 2719150 ) M1M2_PR
NEW met1 ( 525550 2732410 ) M1M2_PR
NEW met1 ( 1314450 2918730 ) M1M2_PR
NEW met1 ( 1325030 2918730 ) M1M2_PR
NEW met1 ( 1404610 3179170 ) M1M2_PR
NEW met1 ( 1415190 3179170 ) M1M2_PR
NEW met1 ( 1415190 3192770 ) M1M2_PR
NEW met1 ( 1484190 3275050 ) M1M2_PR
NEW met1 ( 1504430 3275050 ) M1M2_PR
NEW met1 ( 1504430 3290010 ) M1M2_PR
NEW met1 ( 525550 2742950 ) M1M2_PR
NEW met1 ( 1294670 2742950 ) M1M2_PR
NEW met1 ( 1294670 2753830 ) M1M2_PR
NEW met1 ( 1307550 2753830 ) M1M2_PR
NEW met1 ( 1307550 2870450 ) M1M2_PR
NEW met1 ( 1314450 2870450 ) M1M2_PR
NEW met1 ( 1325030 2943210 ) M1M2_PR
NEW met1 ( 1331930 2943210 ) M1M2_PR
NEW met1 ( 1331930 2980610 ) M1M2_PR
NEW met1 ( 1404610 3135990 ) M1M2_PR
NEW met1 ( 427570 2715750 ) M1M2_PR ;
- din0_to_sram\[25\] ( custom_sram d[25] ) ( chip_controller din0_to_sram[25] ) + USE SIGNAL
+ ROUTED met3 ( 196650 2457180 ) ( 200100 * )
NEW met3 ( 200100 2456500 0 ) ( * 2457180 )
NEW met2 ( 196650 2457180 ) ( * 3043170 )
NEW met2 ( 1486950 3043170 ) ( * 3049460 )
NEW met3 ( 1486950 3049460 ) ( 1500060 * 0 )
NEW met1 ( 196650 3043170 ) ( 1486950 * )
NEW met2 ( 196650 2457180 ) M2M3_PR_M
NEW met1 ( 196650 3043170 ) M1M2_PR
NEW met1 ( 1486950 3043170 ) M1M2_PR
NEW met2 ( 1486950 3049460 ) M2M3_PR_M ;
- din0_to_sram\[26\] ( custom_sram d[26] ) ( chip_controller din0_to_sram[26] ) + USE SIGNAL
+ ROUTED met1 ( 195730 2425390 ) ( 198490 * )
NEW met2 ( 195730 1787890 ) ( * 2425390 )
NEW met3 ( 198490 2459900 ) ( 201020 * )
NEW met3 ( 201020 2459900 ) ( * 2460580 0 )
NEW met2 ( 198490 2425390 ) ( * 2459900 )
NEW met2 ( 2589110 1787890 ) ( * 1800300 0 )
NEW met1 ( 195730 1787890 ) ( 2589110 * )
NEW met1 ( 195730 2425390 ) M1M2_PR
NEW met1 ( 198490 2425390 ) M1M2_PR
NEW met1 ( 195730 1787890 ) M1M2_PR
NEW met2 ( 198490 2459900 ) M2M3_PR_M
NEW met1 ( 2589110 1787890 ) M1M2_PR ;
- din0_to_sram\[27\] ( custom_sram d[27] ) ( chip_controller din0_to_sram[27] ) + USE SIGNAL
+ ROUTED met1 ( 2695370 3288650 ) ( * 3288990 )
NEW met1 ( 2695370 3288650 ) ( 2712390 * )
NEW met2 ( 379730 2285990 ) ( * 2300100 0 )
NEW met3 ( 2699740 3158940 0 ) ( 2712390 * )
NEW met2 ( 2712390 3158940 ) ( * 3288650 )
NEW met1 ( 721970 3288990 ) ( 2695370 * )
NEW met2 ( 721970 2285990 ) ( * 3288990 )
NEW met1 ( 379730 2285990 ) ( 721970 * )
NEW met1 ( 2712390 3288650 ) M1M2_PR
NEW met1 ( 379730 2285990 ) M1M2_PR
NEW met2 ( 2712390 3158940 ) M2M3_PR_M
NEW met1 ( 721970 3288990 ) M1M2_PR
NEW met1 ( 721970 2285990 ) M1M2_PR ;
- din0_to_sram\[28\] ( custom_sram d[28] ) ( chip_controller din0_to_sram[28] ) + USE SIGNAL
+ ROUTED met2 ( 386630 2266950 ) ( * 2300100 0 )
NEW met2 ( 1474070 1791290 ) ( * 2266950 )
NEW met2 ( 2633270 1791290 ) ( * 1800300 0 )
NEW met1 ( 386630 2266950 ) ( 1474070 * )
NEW met1 ( 1474070 1791290 ) ( 2633270 * )
NEW met1 ( 386630 2266950 ) M1M2_PR
NEW met1 ( 1474070 1791290 ) M1M2_PR
NEW met1 ( 1474070 2266950 ) M1M2_PR
NEW met1 ( 2633270 1791290 ) M1M2_PR ;
- din0_to_sram\[29\] ( custom_sram d[29] ) ( chip_controller din0_to_sram[29] ) + USE SIGNAL
+ ROUTED met2 ( 2600150 3299700 0 ) ( * 3305990 )
NEW met3 ( 599380 2478940 0 ) ( 607430 * )
NEW met2 ( 607430 2478940 ) ( * 2479450 )
NEW met1 ( 617090 3305990 ) ( 2600150 * )
NEW met1 ( 607430 2479450 ) ( 617090 * )
NEW met2 ( 617090 2479450 ) ( * 3305990 )
NEW met1 ( 2600150 3305990 ) M1M2_PR
NEW met2 ( 607430 2478940 ) M2M3_PR_M
NEW met1 ( 607430 2479450 ) M1M2_PR
NEW met1 ( 617090 3305990 ) M1M2_PR
NEW met1 ( 617090 2479450 ) M1M2_PR ;
- din0_to_sram\[2\] ( custom_sram d[2] ) ( chip_controller din0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 212750 2699260 ) ( * 2699430 )
NEW met2 ( 212290 2699260 0 ) ( 212750 * )
NEW met1 ( 212750 2699430 ) ( 227700 * )
NEW met1 ( 227700 2699090 ) ( * 2699430 )
NEW met2 ( 1411970 1793670 ) ( * 2699090 )
NEW met2 ( 1611150 1793670 ) ( * 1800300 0 )
NEW met1 ( 1411970 1793670 ) ( 1611150 * )
NEW met1 ( 227700 2699090 ) ( 1411970 * )
NEW met1 ( 212750 2699430 ) M1M2_PR
NEW met1 ( 1411970 2699090 ) M1M2_PR
NEW met1 ( 1411970 1793670 ) M1M2_PR
NEW met1 ( 1611150 1793670 ) M1M2_PR ;
- din0_to_sram\[30\] ( custom_sram d[30] ) ( chip_controller din0_to_sram[30] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2483700 0 ) ( 607430 * )
NEW met2 ( 607430 2483700 ) ( * 2483870 )
NEW met1 ( 607430 2483870 ) ( 1321810 * )
NEW met2 ( 1321810 2483870 ) ( * 3160470 )
NEW met2 ( 1490170 3160300 ) ( * 3160470 )
NEW met3 ( 1490170 3160300 ) ( 1500060 * 0 )
NEW met1 ( 1321810 3160470 ) ( 1490170 * )
NEW met2 ( 607430 2483700 ) M2M3_PR_M
NEW met1 ( 607430 2483870 ) M1M2_PR
NEW met1 ( 1321810 2483870 ) M1M2_PR
NEW met1 ( 1321810 3160470 ) M1M2_PR
NEW met1 ( 1490170 3160470 ) M1M2_PR
NEW met2 ( 1490170 3160300 ) M2M3_PR_M ;
- din0_to_sram\[31\] ( custom_sram d[31] ) ( chip_controller din0_to_sram[31] ) + USE SIGNAL
+ ROUTED met3 ( 195270 2483020 ) ( 200100 * )
NEW met3 ( 200100 2482340 0 ) ( * 2483020 )
NEW met2 ( 195270 2483020 ) ( * 3215550 )
NEW met2 ( 1490170 3215550 ) ( * 3216060 )
NEW met3 ( 1490170 3216060 ) ( 1500060 * 0 )
NEW met1 ( 195270 3215550 ) ( 1490170 * )
NEW met1 ( 195270 3215550 ) M1M2_PR
NEW met2 ( 195270 2483020 ) M2M3_PR_M
NEW met1 ( 1490170 3215550 ) M1M2_PR
NEW met2 ( 1490170 3216060 ) M2M3_PR_M ;
- din0_to_sram\[3\] ( custom_sram d[3] ) ( chip_controller din0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 1460270 1793330 ) ( * 2273750 )
NEW met2 ( 1655310 1793330 ) ( * 1800300 0 )
NEW met2 ( 244030 2273750 ) ( * 2300100 0 )
NEW met1 ( 244030 2273750 ) ( 1460270 * )
NEW met1 ( 1460270 1793330 ) ( 1655310 * )
NEW met1 ( 1460270 1793330 ) M1M2_PR
NEW met1 ( 1460270 2273750 ) M1M2_PR
NEW met1 ( 1655310 1793330 ) M1M2_PR
NEW met1 ( 244030 2273750 ) M1M2_PR ;
- din0_to_sram\[4\] ( custom_sram d[4] ) ( chip_controller din0_to_sram[4] ) + USE SIGNAL
+ ROUTED met2 ( 225630 2699260 0 ) ( 226550 * )
NEW met2 ( 1666350 3299700 0 ) ( * 3307690 )
NEW met2 ( 226550 2699260 ) ( * 2739300 )
NEW met2 ( 226550 2739300 ) ( 227470 * )
NEW met2 ( 227470 2739300 ) ( * 3307690 )
NEW met1 ( 227470 3307690 ) ( 1666350 * )
NEW met1 ( 227470 3307690 ) M1M2_PR
NEW met1 ( 1666350 3307690 ) M1M2_PR ;
- din0_to_sram\[5\] ( custom_sram d[5] ) ( chip_controller din0_to_sram[5] ) + USE SIGNAL
+ ROUTED li1 ( 566490 2714050 ) ( * 2716090 )
NEW met1 ( 566490 2714050 ) ( 574310 * )
NEW met2 ( 574310 2709630 ) ( * 2714050 )
NEW met2 ( 1054550 2700110 ) ( * 2709630 )
NEW met1 ( 1054550 2700110 ) ( 1069270 * )
NEW met1 ( 1170930 2436950 ) ( 1187030 * )
NEW met2 ( 1187030 2404650 ) ( * 2436950 )
NEW met1 ( 1367350 1947350 ) ( 1380230 * )
NEW met2 ( 1380230 1921510 ) ( * 1947350 )
NEW met3 ( 2697900 2312340 ) ( 2698130 * )
NEW met3 ( 2697900 2312340 ) ( * 2315060 0 )
NEW met2 ( 1069270 2682770 ) ( * 2700110 )
NEW met2 ( 1170930 2436950 ) ( * 2659990 )
NEW met1 ( 1272130 2373370 ) ( 1288230 * )
NEW met2 ( 1272130 2373370 ) ( * 2404650 )
NEW met2 ( 1288230 2344470 ) ( * 2373370 )
NEW met2 ( 1351710 1973190 ) ( * 1993930 )
NEW met1 ( 1351710 1973190 ) ( 1367350 * )
NEW met2 ( 1367350 1947350 ) ( * 1973190 )
NEW met2 ( 1452450 1845690 ) ( * 1893970 )
NEW met2 ( 2696750 2208000 ) ( 2698130 * )
NEW met2 ( 2696750 1808970 ) ( * 2208000 )
NEW met2 ( 2698130 2208000 ) ( * 2312340 )
NEW met2 ( 228850 2699260 0 ) ( 229770 * )
NEW met2 ( 229770 2699260 ) ( * 2716090 )
NEW met1 ( 574310 2709630 ) ( 1054550 * )
NEW met1 ( 1187030 2404650 ) ( 1272130 * )
NEW met1 ( 1288230 2344470 ) ( 1303870 * )
NEW met1 ( 1380230 1921510 ) ( 1424390 * )
NEW met1 ( 1452450 1845690 ) ( 1499370 * )
NEW met2 ( 1098250 2659990 ) ( * 2682770 )
NEW met1 ( 1069270 2682770 ) ( 1098250 * )
NEW met1 ( 1098250 2659990 ) ( 1170930 * )
NEW met1 ( 1328250 1993930 ) ( 1351710 * )
NEW met1 ( 1315830 2069750 ) ( 1328250 * )
NEW met2 ( 1328250 1993930 ) ( * 2069750 )
NEW met1 ( 1303870 2191470 ) ( 1315830 * )
NEW met2 ( 1315830 2069750 ) ( * 2191470 )
NEW met2 ( 1303870 2191470 ) ( * 2344470 )
NEW met2 ( 1424390 1893970 ) ( * 1921510 )
NEW met1 ( 1424390 1893970 ) ( 1452450 * )
NEW met2 ( 1499370 1808970 ) ( * 1845690 )
NEW met1 ( 1499370 1808970 ) ( 2696750 * )
NEW met1 ( 229770 2716090 ) ( 566490 * )
NEW li1 ( 566490 2716090 ) L1M1_PR_MR
NEW li1 ( 566490 2714050 ) L1M1_PR_MR
NEW met1 ( 574310 2714050 ) M1M2_PR
NEW met1 ( 574310 2709630 ) M1M2_PR
NEW met1 ( 1054550 2709630 ) M1M2_PR
NEW met1 ( 1054550 2700110 ) M1M2_PR
NEW met1 ( 1069270 2700110 ) M1M2_PR
NEW met1 ( 1170930 2436950 ) M1M2_PR
NEW met1 ( 1187030 2436950 ) M1M2_PR
NEW met1 ( 1187030 2404650 ) M1M2_PR
NEW met1 ( 1288230 2344470 ) M1M2_PR
NEW met1 ( 1272130 2404650 ) M1M2_PR
NEW met1 ( 1367350 1947350 ) M1M2_PR
NEW met1 ( 1380230 1947350 ) M1M2_PR
NEW met1 ( 1380230 1921510 ) M1M2_PR
NEW met1 ( 1452450 1845690 ) M1M2_PR
NEW met2 ( 2698130 2312340 ) M2M3_PR_M
NEW met1 ( 1069270 2682770 ) M1M2_PR
NEW met1 ( 1170930 2659990 ) M1M2_PR
NEW met1 ( 1272130 2373370 ) M1M2_PR
NEW met1 ( 1288230 2373370 ) M1M2_PR
NEW met1 ( 1351710 1993930 ) M1M2_PR
NEW met1 ( 1351710 1973190 ) M1M2_PR
NEW met1 ( 1367350 1973190 ) M1M2_PR
NEW met1 ( 1452450 1893970 ) M1M2_PR
NEW met1 ( 2696750 1808970 ) M1M2_PR
NEW met1 ( 229770 2716090 ) M1M2_PR
NEW met1 ( 1303870 2344470 ) M1M2_PR
NEW met1 ( 1424390 1921510 ) M1M2_PR
NEW met1 ( 1499370 1845690 ) M1M2_PR
NEW met1 ( 1098250 2682770 ) M1M2_PR
NEW met1 ( 1098250 2659990 ) M1M2_PR
NEW met1 ( 1328250 1993930 ) M1M2_PR
NEW met1 ( 1315830 2069750 ) M1M2_PR
NEW met1 ( 1328250 2069750 ) M1M2_PR
NEW met1 ( 1303870 2191470 ) M1M2_PR
NEW met1 ( 1315830 2191470 ) M1M2_PR
NEW met1 ( 1424390 1893970 ) M1M2_PR
NEW met1 ( 1499370 1808970 ) M1M2_PR ;
- din0_to_sram\[6\] ( custom_sram d[6] ) ( chip_controller din0_to_sram[6] ) + USE SIGNAL
+ ROUTED met1 ( 188830 2311150 ) ( 192510 * )
NEW met2 ( 192510 2311150 ) ( * 2343620 )
NEW met3 ( 192510 2343620 ) ( 201020 * )
NEW met3 ( 201020 2343620 ) ( * 2344300 0 )
NEW met2 ( 188830 2303670 ) ( * 2311150 )
NEW met2 ( 1744550 1791970 ) ( * 1800300 0 )
NEW li1 ( 239430 2300270 ) ( * 2303670 )
NEW met1 ( 188830 2303670 ) ( 239430 * )
NEW met2 ( 239430 1791970 ) ( * 2300270 )
NEW met1 ( 239430 1791970 ) ( 1744550 * )
NEW met1 ( 188830 2311150 ) M1M2_PR
NEW met1 ( 192510 2311150 ) M1M2_PR
NEW met2 ( 192510 2343620 ) M2M3_PR_M
NEW met1 ( 188830 2303670 ) M1M2_PR
NEW met1 ( 1744550 1791970 ) M1M2_PR
NEW met1 ( 239430 1791970 ) M1M2_PR
NEW li1 ( 239430 2303670 ) L1M1_PR_MR
NEW li1 ( 239430 2300270 ) L1M1_PR_MR
NEW met1 ( 239430 2300270 ) M1M2_PR
NEW met1 ( 239430 2300270 ) RECT ( -355 -70 0 70 ) ;
- din0_to_sram\[7\] ( custom_sram d[7] ) ( chip_controller din0_to_sram[7] ) + USE SIGNAL
+ ROUTED li1 ( 1932230 3291370 ) ( * 3296810 )
NEW met2 ( 1932230 3296810 ) ( * 3296980 )
NEW met2 ( 1932230 3296980 ) ( 1933150 * 0 )
NEW met3 ( 194350 2355860 ) ( 200100 * )
NEW met3 ( 200100 2355180 0 ) ( * 2355860 )
NEW met2 ( 194350 2355860 ) ( * 3291370 )
NEW met1 ( 194350 3291370 ) ( 1932230 * )
NEW met1 ( 194350 3291370 ) M1M2_PR
NEW li1 ( 1932230 3291370 ) L1M1_PR_MR
NEW li1 ( 1932230 3296810 ) L1M1_PR_MR
NEW met1 ( 1932230 3296810 ) M1M2_PR
NEW met2 ( 194350 2355860 ) M2M3_PR_M
NEW met1 ( 1932230 3296810 ) RECT ( -355 -70 0 70 ) ;
- din0_to_sram\[8\] ( custom_sram d[8] ) ( chip_controller din0_to_sram[8] ) + USE SIGNAL
+ ROUTED met2 ( 289110 2221730 ) ( * 2300100 0 )
NEW met2 ( 1490170 2215780 ) ( * 2221730 )
NEW met3 ( 1490170 2215780 ) ( 1500060 * 0 )
NEW met1 ( 289110 2221730 ) ( 1490170 * )
NEW met1 ( 289110 2221730 ) M1M2_PR
NEW met1 ( 1490170 2221730 ) M1M2_PR
NEW met2 ( 1490170 2215780 ) M2M3_PR_M ;
- din0_to_sram\[9\] ( custom_sram d[9] ) ( chip_controller din0_to_sram[9] ) + USE SIGNAL
+ ROUTED met2 ( 248630 2699260 0 ) ( 250010 * )
NEW met2 ( 250010 2699260 ) ( * 2733770 )
NEW met1 ( 250010 2733770 ) ( 1314910 * )
NEW met2 ( 1314910 1789930 ) ( * 2733770 )
NEW met2 ( 2010890 1789930 ) ( * 1800300 0 )
NEW met1 ( 1314910 1789930 ) ( 2010890 * )
NEW met1 ( 250010 2733770 ) M1M2_PR
NEW met1 ( 1314910 2733770 ) M1M2_PR
NEW met1 ( 1314910 1789930 ) M1M2_PR
NEW met1 ( 2010890 1789930 ) M1M2_PR ;
- dout0_to_sram\[0\] ( custom_sram q[0] ) ( chip_controller dout0_to_sram[0] ) + USE SIGNAL
+ ROUTED met3 ( 2699740 1846540 0 ) ( 2712850 * )
NEW met2 ( 1446930 1802510 ) ( * 2287350 )
NEW met2 ( 2712850 1802510 ) ( * 1846540 )
NEW met2 ( 228850 2287350 ) ( * 2300100 0 )
NEW li1 ( 366850 2287350 ) ( 368230 * )
NEW met1 ( 228850 2287350 ) ( 366850 * )
NEW met1 ( 1446930 1802510 ) ( 2712850 * )
NEW met1 ( 368230 2287350 ) ( 1446930 * )
NEW met2 ( 2712850 1846540 ) M2M3_PR_M
NEW met1 ( 1446930 1802510 ) M1M2_PR
NEW met1 ( 1446930 2287350 ) M1M2_PR
NEW met1 ( 2712850 1802510 ) M1M2_PR
NEW met1 ( 228850 2287350 ) M1M2_PR
NEW li1 ( 366850 2287350 ) L1M1_PR_MR
NEW li1 ( 368230 2287350 ) L1M1_PR_MR ;
- dout0_to_sram\[10\] ( custom_sram q[10] ) ( chip_controller dout0_to_sram[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2372860 0 ) ( 607890 * )
NEW met2 ( 607890 2366910 ) ( * 2372860 )
NEW met2 ( 2055510 1789590 ) ( * 1800300 0 )
NEW met1 ( 607890 2366910 ) ( 1426230 * )
NEW met2 ( 1426230 1789590 ) ( * 2366910 )
NEW met1 ( 1426230 1789590 ) ( 2055510 * )
NEW met2 ( 607890 2372860 ) M2M3_PR_M
NEW met1 ( 607890 2366910 ) M1M2_PR
NEW met1 ( 2055510 1789590 ) M1M2_PR
NEW met1 ( 1426230 1789590 ) M1M2_PR
NEW met1 ( 1426230 2366910 ) M1M2_PR ;
- dout0_to_sram\[11\] ( custom_sram q[11] ) ( chip_controller dout0_to_sram[11] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2372690 ) ( * 2373540 )
NEW met3 ( 188830 2373540 ) ( 200100 * )
NEW met3 ( 200100 2372860 0 ) ( * 2373540 )
NEW met2 ( 2198110 3298340 ) ( * 3298510 )
NEW met2 ( 2198110 3298340 ) ( 2199950 * 0 )
NEW met1 ( 175950 3298510 ) ( 2198110 * )
NEW met1 ( 175950 2372690 ) ( 188830 * )
NEW met2 ( 175950 2372690 ) ( * 3298510 )
NEW met1 ( 188830 2372690 ) M1M2_PR
NEW met2 ( 188830 2373540 ) M2M3_PR_M
NEW met1 ( 175950 3298510 ) M1M2_PR
NEW met1 ( 2198110 3298510 ) M1M2_PR
NEW met1 ( 175950 2372690 ) M1M2_PR ;
- dout0_to_sram\[12\] ( custom_sram q[12] ) ( chip_controller dout0_to_sram[12] ) + USE SIGNAL
+ ROUTED met2 ( 307510 2289390 ) ( * 2300100 0 )
NEW met2 ( 701270 2289390 ) ( * 2435930 )
NEW met2 ( 1489710 2435930 ) ( * 2438140 )
NEW met3 ( 1489710 2438140 ) ( 1500060 * 0 )
NEW met1 ( 701270 2435930 ) ( 1489710 * )
NEW met1 ( 307510 2289390 ) ( 701270 * )
NEW met1 ( 701270 2435930 ) M1M2_PR
NEW met1 ( 307510 2289390 ) M1M2_PR
NEW met1 ( 701270 2289390 ) M1M2_PR
NEW met1 ( 1489710 2435930 ) M1M2_PR
NEW met2 ( 1489710 2438140 ) M2M3_PR_M ;
- dout0_to_sram\[13\] ( custom_sram q[13] ) ( chip_controller dout0_to_sram[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2389860 0 ) ( 607890 * )
NEW met2 ( 607890 2389860 ) ( * 2394110 )
NEW met1 ( 607890 2394110 ) ( 1032930 * )
NEW met2 ( 1032930 2394110 ) ( * 2546770 )
NEW met2 ( 1490170 2546770 ) ( * 2549660 )
NEW met3 ( 1490170 2549660 ) ( 1500060 * 0 )
NEW met1 ( 1032930 2546770 ) ( 1490170 * )
NEW met2 ( 607890 2389860 ) M2M3_PR_M
NEW met1 ( 607890 2394110 ) M1M2_PR
NEW met1 ( 1032930 2394110 ) M1M2_PR
NEW met1 ( 1032930 2546770 ) M1M2_PR
NEW met1 ( 1490170 2546770 ) M1M2_PR
NEW met2 ( 1490170 2549660 ) M2M3_PR_M ;
- dout0_to_sram\[14\] ( custom_sram q[14] ) ( chip_controller dout0_to_sram[14] ) + USE SIGNAL
+ ROUTED met2 ( 290030 2699260 0 ) ( 291410 * )
NEW met2 ( 291410 2699260 ) ( * 2711330 )
NEW met2 ( 605130 2607970 ) ( * 2711330 )
NEW met2 ( 1487410 2604740 ) ( * 2607970 )
NEW met3 ( 1487410 2604740 ) ( 1500060 * 0 )
NEW met1 ( 605130 2607970 ) ( 1487410 * )
NEW met1 ( 291410 2711330 ) ( 605130 * )
NEW met1 ( 291410 2711330 ) M1M2_PR
NEW met1 ( 605130 2607970 ) M1M2_PR
NEW met1 ( 605130 2711330 ) M1M2_PR
NEW met1 ( 1487410 2607970 ) M1M2_PR
NEW met2 ( 1487410 2604740 ) M2M3_PR_M ;
- dout0_to_sram\[15\] ( custom_sram q[15] ) ( chip_controller dout0_to_sram[15] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2399380 0 ) ( 608350 * )
NEW met2 ( 608350 2394450 ) ( * 2399380 )
NEW met2 ( 2233530 1790610 ) ( * 1800300 0 )
NEW met1 ( 608350 2394450 ) ( 1433130 * )
NEW met2 ( 1433130 1790610 ) ( * 2394450 )
NEW met1 ( 1433130 1790610 ) ( 2233530 * )
NEW met2 ( 608350 2399380 ) M2M3_PR_M
NEW met1 ( 608350 2394450 ) M1M2_PR
NEW met1 ( 2233530 1790610 ) M1M2_PR
NEW met1 ( 1433130 1790610 ) M1M2_PR
NEW met1 ( 1433130 2394450 ) M1M2_PR ;
- dout0_to_sram\[16\] ( custom_sram q[16] ) ( chip_controller dout0_to_sram[16] ) + USE SIGNAL
+ ROUTED met2 ( 302450 2699940 ) ( 303370 * 0 )
NEW met2 ( 302450 2699940 ) ( * 2767090 )
NEW met2 ( 1490170 2767090 ) ( * 2771340 )
NEW met3 ( 1490170 2771340 ) ( 1500060 * 0 )
NEW met1 ( 302450 2767090 ) ( 1490170 * )
NEW met1 ( 302450 2767090 ) M1M2_PR
NEW met1 ( 1490170 2767090 ) M1M2_PR
NEW met2 ( 1490170 2771340 ) M2M3_PR_M ;
- dout0_to_sram\[17\] ( custom_sram q[17] ) ( chip_controller dout0_to_sram[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2412980 0 ) ( 607890 * )
NEW met2 ( 607890 2408390 ) ( * 2412980 )
NEW met2 ( 2322310 1790950 ) ( * 1800300 0 )
NEW met1 ( 607890 2408390 ) ( 1391730 * )
NEW met2 ( 1391730 1790950 ) ( * 2408390 )
NEW met1 ( 1391730 1790950 ) ( 2322310 * )
NEW met2 ( 607890 2412980 ) M2M3_PR_M
NEW met1 ( 607890 2408390 ) M1M2_PR
NEW met1 ( 2322310 1790950 ) M1M2_PR
NEW met1 ( 1391730 2408390 ) M1M2_PR
NEW met1 ( 1391730 1790950 ) M1M2_PR ;
- dout0_to_sram\[18\] ( custom_sram q[18] ) ( chip_controller dout0_to_sram[18] ) + USE SIGNAL
+ ROUTED met2 ( 1350330 2296870 ) ( * 2822170 )
NEW met2 ( 1486030 2822170 ) ( * 2827100 )
NEW met3 ( 1486030 2827100 ) ( 1500060 * 0 )
NEW met1 ( 1350330 2822170 ) ( 1486030 * )
NEW met2 ( 341090 2296870 ) ( * 2300100 0 )
NEW met1 ( 341090 2296870 ) ( 1350330 * )
NEW met1 ( 1350330 2822170 ) M1M2_PR
NEW met1 ( 1350330 2296870 ) M1M2_PR
NEW met1 ( 1486030 2822170 ) M1M2_PR
NEW met2 ( 1486030 2827100 ) M2M3_PR_M
NEW met1 ( 341090 2296870 ) M1M2_PR ;
- dout0_to_sram\[19\] ( custom_sram q[19] ) ( chip_controller dout0_to_sram[19] ) + USE SIGNAL
+ ROUTED met1 ( 190210 2446810 ) ( 192050 * )
NEW met2 ( 192050 2423180 ) ( * 2446810 )
NEW met3 ( 192050 2423180 ) ( 200100 * )
NEW met3 ( 200100 2422500 0 ) ( * 2423180 )
NEW met1 ( 190210 2691270 ) ( 193430 * )
NEW met2 ( 193430 2691270 ) ( * 2703170 )
NEW met2 ( 497490 2703170 ) ( * 2712350 )
NEW met1 ( 497490 2712350 ) ( 513590 * )
NEW li1 ( 513590 2712350 ) ( * 2714050 )
NEW met1 ( 1362750 2903770 ) ( 1376550 * )
NEW met1 ( 1376550 2993190 ) ( 1383450 * )
NEW met1 ( 1442330 3278450 ) ( 1456590 * )
NEW met2 ( 1456590 3278450 ) ( * 3289330 )
NEW met1 ( 2695830 3288990 ) ( * 3289330 )
NEW met2 ( 190210 2446810 ) ( * 2691270 )
NEW met1 ( 1348950 2840530 ) ( 1356310 * )
NEW met2 ( 1356310 2840530 ) ( * 2863650 )
NEW met1 ( 1356310 2863650 ) ( 1362750 * )
NEW met2 ( 1348950 2742610 ) ( * 2840530 )
NEW met2 ( 1362750 2863650 ) ( * 2903770 )
NEW met2 ( 1376550 2903770 ) ( * 2993190 )
NEW met2 ( 1383450 2993190 ) ( * 3152990 )
NEW met2 ( 1442330 3270600 ) ( * 3278450 )
NEW met2 ( 1441870 3246490 ) ( * 3270600 )
NEW met2 ( 1441870 3270600 ) ( 1442330 * )
NEW met3 ( 2699740 2877420 0 ) ( 2711930 * )
NEW met2 ( 2711930 2877420 ) ( * 2904790 )
NEW met2 ( 524170 2714050 ) ( * 2718810 )
NEW met2 ( 524170 2718810 ) ( 524630 * )
NEW met2 ( 524630 2718810 ) ( * 2738870 )
NEW met1 ( 524630 2738870 ) ( 555450 * )
NEW met1 ( 513590 2714050 ) ( 524170 * )
NEW met1 ( 1390350 3197530 ) ( 1407830 * )
NEW met2 ( 1407830 3197530 ) ( * 3215890 )
NEW met1 ( 1407830 3215890 ) ( 1431750 * )
NEW met1 ( 1456590 3289330 ) ( 2695830 * )
NEW met2 ( 555450 2738870 ) ( * 2742610 )
NEW met1 ( 555450 2742610 ) ( 1348950 * )
NEW met1 ( 1383450 3152990 ) ( 1390350 * )
NEW met2 ( 1390350 3152990 ) ( * 3197530 )
NEW met2 ( 1431750 3215890 ) ( * 3246490 )
NEW met1 ( 1431750 3246490 ) ( 1441870 * )
NEW met1 ( 193430 2703170 ) ( 497490 * )
NEW met2 ( 2695830 2925700 ) ( 2696750 * )
NEW met3 ( 2696750 2925700 ) ( 2697670 * )
NEW met2 ( 2697670 2904790 ) ( * 2925700 )
NEW met2 ( 2695830 2925700 ) ( * 3288990 )
NEW met1 ( 2697670 2904790 ) ( 2711930 * )
NEW met1 ( 190210 2446810 ) M1M2_PR
NEW met1 ( 192050 2446810 ) M1M2_PR
NEW met2 ( 192050 2423180 ) M2M3_PR_M
NEW met1 ( 190210 2691270 ) M1M2_PR
NEW met1 ( 193430 2691270 ) M1M2_PR
NEW met1 ( 193430 2703170 ) M1M2_PR
NEW met1 ( 497490 2703170 ) M1M2_PR
NEW met1 ( 497490 2712350 ) M1M2_PR
NEW li1 ( 513590 2712350 ) L1M1_PR_MR
NEW li1 ( 513590 2714050 ) L1M1_PR_MR
NEW met1 ( 1362750 2903770 ) M1M2_PR
NEW met1 ( 1376550 2903770 ) M1M2_PR
NEW met1 ( 1376550 2993190 ) M1M2_PR
NEW met1 ( 1383450 2993190 ) M1M2_PR
NEW met1 ( 1442330 3278450 ) M1M2_PR
NEW met1 ( 1456590 3278450 ) M1M2_PR
NEW met1 ( 1456590 3289330 ) M1M2_PR
NEW met1 ( 2711930 2904790 ) M1M2_PR
NEW met1 ( 2695830 3288990 ) M1M2_PR
NEW met1 ( 1348950 2742610 ) M1M2_PR
NEW met1 ( 1348950 2840530 ) M1M2_PR
NEW met1 ( 1356310 2840530 ) M1M2_PR
NEW met1 ( 1356310 2863650 ) M1M2_PR
NEW met1 ( 1362750 2863650 ) M1M2_PR
NEW met1 ( 1383450 3152990 ) M1M2_PR
NEW met1 ( 1441870 3246490 ) M1M2_PR
NEW met2 ( 2711930 2877420 ) M2M3_PR_M
NEW met1 ( 524170 2714050 ) M1M2_PR
NEW met1 ( 524630 2738870 ) M1M2_PR
NEW met1 ( 555450 2738870 ) M1M2_PR
NEW met1 ( 1390350 3197530 ) M1M2_PR
NEW met1 ( 1407830 3197530 ) M1M2_PR
NEW met1 ( 1407830 3215890 ) M1M2_PR
NEW met1 ( 1431750 3215890 ) M1M2_PR
NEW met1 ( 555450 2742610 ) M1M2_PR
NEW met1 ( 1390350 3152990 ) M1M2_PR
NEW met1 ( 1431750 3246490 ) M1M2_PR
NEW met2 ( 2696750 2925700 ) M2M3_PR_M
NEW met2 ( 2697670 2925700 ) M2M3_PR_M
NEW met1 ( 2697670 2904790 ) M1M2_PR ;
- dout0_to_sram\[1\] ( custom_sram q[1] ) ( chip_controller dout0_to_sram[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2317100 0 ) ( 608350 * )
NEW met2 ( 608350 2312170 ) ( * 2317100 )
NEW met2 ( 1566530 1787550 ) ( * 1800300 0 )
NEW met1 ( 608350 2312170 ) ( 1336070 * )
NEW met2 ( 1336070 1787550 ) ( * 2312170 )
NEW met1 ( 1336070 1787550 ) ( 1566530 * )
NEW met2 ( 608350 2317100 ) M2M3_PR_M
NEW met1 ( 608350 2312170 ) M1M2_PR
NEW met1 ( 1566530 1787550 ) M1M2_PR
NEW met1 ( 1336070 2312170 ) M1M2_PR
NEW met1 ( 1336070 1787550 ) M1M2_PR ;
- dout0_to_sram\[20\] ( custom_sram q[20] ) ( chip_controller dout0_to_sram[20] ) + USE SIGNAL
+ ROUTED met3 ( 197110 2430660 ) ( 201020 * )
NEW met3 ( 201020 2430660 ) ( * 2431340 0 )
NEW met2 ( 197110 1792650 ) ( * 2430660 )
NEW met2 ( 2455710 1792650 ) ( * 1800300 0 )
NEW met1 ( 197110 1792650 ) ( 2455710 * )
NEW met2 ( 197110 2430660 ) M2M3_PR_M
NEW met1 ( 197110 1792650 ) M1M2_PR
NEW met1 ( 2455710 1792650 ) M1M2_PR ;
- dout0_to_sram\[21\] ( custom_sram q[21] ) ( chip_controller dout0_to_sram[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2444260 0 ) ( 608350 * )
NEW met2 ( 608350 2444260 ) ( * 2449190 )
NEW met2 ( 1066970 2449190 ) ( * 2877590 )
NEW met1 ( 608350 2449190 ) ( 1066970 * )
NEW met2 ( 1490170 2877590 ) ( * 2882860 )
NEW met3 ( 1490170 2882860 ) ( 1500060 * 0 )
NEW met1 ( 1066970 2877590 ) ( 1490170 * )
NEW met2 ( 608350 2444260 ) M2M3_PR_M
NEW met1 ( 608350 2449190 ) M1M2_PR
NEW met1 ( 1066970 2449190 ) M1M2_PR
NEW met1 ( 1066970 2877590 ) M1M2_PR
NEW met1 ( 1490170 2877590 ) M1M2_PR
NEW met2 ( 1490170 2882860 ) M2M3_PR_M ;
- dout0_to_sram\[22\] ( custom_sram q[22] ) ( chip_controller dout0_to_sram[22] ) + USE SIGNAL
+ ROUTED met2 ( 340170 2699260 0 ) ( 341090 * )
NEW met2 ( 341090 2699260 ) ( * 2718470 )
NEW met1 ( 341090 2718470 ) ( 344770 * )
NEW met3 ( 1484420 2931820 ) ( 1500060 * )
NEW met2 ( 344770 2718470 ) ( * 2932670 )
NEW met3 ( 1484420 2931820 ) ( * 2932500 )
NEW met2 ( 1484190 2932500 ) ( * 2932670 )
NEW met3 ( 1484190 2932500 ) ( 1484420 * )
NEW met1 ( 344770 2932670 ) ( 1484190 * )
NEW met3 ( 1500060 2931820 ) ( * 2938620 0 )
NEW met1 ( 341090 2718470 ) M1M2_PR
NEW met1 ( 344770 2718470 ) M1M2_PR
NEW met1 ( 344770 2932670 ) M1M2_PR
NEW met1 ( 1484190 2932670 ) M1M2_PR
NEW met2 ( 1484190 2932500 ) M2M3_PR_M ;
- dout0_to_sram\[23\] ( custom_sram q[23] ) ( chip_controller dout0_to_sram[23] ) + USE SIGNAL
+ ROUTED met3 ( 198030 2448340 ) ( 200100 * )
NEW met3 ( 200100 2447660 0 ) ( * 2448340 )
NEW met2 ( 197110 2497800 ) ( 198030 * )
NEW met2 ( 198030 2448340 ) ( * 2497800 )
NEW met2 ( 197110 2497800 ) ( * 2987750 )
NEW met2 ( 1490170 2987750 ) ( * 2993700 )
NEW met3 ( 1490170 2993700 ) ( 1500060 * 0 )
NEW met1 ( 197110 2987750 ) ( 1490170 * )
NEW met2 ( 198030 2448340 ) M2M3_PR_M
NEW met1 ( 197110 2987750 ) M1M2_PR
NEW met1 ( 1490170 2987750 ) M1M2_PR
NEW met2 ( 1490170 2993700 ) M2M3_PR_M ;
- dout0_to_sram\[24\] ( custom_sram q[24] ) ( chip_controller dout0_to_sram[24] ) + USE SIGNAL
+ ROUTED met3 ( 192050 2455820 ) ( 200100 * )
NEW met3 ( 200100 2455140 0 ) ( * 2455820 )
NEW met2 ( 192050 2455820 ) ( * 3288310 )
NEW met3 ( 2699740 3065100 0 ) ( 2711930 * )
NEW met2 ( 2711930 3065100 ) ( * 3288310 )
NEW met1 ( 192050 3288310 ) ( 2711930 * )
NEW met1 ( 192050 3288310 ) M1M2_PR
NEW met1 ( 2711930 3288310 ) M1M2_PR
NEW met2 ( 192050 2455820 ) M2M3_PR_M
NEW met2 ( 2711930 3065100 ) M2M3_PR_M ;
- dout0_to_sram\[25\] ( custom_sram q[25] ) ( chip_controller dout0_to_sram[25] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2465340 0 ) ( 607430 * )
NEW met2 ( 607430 2465340 ) ( * 2465510 )
NEW met2 ( 2466750 3299700 0 ) ( * 3306330 )
NEW met1 ( 620770 3306330 ) ( 2466750 * )
NEW met1 ( 607430 2465510 ) ( 620770 * )
NEW met2 ( 620770 2465510 ) ( * 3306330 )
NEW met2 ( 607430 2465340 ) M2M3_PR_M
NEW met1 ( 607430 2465510 ) M1M2_PR
NEW met1 ( 620770 3306330 ) M1M2_PR
NEW met1 ( 2466750 3306330 ) M1M2_PR
NEW met1 ( 620770 2465510 ) M1M2_PR ;
- dout0_to_sram\[26\] ( custom_sram q[26] ) ( chip_controller dout0_to_sram[26] ) + USE SIGNAL
+ ROUTED met2 ( 2533450 3299700 0 ) ( * 3308710 )
NEW met2 ( 374670 2298570 ) ( * 2300100 0 )
NEW met2 ( 1480510 2298570 ) ( * 3308710 )
NEW met1 ( 1480510 3308710 ) ( 2533450 * )
NEW met1 ( 374670 2298570 ) ( 1480510 * )
NEW met1 ( 1480510 3308710 ) M1M2_PR
NEW met1 ( 2533450 3308710 ) M1M2_PR
NEW met1 ( 374670 2298570 ) M1M2_PR
NEW met1 ( 1480510 2298570 ) M1M2_PR ;
- dout0_to_sram\[27\] ( custom_sram q[27] ) ( chip_controller dout0_to_sram[27] ) + USE SIGNAL
+ ROUTED met2 ( 370990 2699940 ) ( 371450 * 0 )
NEW met2 ( 1490170 3105050 ) ( * 3105220 )
NEW met3 ( 1490170 3105220 ) ( 1500060 * 0 )
NEW met1 ( 371450 3105050 ) ( 1490170 * )
NEW met2 ( 370990 2699940 ) ( * 2739300 )
NEW met2 ( 370990 2739300 ) ( 371450 * )
NEW met2 ( 371450 2739300 ) ( * 3105050 )
NEW met1 ( 371450 3105050 ) M1M2_PR
NEW met1 ( 1490170 3105050 ) M1M2_PR
NEW met2 ( 1490170 3105220 ) M2M3_PR_M ;
- dout0_to_sram\[28\] ( custom_sram q[28] ) ( chip_controller dout0_to_sram[28] ) + USE SIGNAL
+ ROUTED met2 ( 375130 2699260 0 ) ( 376050 * )
NEW met2 ( 376050 2699260 ) ( * 2714050 )
NEW met1 ( 376050 2714050 ) ( 382950 * )
NEW li1 ( 2694910 3288650 ) ( * 3290010 )
NEW met1 ( 2694910 3290010 ) ( 2712850 * )
NEW met2 ( 382950 2714050 ) ( * 3288650 )
NEW met3 ( 2699740 3252780 0 ) ( 2712850 * )
NEW met2 ( 2712850 3252780 ) ( * 3290010 )
NEW met1 ( 382950 3288650 ) ( 2694910 * )
NEW met1 ( 376050 2714050 ) M1M2_PR
NEW met1 ( 382950 2714050 ) M1M2_PR
NEW met1 ( 382950 3288650 ) M1M2_PR
NEW li1 ( 2694910 3288650 ) L1M1_PR_MR
NEW li1 ( 2694910 3290010 ) L1M1_PR_MR
NEW met1 ( 2712850 3290010 ) M1M2_PR
NEW met2 ( 2712850 3252780 ) M2M3_PR_M ;
- dout0_to_sram\[29\] ( custom_sram q[29] ) ( chip_controller dout0_to_sram[29] ) + USE SIGNAL
+ ROUTED met3 ( 196190 2478940 ) ( 200100 * )
NEW met3 ( 200100 2478260 0 ) ( * 2478940 )
NEW met2 ( 196190 1788230 ) ( * 2478940 )
NEW met2 ( 2677890 1788230 ) ( * 1800300 0 )
NEW met1 ( 196190 1788230 ) ( 2677890 * )
NEW met1 ( 196190 1788230 ) M1M2_PR
NEW met2 ( 196190 2478940 ) M2M3_PR_M
NEW met1 ( 2677890 1788230 ) M1M2_PR ;
- dout0_to_sram\[2\] ( custom_sram q[2] ) ( chip_controller dout0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 1599650 3299700 0 ) ( * 3310070 )
NEW met1 ( 1404150 3310070 ) ( 1599650 * )
NEW met2 ( 238970 2297550 ) ( * 2300100 0 )
NEW met2 ( 1404150 2297550 ) ( * 3310070 )
NEW met1 ( 238970 2297550 ) ( 1404150 * )
NEW met1 ( 1404150 3310070 ) M1M2_PR
NEW met1 ( 1599650 3310070 ) M1M2_PR
NEW met1 ( 238970 2297550 ) M1M2_PR
NEW met1 ( 1404150 2297550 ) M1M2_PR ;
- dout0_to_sram\[30\] ( custom_sram q[30] ) ( chip_controller dout0_to_sram[30] ) + USE SIGNAL
+ ROUTED met2 ( 388010 2699260 0 ) ( 389390 * )
NEW met2 ( 389390 2699260 ) ( * 2717110 )
NEW met1 ( 389390 2717110 ) ( 393070 * )
NEW met2 ( 393070 2717110 ) ( * 3305310 )
NEW met2 ( 2666850 3299700 0 ) ( * 3305310 )
NEW met1 ( 393070 3305310 ) ( 2666850 * )
NEW met1 ( 389390 2717110 ) M1M2_PR
NEW met1 ( 393070 2717110 ) M1M2_PR
NEW met1 ( 393070 3305310 ) M1M2_PR
NEW met1 ( 2666850 3305310 ) M1M2_PR ;
- dout0_to_sram\[31\] ( custom_sram q[31] ) ( chip_controller dout0_to_sram[31] ) + USE SIGNAL
+ ROUTED met2 ( 403190 2291770 ) ( * 2300100 0 )
NEW met2 ( 708170 2291770 ) ( * 3270630 )
NEW met2 ( 1490170 3270630 ) ( * 3271820 )
NEW met3 ( 1490170 3271820 ) ( 1500060 * 0 )
NEW met1 ( 708170 3270630 ) ( 1490170 * )
NEW met1 ( 403190 2291770 ) ( 708170 * )
NEW met1 ( 708170 3270630 ) M1M2_PR
NEW met1 ( 403190 2291770 ) M1M2_PR
NEW met1 ( 708170 2291770 ) M1M2_PR
NEW met1 ( 1490170 3270630 ) M1M2_PR
NEW met2 ( 1490170 3271820 ) M2M3_PR_M ;
- dout0_to_sram\[3\] ( custom_sram q[3] ) ( chip_controller dout0_to_sram[3] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2330020 0 ) ( 607890 * )
NEW met2 ( 607890 2325770 ) ( * 2330020 )
NEW met2 ( 1345270 2145910 ) ( * 2159510 )
NEW met1 ( 1345270 2145910 ) ( 1345730 * )
NEW met1 ( 1345730 2145570 ) ( * 2145910 )
NEW met1 ( 1345730 2145570 ) ( 1352630 * )
NEW met2 ( 1352630 2118370 ) ( * 2145570 )
NEW met1 ( 1352630 2118370 ) ( 1366430 * )
NEW met1 ( 1366430 2118030 ) ( * 2118370 )
NEW met1 ( 1366430 2118030 ) ( 1380230 * )
NEW met2 ( 2697670 2049860 ) ( 2698130 * )
NEW met3 ( 2699740 2221220 0 ) ( 2705030 * )
NEW met2 ( 1288230 2299250 ) ( * 2321690 )
NEW met2 ( 1380230 2080290 ) ( * 2118030 )
NEW met1 ( 1452450 1904510 ) ( 1463030 * )
NEW met1 ( 1463030 1904170 ) ( * 1904510 )
NEW met1 ( 1463030 1904170 ) ( 1469930 * )
NEW met2 ( 1469930 1890570 ) ( * 1904170 )
NEW met2 ( 1452450 1904510 ) ( * 2018070 )
NEW met2 ( 2697670 1808290 ) ( * 2049860 )
NEW met1 ( 2698130 2074850 ) ( 2705030 * )
NEW met2 ( 2698130 2049860 ) ( * 2074850 )
NEW met2 ( 2705030 2074850 ) ( * 2221220 )
NEW met2 ( 1221990 2321690 ) ( * 2325770 )
NEW met1 ( 607890 2325770 ) ( 1221990 * )
NEW met1 ( 1221990 2321690 ) ( 1288230 * )
NEW met1 ( 1331930 2159510 ) ( 1345270 * )
NEW met1 ( 1300190 2228530 ) ( 1307550 * )
NEW met1 ( 1406450 2058870 ) ( 1417030 * )
NEW met2 ( 1417030 2045270 ) ( * 2058870 )
NEW met1 ( 1417030 2045270 ) ( 1428070 * )
NEW met2 ( 1428070 2034900 ) ( * 2045270 )
NEW met2 ( 1428070 2034900 ) ( 1428530 * )
NEW met2 ( 1428530 2018070 ) ( * 2034900 )
NEW met1 ( 1428530 2018070 ) ( 1452450 * )
NEW met1 ( 1307550 2206430 ) ( 1325490 * )
NEW met2 ( 1325490 2159850 ) ( * 2206430 )
NEW met1 ( 1325490 2159850 ) ( 1331930 * )
NEW met2 ( 1307550 2206430 ) ( * 2228530 )
NEW met1 ( 1331930 2159510 ) ( * 2159850 )
NEW met1 ( 1288230 2299250 ) ( 1300190 * )
NEW met2 ( 1300190 2228530 ) ( * 2299250 )
NEW met1 ( 1380230 2080290 ) ( 1406450 * )
NEW met2 ( 1406450 2058870 ) ( * 2080290 )
NEW met1 ( 1495230 1818150 ) ( 1504890 * )
NEW met2 ( 1504890 1808290 ) ( * 1818150 )
NEW met2 ( 1486030 1876630 ) ( * 1890570 )
NEW met1 ( 1486030 1876630 ) ( 1495230 * )
NEW met1 ( 1469930 1890570 ) ( 1486030 * )
NEW met2 ( 1495230 1818150 ) ( * 1876630 )
NEW met1 ( 1504890 1808290 ) ( 2697670 * )
NEW met2 ( 607890 2330020 ) M2M3_PR_M
NEW met1 ( 607890 2325770 ) M1M2_PR
NEW met1 ( 1288230 2321690 ) M1M2_PR
NEW met1 ( 1345270 2159510 ) M1M2_PR
NEW met1 ( 1345270 2145910 ) M1M2_PR
NEW met1 ( 1352630 2145570 ) M1M2_PR
NEW met1 ( 1352630 2118370 ) M1M2_PR
NEW met1 ( 1380230 2118030 ) M1M2_PR
NEW met1 ( 1452450 2018070 ) M1M2_PR
NEW met2 ( 2705030 2221220 ) M2M3_PR_M
NEW met1 ( 1288230 2299250 ) M1M2_PR
NEW met1 ( 1380230 2080290 ) M1M2_PR
NEW met1 ( 1452450 1904510 ) M1M2_PR
NEW met1 ( 1469930 1904170 ) M1M2_PR
NEW met1 ( 1469930 1890570 ) M1M2_PR
NEW met1 ( 2697670 1808290 ) M1M2_PR
NEW met1 ( 2698130 2074850 ) M1M2_PR
NEW met1 ( 2705030 2074850 ) M1M2_PR
NEW met1 ( 1221990 2325770 ) M1M2_PR
NEW met1 ( 1221990 2321690 ) M1M2_PR
NEW met1 ( 1300190 2228530 ) M1M2_PR
NEW met1 ( 1307550 2228530 ) M1M2_PR
NEW met1 ( 1406450 2058870 ) M1M2_PR
NEW met1 ( 1417030 2058870 ) M1M2_PR
NEW met1 ( 1417030 2045270 ) M1M2_PR
NEW met1 ( 1428070 2045270 ) M1M2_PR
NEW met1 ( 1428530 2018070 ) M1M2_PR
NEW met1 ( 1307550 2206430 ) M1M2_PR
NEW met1 ( 1325490 2206430 ) M1M2_PR
NEW met1 ( 1325490 2159850 ) M1M2_PR
NEW met1 ( 1300190 2299250 ) M1M2_PR
NEW met1 ( 1406450 2080290 ) M1M2_PR
NEW met1 ( 1495230 1818150 ) M1M2_PR
NEW met1 ( 1504890 1818150 ) M1M2_PR
NEW met1 ( 1504890 1808290 ) M1M2_PR
NEW met1 ( 1486030 1890570 ) M1M2_PR
NEW met1 ( 1486030 1876630 ) M1M2_PR
NEW met1 ( 1495230 1876630 ) M1M2_PR ;
- dout0_to_sram\[4\] ( custom_sram q[4] ) ( chip_controller dout0_to_sram[4] ) + USE SIGNAL
+ ROUTED li1 ( 1732130 3291710 ) ( * 3296810 )
NEW met2 ( 1732130 3296810 ) ( * 3296980 )
NEW met2 ( 1732130 3296980 ) ( 1733050 * 0 )
NEW met1 ( 715530 3291710 ) ( 1732130 * )
NEW met2 ( 252310 2290750 ) ( * 2300100 0 )
NEW met2 ( 715530 2290750 ) ( * 3291710 )
NEW met1 ( 252310 2290750 ) ( 715530 * )
NEW li1 ( 1732130 3291710 ) L1M1_PR_MR
NEW li1 ( 1732130 3296810 ) L1M1_PR_MR
NEW met1 ( 1732130 3296810 ) M1M2_PR
NEW met1 ( 715530 3291710 ) M1M2_PR
NEW met1 ( 252310 2290750 ) M1M2_PR
NEW met1 ( 715530 2290750 ) M1M2_PR
NEW met1 ( 1732130 3296810 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[5\] ( custom_sram q[5] ) ( chip_controller dout0_to_sram[5] ) + USE SIGNAL
+ ROUTED met2 ( 1459350 2283610 ) ( * 3309730 )
NEW met2 ( 1799750 3299700 0 ) ( * 3309730 )
NEW met1 ( 1459350 3309730 ) ( 1799750 * )
NEW met2 ( 262430 2283610 ) ( * 2300100 0 )
NEW met1 ( 262430 2283610 ) ( 1459350 * )
NEW met1 ( 1459350 3309730 ) M1M2_PR
NEW met1 ( 1459350 2283610 ) M1M2_PR
NEW met1 ( 1799750 3309730 ) M1M2_PR
NEW met1 ( 262430 2283610 ) M1M2_PR ;
- dout0_to_sram\[6\] ( custom_sram q[6] ) ( chip_controller dout0_to_sram[6] ) + USE SIGNAL
+ ROUTED met3 ( 192970 2345660 ) ( 199180 * )
NEW met3 ( 199180 2345660 ) ( * 2346340 )
NEW met3 ( 199180 2346340 ) ( 200100 * )
NEW met3 ( 200100 2345660 0 ) ( * 2346340 )
NEW met2 ( 192970 2303330 ) ( * 2345660 )
NEW li1 ( 286350 2300270 ) ( * 2303330 )
NEW met2 ( 286350 1792310 ) ( * 2300270 )
NEW met1 ( 192970 2303330 ) ( 286350 * )
NEW met2 ( 1788710 1792310 ) ( * 1800300 0 )
NEW met1 ( 286350 1792310 ) ( 1788710 * )
NEW met2 ( 192970 2345660 ) M2M3_PR_M
NEW met1 ( 192970 2303330 ) M1M2_PR
NEW met1 ( 286350 1792310 ) M1M2_PR
NEW li1 ( 286350 2303330 ) L1M1_PR_MR
NEW li1 ( 286350 2300270 ) L1M1_PR_MR
NEW met1 ( 286350 2300270 ) M1M2_PR
NEW met1 ( 1788710 1792310 ) M1M2_PR
NEW met1 ( 286350 2300270 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[7\] ( custom_sram q[7] ) ( chip_controller dout0_to_sram[7] ) + USE SIGNAL
+ ROUTED met2 ( 282210 2166310 ) ( * 2256300 )
NEW met2 ( 280370 2256300 ) ( 282210 * )
NEW met2 ( 280370 2256300 ) ( * 2300100 )
NEW met2 ( 279450 2300100 0 ) ( 280370 * )
NEW met2 ( 1486030 2160700 ) ( * 2166310 )
NEW met3 ( 1486030 2160700 ) ( 1500060 * 0 )
NEW met1 ( 282210 2166310 ) ( 1486030 * )
NEW met1 ( 282210 2166310 ) M1M2_PR
NEW met1 ( 1486030 2166310 ) M1M2_PR
NEW met2 ( 1486030 2160700 ) M2M3_PR_M ;
- dout0_to_sram\[8\] ( custom_sram q[8] ) ( chip_controller dout0_to_sram[8] ) + USE SIGNAL
+ ROUTED met2 ( 1383910 1788910 ) ( * 2733430 )
NEW met2 ( 1922110 1788910 ) ( * 1800300 0 )
NEW met2 ( 242190 2699260 0 ) ( 243110 * )
NEW met2 ( 243110 2699260 ) ( * 2733430 )
NEW met1 ( 243110 2733430 ) ( 1383910 * )
NEW met1 ( 1383910 1788910 ) ( 1922110 * )
NEW met1 ( 1383910 2733430 ) M1M2_PR
NEW met1 ( 1383910 1788910 ) M1M2_PR
NEW met1 ( 1922110 1788910 ) M1M2_PR
NEW met1 ( 243110 2733430 ) M1M2_PR ;
- dout0_to_sram\[9\] ( custom_sram q[9] ) ( chip_controller dout0_to_sram[9] ) + USE SIGNAL
+ ROUTED met1 ( 199410 2306050 ) ( 199870 * )
NEW met2 ( 199410 2300100 ) ( * 2300610 )
NEW met2 ( 199410 2300100 ) ( 199870 * )
NEW met2 ( 199870 2276810 ) ( * 2300100 )
NEW li1 ( 199410 2300610 ) ( * 2306050 )
NEW met3 ( 199870 2363340 ) ( 201020 * )
NEW met3 ( 201020 2363340 ) ( * 2364020 0 )
NEW met2 ( 199870 2306050 ) ( * 2363340 )
NEW met2 ( 1486030 2271540 ) ( * 2276810 )
NEW met3 ( 1486030 2271540 ) ( 1500060 * 0 )
NEW met1 ( 199870 2276810 ) ( 1486030 * )
NEW li1 ( 199410 2306050 ) L1M1_PR_MR
NEW met1 ( 199870 2306050 ) M1M2_PR
NEW li1 ( 199410 2300610 ) L1M1_PR_MR
NEW met1 ( 199410 2300610 ) M1M2_PR
NEW met1 ( 199870 2276810 ) M1M2_PR
NEW met2 ( 199870 2363340 ) M2M3_PR_M
NEW met1 ( 1486030 2276810 ) M1M2_PR
NEW met2 ( 1486030 2271540 ) M2M3_PR_M
NEW met1 ( 199410 2300610 ) RECT ( -355 -70 0 70 ) ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) + USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) + USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) + USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) + USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) + USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) + USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) + USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) + USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) + USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) + USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) + USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) + USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) + USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) + USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) + USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) + USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) + USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) + USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) + USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) + USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) + USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) + USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) + USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) + USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) + USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) + USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) + USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) + USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) + USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) + USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) + USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) + USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) + USE SIGNAL ;
- is_loading_memory_into_core ( chip_controller is_loading_memory_into_core ) + USE SIGNAL ;
- is_mem_ready ( core0 is_mem_ready ) ( chip_controller ready ) + USE SIGNAL
+ ROUTED met2 ( 1283630 207060 ) ( * 213690 )
NEW met1 ( 223790 2284290 ) ( 227470 * )
NEW met2 ( 223790 2284290 ) ( * 2300100 0 )
NEW met2 ( 227470 213690 ) ( * 2284290 )
NEW met1 ( 227470 213690 ) ( 1283630 * )
NEW met3 ( 1283630 207060 ) ( 1300420 * 0 )
NEW met1 ( 227470 213690 ) M1M2_PR
NEW met1 ( 1283630 213690 ) M1M2_PR
NEW met2 ( 1283630 207060 ) M2M3_PR_M
NEW met1 ( 227470 2284290 ) M1M2_PR
NEW met1 ( 223790 2284290 ) M1M2_PR ;
- is_mem_req ( core0 is_mem_req ) ( chip_controller requested ) + USE SIGNAL
+ ROUTED met2 ( 220570 2274770 ) ( * 2300100 0 )
NEW met2 ( 1371030 1714110 ) ( * 2274770 )
NEW met1 ( 220570 2274770 ) ( 1371030 * )
NEW met2 ( 1394950 1699660 0 ) ( * 1714110 )
NEW met1 ( 1371030 1714110 ) ( 1394950 * )
NEW met1 ( 220570 2274770 ) M1M2_PR
NEW met1 ( 1371030 1714110 ) M1M2_PR
NEW met1 ( 1371030 2274770 ) M1M2_PR
NEW met1 ( 1394950 1714110 ) M1M2_PR ;
- is_ready_dataout_core0 ( core0 read_interactive_ready ) ( chip_controller is_ready_dataout_core0 ) + USE SIGNAL
+ ROUTED met2 ( 215510 2289050 ) ( * 2300100 0 )
NEW met1 ( 452410 1845690 ) ( 1331930 * )
NEW met1 ( 215510 2289050 ) ( 452410 * )
NEW met2 ( 452410 1845690 ) ( * 2289050 )
NEW met2 ( 1333770 1699660 ) ( 1336070 * 0 )
NEW met2 ( 1331930 1821600 ) ( * 1845690 )
NEW met2 ( 1331930 1821600 ) ( 1333770 * )
NEW met2 ( 1333770 1699660 ) ( * 1821600 )
NEW met1 ( 215510 2289050 ) M1M2_PR
NEW met1 ( 452410 1845690 ) M1M2_PR
NEW met1 ( 1331930 1845690 ) M1M2_PR
NEW met1 ( 452410 2289050 ) M1M2_PR ;
- is_ready_print_core0 ( core0 is_print_done ) ( chip_controller is_ready_print_core0 ) + USE SIGNAL
+ ROUTED met2 ( 212290 2274090 ) ( * 2300100 0 )
NEW met2 ( 1380230 1699660 0 ) ( * 1711390 )
NEW met1 ( 1377010 1711390 ) ( 1380230 * )
NEW met2 ( 1377010 1711390 ) ( * 2274090 )
NEW met1 ( 212290 2274090 ) ( 1377010 * )
NEW met1 ( 212290 2274090 ) M1M2_PR
NEW met1 ( 1380230 1711390 ) M1M2_PR
NEW met1 ( 1377010 1711390 ) M1M2_PR
NEW met1 ( 1377010 2274090 ) M1M2_PR ;
- la_data_in[0] ( PIN la_data_in[0] ) ( chip_controller la_data_in[0] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2306900 0 ) ( 607890 * )
NEW met2 ( 607890 2304690 ) ( * 2306900 )
NEW met1 ( 607890 2304690 ) ( 628130 * )
NEW met2 ( 628130 82800 ) ( 629510 * )
NEW met2 ( 629510 2380 0 ) ( * 82800 )
NEW met2 ( 628130 82800 ) ( * 2304690 )
NEW met2 ( 607890 2306900 ) M2M3_PR_M
NEW met1 ( 607890 2304690 ) M1M2_PR
NEW met1 ( 628130 2304690 ) M1M2_PR ;
- la_data_in[100] ( PIN la_data_in[100] ) ( chip_controller la_data_in[100] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2629220 0 ) ( 607430 * )
NEW met2 ( 607430 2629220 ) ( * 2629390 )
NEW met2 ( 672750 51850 ) ( * 2629390 )
NEW met2 ( 2402810 2380 0 ) ( * 51850 )
NEW met1 ( 607430 2629390 ) ( 672750 * )
NEW met1 ( 672750 51850 ) ( 2402810 * )
NEW met2 ( 607430 2629220 ) M2M3_PR_M
NEW met1 ( 607430 2629390 ) M1M2_PR
NEW met1 ( 672750 2629390 ) M1M2_PR
NEW met1 ( 672750 51850 ) M1M2_PR
NEW met1 ( 2402810 51850 ) M1M2_PR ;
- la_data_in[101] ( PIN la_data_in[101] ) ( chip_controller la_data_in[101] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2632620 0 ) ( 607890 * )
NEW met2 ( 607890 2629730 ) ( * 2632620 )
NEW met2 ( 2420290 2380 0 ) ( * 60010 )
NEW met1 ( 607890 2629730 ) ( 652050 * )
NEW met2 ( 652050 60010 ) ( * 2629730 )
NEW met1 ( 652050 60010 ) ( 2420290 * )
NEW met2 ( 607890 2632620 ) M2M3_PR_M
NEW met1 ( 607890 2629730 ) M1M2_PR
NEW met1 ( 2420290 60010 ) M1M2_PR
NEW met1 ( 652050 2629730 ) M1M2_PR
NEW met1 ( 652050 60010 ) M1M2_PR ;
- la_data_in[102] ( PIN la_data_in[102] ) ( chip_controller la_data_in[102] ) + USE SIGNAL
+ ROUTED met2 ( 2438230 2380 0 ) ( * 3060 )
NEW met2 ( 2437310 3060 ) ( 2438230 * )
NEW met2 ( 2437310 2380 ) ( * 3060 )
NEW met2 ( 2435930 2380 ) ( 2437310 * )
NEW met2 ( 2435930 2380 ) ( * 65790 )
NEW met1 ( 562350 65790 ) ( 2435930 * )
NEW met3 ( 555450 2284460 ) ( 562350 * )
NEW met2 ( 555450 2284460 ) ( * 2300100 0 )
NEW met2 ( 562350 65790 ) ( * 2284460 )
NEW met1 ( 2435930 65790 ) M1M2_PR
NEW met1 ( 562350 65790 ) M1M2_PR
NEW met2 ( 562350 2284460 ) M2M3_PR_M
NEW met2 ( 555450 2284460 ) M2M3_PR_M ;
- la_data_in[103] ( PIN la_data_in[103] ) ( chip_controller la_data_in[103] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2633980 0 ) ( 607430 * )
NEW met2 ( 607430 2630070 ) ( * 2633980 )
NEW met1 ( 607430 2630070 ) ( 645150 * )
NEW met2 ( 2455710 2380 0 ) ( * 3060 )
NEW met2 ( 2454790 3060 ) ( 2455710 * )
NEW met2 ( 2454790 2380 ) ( * 3060 )
NEW met2 ( 2453410 2380 ) ( 2454790 * )
NEW li1 ( 2450650 203150 ) ( * 204510 )
NEW met1 ( 645150 204510 ) ( 2450650 * )
NEW met2 ( 645150 204510 ) ( * 2630070 )
NEW met2 ( 2450650 82800 ) ( 2453410 * )
NEW met2 ( 2453410 2380 ) ( * 82800 )
NEW met2 ( 2450650 82800 ) ( * 203150 )
NEW met2 ( 607430 2633980 ) M2M3_PR_M
NEW met1 ( 607430 2630070 ) M1M2_PR
NEW met1 ( 645150 204510 ) M1M2_PR
NEW met1 ( 645150 2630070 ) M1M2_PR
NEW li1 ( 2450650 204510 ) L1M1_PR_MR
NEW li1 ( 2450650 203150 ) L1M1_PR_MR
NEW met1 ( 2450650 203150 ) M1M2_PR
NEW met1 ( 2450650 203150 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[104] ( PIN la_data_in[104] ) ( chip_controller la_data_in[104] ) + USE SIGNAL
+ ROUTED li1 ( 2342550 203150 ) ( * 204850 )
NEW met2 ( 2342550 17510 ) ( * 203150 )
NEW met1 ( 565110 204850 ) ( 2342550 * )
NEW met2 ( 2473650 2380 0 ) ( * 17510 )
NEW met1 ( 2342550 17510 ) ( 2473650 * )
NEW met1 ( 560510 2288370 ) ( 565110 * )
NEW met2 ( 560510 2288370 ) ( * 2300100 0 )
NEW met2 ( 565110 204850 ) ( * 2288370 )
NEW met1 ( 2342550 17510 ) M1M2_PR
NEW li1 ( 2342550 204850 ) L1M1_PR_MR
NEW li1 ( 2342550 203150 ) L1M1_PR_MR
NEW met1 ( 2342550 203150 ) M1M2_PR
NEW met1 ( 565110 204850 ) M1M2_PR
NEW met1 ( 2473650 17510 ) M1M2_PR
NEW met1 ( 565110 2288370 ) M1M2_PR
NEW met1 ( 560510 2288370 ) M1M2_PR
NEW met1 ( 2342550 203150 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[105] ( PIN la_data_in[105] ) ( chip_controller la_data_in[105] ) + USE SIGNAL
+ ROUTED li1 ( 2377050 203150 ) ( * 204170 )
NEW met1 ( 565570 204170 ) ( 2377050 * )
NEW met2 ( 2491130 2380 0 ) ( * 17850 )
NEW met1 ( 2377050 17850 ) ( 2491130 * )
NEW met2 ( 2377050 17850 ) ( * 203150 )
NEW met1 ( 562350 2285310 ) ( 565570 * )
NEW met2 ( 562350 2285310 ) ( * 2300100 0 )
NEW met2 ( 565570 204170 ) ( * 2285310 )
NEW met1 ( 565570 204170 ) M1M2_PR
NEW met1 ( 2377050 17850 ) M1M2_PR
NEW li1 ( 2377050 204170 ) L1M1_PR_MR
NEW li1 ( 2377050 203150 ) L1M1_PR_MR
NEW met1 ( 2377050 203150 ) M1M2_PR
NEW met1 ( 2491130 17850 ) M1M2_PR
NEW met1 ( 565570 2285310 ) M1M2_PR
NEW met1 ( 562350 2285310 ) M1M2_PR
NEW met1 ( 2377050 203150 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[106] ( PIN la_data_in[106] ) ( chip_controller la_data_in[106] ) + USE SIGNAL
+ ROUTED met2 ( 2509070 2380 0 ) ( * 29750 )
NEW met2 ( 546710 2699940 ) ( 547630 * 0 )
NEW met2 ( 546710 2699940 ) ( * 2708100 )
NEW met3 ( 546710 2708100 ) ( 1302950 * )
NEW met1 ( 1302950 29750 ) ( 2509070 * )
NEW met2 ( 1302950 29750 ) ( * 2708100 )
NEW met1 ( 2509070 29750 ) M1M2_PR
NEW met2 ( 546710 2708100 ) M2M3_PR_M
NEW met1 ( 1302950 29750 ) M1M2_PR
NEW met2 ( 1302950 2708100 ) M2M3_PR_M ;
- la_data_in[107] ( PIN la_data_in[107] ) ( chip_controller la_data_in[107] ) + USE SIGNAL
+ ROUTED met2 ( 2527010 2380 0 ) ( * 30430 )
NEW met2 ( 552690 2699260 0 ) ( 553610 * )
NEW met2 ( 553610 2699260 ) ( * 2703340 )
NEW met3 ( 553610 2703340 ) ( 1303410 * )
NEW met1 ( 1303410 30430 ) ( 2527010 * )
NEW met2 ( 1303410 30430 ) ( * 2703340 )
NEW met1 ( 2527010 30430 ) M1M2_PR
NEW met2 ( 553610 2703340 ) M2M3_PR_M
NEW met1 ( 1303410 30430 ) M1M2_PR
NEW met2 ( 1303410 2703340 ) M2M3_PR_M ;
- la_data_in[108] ( PIN la_data_in[108] ) ( chip_controller la_data_in[108] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2647580 0 ) ( 607890 * )
NEW met2 ( 607890 2643330 ) ( * 2647580 )
NEW met2 ( 1246370 202470 ) ( * 2643330 )
NEW met2 ( 2539890 82800 ) ( 2544490 * )
NEW met2 ( 2544490 2380 0 ) ( * 82800 )
NEW met2 ( 2539890 82800 ) ( * 202470 )
NEW met1 ( 1246370 202470 ) ( 2539890 * )
NEW met1 ( 607890 2643330 ) ( 1246370 * )
NEW met1 ( 1246370 202470 ) M1M2_PR
NEW met1 ( 2539890 202470 ) M1M2_PR
NEW met2 ( 607890 2647580 ) M2M3_PR_M
NEW met1 ( 607890 2643330 ) M1M2_PR
NEW met1 ( 1246370 2643330 ) M1M2_PR ;
- la_data_in[109] ( PIN la_data_in[109] ) ( chip_controller la_data_in[109] ) + USE SIGNAL
+ ROUTED met2 ( 559130 2699260 0 ) ( 560510 * )
NEW met2 ( 560510 2699260 ) ( * 2732410 )
NEW met1 ( 560510 2732410 ) ( 755550 * )
NEW met2 ( 2562430 2380 0 ) ( * 16660 )
NEW met3 ( 755550 16660 ) ( 2562430 * )
NEW met2 ( 755550 16660 ) ( * 2732410 )
NEW met1 ( 560510 2732410 ) M1M2_PR
NEW met2 ( 755550 16660 ) M2M3_PR_M
NEW met1 ( 755550 2732410 ) M1M2_PR
NEW met2 ( 2562430 16660 ) M2M3_PR_M ;
- la_data_in[10] ( PIN la_data_in[10] ) ( chip_controller la_data_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 806610 2380 0 ) ( * 17340 )
NEW met2 ( 803390 17340 ) ( 806610 * )
NEW met2 ( 309810 2278170 ) ( * 2286330 )
NEW met1 ( 299230 2286330 ) ( 309810 * )
NEW met2 ( 299230 2286330 ) ( * 2300100 0 )
NEW met2 ( 801090 82800 ) ( 803390 * )
NEW met2 ( 803390 17340 ) ( * 82800 )
NEW met2 ( 801090 82800 ) ( * 2278170 )
NEW met1 ( 309810 2278170 ) ( 801090 * )
NEW met1 ( 309810 2278170 ) M1M2_PR
NEW met1 ( 309810 2286330 ) M1M2_PR
NEW met1 ( 299230 2286330 ) M1M2_PR
NEW met1 ( 801090 2278170 ) M1M2_PR ;
- la_data_in[110] ( PIN la_data_in[110] ) ( chip_controller la_data_in[110] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2655740 0 ) ( 608350 * )
NEW met2 ( 608350 2650470 ) ( * 2655740 )
NEW met2 ( 2579910 2380 0 ) ( * 17850 )
NEW met1 ( 2573930 17850 ) ( 2579910 * )
NEW met1 ( 742210 182750 ) ( 2573930 * )
NEW met1 ( 608350 2650470 ) ( 742210 * )
NEW met2 ( 742210 182750 ) ( * 2650470 )
NEW met2 ( 2573930 17850 ) ( * 182750 )
NEW met2 ( 608350 2655740 ) M2M3_PR_M
NEW met1 ( 608350 2650470 ) M1M2_PR
NEW met1 ( 742210 182750 ) M1M2_PR
NEW met1 ( 2579910 17850 ) M1M2_PR
NEW met1 ( 2573930 17850 ) M1M2_PR
NEW met1 ( 2573930 182750 ) M1M2_PR
NEW met1 ( 742210 2650470 ) M1M2_PR ;
- la_data_in[111] ( PIN la_data_in[111] ) ( chip_controller la_data_in[111] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2657100 0 ) ( 607430 * )
NEW met2 ( 607430 2656930 ) ( * 2657100 )
NEW met2 ( 2594630 82800 ) ( 2597850 * )
NEW met2 ( 2597850 2380 0 ) ( * 82800 )
NEW met2 ( 2594630 82800 ) ( * 184110 )
NEW met1 ( 1114810 184110 ) ( 2594630 * )
NEW met1 ( 607430 2656930 ) ( 1114810 * )
NEW met2 ( 1114810 184110 ) ( * 2656930 )
NEW met1 ( 2594630 184110 ) M1M2_PR
NEW met2 ( 607430 2657100 ) M2M3_PR_M
NEW met1 ( 607430 2656930 ) M1M2_PR
NEW met1 ( 1114810 184110 ) M1M2_PR
NEW met1 ( 1114810 2656930 ) M1M2_PR ;
- la_data_in[112] ( PIN la_data_in[112] ) ( chip_controller la_data_in[112] ) + USE SIGNAL
+ ROUTED met2 ( 566030 2699260 0 ) ( 566490 * )
NEW met2 ( 566490 2699260 ) ( * 2719660 )
NEW met2 ( 2615330 2380 0 ) ( * 18020 )
NEW met3 ( 566490 2719660 ) ( 941850 * )
NEW met3 ( 941850 18020 ) ( 2615330 * )
NEW met2 ( 941850 18020 ) ( * 2719660 )
NEW met2 ( 566490 2719660 ) M2M3_PR_M
NEW met2 ( 2615330 18020 ) M2M3_PR_M
NEW met2 ( 941850 18020 ) M2M3_PR_M
NEW met2 ( 941850 2719660 ) M2M3_PR_M ;
- la_data_in[113] ( PIN la_data_in[113] ) ( chip_controller la_data_in[113] ) + USE SIGNAL
+ ROUTED met2 ( 571090 2699260 0 ) ( 572010 * )
NEW met2 ( 572010 2699260 ) ( * 2734620 )
NEW met2 ( 2633270 2380 0 ) ( * 17340 )
NEW met2 ( 879750 17340 ) ( * 2734620 )
NEW met3 ( 572010 2734620 ) ( 879750 * )
NEW met3 ( 879750 17340 ) ( 2633270 * )
NEW met2 ( 572010 2734620 ) M2M3_PR_M
NEW met2 ( 879750 17340 ) M2M3_PR_M
NEW met2 ( 879750 2734620 ) M2M3_PR_M
NEW met2 ( 2633270 17340 ) M2M3_PR_M ;
- la_data_in[114] ( PIN la_data_in[114] ) ( chip_controller la_data_in[114] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2667300 0 ) ( 607890 * )
NEW met2 ( 607890 2664410 ) ( * 2667300 )
NEW met1 ( 607890 2664410 ) ( 1121710 * )
NEW met2 ( 1121710 177650 ) ( * 2664410 )
NEW met2 ( 2649830 82800 ) ( 2650750 * )
NEW met2 ( 2650750 2380 0 ) ( * 82800 )
NEW met1 ( 1121710 177650 ) ( 2649830 * )
NEW met2 ( 2649830 82800 ) ( * 177650 )
NEW met2 ( 607890 2667300 ) M2M3_PR_M
NEW met1 ( 607890 2664410 ) M1M2_PR
NEW met1 ( 1121710 177650 ) M1M2_PR
NEW met1 ( 1121710 2664410 ) M1M2_PR
NEW met1 ( 2649830 177650 ) M1M2_PR ;
- la_data_in[115] ( PIN la_data_in[115] ) ( chip_controller la_data_in[115] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2670700 0 ) ( 607430 * )
NEW met2 ( 607430 2670700 ) ( * 2671210 )
NEW met2 ( 1155750 183770 ) ( * 2671210 )
NEW met1 ( 1155750 183770 ) ( 2663630 * )
NEW met1 ( 607430 2671210 ) ( 1155750 * )
NEW met2 ( 2663630 82800 ) ( 2668690 * )
NEW met2 ( 2668690 2380 0 ) ( * 82800 )
NEW met2 ( 2663630 82800 ) ( * 183770 )
NEW met1 ( 1155750 183770 ) M1M2_PR
NEW met2 ( 607430 2670700 ) M2M3_PR_M
NEW met1 ( 607430 2671210 ) M1M2_PR
NEW met1 ( 1155750 2671210 ) M1M2_PR
NEW met1 ( 2663630 183770 ) M1M2_PR ;
- la_data_in[116] ( PIN la_data_in[116] ) ( chip_controller la_data_in[116] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2675460 0 ) ( 608350 * )
NEW met2 ( 608350 2670870 ) ( * 2675460 )
NEW met2 ( 1169550 177990 ) ( * 2670870 )
NEW met1 ( 608350 2670870 ) ( 1169550 * )
NEW met2 ( 2684330 82800 ) ( 2686170 * )
NEW met2 ( 2686170 2380 0 ) ( * 82800 )
NEW met1 ( 1169550 177990 ) ( 2684330 * )
NEW met2 ( 2684330 82800 ) ( * 177990 )
NEW met2 ( 608350 2675460 ) M2M3_PR_M
NEW met1 ( 608350 2670870 ) M1M2_PR
NEW met1 ( 1169550 177990 ) M1M2_PR
NEW met1 ( 1169550 2670870 ) M1M2_PR
NEW met1 ( 2684330 177990 ) M1M2_PR ;
- la_data_in[117] ( PIN la_data_in[117] ) ( chip_controller la_data_in[117] ) + USE SIGNAL
+ ROUTED met2 ( 2704110 2380 0 ) ( * 3060 )
NEW met2 ( 2703190 3060 ) ( 2704110 * )
NEW met2 ( 2703190 2380 ) ( * 3060 )
NEW met2 ( 2701810 2380 ) ( 2703190 * )
NEW met2 ( 116150 107270 ) ( * 2670870 )
NEW met2 ( 189290 2670870 ) ( * 2674100 )
NEW met3 ( 189290 2674100 ) ( 201020 * )
NEW met3 ( 201020 2674100 ) ( * 2674780 0 )
NEW met2 ( 2698590 82800 ) ( * 107270 )
NEW met2 ( 2698590 82800 ) ( 2701810 * )
NEW met2 ( 2701810 2380 ) ( * 82800 )
NEW met1 ( 116150 107270 ) ( 2698590 * )
NEW met1 ( 116150 2670870 ) ( 189290 * )
NEW met1 ( 116150 107270 ) M1M2_PR
NEW met1 ( 2698590 107270 ) M1M2_PR
NEW met1 ( 116150 2670870 ) M1M2_PR
NEW met1 ( 189290 2670870 ) M1M2_PR
NEW met2 ( 189290 2674100 ) M2M3_PR_M ;
- la_data_in[118] ( PIN la_data_in[118] ) ( chip_controller la_data_in[118] ) + USE SIGNAL
+ ROUTED met2 ( 116610 106930 ) ( * 2677670 )
NEW met2 ( 189290 2677670 ) ( * 2679540 )
NEW met3 ( 189290 2679540 ) ( 201020 * )
NEW met3 ( 201020 2679540 ) ( * 2680220 0 )
NEW met2 ( 2718830 82800 ) ( * 106930 )
NEW met2 ( 2718830 82800 ) ( 2722050 * )
NEW met2 ( 2722050 2380 0 ) ( * 82800 )
NEW met1 ( 116610 106930 ) ( 2718830 * )
NEW met1 ( 116610 2677670 ) ( 189290 * )
NEW met1 ( 116610 106930 ) M1M2_PR
NEW met1 ( 2718830 106930 ) M1M2_PR
NEW met1 ( 116610 2677670 ) M1M2_PR
NEW met1 ( 189290 2677670 ) M1M2_PR
NEW met2 ( 189290 2679540 ) M2M3_PR_M ;
- la_data_in[119] ( PIN la_data_in[119] ) ( chip_controller la_data_in[119] ) + USE SIGNAL
+ ROUTED met2 ( 110170 99790 ) ( * 2677330 )
NEW met2 ( 189750 2677330 ) ( * 2683620 )
NEW met3 ( 189750 2683620 ) ( 199180 * )
NEW met3 ( 199180 2683620 ) ( * 2684300 )
NEW met3 ( 199180 2684300 ) ( 200100 * )
NEW met3 ( 200100 2683620 0 ) ( * 2684300 )
NEW met1 ( 110170 99790 ) ( 2739530 * )
NEW met1 ( 110170 2677330 ) ( 189750 * )
NEW met2 ( 2739530 2380 0 ) ( * 99790 )
NEW met1 ( 110170 99790 ) M1M2_PR
NEW met1 ( 110170 2677330 ) M1M2_PR
NEW met1 ( 189750 2677330 ) M1M2_PR
NEW met2 ( 189750 2683620 ) M2M3_PR_M
NEW met1 ( 2739530 99790 ) M1M2_PR ;
- la_data_in[11] ( PIN la_data_in[11] ) ( chip_controller la_data_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 284050 2718470 ) ( * 2744310 )
NEW met2 ( 263810 2699260 0 ) ( 264730 * )
NEW met2 ( 264730 2699260 ) ( * 2718470 )
NEW met1 ( 264730 2718470 ) ( 284050 * )
NEW met2 ( 824550 2380 0 ) ( * 3060 )
NEW met2 ( 823630 3060 ) ( 824550 * )
NEW met2 ( 823630 2380 ) ( * 3060 )
NEW met2 ( 822250 2380 ) ( 823630 * )
NEW met2 ( 821330 82800 ) ( 822250 * )
NEW met2 ( 822250 2380 ) ( * 82800 )
NEW met1 ( 284050 2744310 ) ( 821330 * )
NEW met2 ( 821330 82800 ) ( * 2744310 )
NEW met1 ( 284050 2718470 ) M1M2_PR
NEW met1 ( 284050 2744310 ) M1M2_PR
NEW met1 ( 264730 2718470 ) M1M2_PR
NEW met1 ( 821330 2744310 ) M1M2_PR ;
- la_data_in[120] ( PIN la_data_in[120] ) ( chip_controller la_data_in[120] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2684130 ) ( * 2684980 )
NEW met3 ( 187910 2684980 ) ( 201020 * )
NEW met3 ( 201020 2684980 ) ( * 2685660 0 )
NEW met2 ( 2757470 2380 0 ) ( * 3060 )
NEW met2 ( 2756550 3060 ) ( 2757470 * )
NEW met2 ( 2756550 2380 ) ( * 3060 )
NEW met2 ( 2755170 2380 ) ( 2756550 * )
NEW met2 ( 174110 175950 ) ( * 2684130 )
NEW met1 ( 174110 2684130 ) ( 187910 * )
NEW met2 ( 2753330 82800 ) ( 2755170 * )
NEW met2 ( 2755170 2380 ) ( * 82800 )
NEW met1 ( 174110 175950 ) ( 2753330 * )
NEW met2 ( 2753330 82800 ) ( * 175950 )
NEW met1 ( 187910 2684130 ) M1M2_PR
NEW met2 ( 187910 2684980 ) M2M3_PR_M
NEW met1 ( 174110 175950 ) M1M2_PR
NEW met1 ( 174110 2684130 ) M1M2_PR
NEW met1 ( 2753330 175950 ) M1M2_PR ;
- la_data_in[121] ( PIN la_data_in[121] ) ( chip_controller la_data_in[121] ) + USE SIGNAL
+ ROUTED met2 ( 583970 2699260 0 ) ( 585350 * )
NEW met2 ( 585350 2699260 ) ( * 2704020 )
NEW met3 ( 585350 2704020 ) ( 594090 * )
NEW met2 ( 594090 2702660 ) ( * 2704020 )
NEW met3 ( 594090 2702660 ) ( 1302490 * )
NEW met2 ( 2774950 2380 0 ) ( * 33490 )
NEW met1 ( 1302490 33490 ) ( 2774950 * )
NEW met2 ( 1302490 33490 ) ( * 2702660 )
NEW met2 ( 585350 2704020 ) M2M3_PR_M
NEW met2 ( 594090 2704020 ) M2M3_PR_M
NEW met2 ( 594090 2702660 ) M2M3_PR_M
NEW met1 ( 1302490 33490 ) M1M2_PR
NEW met2 ( 1302490 2702660 ) M2M3_PR_M
NEW met1 ( 2774950 33490 ) M1M2_PR ;
- la_data_in[122] ( PIN la_data_in[122] ) ( chip_controller la_data_in[122] ) + USE SIGNAL
+ ROUTED met2 ( 2792890 2380 0 ) ( * 24310 )
NEW met1 ( 586270 24310 ) ( 2792890 * )
NEW met1 ( 583970 2288370 ) ( 586270 * )
NEW met2 ( 583970 2288370 ) ( * 2300100 0 )
NEW met2 ( 586270 24310 ) ( * 2288370 )
NEW met1 ( 586270 24310 ) M1M2_PR
NEW met1 ( 2792890 24310 ) M1M2_PR
NEW met1 ( 586270 2288370 ) M1M2_PR
NEW met1 ( 583970 2288370 ) M1M2_PR ;
- la_data_in[123] ( PIN la_data_in[123] ) ( chip_controller la_data_in[123] ) + USE SIGNAL
+ ROUTED met2 ( 2808530 82800 ) ( 2810370 * )
NEW met2 ( 2810370 2380 0 ) ( * 82800 )
NEW met2 ( 2808530 82800 ) ( * 203490 )
NEW met1 ( 584430 203490 ) ( 2808530 * )
NEW met1 ( 584430 2285310 ) ( 589030 * )
NEW met2 ( 589030 2285310 ) ( * 2300100 0 )
NEW met2 ( 584430 203490 ) ( * 2285310 )
NEW met1 ( 584430 203490 ) M1M2_PR
NEW met1 ( 2808530 203490 ) M1M2_PR
NEW met1 ( 584430 2285310 ) M1M2_PR
NEW met1 ( 589030 2285310 ) M1M2_PR ;
- la_data_in[124] ( PIN la_data_in[124] ) ( chip_controller la_data_in[124] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2693820 0 ) ( 607430 * )
NEW met2 ( 607430 2692970 ) ( * 2693820 )
NEW met2 ( 2828310 2380 0 ) ( * 3060 )
NEW met2 ( 2827390 3060 ) ( 2828310 * )
NEW met2 ( 2827390 2380 ) ( * 3060 )
NEW met2 ( 2826010 2380 ) ( 2827390 * )
NEW met2 ( 2826010 2380 ) ( * 79730 )
NEW met1 ( 607430 2692970 ) ( 1204050 * )
NEW met2 ( 1204050 79730 ) ( * 2692970 )
NEW met1 ( 1204050 79730 ) ( 2826010 * )
NEW met2 ( 607430 2693820 ) M2M3_PR_M
NEW met1 ( 607430 2692970 ) M1M2_PR
NEW met1 ( 2826010 79730 ) M1M2_PR
NEW met1 ( 1204050 2692970 ) M1M2_PR
NEW met1 ( 1204050 79730 ) M1M2_PR ;
- la_data_in[125] ( PIN la_data_in[125] ) ( chip_controller la_data_in[125] ) + USE SIGNAL
+ ROUTED met3 ( 592710 2702660 ) ( 592940 * )
NEW met2 ( 591790 2702660 ) ( 592710 * )
NEW met2 ( 591790 2699260 ) ( * 2702660 )
NEW met2 ( 590870 2699260 0 ) ( 591790 * )
NEW met2 ( 2845790 2380 0 ) ( * 30940 )
NEW met3 ( 592940 30940 ) ( 2845790 * )
NEW met4 ( 592940 30940 ) ( * 2702660 )
NEW met3 ( 592940 30940 ) M3M4_PR_M
NEW met3 ( 592940 2702660 ) M3M4_PR_M
NEW met2 ( 592710 2702660 ) M2M3_PR_M
NEW met2 ( 2845790 30940 ) M2M3_PR_M
NEW met3 ( 592940 2702660 ) RECT ( 0 -150 390 150 ) ;
- la_data_in[126] ( PIN la_data_in[126] ) ( chip_controller la_data_in[126] ) + USE SIGNAL
+ ROUTED met1 ( 595930 2283950 ) ( 600070 * )
NEW met2 ( 595930 2283950 ) ( * 2300100 0 )
NEW met2 ( 600070 23970 ) ( * 2283950 )
NEW met2 ( 2863730 2380 0 ) ( * 23970 )
NEW met1 ( 600070 23970 ) ( 2863730 * )
NEW met1 ( 600070 23970 ) M1M2_PR
NEW met1 ( 600070 2283950 ) M1M2_PR
NEW met1 ( 595930 2283950 ) M1M2_PR
NEW met1 ( 2863730 23970 ) M1M2_PR ;
- la_data_in[127] ( PIN la_data_in[127] ) ( chip_controller la_data_in[127] ) + USE SIGNAL
+ ROUTED met3 ( 203780 2698580 0 ) ( * 2701980 )
NEW met2 ( 679650 1755930 ) ( * 2701980 )
NEW met2 ( 2881670 2380 0 ) ( * 3060 )
NEW met2 ( 2880750 3060 ) ( 2881670 * )
NEW met2 ( 2880750 2380 ) ( * 3060 )
NEW met2 ( 2879370 2380 ) ( 2880750 * )
NEW met1 ( 679650 1755930 ) ( 2877530 * )
NEW met2 ( 2877530 82800 ) ( 2879370 * )
NEW met2 ( 2879370 2380 ) ( * 82800 )
NEW met2 ( 2877530 82800 ) ( * 1755930 )
NEW met3 ( 203780 2701980 ) ( 679650 * )
NEW met1 ( 679650 1755930 ) M1M2_PR
NEW met2 ( 679650 2701980 ) M2M3_PR_M
NEW met1 ( 2877530 1755930 ) M1M2_PR ;
- la_data_in[12] ( PIN la_data_in[12] ) ( chip_controller la_data_in[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2386460 0 ) ( 608350 * )
NEW met2 ( 608350 2381190 ) ( * 2386460 )
NEW met2 ( 842030 2380 0 ) ( * 34500 )
NEW met2 ( 842030 34500 ) ( 842490 * )
NEW met1 ( 608350 2381190 ) ( 842490 * )
NEW met2 ( 842490 34500 ) ( * 2381190 )
NEW met2 ( 608350 2386460 ) M2M3_PR_M
NEW met1 ( 608350 2381190 ) M1M2_PR
NEW met1 ( 842490 2381190 ) M1M2_PR ;
- la_data_in[13] ( PIN la_data_in[13] ) ( chip_controller la_data_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 276690 2699940 ) ( 277150 * 0 )
NEW met2 ( 859970 2380 0 ) ( * 20570 )
NEW met2 ( 276690 2699940 ) ( * 2743970 )
NEW met1 ( 852150 20570 ) ( 859970 * )
NEW met1 ( 276690 2743970 ) ( 852150 * )
NEW met2 ( 852150 20570 ) ( * 2743970 )
NEW met1 ( 859970 20570 ) M1M2_PR
NEW met1 ( 276690 2743970 ) M1M2_PR
NEW met1 ( 852150 20570 ) M1M2_PR
NEW met1 ( 852150 2743970 ) M1M2_PR ;
- la_data_in[14] ( PIN la_data_in[14] ) ( chip_controller la_data_in[14] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2393260 0 ) ( 608350 * )
NEW met2 ( 608350 2387990 ) ( * 2393260 )
NEW met2 ( 876530 82800 ) ( 877450 * )
NEW met2 ( 877450 2380 0 ) ( * 82800 )
NEW met2 ( 876530 82800 ) ( * 2387990 )
NEW met1 ( 608350 2387990 ) ( 876530 * )
NEW met2 ( 608350 2393260 ) M2M3_PR_M
NEW met1 ( 608350 2387990 ) M1M2_PR
NEW met1 ( 876530 2387990 ) M1M2_PR ;
- la_data_in[15] ( PIN la_data_in[15] ) ( chip_controller la_data_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 295090 2699260 0 ) ( 296470 * )
NEW met2 ( 296470 2699260 ) ( * 2708270 )
NEW met2 ( 895390 2380 0 ) ( * 3060 )
NEW met2 ( 894470 3060 ) ( 895390 * )
NEW met2 ( 894470 2380 ) ( * 3060 )
NEW met2 ( 893090 2380 ) ( 894470 * )
NEW met2 ( 890330 82800 ) ( 893090 * )
NEW met2 ( 893090 2380 ) ( * 82800 )
NEW met2 ( 890330 82800 ) ( * 2708270 )
NEW met1 ( 296470 2708270 ) ( 890330 * )
NEW met1 ( 296470 2708270 ) M1M2_PR
NEW met1 ( 890330 2708270 ) M1M2_PR ;
- la_data_in[16] ( PIN la_data_in[16] ) ( chip_controller la_data_in[16] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2406180 0 ) ( 607430 * )
NEW met2 ( 607430 2401590 ) ( * 2406180 )
NEW met2 ( 912870 2380 0 ) ( * 3060 )
NEW met2 ( 911950 3060 ) ( 912870 * )
NEW met2 ( 911950 2380 ) ( * 3060 )
NEW met2 ( 911030 2380 ) ( 911950 * )
NEW met1 ( 607430 2401590 ) ( 911030 * )
NEW met2 ( 911030 2380 ) ( * 2401590 )
NEW met2 ( 607430 2406180 ) M2M3_PR_M
NEW met1 ( 607430 2401590 ) M1M2_PR
NEW met1 ( 911030 2401590 ) M1M2_PR ;
- la_data_in[17] ( PIN la_data_in[17] ) ( chip_controller la_data_in[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2414340 0 ) ( 608350 * )
NEW met2 ( 608350 2408730 ) ( * 2414340 )
NEW met1 ( 608350 2408730 ) ( 924830 * )
NEW met1 ( 924830 58310 ) ( 930810 * )
NEW met2 ( 930810 2380 0 ) ( * 58310 )
NEW met2 ( 924830 58310 ) ( * 2408730 )
NEW met2 ( 608350 2414340 ) M2M3_PR_M
NEW met1 ( 608350 2408730 ) M1M2_PR
NEW met1 ( 924830 2408730 ) M1M2_PR
NEW met1 ( 924830 58310 ) M1M2_PR
NEW met1 ( 930810 58310 ) M1M2_PR ;
- la_data_in[18] ( PIN la_data_in[18] ) ( chip_controller la_data_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 192050 2415530 ) ( * 2415700 )
NEW met3 ( 192050 2415700 ) ( 200100 * )
NEW met3 ( 200100 2415020 0 ) ( * 2415700 )
NEW met2 ( 109250 17170 ) ( * 2415530 )
NEW met1 ( 109250 2415530 ) ( 192050 * )
NEW met2 ( 948750 2380 0 ) ( * 17170 )
NEW met1 ( 109250 17170 ) ( 948750 * )
NEW met1 ( 109250 17170 ) M1M2_PR
NEW met1 ( 109250 2415530 ) M1M2_PR
NEW met1 ( 192050 2415530 ) M1M2_PR
NEW met2 ( 192050 2415700 ) M2M3_PR_M
NEW met1 ( 948750 17170 ) M1M2_PR ;
- la_data_in[19] ( PIN la_data_in[19] ) ( chip_controller la_data_in[19] ) + USE SIGNAL
+ ROUTED met3 ( 186070 2424540 ) ( 200100 * )
NEW met3 ( 200100 2423860 0 ) ( * 2424540 )
NEW met2 ( 966230 2380 0 ) ( * 17340 )
NEW met2 ( 966230 17340 ) ( 966690 * )
NEW met2 ( 186070 2296190 ) ( * 2424540 )
NEW met2 ( 966690 17340 ) ( * 2296190 )
NEW met1 ( 186070 2296190 ) ( 966690 * )
NEW met2 ( 186070 2424540 ) M2M3_PR_M
NEW met1 ( 186070 2296190 ) M1M2_PR
NEW met1 ( 966690 2296190 ) M1M2_PR ;
- la_data_in[1] ( PIN la_data_in[1] ) ( chip_controller la_data_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 207230 2699260 0 ) ( 208610 * )
NEW met2 ( 208610 2699260 ) ( * 2712350 )
NEW met2 ( 255530 2710310 ) ( * 2712350 )
NEW met1 ( 208610 2712350 ) ( 255530 * )
NEW met2 ( 646990 2380 0 ) ( * 3060 )
NEW met2 ( 646070 3060 ) ( 646990 * )
NEW met2 ( 646070 2380 ) ( * 3060 )
NEW met2 ( 644690 2380 ) ( 646070 * )
NEW met2 ( 641930 82800 ) ( 644690 * )
NEW met2 ( 644690 2380 ) ( * 82800 )
NEW met2 ( 641930 82800 ) ( * 2710310 )
NEW met1 ( 255530 2710310 ) ( 641930 * )
NEW met1 ( 208610 2712350 ) M1M2_PR
NEW met1 ( 255530 2712350 ) M1M2_PR
NEW met1 ( 255530 2710310 ) M1M2_PR
NEW met1 ( 641930 2710310 ) M1M2_PR ;
- la_data_in[20] ( PIN la_data_in[20] ) ( chip_controller la_data_in[20] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2437460 0 ) ( 607430 * )
NEW met2 ( 607430 2436610 ) ( * 2437460 )
NEW met2 ( 984170 2380 0 ) ( * 17340 )
NEW met2 ( 982790 17340 ) ( 984170 * )
NEW met2 ( 980030 82800 ) ( 982790 * )
NEW met2 ( 982790 17340 ) ( * 82800 )
NEW met2 ( 980030 82800 ) ( * 2436610 )
NEW met1 ( 607430 2436610 ) ( 980030 * )
NEW met2 ( 607430 2437460 ) M2M3_PR_M
NEW met1 ( 607430 2436610 ) M1M2_PR
NEW met1 ( 980030 2436610 ) M1M2_PR ;
- la_data_in[21] ( PIN la_data_in[21] ) ( chip_controller la_data_in[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2445620 0 ) ( 607430 * )
NEW met2 ( 607430 2442730 ) ( * 2445620 )
NEW met1 ( 607430 2442730 ) ( 1000730 * )
NEW met2 ( 1000730 82800 ) ( 1001650 * )
NEW met2 ( 1001650 2380 0 ) ( * 82800 )
NEW met2 ( 1000730 82800 ) ( * 2442730 )
NEW met2 ( 607430 2445620 ) M2M3_PR_M
NEW met1 ( 607430 2442730 ) M1M2_PR
NEW met1 ( 1000730 2442730 ) M1M2_PR ;
- la_data_in[22] ( PIN la_data_in[22] ) ( chip_controller la_data_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2435930 ) ( * 2441540 )
NEW met3 ( 188830 2441540 ) ( 201020 * )
NEW met3 ( 201020 2441540 ) ( * 2442220 0 )
NEW met1 ( 177330 2435930 ) ( 188830 * )
NEW met2 ( 1019590 2380 0 ) ( * 3060 )
NEW met2 ( 1018670 3060 ) ( 1019590 * )
NEW met2 ( 1018670 2380 ) ( * 3060 )
NEW met2 ( 1017290 2380 ) ( 1018670 * )
NEW met2 ( 177330 53890 ) ( * 2435930 )
NEW met1 ( 177330 53890 ) ( 1017290 * )
NEW met2 ( 1017290 2380 ) ( * 53890 )
NEW met1 ( 188830 2435930 ) M1M2_PR
NEW met2 ( 188830 2441540 ) M2M3_PR_M
NEW met1 ( 177330 2435930 ) M1M2_PR
NEW met1 ( 177330 53890 ) M1M2_PR
NEW met1 ( 1017290 53890 ) M1M2_PR ;
- la_data_in[23] ( PIN la_data_in[23] ) ( chip_controller la_data_in[23] ) + USE SIGNAL
+ ROUTED met2 ( 346610 2699260 0 ) ( 347990 * )
NEW met2 ( 347990 2699260 ) ( * 2706910 )
NEW met2 ( 1037070 2380 0 ) ( * 15810 )
NEW met1 ( 1032010 15810 ) ( 1037070 * )
NEW met2 ( 1032010 15810 ) ( * 2706910 )
NEW met1 ( 347990 2706910 ) ( 1032010 * )
NEW met1 ( 347990 2706910 ) M1M2_PR
NEW met1 ( 1037070 15810 ) M1M2_PR
NEW met1 ( 1032010 15810 ) M1M2_PR
NEW met1 ( 1032010 2706910 ) M1M2_PR ;
- la_data_in[24] ( PIN la_data_in[24] ) ( chip_controller la_data_in[24] ) + USE SIGNAL
+ ROUTED met2 ( 1055010 2380 0 ) ( * 48110 )
NEW met2 ( 1049030 48300 ) ( * 2741930 )
NEW met2 ( 352590 2699940 ) ( 353510 * 0 )
NEW met2 ( 352590 2699940 ) ( * 2741930 )
NEW met2 ( 1048570 48110 ) ( * 48300 )
NEW met1 ( 1048570 48110 ) ( 1055010 * )
NEW met2 ( 1048570 48300 ) ( 1049030 * )
NEW met1 ( 352590 2741930 ) ( 1049030 * )
NEW met1 ( 1055010 48110 ) M1M2_PR
NEW met1 ( 1049030 2741930 ) M1M2_PR
NEW met1 ( 352590 2741930 ) M1M2_PR
NEW met1 ( 1048570 48110 ) M1M2_PR ;
- la_data_in[25] ( PIN la_data_in[25] ) ( chip_controller la_data_in[25] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2467380 0 ) ( 607890 * )
NEW met2 ( 607890 2463470 ) ( * 2467380 )
NEW met2 ( 1069730 82800 ) ( 1072490 * )
NEW met2 ( 1072490 2380 0 ) ( * 82800 )
NEW met2 ( 1069730 82800 ) ( * 2463470 )
NEW met1 ( 607890 2463470 ) ( 1069730 * )
NEW met2 ( 607890 2467380 ) M2M3_PR_M
NEW met1 ( 607890 2463470 ) M1M2_PR
NEW met1 ( 1069730 2463470 ) M1M2_PR ;
- la_data_in[26] ( PIN la_data_in[26] ) ( chip_controller la_data_in[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2470780 0 ) ( 607430 * )
NEW met2 ( 607430 2470780 ) ( * 2470950 )
NEW met2 ( 1090430 2380 0 ) ( * 34500 )
NEW met2 ( 1090430 34500 ) ( 1090890 * )
NEW met2 ( 1090890 34500 ) ( * 2470950 )
NEW met1 ( 607430 2470950 ) ( 1090890 * )
NEW met2 ( 607430 2470780 ) M2M3_PR_M
NEW met1 ( 607430 2470950 ) M1M2_PR
NEW met1 ( 1090890 2470950 ) M1M2_PR ;
- la_data_in[27] ( PIN la_data_in[27] ) ( chip_controller la_data_in[27] ) + USE SIGNAL
+ ROUTED met3 ( 183770 2463300 ) ( 201020 * )
NEW met3 ( 201020 2463300 ) ( * 2463980 0 )
NEW met2 ( 183770 2295170 ) ( * 2463300 )
NEW met2 ( 1107910 2380 0 ) ( * 3060 )
NEW met2 ( 1106990 3060 ) ( 1107910 * )
NEW met2 ( 1106990 2380 ) ( * 3060 )
NEW met2 ( 1105610 2380 ) ( 1106990 * )
NEW met2 ( 1104230 82800 ) ( 1105610 * )
NEW met2 ( 1105610 2380 ) ( * 82800 )
NEW met2 ( 1104230 82800 ) ( * 2295170 )
NEW met1 ( 183770 2295170 ) ( 1104230 * )
NEW met1 ( 183770 2295170 ) M1M2_PR
NEW met2 ( 183770 2463300 ) M2M3_PR_M
NEW met1 ( 1104230 2295170 ) M1M2_PR ;
- la_data_in[28] ( PIN la_data_in[28] ) ( chip_controller la_data_in[28] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2470610 ) ( * 2470780 )
NEW met3 ( 188830 2470780 ) ( 201020 * )
NEW met3 ( 201020 2470780 ) ( * 2471460 0 )
NEW met2 ( 170890 53210 ) ( * 2470610 )
NEW met1 ( 170890 2470610 ) ( 188830 * )
NEW met1 ( 170890 53210 ) ( 1125850 * )
NEW met2 ( 1125850 2380 0 ) ( * 53210 )
NEW met1 ( 188830 2470610 ) M1M2_PR
NEW met2 ( 188830 2470780 ) M2M3_PR_M
NEW met1 ( 170890 53210 ) M1M2_PR
NEW met1 ( 170890 2470610 ) M1M2_PR
NEW met1 ( 1125850 53210 ) M1M2_PR ;
- la_data_in[29] ( PIN la_data_in[29] ) ( chip_controller la_data_in[29] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2480300 0 ) ( 607890 * )
NEW met2 ( 607890 2477410 ) ( * 2480300 )
NEW met2 ( 1143790 2380 0 ) ( * 3060 )
NEW met2 ( 1142870 3060 ) ( 1143790 * )
NEW met2 ( 1142870 2380 ) ( * 3060 )
NEW met2 ( 1141490 2380 ) ( 1142870 * )
NEW met2 ( 1138730 82800 ) ( 1141490 * )
NEW met2 ( 1141490 2380 ) ( * 82800 )
NEW met1 ( 607890 2477410 ) ( 1138730 * )
NEW met2 ( 1138730 82800 ) ( * 2477410 )
NEW met2 ( 607890 2480300 ) M2M3_PR_M
NEW met1 ( 607890 2477410 ) M1M2_PR
NEW met1 ( 1138730 2477410 ) M1M2_PR ;
- la_data_in[2] ( PIN la_data_in[2] ) ( chip_controller la_data_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 664930 2380 0 ) ( * 26350 )
NEW met1 ( 241270 26350 ) ( 664930 * )
NEW met2 ( 240810 2300100 0 ) ( 241270 * )
NEW met2 ( 241270 26350 ) ( * 2300100 )
NEW met1 ( 664930 26350 ) M1M2_PR
NEW met1 ( 241270 26350 ) M1M2_PR ;
- la_data_in[30] ( PIN la_data_in[30] ) ( chip_controller la_data_in[30] ) + USE SIGNAL
+ ROUTED met2 ( 389850 2699260 0 ) ( 391230 * )
NEW met2 ( 391230 2699260 ) ( * 2716430 )
NEW met2 ( 1161270 2380 0 ) ( * 3060 )
NEW met2 ( 1160350 3060 ) ( 1161270 * )
NEW met2 ( 1160350 2380 ) ( * 3060 )
NEW met2 ( 1159430 2380 ) ( 1160350 * )
NEW met2 ( 1159430 2380 ) ( * 2741590 )
NEW met1 ( 414230 2741590 ) ( 1159430 * )
NEW met1 ( 391230 2716430 ) ( 414230 * )
NEW met2 ( 414230 2716430 ) ( * 2741590 )
NEW met1 ( 391230 2716430 ) M1M2_PR
NEW met1 ( 414230 2741590 ) M1M2_PR
NEW met1 ( 1159430 2741590 ) M1M2_PR
NEW met1 ( 414230 2716430 ) M1M2_PR ;
- la_data_in[31] ( PIN la_data_in[31] ) ( chip_controller la_data_in[31] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2491860 0 ) ( 607430 * )
NEW met2 ( 607430 2491690 ) ( * 2491860 )
NEW met1 ( 1173230 58310 ) ( 1179210 * )
NEW met2 ( 1179210 2380 0 ) ( * 58310 )
NEW met2 ( 1173230 58310 ) ( * 2491690 )
NEW met1 ( 607430 2491690 ) ( 1173230 * )
NEW met2 ( 607430 2491860 ) M2M3_PR_M
NEW met1 ( 607430 2491690 ) M1M2_PR
NEW met1 ( 1173230 58310 ) M1M2_PR
NEW met1 ( 1179210 58310 ) M1M2_PR
NEW met1 ( 1173230 2491690 ) M1M2_PR ;
- la_data_in[32] ( PIN la_data_in[32] ) ( chip_controller la_data_in[32] ) + USE SIGNAL
+ ROUTED met2 ( 413310 22950 ) ( * 2256300 )
NEW met2 ( 413310 2256300 ) ( 413770 * )
NEW met2 ( 413770 2256300 ) ( * 2284970 )
NEW met1 ( 408250 2284970 ) ( 413770 * )
NEW met2 ( 408250 2284970 ) ( * 2300100 0 )
NEW met2 ( 1196690 2380 0 ) ( * 22950 )
NEW met1 ( 413310 22950 ) ( 1196690 * )
NEW met1 ( 413310 22950 ) M1M2_PR
NEW met1 ( 413770 2284970 ) M1M2_PR
NEW met1 ( 408250 2284970 ) M1M2_PR
NEW met1 ( 1196690 22950 ) M1M2_PR ;
- la_data_in[33] ( PIN la_data_in[33] ) ( chip_controller la_data_in[33] ) + USE SIGNAL
+ ROUTED met1 ( 412390 2284290 ) ( 413310 * )
NEW met2 ( 413310 2284290 ) ( * 2300100 0 )
NEW met2 ( 412390 23290 ) ( * 2284290 )
NEW met2 ( 1214630 2380 0 ) ( * 23290 )
NEW met1 ( 412390 23290 ) ( 1214630 * )
NEW met1 ( 412390 23290 ) M1M2_PR
NEW met1 ( 412390 2284290 ) M1M2_PR
NEW met1 ( 413310 2284290 ) M1M2_PR
NEW met1 ( 1214630 23290 ) M1M2_PR ;
- la_data_in[34] ( PIN la_data_in[34] ) ( chip_controller la_data_in[34] ) + USE SIGNAL
+ ROUTED met1 ( 182390 2491010 ) ( 188370 * )
NEW met2 ( 188370 2491010 ) ( * 2492540 )
NEW met3 ( 188370 2492540 ) ( 201020 * )
NEW met3 ( 201020 2492540 ) ( * 2493220 0 )
NEW met2 ( 182390 2294150 ) ( * 2491010 )
NEW met2 ( 1232110 2380 0 ) ( * 3060 )
NEW met2 ( 1231190 3060 ) ( 1232110 * )
NEW met2 ( 1231190 2380 ) ( * 3060 )
NEW met2 ( 1229810 2380 ) ( 1231190 * )
NEW met2 ( 1228430 82800 ) ( 1229810 * )
NEW met2 ( 1229810 2380 ) ( * 82800 )
NEW met2 ( 1228430 82800 ) ( * 2294150 )
NEW met1 ( 182390 2294150 ) ( 1228430 * )
NEW met1 ( 182390 2294150 ) M1M2_PR
NEW met1 ( 182390 2491010 ) M1M2_PR
NEW met1 ( 188370 2491010 ) M1M2_PR
NEW met2 ( 188370 2492540 ) M2M3_PR_M
NEW met1 ( 1228430 2294150 ) M1M2_PR ;
- la_data_in[35] ( PIN la_data_in[35] ) ( chip_controller la_data_in[35] ) + USE SIGNAL
+ ROUTED li1 ( 475870 2712350 ) ( * 2714050 )
NEW met1 ( 475870 2712350 ) ( 497030 * )
NEW met2 ( 497030 2704530 ) ( * 2712350 )
NEW met2 ( 1249130 82800 ) ( 1250050 * )
NEW met2 ( 1250050 2380 0 ) ( * 82800 )
NEW met2 ( 1249130 82800 ) ( * 2701470 )
NEW li1 ( 541650 2701470 ) ( * 2704530 )
NEW met1 ( 497030 2704530 ) ( 541650 * )
NEW met1 ( 541650 2701470 ) ( 1249130 * )
NEW met2 ( 401350 2699260 0 ) ( 402730 * )
NEW met2 ( 402730 2699260 ) ( * 2717110 )
NEW met1 ( 402730 2717110 ) ( 433090 * )
NEW li1 ( 433090 2714050 ) ( * 2717110 )
NEW met1 ( 433090 2714050 ) ( 475870 * )
NEW li1 ( 475870 2714050 ) L1M1_PR_MR
NEW li1 ( 475870 2712350 ) L1M1_PR_MR
NEW met1 ( 497030 2712350 ) M1M2_PR
NEW met1 ( 497030 2704530 ) M1M2_PR
NEW met1 ( 1249130 2701470 ) M1M2_PR
NEW li1 ( 541650 2704530 ) L1M1_PR_MR
NEW li1 ( 541650 2701470 ) L1M1_PR_MR
NEW met1 ( 402730 2717110 ) M1M2_PR
NEW li1 ( 433090 2717110 ) L1M1_PR_MR
NEW li1 ( 433090 2714050 ) L1M1_PR_MR ;
- la_data_in[36] ( PIN la_data_in[36] ) ( chip_controller la_data_in[36] ) + USE SIGNAL
+ ROUTED met2 ( 1267530 2380 0 ) ( * 23630 )
NEW met2 ( 419290 2300100 ) ( 419750 * 0 )
NEW met2 ( 419290 23630 ) ( * 2300100 )
NEW met1 ( 419290 23630 ) ( 1267530 * )
NEW met1 ( 419290 23630 ) M1M2_PR
NEW met1 ( 1267530 23630 ) M1M2_PR ;
- la_data_in[37] ( PIN la_data_in[37] ) ( chip_controller la_data_in[37] ) + USE SIGNAL
+ ROUTED met2 ( 1285470 2380 0 ) ( * 16830 )
NEW met2 ( 762450 16830 ) ( * 2707590 )
NEW met1 ( 762450 16830 ) ( 1285470 * )
NEW met2 ( 403190 2699260 0 ) ( 404570 * )
NEW met2 ( 404570 2699260 ) ( * 2707590 )
NEW met1 ( 404570 2707590 ) ( 762450 * )
NEW met1 ( 762450 16830 ) M1M2_PR
NEW met1 ( 762450 2707590 ) M1M2_PR
NEW met1 ( 1285470 16830 ) M1M2_PR
NEW met1 ( 404570 2707590 ) M1M2_PR ;
- la_data_in[38] ( PIN la_data_in[38] ) ( chip_controller la_data_in[38] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2503420 0 ) ( 608810 * )
NEW met2 ( 608810 2497810 ) ( * 2503420 )
NEW met2 ( 1303410 2380 0 ) ( * 13940 )
NEW met2 ( 1300190 13940 ) ( 1303410 * )
NEW met1 ( 608810 2497810 ) ( 1297890 * )
NEW met2 ( 1297890 82800 ) ( 1300190 * )
NEW met2 ( 1300190 13940 ) ( * 82800 )
NEW met2 ( 1297890 82800 ) ( * 2497810 )
NEW met2 ( 608810 2503420 ) M2M3_PR_M
NEW met1 ( 608810 2497810 ) M1M2_PR
NEW met1 ( 1297890 2497810 ) M1M2_PR ;
- la_data_in[39] ( PIN la_data_in[39] ) ( chip_controller la_data_in[39] ) + USE SIGNAL
+ ROUTED met2 ( 1320890 2380 0 ) ( * 27370 )
NEW met1 ( 427110 27370 ) ( 1320890 * )
NEW met1 ( 423430 2284290 ) ( 427110 * )
NEW met2 ( 423430 2284290 ) ( * 2300100 0 )
NEW met2 ( 427110 27370 ) ( * 2284290 )
NEW met1 ( 427110 27370 ) M1M2_PR
NEW met1 ( 1320890 27370 ) M1M2_PR
NEW met1 ( 427110 2284290 ) M1M2_PR
NEW met1 ( 423430 2284290 ) M1M2_PR ;
- la_data_in[3] ( PIN la_data_in[3] ) ( chip_controller la_data_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2318970 ) ( * 2319820 )
NEW met3 ( 189750 2319820 ) ( 201020 * )
NEW met3 ( 201020 2319820 ) ( * 2320500 0 )
NEW met2 ( 682410 2380 0 ) ( * 25330 )
NEW met1 ( 166290 2318970 ) ( 189750 * )
NEW met1 ( 166290 25330 ) ( 682410 * )
NEW met2 ( 166290 25330 ) ( * 2318970 )
NEW met1 ( 189750 2318970 ) M1M2_PR
NEW met2 ( 189750 2319820 ) M2M3_PR_M
NEW met1 ( 682410 25330 ) M1M2_PR
NEW met1 ( 166290 25330 ) M1M2_PR
NEW met1 ( 166290 2318970 ) M1M2_PR ;
- la_data_in[40] ( PIN la_data_in[40] ) ( chip_controller la_data_in[40] ) + USE SIGNAL
+ ROUTED met2 ( 1338830 2380 0 ) ( * 16150 )
NEW met2 ( 976350 16150 ) ( * 2708780 )
NEW met1 ( 976350 16150 ) ( 1338830 * )
NEW met2 ( 413310 2699260 0 ) ( 413770 * )
NEW met2 ( 413770 2699260 ) ( * 2708780 )
NEW met3 ( 413770 2708780 ) ( 976350 * )
NEW met1 ( 976350 16150 ) M1M2_PR
NEW met2 ( 976350 2708780 ) M2M3_PR_M
NEW met1 ( 1338830 16150 ) M1M2_PR
NEW met2 ( 413770 2708780 ) M2M3_PR_M ;
- la_data_in[41] ( PIN la_data_in[41] ) ( chip_controller la_data_in[41] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2508860 0 ) ( 607890 * )
NEW met2 ( 607890 2505630 ) ( * 2508860 )
NEW met2 ( 1356310 2380 0 ) ( * 3060 )
NEW met2 ( 1355390 3060 ) ( 1356310 * )
NEW met2 ( 1355390 2380 ) ( * 3060 )
NEW met2 ( 1354010 2380 ) ( 1355390 * )
NEW met2 ( 1352630 82800 ) ( 1354010 * )
NEW met2 ( 1354010 2380 ) ( * 82800 )
NEW met2 ( 1352630 82800 ) ( * 200430 )
NEW met1 ( 607890 2505630 ) ( 1232570 * )
NEW met1 ( 1232570 200430 ) ( 1352630 * )
NEW met2 ( 1232570 200430 ) ( * 2505630 )
NEW met2 ( 607890 2508860 ) M2M3_PR_M
NEW met1 ( 607890 2505630 ) M1M2_PR
NEW met1 ( 1352630 200430 ) M1M2_PR
NEW met1 ( 1232570 200430 ) M1M2_PR
NEW met1 ( 1232570 2505630 ) M1M2_PR ;
- la_data_in[42] ( PIN la_data_in[42] ) ( chip_controller la_data_in[42] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2512430 ) ( * 2516340 )
NEW met3 ( 188370 2516340 ) ( 201020 * )
NEW met3 ( 201020 2516340 ) ( * 2517020 0 )
NEW met2 ( 1373330 82800 ) ( 1374250 * )
NEW met2 ( 1374250 2380 0 ) ( * 82800 )
NEW met2 ( 1373330 82800 ) ( * 201790 )
NEW met1 ( 176870 2512430 ) ( 188370 * )
NEW met1 ( 176870 201790 ) ( 1373330 * )
NEW met2 ( 176870 201790 ) ( * 2512430 )
NEW met1 ( 188370 2512430 ) M1M2_PR
NEW met2 ( 188370 2516340 ) M2M3_PR_M
NEW met1 ( 1373330 201790 ) M1M2_PR
NEW met1 ( 176870 201790 ) M1M2_PR
NEW met1 ( 176870 2512430 ) M1M2_PR ;
- la_data_in[43] ( PIN la_data_in[43] ) ( chip_controller la_data_in[43] ) + USE SIGNAL
+ ROUTED met2 ( 1391730 2380 0 ) ( * 26690 )
NEW met1 ( 434010 26690 ) ( 1391730 * )
NEW met2 ( 432170 2277660 ) ( 434010 * )
NEW met2 ( 432170 2277660 ) ( * 2285140 )
NEW met2 ( 431250 2285140 ) ( 432170 * )
NEW met2 ( 431250 2285140 ) ( * 2300100 )
NEW met2 ( 429870 2300100 0 ) ( 431250 * )
NEW met2 ( 434010 26690 ) ( * 2277660 )
NEW met1 ( 434010 26690 ) M1M2_PR
NEW met1 ( 1391730 26690 ) M1M2_PR ;
- la_data_in[44] ( PIN la_data_in[44] ) ( chip_controller la_data_in[44] ) + USE SIGNAL
+ ROUTED met2 ( 1409670 2380 0 ) ( * 14450 )
NEW met1 ( 1302030 14450 ) ( 1409670 * )
NEW met2 ( 1302030 14450 ) ( * 2705380 )
NEW met2 ( 416070 2699940 ) ( 416530 * 0 )
NEW met2 ( 416070 2699940 ) ( * 2705380 )
NEW met3 ( 416070 2705380 ) ( 1302030 * )
NEW met1 ( 1302030 14450 ) M1M2_PR
NEW met2 ( 1302030 2705380 ) M2M3_PR_M
NEW met1 ( 1409670 14450 ) M1M2_PR
NEW met2 ( 416070 2705380 ) M2M3_PR_M ;
- la_data_in[45] ( PIN la_data_in[45] ) ( chip_controller la_data_in[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2517020 0 ) ( 607890 * )
NEW met2 ( 607890 2512770 ) ( * 2517020 )
NEW met1 ( 607890 2512770 ) ( 735770 * )
NEW met2 ( 1427150 2380 0 ) ( * 3060 )
NEW met2 ( 1426230 3060 ) ( 1427150 * )
NEW met2 ( 1426230 2380 ) ( * 3060 )
NEW met2 ( 1424850 2380 ) ( 1426230 * )
NEW met1 ( 735770 87550 ) ( 1422090 * )
NEW met2 ( 735770 87550 ) ( * 2512770 )
NEW met2 ( 1422090 82800 ) ( * 87550 )
NEW met2 ( 1422090 82800 ) ( 1424850 * )
NEW met2 ( 1424850 2380 ) ( * 82800 )
NEW met2 ( 607890 2517020 ) M2M3_PR_M
NEW met1 ( 607890 2512770 ) M1M2_PR
NEW met1 ( 735770 87550 ) M1M2_PR
NEW met1 ( 735770 2512770 ) M1M2_PR
NEW met1 ( 1422090 87550 ) M1M2_PR ;
- la_data_in[46] ( PIN la_data_in[46] ) ( chip_controller la_data_in[46] ) + USE SIGNAL
+ ROUTED met2 ( 1445090 2380 0 ) ( * 14790 )
NEW met1 ( 1295130 14790 ) ( 1445090 * )
NEW met2 ( 1295130 14790 ) ( * 2706060 )
NEW met2 ( 422970 2699260 0 ) ( 424350 * )
NEW met2 ( 424350 2699260 ) ( * 2706060 )
NEW met3 ( 424350 2706060 ) ( 1295130 * )
NEW met1 ( 1445090 14790 ) M1M2_PR
NEW met1 ( 1295130 14790 ) M1M2_PR
NEW met2 ( 1295130 2706060 ) M2M3_PR_M
NEW met2 ( 424350 2706060 ) M2M3_PR_M ;
- la_data_in[47] ( PIN la_data_in[47] ) ( chip_controller la_data_in[47] ) + USE SIGNAL
+ ROUTED met2 ( 1463030 2380 0 ) ( * 26010 )
NEW met1 ( 434470 26010 ) ( 1463030 * )
NEW met2 ( 432630 2278340 ) ( 434470 * )
NEW met2 ( 432630 2278340 ) ( * 2300100 )
NEW met2 ( 431710 2300100 0 ) ( 432630 * )
NEW met2 ( 434470 26010 ) ( * 2278340 )
NEW met1 ( 1463030 26010 ) M1M2_PR
NEW met1 ( 434470 26010 ) M1M2_PR ;
- la_data_in[48] ( PIN la_data_in[48] ) ( chip_controller la_data_in[48] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2526540 0 ) ( 607430 * )
NEW met2 ( 607430 2526030 ) ( * 2526540 )
NEW met2 ( 1480510 2380 0 ) ( * 3060 )
NEW met2 ( 1479590 3060 ) ( 1480510 * )
NEW met2 ( 1479590 2380 ) ( * 3060 )
NEW met2 ( 1478210 2380 ) ( 1479590 * )
NEW met2 ( 1476830 82800 ) ( 1478210 * )
NEW met2 ( 1478210 2380 ) ( * 82800 )
NEW met2 ( 1476830 82800 ) ( * 180370 )
NEW met1 ( 607430 2526030 ) ( 832370 * )
NEW met1 ( 832370 180370 ) ( 1476830 * )
NEW met2 ( 832370 180370 ) ( * 2526030 )
NEW met2 ( 607430 2526540 ) M2M3_PR_M
NEW met1 ( 607430 2526030 ) M1M2_PR
NEW met1 ( 1476830 180370 ) M1M2_PR
NEW met1 ( 832370 180370 ) M1M2_PR
NEW met1 ( 832370 2526030 ) M1M2_PR ;
- la_data_in[49] ( PIN la_data_in[49] ) ( chip_controller la_data_in[49] ) + USE SIGNAL
+ ROUTED met2 ( 1498450 2380 0 ) ( * 15470 )
NEW met1 ( 1301110 15470 ) ( 1498450 * )
NEW met2 ( 1301110 15470 ) ( * 2706740 )
NEW met2 ( 430790 2699940 ) ( 431250 * 0 )
NEW met2 ( 430790 2699940 ) ( * 2706740 )
NEW met3 ( 430790 2706740 ) ( 1301110 * )
NEW met1 ( 1301110 15470 ) M1M2_PR
NEW met2 ( 1301110 2706740 ) M2M3_PR_M
NEW met1 ( 1498450 15470 ) M1M2_PR
NEW met2 ( 430790 2706740 ) M2M3_PR_M ;
- la_data_in[4] ( PIN la_data_in[4] ) ( chip_controller la_data_in[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2333420 0 ) ( 607430 * )
NEW met2 ( 607430 2333250 ) ( * 2333420 )
NEW met2 ( 700350 2380 0 ) ( * 3060 )
NEW met2 ( 699430 3060 ) ( 700350 * )
NEW met2 ( 699430 2380 ) ( * 3060 )
NEW met2 ( 698050 2380 ) ( 699430 * )
NEW met2 ( 697130 82800 ) ( 698050 * )
NEW met2 ( 698050 2380 ) ( * 82800 )
NEW met2 ( 697130 82800 ) ( * 2333250 )
NEW met1 ( 607430 2333250 ) ( 697130 * )
NEW met2 ( 607430 2333420 ) M2M3_PR_M
NEW met1 ( 607430 2333250 ) M1M2_PR
NEW met1 ( 697130 2333250 ) M1M2_PR ;
- la_data_in[50] ( PIN la_data_in[50] ) ( chip_controller la_data_in[50] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2532490 ) ( * 2535380 )
NEW met3 ( 188370 2535380 ) ( 200100 * )
NEW met3 ( 200100 2534700 0 ) ( * 2535380 )
NEW met1 ( 169510 2532490 ) ( 188370 * )
NEW met1 ( 169510 184450 ) ( 1511330 * )
NEW met2 ( 169510 184450 ) ( * 2532490 )
NEW met2 ( 1511330 82800 ) ( 1515930 * )
NEW met2 ( 1515930 2380 0 ) ( * 82800 )
NEW met2 ( 1511330 82800 ) ( * 184450 )
NEW met1 ( 188370 2532490 ) M1M2_PR
NEW met2 ( 188370 2535380 ) M2M3_PR_M
NEW met1 ( 169510 184450 ) M1M2_PR
NEW met1 ( 169510 2532490 ) M1M2_PR
NEW met1 ( 1511330 184450 ) M1M2_PR ;
- la_data_in[51] ( PIN la_data_in[51] ) ( chip_controller la_data_in[51] ) + USE SIGNAL
+ ROUTED met2 ( 1533870 2380 0 ) ( * 25670 )
NEW met1 ( 441370 25670 ) ( 1533870 * )
NEW met2 ( 439990 2300100 0 ) ( 441370 * )
NEW met2 ( 441370 25670 ) ( * 2300100 )
NEW met1 ( 1533870 25670 ) M1M2_PR
NEW met1 ( 441370 25670 ) M1M2_PR ;
- la_data_in[52] ( PIN la_data_in[52] ) ( chip_controller la_data_in[52] ) + USE SIGNAL
+ ROUTED met2 ( 1551350 2380 0 ) ( * 15810 )
NEW met1 ( 1294210 15810 ) ( 1551350 * )
NEW met2 ( 1294210 15810 ) ( * 2707420 )
NEW met2 ( 439530 2699260 0 ) ( 440910 * )
NEW met2 ( 440910 2699260 ) ( * 2707420 )
NEW met3 ( 440910 2707420 ) ( 1294210 * )
NEW met1 ( 1551350 15810 ) M1M2_PR
NEW met1 ( 1294210 15810 ) M1M2_PR
NEW met2 ( 1294210 2707420 ) M2M3_PR_M
NEW met2 ( 440910 2707420 ) M2M3_PR_M ;
- la_data_in[53] ( PIN la_data_in[53] ) ( chip_controller la_data_in[53] ) + USE SIGNAL
+ ROUTED met2 ( 1569290 2380 0 ) ( * 16830 )
NEW met1 ( 444130 2735130 ) ( 1293750 * )
NEW met1 ( 1293750 16830 ) ( 1569290 * )
NEW met2 ( 1293750 16830 ) ( * 2735130 )
NEW met2 ( 443210 2699260 0 ) ( 444130 * )
NEW met2 ( 444130 2699260 ) ( * 2735130 )
NEW met1 ( 1569290 16830 ) M1M2_PR
NEW met1 ( 444130 2735130 ) M1M2_PR
NEW met1 ( 1293750 16830 ) M1M2_PR
NEW met1 ( 1293750 2735130 ) M1M2_PR ;
- la_data_in[54] ( PIN la_data_in[54] ) ( chip_controller la_data_in[54] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2540140 0 ) ( 607430 * )
NEW met2 ( 607430 2540140 ) ( * 2540310 )
NEW met2 ( 707710 173910 ) ( * 2540310 )
NEW met1 ( 607430 2540310 ) ( 707710 * )
NEW met2 ( 1586770 2380 0 ) ( * 16830 )
NEW met1 ( 1580330 16830 ) ( 1586770 * )
NEW met1 ( 707710 173910 ) ( 1580330 * )
NEW met2 ( 1580330 16830 ) ( * 173910 )
NEW met2 ( 607430 2540140 ) M2M3_PR_M
NEW met1 ( 607430 2540310 ) M1M2_PR
NEW met1 ( 707710 2540310 ) M1M2_PR
NEW met1 ( 707710 173910 ) M1M2_PR
NEW met1 ( 1586770 16830 ) M1M2_PR
NEW met1 ( 1580330 16830 ) M1M2_PR
NEW met1 ( 1580330 173910 ) M1M2_PR ;
- la_data_in[55] ( PIN la_data_in[55] ) ( chip_controller la_data_in[55] ) + USE SIGNAL
+ ROUTED met2 ( 900450 19210 ) ( * 2745330 )
NEW met2 ( 1604710 2380 0 ) ( * 19210 )
NEW met1 ( 900450 19210 ) ( 1604710 * )
NEW met1 ( 443210 2745330 ) ( 900450 * )
NEW met2 ( 447810 2699260 0 ) ( 448270 * )
NEW met2 ( 448270 2699260 ) ( * 2713370 )
NEW met1 ( 443210 2713370 ) ( 448270 * )
NEW met2 ( 443210 2713370 ) ( * 2745330 )
NEW met1 ( 900450 19210 ) M1M2_PR
NEW met1 ( 900450 2745330 ) M1M2_PR
NEW met1 ( 1604710 19210 ) M1M2_PR
NEW met1 ( 443210 2745330 ) M1M2_PR
NEW met1 ( 448270 2713370 ) M1M2_PR
NEW met1 ( 443210 2713370 ) M1M2_PR ;
- la_data_in[56] ( PIN la_data_in[56] ) ( chip_controller la_data_in[56] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2546770 ) ( * 2548980 )
NEW met3 ( 189750 2548980 ) ( 201020 * )
NEW met3 ( 201020 2548980 ) ( * 2549660 0 )
NEW met2 ( 163070 175610 ) ( * 2546770 )
NEW met1 ( 163070 2546770 ) ( 189750 * )
NEW met2 ( 1621730 82800 ) ( 1622190 * )
NEW met2 ( 1622190 2380 0 ) ( * 82800 )
NEW met1 ( 163070 175610 ) ( 1621730 * )
NEW met2 ( 1621730 82800 ) ( * 175610 )
NEW met1 ( 189750 2546770 ) M1M2_PR
NEW met2 ( 189750 2548980 ) M2M3_PR_M
NEW met1 ( 163070 175610 ) M1M2_PR
NEW met1 ( 163070 2546770 ) M1M2_PR
NEW met1 ( 1621730 175610 ) M1M2_PR ;
- la_data_in[57] ( PIN la_data_in[57] ) ( chip_controller la_data_in[57] ) + USE SIGNAL
+ ROUTED met2 ( 1635530 82800 ) ( 1640130 * )
NEW met2 ( 1640130 2380 0 ) ( * 82800 )
NEW met2 ( 1635530 82800 ) ( * 181390 )
NEW met1 ( 433090 181390 ) ( 1635530 * )
NEW met1 ( 430790 2272050 ) ( 433090 * )
NEW met2 ( 430790 2272050 ) ( * 2285650 )
NEW met1 ( 430790 2285650 ) ( 446890 * )
NEW met2 ( 446890 2285650 ) ( * 2300100 0 )
NEW met2 ( 433090 181390 ) ( * 2272050 )
NEW met1 ( 1635530 181390 ) M1M2_PR
NEW met1 ( 433090 181390 ) M1M2_PR
NEW met1 ( 433090 2272050 ) M1M2_PR
NEW met1 ( 430790 2272050 ) M1M2_PR
NEW met1 ( 430790 2285650 ) M1M2_PR
NEW met1 ( 446890 2285650 ) M1M2_PR ;
- la_data_in[58] ( PIN la_data_in[58] ) ( chip_controller la_data_in[58] ) + USE SIGNAL
+ ROUTED met2 ( 1658070 2380 0 ) ( * 3060 )
NEW met2 ( 1657150 3060 ) ( 1658070 * )
NEW met2 ( 1657150 2380 ) ( * 3060 )
NEW met2 ( 1656230 2380 ) ( 1657150 * )
NEW met2 ( 1656230 2380 ) ( * 202130 )
NEW met1 ( 454250 202130 ) ( 1656230 * )
NEW met1 ( 451490 2277150 ) ( 454250 * )
NEW met2 ( 451490 2277150 ) ( * 2300100 )
NEW met2 ( 450110 2300100 0 ) ( 451490 * )
NEW met2 ( 454250 202130 ) ( * 2277150 )
NEW met1 ( 1656230 202130 ) M1M2_PR
NEW met1 ( 454250 202130 ) M1M2_PR
NEW met1 ( 454250 2277150 ) M1M2_PR
NEW met1 ( 451490 2277150 ) M1M2_PR ;
- la_data_in[59] ( PIN la_data_in[59] ) ( chip_controller la_data_in[59] ) + USE SIGNAL
+ ROUTED met2 ( 1675550 2380 0 ) ( * 16490 )
NEW met2 ( 1279950 16490 ) ( * 2739380 )
NEW met2 ( 456550 2699940 ) ( 457930 * 0 )
NEW met1 ( 1279950 16490 ) ( 1675550 * )
NEW met2 ( 456550 2699940 ) ( * 2739380 )
NEW met3 ( 456550 2739380 ) ( 1279950 * )
NEW met1 ( 1279950 16490 ) M1M2_PR
NEW met1 ( 1675550 16490 ) M1M2_PR
NEW met2 ( 1279950 2739380 ) M2M3_PR_M
NEW met2 ( 456550 2739380 ) M2M3_PR_M ;
- la_data_in[5] ( PIN la_data_in[5] ) ( chip_controller la_data_in[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2340220 0 ) ( 607430 * )
NEW met2 ( 607430 2340050 ) ( * 2340220 )
NEW met1 ( 607430 2340050 ) ( 717830 * )
NEW met2 ( 717830 2380 0 ) ( * 2340050 )
NEW met2 ( 607430 2340220 ) M2M3_PR_M
NEW met1 ( 607430 2340050 ) M1M2_PR
NEW met1 ( 717830 2340050 ) M1M2_PR ;
- la_data_in[60] ( PIN la_data_in[60] ) ( chip_controller la_data_in[60] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2549660 0 ) ( 607890 * )
NEW met2 ( 607890 2547110 ) ( * 2549660 )
NEW met1 ( 607890 2547110 ) ( 714610 * )
NEW met2 ( 714610 170850 ) ( * 2547110 )
NEW met2 ( 1690730 82800 ) ( 1693490 * )
NEW met2 ( 1693490 2380 0 ) ( * 82800 )
NEW met1 ( 714610 170850 ) ( 1690730 * )
NEW met2 ( 1690730 82800 ) ( * 170850 )
NEW met2 ( 607890 2549660 ) M2M3_PR_M
NEW met1 ( 607890 2547110 ) M1M2_PR
NEW met1 ( 714610 170850 ) M1M2_PR
NEW met1 ( 714610 2547110 ) M1M2_PR
NEW met1 ( 1690730 170850 ) M1M2_PR ;
- la_data_in[61] ( PIN la_data_in[61] ) ( chip_controller la_data_in[61] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2551700 0 ) ( 608350 * )
NEW met2 ( 608350 2546770 ) ( * 2551700 )
NEW met2 ( 763370 93330 ) ( * 2546770 )
NEW met1 ( 763370 93330 ) ( 1704990 * )
NEW met1 ( 608350 2546770 ) ( 763370 * )
NEW met1 ( 1704990 58310 ) ( 1710970 * )
NEW met2 ( 1704990 58310 ) ( * 93330 )
NEW met2 ( 1710970 2380 0 ) ( * 58310 )
NEW met1 ( 763370 93330 ) M1M2_PR
NEW met2 ( 608350 2551700 ) M2M3_PR_M
NEW met1 ( 608350 2546770 ) M1M2_PR
NEW met1 ( 763370 2546770 ) M1M2_PR
NEW met1 ( 1704990 93330 ) M1M2_PR
NEW met1 ( 1704990 58310 ) M1M2_PR
NEW met1 ( 1710970 58310 ) M1M2_PR ;
- la_data_in[62] ( PIN la_data_in[62] ) ( chip_controller la_data_in[62] ) + USE SIGNAL
+ ROUTED met2 ( 1728910 2380 0 ) ( * 3060 )
NEW met2 ( 1727990 3060 ) ( 1728910 * )
NEW met2 ( 1727990 2380 ) ( * 3060 )
NEW met2 ( 1726610 2380 ) ( 1727990 * )
NEW met2 ( 1725230 82800 ) ( 1726610 * )
NEW met2 ( 1726610 2380 ) ( * 82800 )
NEW met2 ( 1725230 82800 ) ( * 175270 )
NEW met1 ( 460230 2284290 ) ( 465750 * )
NEW met2 ( 460230 2284290 ) ( * 2300100 0 )
NEW met2 ( 465750 175270 ) ( * 2284290 )
NEW met1 ( 465750 175270 ) ( 1725230 * )
NEW met1 ( 1725230 175270 ) M1M2_PR
NEW met1 ( 465750 175270 ) M1M2_PR
NEW met1 ( 465750 2284290 ) M1M2_PR
NEW met1 ( 460230 2284290 ) M1M2_PR ;
- la_data_in[63] ( PIN la_data_in[63] ) ( chip_controller la_data_in[63] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2553060 0 ) ( 607890 * )
NEW met2 ( 607890 2553060 ) ( * 2554250 )
NEW met2 ( 1746390 2380 0 ) ( * 101150 )
NEW met1 ( 811210 101150 ) ( 1746390 * )
NEW met1 ( 607890 2554250 ) ( 811210 * )
NEW met2 ( 811210 101150 ) ( * 2554250 )
NEW met1 ( 1746390 101150 ) M1M2_PR
NEW met2 ( 607890 2553060 ) M2M3_PR_M
NEW met1 ( 607890 2554250 ) M1M2_PR
NEW met1 ( 811210 101150 ) M1M2_PR
NEW met1 ( 811210 2554250 ) M1M2_PR ;
- la_data_in[64] ( PIN la_data_in[64] ) ( chip_controller la_data_in[64] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2567850 ) ( * 2568020 )
NEW met3 ( 186990 2568020 ) ( 200100 * )
NEW met3 ( 200100 2567340 0 ) ( * 2568020 )
NEW met2 ( 1759730 82800 ) ( 1764330 * )
NEW met2 ( 1764330 2380 0 ) ( * 82800 )
NEW met2 ( 1759730 82800 ) ( * 177310 )
NEW met2 ( 169970 177310 ) ( * 2567850 )
NEW met1 ( 169970 2567850 ) ( 186990 * )
NEW met1 ( 169970 177310 ) ( 1759730 * )
NEW met1 ( 186990 2567850 ) M1M2_PR
NEW met2 ( 186990 2568020 ) M2M3_PR_M
NEW met1 ( 1759730 177310 ) M1M2_PR
NEW met1 ( 169970 177310 ) M1M2_PR
NEW met1 ( 169970 2567850 ) M1M2_PR ;
- la_data_in[65] ( PIN la_data_in[65] ) ( chip_controller la_data_in[65] ) + USE SIGNAL
+ ROUTED met2 ( 466670 2699940 ) ( 468050 * 0 )
NEW met2 ( 466670 2699940 ) ( * 2714730 )
NEW met1 ( 462530 2714730 ) ( 466670 * )
NEW met2 ( 1781810 2380 0 ) ( * 27710 )
NEW met1 ( 1300650 27710 ) ( 1781810 * )
NEW met2 ( 462530 2714730 ) ( * 2748050 )
NEW met1 ( 462530 2748050 ) ( 1300650 * )
NEW met2 ( 1300650 27710 ) ( * 2748050 )
NEW met1 ( 466670 2714730 ) M1M2_PR
NEW met1 ( 462530 2714730 ) M1M2_PR
NEW met1 ( 1300650 27710 ) M1M2_PR
NEW met1 ( 1781810 27710 ) M1M2_PR
NEW met1 ( 462530 2748050 ) M1M2_PR
NEW met1 ( 1300650 2748050 ) M1M2_PR ;
- la_data_in[66] ( PIN la_data_in[66] ) ( chip_controller la_data_in[66] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2561220 0 ) ( 607890 * )
NEW met2 ( 607890 2560370 ) ( * 2561220 )
NEW met2 ( 1066050 171530 ) ( * 2560370 )
NEW met2 ( 1799750 2380 0 ) ( * 3060 )
NEW met2 ( 1798830 3060 ) ( 1799750 * )
NEW met2 ( 1798830 2380 ) ( * 3060 )
NEW met2 ( 1797450 2380 ) ( 1798830 * )
NEW met1 ( 607890 2560370 ) ( 1066050 * )
NEW met2 ( 1794230 82800 ) ( 1797450 * )
NEW met2 ( 1797450 2380 ) ( * 82800 )
NEW met1 ( 1066050 171530 ) ( 1794230 * )
NEW met2 ( 1794230 82800 ) ( * 171530 )
NEW met2 ( 607890 2561220 ) M2M3_PR_M
NEW met1 ( 607890 2560370 ) M1M2_PR
NEW met1 ( 1066050 171530 ) M1M2_PR
NEW met1 ( 1066050 2560370 ) M1M2_PR
NEW met1 ( 1794230 171530 ) M1M2_PR ;
- la_data_in[67] ( PIN la_data_in[67] ) ( chip_controller la_data_in[67] ) + USE SIGNAL
+ ROUTED met1 ( 181470 2573970 ) ( 186990 * )
NEW met2 ( 186990 2573970 ) ( * 2574140 )
NEW met3 ( 186990 2574140 ) ( 201020 * )
NEW met3 ( 201020 2574140 ) ( * 2574820 0 )
NEW met2 ( 181470 183430 ) ( * 2573970 )
NEW met1 ( 181470 183430 ) ( 1814930 * )
NEW met2 ( 1814930 82800 ) ( 1817690 * )
NEW met2 ( 1817690 2380 0 ) ( * 82800 )
NEW met2 ( 1814930 82800 ) ( * 183430 )
NEW met1 ( 181470 183430 ) M1M2_PR
NEW met1 ( 181470 2573970 ) M1M2_PR
NEW met1 ( 186990 2573970 ) M1M2_PR
NEW met2 ( 186990 2574140 ) M2M3_PR_M
NEW met1 ( 1814930 183430 ) M1M2_PR ;
- la_data_in[68] ( PIN la_data_in[68] ) ( chip_controller la_data_in[68] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2574650 ) ( * 2576180 )
NEW met3 ( 188370 2576180 ) ( 201020 * )
NEW met3 ( 201020 2576180 ) ( * 2576860 0 )
NEW met2 ( 1835170 2380 0 ) ( * 60350 )
NEW met2 ( 159850 60350 ) ( * 2574650 )
NEW met1 ( 159850 2574650 ) ( 188370 * )
NEW met1 ( 159850 60350 ) ( 1835170 * )
NEW met1 ( 188370 2574650 ) M1M2_PR
NEW met2 ( 188370 2576180 ) M2M3_PR_M
NEW met1 ( 1835170 60350 ) M1M2_PR
NEW met1 ( 159850 60350 ) M1M2_PR
NEW met1 ( 159850 2574650 ) M1M2_PR ;
- la_data_in[69] ( PIN la_data_in[69] ) ( chip_controller la_data_in[69] ) + USE SIGNAL
+ ROUTED met2 ( 1853110 2380 0 ) ( * 3060 )
NEW met2 ( 1852190 3060 ) ( 1853110 * )
NEW met2 ( 1852190 2380 ) ( * 3060 )
NEW met2 ( 1850810 2380 ) ( 1852190 * )
NEW met2 ( 478630 2285650 ) ( * 2300100 0 )
NEW met2 ( 1849430 82800 ) ( 1850810 * )
NEW met2 ( 1850810 2380 ) ( * 82800 )
NEW met2 ( 1849430 82800 ) ( * 163710 )
NEW met2 ( 468970 163710 ) ( * 2285650 )
NEW met1 ( 468970 2285650 ) ( 478630 * )
NEW met1 ( 468970 163710 ) ( 1849430 * )
NEW met1 ( 478630 2285650 ) M1M2_PR
NEW met1 ( 1849430 163710 ) M1M2_PR
NEW met1 ( 468970 163710 ) M1M2_PR
NEW met1 ( 468970 2285650 ) M1M2_PR ;
- la_data_in[6] ( PIN la_data_in[6] ) ( chip_controller la_data_in[6] ) + USE SIGNAL
+ ROUTED met3 ( 185150 2347020 ) ( 201020 * )
NEW met3 ( 201020 2347020 ) ( * 2347700 0 )
NEW met2 ( 185150 2293470 ) ( * 2347020 )
NEW met2 ( 731630 82800 ) ( 735770 * )
NEW met2 ( 735770 2380 0 ) ( * 82800 )
NEW met2 ( 731630 82800 ) ( * 2293470 )
NEW met1 ( 185150 2293470 ) ( 731630 * )
NEW met2 ( 185150 2347020 ) M2M3_PR_M
NEW met1 ( 185150 2293470 ) M1M2_PR
NEW met1 ( 731630 2293470 ) M1M2_PR ;
- la_data_in[70] ( PIN la_data_in[70] ) ( chip_controller la_data_in[70] ) + USE SIGNAL
+ ROUTED met1 ( 480010 2284630 ) ( 482770 * )
NEW met2 ( 480010 2284630 ) ( * 2300100 0 )
NEW met2 ( 482770 34170 ) ( * 2284630 )
NEW met2 ( 1870590 2380 0 ) ( * 34170 )
NEW met1 ( 482770 34170 ) ( 1870590 * )
NEW met1 ( 482770 34170 ) M1M2_PR
NEW met1 ( 482770 2284630 ) M1M2_PR
NEW met1 ( 480010 2284630 ) M1M2_PR
NEW met1 ( 1870590 34170 ) M1M2_PR ;
- la_data_in[71] ( PIN la_data_in[71] ) ( chip_controller la_data_in[71] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2574310 ) ( * 2579580 )
NEW met3 ( 189290 2579580 ) ( 201020 * )
NEW met3 ( 201020 2579580 ) ( * 2580260 0 )
NEW met2 ( 177790 170170 ) ( * 2574310 )
NEW met1 ( 177790 2574310 ) ( 189290 * )
NEW met2 ( 1883930 82800 ) ( 1888530 * )
NEW met2 ( 1888530 2380 0 ) ( * 82800 )
NEW met1 ( 177790 170170 ) ( 1883930 * )
NEW met2 ( 1883930 82800 ) ( * 170170 )
NEW met1 ( 189290 2574310 ) M1M2_PR
NEW met2 ( 189290 2579580 ) M2M3_PR_M
NEW met1 ( 177790 170170 ) M1M2_PR
NEW met1 ( 177790 2574310 ) M1M2_PR
NEW met1 ( 1883930 170170 ) M1M2_PR ;
- la_data_in[72] ( PIN la_data_in[72] ) ( chip_controller la_data_in[72] ) + USE SIGNAL
+ ROUTED met2 ( 488290 2284460 ) ( 489670 * )
NEW met2 ( 488290 2284460 ) ( * 2300100 )
NEW met2 ( 486910 2300100 0 ) ( 488290 * )
NEW met2 ( 489670 33830 ) ( * 2284460 )
NEW met2 ( 1906010 2380 0 ) ( * 33830 )
NEW met1 ( 489670 33830 ) ( 1906010 * )
NEW met1 ( 489670 33830 ) M1M2_PR
NEW met1 ( 1906010 33830 ) M1M2_PR ;
- la_data_in[73] ( PIN la_data_in[73] ) ( chip_controller la_data_in[73] ) + USE SIGNAL
+ ROUTED met2 ( 1923950 2380 0 ) ( * 3060 )
NEW met2 ( 1923030 3060 ) ( 1923950 * )
NEW met2 ( 1923030 2380 ) ( * 3060 )
NEW met2 ( 1921650 2380 ) ( 1923030 * )
NEW met2 ( 188830 2581110 ) ( * 2585020 )
NEW met3 ( 188830 2585020 ) ( 201020 * )
NEW met3 ( 201020 2585020 ) ( * 2585700 0 )
NEW met2 ( 1921650 2380 ) ( * 79390 )
NEW met2 ( 164450 79390 ) ( * 2581110 )
NEW met1 ( 164450 2581110 ) ( 188830 * )
NEW met1 ( 164450 79390 ) ( 1921650 * )
NEW met1 ( 188830 2581110 ) M1M2_PR
NEW met2 ( 188830 2585020 ) M2M3_PR_M
NEW met1 ( 1921650 79390 ) M1M2_PR
NEW met1 ( 164450 79390 ) M1M2_PR
NEW met1 ( 164450 2581110 ) M1M2_PR ;
- la_data_in[74] ( PIN la_data_in[74] ) ( chip_controller la_data_in[74] ) + USE SIGNAL
+ ROUTED met2 ( 479550 2699260 0 ) ( 480010 * )
NEW met2 ( 480010 2699260 ) ( * 2714050 )
NEW met1 ( 476330 2714050 ) ( 480010 * )
NEW met2 ( 1941430 2380 0 ) ( * 28050 )
NEW met2 ( 476330 2714050 ) ( * 2748390 )
NEW met2 ( 1286850 28050 ) ( * 2748390 )
NEW met1 ( 1286850 28050 ) ( 1941430 * )
NEW met1 ( 476330 2748390 ) ( 1286850 * )
NEW met1 ( 480010 2714050 ) M1M2_PR
NEW met1 ( 476330 2714050 ) M1M2_PR
NEW met1 ( 1286850 28050 ) M1M2_PR
NEW met1 ( 1941430 28050 ) M1M2_PR
NEW met1 ( 476330 2748390 ) M1M2_PR
NEW met1 ( 1286850 2748390 ) M1M2_PR ;
- la_data_in[75] ( PIN la_data_in[75] ) ( chip_controller la_data_in[75] ) + USE SIGNAL
+ ROUTED met2 ( 1959370 2380 0 ) ( * 26350 )
NEW met3 ( 599380 2586380 0 ) ( 608350 * )
NEW met2 ( 608350 2581110 ) ( * 2586380 )
NEW met1 ( 935410 26350 ) ( 1959370 * )
NEW met1 ( 608350 2581110 ) ( 935410 * )
NEW met2 ( 935410 26350 ) ( * 2581110 )
NEW met1 ( 1959370 26350 ) M1M2_PR
NEW met2 ( 608350 2586380 ) M2M3_PR_M
NEW met1 ( 608350 2581110 ) M1M2_PR
NEW met1 ( 935410 26350 ) M1M2_PR
NEW met1 ( 935410 2581110 ) M1M2_PR ;
- la_data_in[76] ( PIN la_data_in[76] ) ( chip_controller la_data_in[76] ) + USE SIGNAL
+ ROUTED met2 ( 494730 2278340 ) ( 496570 * )
NEW met2 ( 494730 2278340 ) ( * 2300100 )
NEW met2 ( 493810 2300100 0 ) ( 494730 * )
NEW met2 ( 496570 32810 ) ( * 2278340 )
NEW met2 ( 1976850 2380 0 ) ( * 32810 )
NEW met1 ( 496570 32810 ) ( 1976850 * )
NEW met1 ( 496570 32810 ) M1M2_PR
NEW met1 ( 1976850 32810 ) M1M2_PR ;
- la_data_in[77] ( PIN la_data_in[77] ) ( chip_controller la_data_in[77] ) + USE SIGNAL
+ ROUTED met1 ( 497030 2283950 ) ( 503470 * )
NEW met2 ( 497030 2283950 ) ( * 2300100 0 )
NEW met2 ( 503470 32470 ) ( * 2283950 )
NEW met2 ( 1994790 2380 0 ) ( * 32470 )
NEW met1 ( 503470 32470 ) ( 1994790 * )
NEW met1 ( 503470 32470 ) M1M2_PR
NEW met1 ( 503470 2283950 ) M1M2_PR
NEW met1 ( 497030 2283950 ) M1M2_PR
NEW met1 ( 1994790 32470 ) M1M2_PR ;
- la_data_in[78] ( PIN la_data_in[78] ) ( chip_controller la_data_in[78] ) + USE SIGNAL
+ ROUTED met2 ( 484150 2699940 ) ( 484610 * 0 )
NEW met2 ( 484150 2699940 ) ( * 2704020 )
NEW met2 ( 484150 2704020 ) ( 484610 * )
NEW met2 ( 484610 2704020 ) ( * 2748730 )
NEW met2 ( 1287770 28390 ) ( * 2748730 )
NEW met2 ( 2012730 2380 0 ) ( * 28390 )
NEW met1 ( 1287770 28390 ) ( 2012730 * )
NEW met1 ( 484610 2748730 ) ( 1287770 * )
NEW met1 ( 1287770 28390 ) M1M2_PR
NEW met1 ( 484610 2748730 ) M1M2_PR
NEW met1 ( 1287770 2748730 ) M1M2_PR
NEW met1 ( 2012730 28390 ) M1M2_PR ;
- la_data_in[79] ( PIN la_data_in[79] ) ( chip_controller la_data_in[79] ) + USE SIGNAL
+ ROUTED met2 ( 485070 2699940 ) ( 485990 * 0 )
NEW met2 ( 485070 2699940 ) ( * 2714050 )
NEW met1 ( 484150 2714050 ) ( 485070 * )
NEW met2 ( 2030210 2380 0 ) ( * 28730 )
NEW met2 ( 484150 2714050 ) ( * 2749070 )
NEW met2 ( 1287310 28730 ) ( * 2749070 )
NEW met1 ( 1287310 28730 ) ( 2030210 * )
NEW met1 ( 484150 2749070 ) ( 1287310 * )
NEW met1 ( 485070 2714050 ) M1M2_PR
NEW met1 ( 484150 2714050 ) M1M2_PR
NEW met1 ( 1287310 28730 ) M1M2_PR
NEW met1 ( 2030210 28730 ) M1M2_PR
NEW met1 ( 484150 2749070 ) M1M2_PR
NEW met1 ( 1287310 2749070 ) M1M2_PR ;
- la_data_in[7] ( PIN la_data_in[7] ) ( chip_controller la_data_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 282210 2264740 ) ( 282670 * )
NEW met2 ( 282210 2264740 ) ( * 2300100 )
NEW met2 ( 280830 2300100 0 ) ( 282210 * )
NEW met2 ( 282670 33490 ) ( * 2264740 )
NEW met2 ( 753250 2380 0 ) ( * 33490 )
NEW met1 ( 282670 33490 ) ( 753250 * )
NEW met1 ( 282670 33490 ) M1M2_PR
NEW met1 ( 753250 33490 ) M1M2_PR ;
- la_data_in[80] ( PIN la_data_in[80] ) ( chip_controller la_data_in[80] ) + USE SIGNAL
+ ROUTED met2 ( 2048150 2380 0 ) ( * 32130 )
NEW met1 ( 507150 2284630 ) ( 510370 * )
NEW met2 ( 507150 2284630 ) ( * 2300100 0 )
NEW met2 ( 510370 32130 ) ( * 2284630 )
NEW met1 ( 510370 32130 ) ( 2048150 * )
NEW met1 ( 510370 32130 ) M1M2_PR
NEW met1 ( 2048150 32130 ) M1M2_PR
NEW met1 ( 510370 2284630 ) M1M2_PR
NEW met1 ( 507150 2284630 ) M1M2_PR ;
- la_data_in[81] ( PIN la_data_in[81] ) ( chip_controller la_data_in[81] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2601510 ) ( * 2608140 )
NEW met3 ( 189290 2608140 ) ( 200100 * )
NEW met3 ( 200100 2607460 0 ) ( * 2608140 )
NEW met1 ( 166750 2601510 ) ( 189290 * )
NEW met2 ( 2065630 2380 0 ) ( * 3060 )
NEW met2 ( 2064710 3060 ) ( 2065630 * )
NEW met2 ( 2064710 2380 ) ( * 3060 )
NEW met2 ( 2063330 2380 ) ( 2064710 * )
NEW met2 ( 166750 162690 ) ( * 2601510 )
NEW met1 ( 166750 162690 ) ( 2063330 * )
NEW met2 ( 2063330 2380 ) ( * 162690 )
NEW met1 ( 189290 2601510 ) M1M2_PR
NEW met2 ( 189290 2608140 ) M2M3_PR_M
NEW met1 ( 166750 2601510 ) M1M2_PR
NEW met1 ( 166750 162690 ) M1M2_PR
NEW met1 ( 2063330 162690 ) M1M2_PR ;
- la_data_in[82] ( PIN la_data_in[82] ) ( chip_controller la_data_in[82] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2609330 ) ( * 2613580 )
NEW met3 ( 189750 2613580 ) ( 200100 * )
NEW met3 ( 200100 2612900 0 ) ( * 2613580 )
NEW met1 ( 151570 2609330 ) ( 189750 * )
NEW met2 ( 2083570 2380 0 ) ( * 24650 )
NEW met1 ( 151570 24650 ) ( 2083570 * )
NEW met2 ( 151570 24650 ) ( * 2609330 )
NEW met1 ( 189750 2609330 ) M1M2_PR
NEW met2 ( 189750 2613580 ) M2M3_PR_M
NEW met1 ( 151570 24650 ) M1M2_PR
NEW met1 ( 151570 2609330 ) M1M2_PR
NEW met1 ( 2083570 24650 ) M1M2_PR ;
- la_data_in[83] ( PIN la_data_in[83] ) ( chip_controller la_data_in[83] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2593180 0 ) ( 608350 * )
NEW met2 ( 608350 2587910 ) ( * 2593180 )
NEW met2 ( 1190250 27030 ) ( * 2587910 )
NEW met2 ( 2101050 2380 0 ) ( * 27030 )
NEW met1 ( 1190250 27030 ) ( 2101050 * )
NEW met1 ( 608350 2587910 ) ( 1190250 * )
NEW met1 ( 1190250 27030 ) M1M2_PR
NEW met2 ( 608350 2593180 ) M2M3_PR_M
NEW met1 ( 608350 2587910 ) M1M2_PR
NEW met1 ( 1190250 2587910 ) M1M2_PR
NEW met1 ( 2101050 27030 ) M1M2_PR ;
- la_data_in[84] ( PIN la_data_in[84] ) ( chip_controller la_data_in[84] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2615790 ) ( * 2619700 )
NEW met3 ( 189290 2619700 ) ( 201020 * )
NEW met3 ( 201020 2619700 ) ( * 2620380 0 )
NEW met2 ( 2118990 2380 0 ) ( * 176290 )
NEW met1 ( 154790 2615790 ) ( 189290 * )
NEW met2 ( 154790 176290 ) ( * 2615790 )
NEW met1 ( 154790 176290 ) ( 2118990 * )
NEW met1 ( 189290 2615790 ) M1M2_PR
NEW met2 ( 189290 2619700 ) M2M3_PR_M
NEW met1 ( 2118990 176290 ) M1M2_PR
NEW met1 ( 154790 2615790 ) M1M2_PR
NEW met1 ( 154790 176290 ) M1M2_PR ;
- la_data_in[85] ( PIN la_data_in[85] ) ( chip_controller la_data_in[85] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2623100 ) ( * 2623270 )
NEW met3 ( 189750 2623100 ) ( 200100 * )
NEW met3 ( 200100 2622420 0 ) ( * 2623100 )
NEW met2 ( 2136470 2380 0 ) ( * 3060 )
NEW met2 ( 2135550 3060 ) ( 2136470 * )
NEW met2 ( 2135550 2380 ) ( * 3060 )
NEW met2 ( 2134170 2380 ) ( 2135550 * )
NEW met2 ( 2134170 2380 ) ( * 59670 )
NEW met1 ( 151110 2623270 ) ( 189750 * )
NEW met2 ( 151110 59670 ) ( * 2623270 )
NEW met1 ( 151110 59670 ) ( 2134170 * )
NEW met1 ( 189750 2623270 ) M1M2_PR
NEW met2 ( 189750 2623100 ) M2M3_PR_M
NEW met1 ( 2134170 59670 ) M1M2_PR
NEW met1 ( 151110 2623270 ) M1M2_PR
NEW met1 ( 151110 59670 ) M1M2_PR ;
- la_data_in[86] ( PIN la_data_in[86] ) ( chip_controller la_data_in[86] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2601340 0 ) ( 607430 * )
NEW met2 ( 607430 2601340 ) ( * 2601850 )
NEW met2 ( 956570 165070 ) ( * 2601850 )
NEW met2 ( 2153030 82800 ) ( 2154410 * )
NEW met2 ( 2154410 2380 0 ) ( * 82800 )
NEW met2 ( 2153030 82800 ) ( * 165070 )
NEW met1 ( 607430 2601850 ) ( 956570 * )
NEW met1 ( 956570 165070 ) ( 2153030 * )
NEW met2 ( 607430 2601340 ) M2M3_PR_M
NEW met1 ( 607430 2601850 ) M1M2_PR
NEW met1 ( 956570 2601850 ) M1M2_PR
NEW met1 ( 956570 165070 ) M1M2_PR
NEW met1 ( 2153030 165070 ) M1M2_PR ;
- la_data_in[87] ( PIN la_data_in[87] ) ( chip_controller la_data_in[87] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2622930 ) ( * 2627180 )
NEW met3 ( 189290 2627180 ) ( 201020 * )
NEW met3 ( 201020 2627180 ) ( * 2627860 0 )
NEW met1 ( 160310 2622930 ) ( 189290 * )
NEW met2 ( 2172350 2380 0 ) ( * 3060 )
NEW met2 ( 2171430 3060 ) ( 2172350 * )
NEW met2 ( 2171430 2380 ) ( * 3060 )
NEW met2 ( 2170050 2380 ) ( 2171430 * )
NEW met2 ( 160310 59330 ) ( * 2622930 )
NEW met1 ( 160310 59330 ) ( 2170050 * )
NEW met2 ( 2170050 2380 ) ( * 59330 )
NEW met1 ( 189290 2622930 ) M1M2_PR
NEW met2 ( 189290 2627180 ) M2M3_PR_M
NEW met1 ( 160310 2622930 ) M1M2_PR
NEW met1 ( 160310 59330 ) M1M2_PR
NEW met1 ( 2170050 59330 ) M1M2_PR ;
- la_data_in[88] ( PIN la_data_in[88] ) ( chip_controller la_data_in[88] ) + USE SIGNAL
+ ROUTED met2 ( 2189830 2380 0 ) ( * 31790 )
NEW met1 ( 531070 31790 ) ( 2189830 * )
NEW met1 ( 526930 2285310 ) ( 531070 * )
NEW met2 ( 526930 2285310 ) ( * 2300100 0 )
NEW met2 ( 531070 31790 ) ( * 2285310 )
NEW met1 ( 531070 31790 ) M1M2_PR
NEW met1 ( 2189830 31790 ) M1M2_PR
NEW met1 ( 531070 2285310 ) M1M2_PR
NEW met1 ( 526930 2285310 ) M1M2_PR ;
- la_data_in[89] ( PIN la_data_in[89] ) ( chip_controller la_data_in[89] ) + USE SIGNAL
+ ROUTED met2 ( 2207770 2380 0 ) ( * 31450 )
NEW met1 ( 530610 31450 ) ( 2207770 * )
NEW met2 ( 530610 31450 ) ( * 2300100 0 )
NEW met1 ( 530610 31450 ) M1M2_PR
NEW met1 ( 2207770 31450 ) M1M2_PR ;
- la_data_in[8] ( PIN la_data_in[8] ) ( chip_controller la_data_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 771190 2380 0 ) ( * 3060 )
NEW met2 ( 770270 3060 ) ( 771190 * )
NEW met2 ( 770270 2380 ) ( * 3060 )
NEW met2 ( 768890 2380 ) ( 770270 * )
NEW met3 ( 599380 2358580 0 ) ( 608350 * )
NEW met2 ( 608350 2353990 ) ( * 2358580 )
NEW met2 ( 766130 82800 ) ( 768890 * )
NEW met2 ( 768890 2380 ) ( * 82800 )
NEW met2 ( 766130 82800 ) ( * 2353990 )
NEW met1 ( 608350 2353990 ) ( 766130 * )
NEW met2 ( 608350 2358580 ) M2M3_PR_M
NEW met1 ( 608350 2353990 ) M1M2_PR
NEW met1 ( 766130 2353990 ) M1M2_PR ;
- la_data_in[90] ( PIN la_data_in[90] ) ( chip_controller la_data_in[90] ) + USE SIGNAL
+ ROUTED met2 ( 504850 2699940 ) ( 506230 * 0 )
NEW met2 ( 2225250 2380 0 ) ( * 29410 )
NEW met2 ( 504850 2699940 ) ( * 2749410 )
NEW met2 ( 1273050 29410 ) ( * 2749410 )
NEW met1 ( 1273050 29410 ) ( 2225250 * )
NEW met1 ( 504850 2749410 ) ( 1273050 * )
NEW met1 ( 1273050 29410 ) M1M2_PR
NEW met1 ( 2225250 29410 ) M1M2_PR
NEW met1 ( 504850 2749410 ) M1M2_PR
NEW met1 ( 1273050 2749410 ) M1M2_PR ;
- la_data_in[91] ( PIN la_data_in[91] ) ( chip_controller la_data_in[91] ) + USE SIGNAL
+ ROUTED met2 ( 2243190 2380 0 ) ( * 31110 )
NEW met1 ( 537970 31110 ) ( 2243190 * )
NEW met2 ( 536590 2278340 ) ( 537970 * )
NEW met2 ( 536590 2278340 ) ( * 2300100 )
NEW met2 ( 535670 2300100 0 ) ( 536590 * )
NEW met2 ( 537970 31110 ) ( * 2278340 )
NEW met1 ( 2243190 31110 ) M1M2_PR
NEW met1 ( 537970 31110 ) M1M2_PR ;
- la_data_in[92] ( PIN la_data_in[92] ) ( chip_controller la_data_in[92] ) + USE SIGNAL
+ ROUTED met3 ( 510830 2700620 ) ( 513820 * )
NEW met2 ( 510830 2699940 ) ( * 2700620 )
NEW met2 ( 510830 2699940 ) ( 511290 * 0 )
NEW met4 ( 513820 46580 ) ( * 2700620 )
NEW met3 ( 513820 46580 ) ( 2260670 * )
NEW met2 ( 2260670 2380 0 ) ( * 46580 )
NEW met3 ( 513820 2700620 ) M3M4_PR_M
NEW met2 ( 510830 2700620 ) M2M3_PR_M
NEW met3 ( 513820 46580 ) M3M4_PR_M
NEW met2 ( 2260670 46580 ) M2M3_PR_M ;
- la_data_in[93] ( PIN la_data_in[93] ) ( chip_controller la_data_in[93] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2636190 ) ( * 2638060 )
NEW met3 ( 186990 2638060 ) ( 201020 * )
NEW met3 ( 201020 2638060 ) ( * 2638740 0 )
NEW met1 ( 174570 2636190 ) ( 186990 * )
NEW met2 ( 174570 58990 ) ( * 2636190 )
NEW met1 ( 174570 58990 ) ( 2278610 * )
NEW met2 ( 2278610 2380 0 ) ( * 58990 )
NEW met1 ( 186990 2636190 ) M1M2_PR
NEW met2 ( 186990 2638060 ) M2M3_PR_M
NEW met1 ( 174570 2636190 ) M1M2_PR
NEW met1 ( 174570 58990 ) M1M2_PR
NEW met1 ( 2278610 58990 ) M1M2_PR ;
- la_data_in[94] ( PIN la_data_in[94] ) ( chip_controller la_data_in[94] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2616300 0 ) ( 607430 * )
NEW met2 ( 607430 2615790 ) ( * 2616300 )
NEW met2 ( 976810 114750 ) ( * 2615790 )
NEW met1 ( 607430 2615790 ) ( 976810 * )
NEW met1 ( 976810 114750 ) ( 2291030 * )
NEW met2 ( 2291030 82800 ) ( * 114750 )
NEW met2 ( 2291030 82800 ) ( 2296090 * )
NEW met2 ( 2296090 2380 0 ) ( * 82800 )
NEW met2 ( 607430 2616300 ) M2M3_PR_M
NEW met1 ( 607430 2615790 ) M1M2_PR
NEW met1 ( 976810 114750 ) M1M2_PR
NEW met1 ( 976810 2615790 ) M1M2_PR
NEW met1 ( 2291030 114750 ) M1M2_PR ;
- la_data_in[95] ( PIN la_data_in[95] ) ( chip_controller la_data_in[95] ) + USE SIGNAL
+ ROUTED met2 ( 2314030 2380 0 ) ( * 3060 )
NEW met2 ( 2313110 3060 ) ( 2314030 * )
NEW met2 ( 2313110 2380 ) ( * 3060 )
NEW met2 ( 2311730 2380 ) ( 2313110 * )
NEW met2 ( 189750 2642990 ) ( * 2643500 )
NEW met3 ( 189750 2643500 ) ( 201020 * )
NEW met3 ( 201020 2643500 ) ( * 2644180 0 )
NEW met2 ( 2311730 2380 ) ( * 58650 )
NEW met2 ( 144670 58650 ) ( * 2642990 )
NEW met1 ( 144670 2642990 ) ( 189750 * )
NEW met1 ( 144670 58650 ) ( 2311730 * )
NEW met1 ( 189750 2642990 ) M1M2_PR
NEW met2 ( 189750 2643500 ) M2M3_PR_M
NEW met1 ( 2311730 58650 ) M1M2_PR
NEW met1 ( 144670 58650 ) M1M2_PR
NEW met1 ( 144670 2642990 ) M1M2_PR ;
- la_data_in[96] ( PIN la_data_in[96] ) ( chip_controller la_data_in[96] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2619020 0 ) ( 608350 * )
NEW met2 ( 608350 2615450 ) ( * 2619020 )
NEW met2 ( 2331510 2380 0 ) ( * 17510 )
NEW met1 ( 2325530 17510 ) ( 2331510 * )
NEW met2 ( 2325530 17510 ) ( * 121550 )
NEW met1 ( 608350 2615450 ) ( 1025110 * )
NEW met1 ( 1025110 121550 ) ( 2325530 * )
NEW met2 ( 1025110 121550 ) ( * 2615450 )
NEW met2 ( 608350 2619020 ) M2M3_PR_M
NEW met1 ( 608350 2615450 ) M1M2_PR
NEW met1 ( 2331510 17510 ) M1M2_PR
NEW met1 ( 2325530 17510 ) M1M2_PR
NEW met1 ( 2325530 121550 ) M1M2_PR
NEW met1 ( 1025110 121550 ) M1M2_PR
NEW met1 ( 1025110 2615450 ) M1M2_PR ;
- la_data_in[97] ( PIN la_data_in[97] ) ( chip_controller la_data_in[97] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2643330 ) ( * 2646900 )
NEW met3 ( 187910 2646900 ) ( 201020 * )
NEW met3 ( 201020 2646900 ) ( * 2647580 0 )
NEW met2 ( 2346230 82800 ) ( * 113730 )
NEW met2 ( 2346230 82800 ) ( 2349450 * )
NEW met2 ( 2349450 2380 0 ) ( * 82800 )
NEW met1 ( 150650 113730 ) ( 2346230 * )
NEW met2 ( 150650 113730 ) ( * 2643330 )
NEW met1 ( 150650 2643330 ) ( 187910 * )
NEW met1 ( 2346230 113730 ) M1M2_PR
NEW met1 ( 187910 2643330 ) M1M2_PR
NEW met2 ( 187910 2646900 ) M2M3_PR_M
NEW met1 ( 150650 113730 ) M1M2_PR
NEW met1 ( 150650 2643330 ) M1M2_PR ;
- la_data_in[98] ( PIN la_data_in[98] ) ( chip_controller la_data_in[98] ) + USE SIGNAL
+ ROUTED met3 ( 523710 2700620 ) ( 523940 * )
NEW met2 ( 523710 2699940 ) ( * 2700620 )
NEW met2 ( 523710 2699940 ) ( 524170 * 0 )
NEW met4 ( 523940 45220 ) ( * 2700620 )
NEW met3 ( 523940 45220 ) ( 2367390 * )
NEW met2 ( 2367390 2380 0 ) ( * 45220 )
NEW met3 ( 523940 2700620 ) M3M4_PR_M
NEW met2 ( 523710 2700620 ) M2M3_PR_M
NEW met3 ( 523940 45220 ) M3M4_PR_M
NEW met2 ( 2367390 45220 ) M2M3_PR_M
NEW met3 ( 523940 2700620 ) RECT ( 0 -150 390 150 ) ;
- la_data_in[99] ( PIN la_data_in[99] ) ( chip_controller la_data_in[99] ) + USE SIGNAL
+ ROUTED met3 ( 530610 2702660 ) ( 541420 * )
NEW met2 ( 530610 2699940 ) ( * 2702660 )
NEW met2 ( 530610 2699940 ) ( 531070 * 0 )
NEW met4 ( 541420 45900 ) ( * 2702660 )
NEW met3 ( 541420 45900 ) ( 2384870 * )
NEW met2 ( 2384870 2380 0 ) ( * 45900 )
NEW met3 ( 541420 2702660 ) M3M4_PR_M
NEW met2 ( 530610 2702660 ) M2M3_PR_M
NEW met3 ( 541420 45900 ) M3M4_PR_M
NEW met2 ( 2384870 45900 ) M2M3_PR_M ;
- la_data_in[9] ( PIN la_data_in[9] ) ( chip_controller la_data_in[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2364700 0 ) ( 607890 * )
NEW met2 ( 607890 2360450 ) ( * 2364700 )
NEW met2 ( 786830 82800 ) ( 789130 * )
NEW met2 ( 789130 2380 0 ) ( * 82800 )
NEW met2 ( 786830 82800 ) ( * 2360450 )
NEW met1 ( 607890 2360450 ) ( 786830 * )
NEW met2 ( 607890 2364700 ) M2M3_PR_M
NEW met1 ( 607890 2360450 ) M1M2_PR
NEW met1 ( 786830 2360450 ) M1M2_PR ;
- la_data_out[0] ( PIN la_data_out[0] ) ( chip_controller la_data_out[0] ) + USE SIGNAL
+ ROUTED met3 ( 189750 2305540 ) ( 201020 * )
NEW met3 ( 201020 2305540 ) ( * 2306220 0 )
NEW met1 ( 414690 18190 ) ( * 18870 )
NEW met2 ( 189750 2297210 ) ( * 2305540 )
NEW met2 ( 293250 18190 ) ( * 2297210 )
NEW met1 ( 293250 18190 ) ( 324300 * )
NEW met1 ( 324300 18190 ) ( * 18870 )
NEW met1 ( 324300 18870 ) ( 414690 * )
NEW met2 ( 635030 2380 0 ) ( * 18190 )
NEW met1 ( 414690 18190 ) ( 635030 * )
NEW met1 ( 189750 2297210 ) ( 293250 * )
NEW met2 ( 189750 2305540 ) M2M3_PR_M
NEW met1 ( 293250 18190 ) M1M2_PR
NEW met1 ( 189750 2297210 ) M1M2_PR
NEW met1 ( 293250 2297210 ) M1M2_PR
NEW met1 ( 635030 18190 ) M1M2_PR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( chip_controller la_data_out[100] ) + USE SIGNAL
+ ROUTED met1 ( 181930 2649790 ) ( 191130 * )
NEW met2 ( 191130 2649790 ) ( * 2651660 )
NEW met3 ( 191130 2651660 ) ( 200100 * )
NEW met3 ( 200100 2650980 0 ) ( * 2651660 )
NEW met2 ( 181930 169150 ) ( * 2649790 )
NEW met2 ( 2408330 82800 ) ( 2408790 * )
NEW met2 ( 2408790 2380 0 ) ( * 82800 )
NEW met2 ( 2408330 82800 ) ( * 169150 )
NEW met1 ( 181930 169150 ) ( 2408330 * )
NEW met1 ( 181930 169150 ) M1M2_PR
NEW met1 ( 181930 2649790 ) M1M2_PR
NEW met1 ( 191130 2649790 ) M1M2_PR
NEW met2 ( 191130 2651660 ) M2M3_PR_M
NEW met1 ( 2408330 169150 ) M1M2_PR ;
- la_data_out[101] ( PIN la_data_out[101] ) ( chip_controller la_data_out[101] ) + USE SIGNAL
+ ROUTED met2 ( 2426270 2380 0 ) ( * 30770 )
NEW met1 ( 558210 30770 ) ( 2426270 * )
NEW met1 ( 552230 2285310 ) ( 558210 * )
NEW met2 ( 552230 2285310 ) ( * 2300100 0 )
NEW met2 ( 558210 30770 ) ( * 2285310 )
NEW met1 ( 2426270 30770 ) M1M2_PR
NEW met1 ( 558210 30770 ) M1M2_PR
NEW met1 ( 558210 2285310 ) M1M2_PR
NEW met1 ( 552230 2285310 ) M1M2_PR ;
- la_data_out[102] ( PIN la_data_out[102] ) ( chip_controller la_data_out[102] ) + USE SIGNAL
+ ROUTED li1 ( 2252850 203150 ) ( * 205190 )
NEW met2 ( 2444210 2380 0 ) ( * 17170 )
NEW met2 ( 2252850 17170 ) ( * 203150 )
NEW met1 ( 556830 205190 ) ( 2252850 * )
NEW met1 ( 2252850 17170 ) ( 2444210 * )
NEW met2 ( 556830 2300100 ) ( 557290 * 0 )
NEW met2 ( 556830 205190 ) ( * 2300100 )
NEW met1 ( 2252850 17170 ) M1M2_PR
NEW li1 ( 2252850 205190 ) L1M1_PR_MR
NEW li1 ( 2252850 203150 ) L1M1_PR_MR
NEW met1 ( 2252850 203150 ) M1M2_PR
NEW met1 ( 2444210 17170 ) M1M2_PR
NEW met1 ( 556830 205190 ) M1M2_PR
NEW met1 ( 2252850 203150 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[103] ( PIN la_data_out[103] ) ( chip_controller la_data_out[103] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2636020 0 ) ( 607430 * )
NEW met2 ( 607430 2636020 ) ( * 2636870 )
NEW met2 ( 983250 33150 ) ( * 2636870 )
NEW met1 ( 607430 2636870 ) ( 983250 * )
NEW met2 ( 2461690 2380 0 ) ( * 33150 )
NEW met1 ( 983250 33150 ) ( 2461690 * )
NEW met2 ( 607430 2636020 ) M2M3_PR_M
NEW met1 ( 607430 2636870 ) M1M2_PR
NEW met1 ( 983250 33150 ) M1M2_PR
NEW met1 ( 983250 2636870 ) M1M2_PR
NEW met1 ( 2461690 33150 ) M1M2_PR ;
- la_data_out[104] ( PIN la_data_out[104] ) ( chip_controller la_data_out[104] ) + USE SIGNAL
+ ROUTED met2 ( 1273510 44030 ) ( * 2750090 )
NEW met2 ( 539810 2699940 ) ( 541190 * 0 )
NEW met2 ( 539810 2699940 ) ( * 2700620 )
NEW met2 ( 539350 2700620 ) ( 539810 * )
NEW met2 ( 539350 2700620 ) ( * 2750090 )
NEW met1 ( 539350 2750090 ) ( 1273510 * )
NEW met1 ( 1273510 44030 ) ( 2479630 * )
NEW met2 ( 2479630 2380 0 ) ( * 44030 )
NEW met1 ( 1273510 44030 ) M1M2_PR
NEW met1 ( 1273510 2750090 ) M1M2_PR
NEW met1 ( 539350 2750090 ) M1M2_PR
NEW met1 ( 2479630 44030 ) M1M2_PR ;
- la_data_out[105] ( PIN la_data_out[105] ) ( chip_controller la_data_out[105] ) + USE SIGNAL
+ ROUTED met2 ( 1273970 44370 ) ( * 2749750 )
NEW met2 ( 541650 2699940 ) ( 542570 * 0 )
NEW met2 ( 541650 2699940 ) ( * 2749750 )
NEW met1 ( 541650 2749750 ) ( 1273970 * )
NEW met1 ( 1273970 44370 ) ( 2497110 * )
NEW met2 ( 2497110 2380 0 ) ( * 44370 )
NEW met1 ( 1273970 44370 ) M1M2_PR
NEW met1 ( 1273970 2749750 ) M1M2_PR
NEW met1 ( 541650 2749750 ) M1M2_PR
NEW met1 ( 2497110 44370 ) M1M2_PR ;
- la_data_out[106] ( PIN la_data_out[106] ) ( chip_controller la_data_out[106] ) + USE SIGNAL
+ ROUTED met2 ( 1274430 48110 ) ( * 2750430 )
NEW met2 ( 2515050 2380 0 ) ( * 48110 )
NEW met2 ( 548090 2699940 ) ( 549470 * 0 )
NEW met2 ( 548090 2699940 ) ( * 2718470 )
NEW met1 ( 545790 2718470 ) ( 548090 * )
NEW met2 ( 545790 2718470 ) ( * 2750430 )
NEW met1 ( 545790 2750430 ) ( 1274430 * )
NEW met1 ( 1274430 48110 ) ( 2515050 * )
NEW met1 ( 1274430 48110 ) M1M2_PR
NEW met1 ( 1274430 2750430 ) M1M2_PR
NEW met1 ( 2515050 48110 ) M1M2_PR
NEW met1 ( 548090 2718470 ) M1M2_PR
NEW met1 ( 545790 2718470 ) M1M2_PR
NEW met1 ( 545790 2750430 ) M1M2_PR ;
- la_data_out[107] ( PIN la_data_out[107] ) ( chip_controller la_data_out[107] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2644180 0 ) ( 607430 * )
NEW met2 ( 607430 2643670 ) ( * 2644180 )
NEW met2 ( 2532530 2380 0 ) ( * 156910 )
NEW met1 ( 607430 2643670 ) ( 1045810 * )
NEW met2 ( 1045810 156910 ) ( * 2643670 )
NEW met1 ( 1045810 156910 ) ( 2532530 * )
NEW met2 ( 607430 2644180 ) M2M3_PR_M
NEW met1 ( 607430 2643670 ) M1M2_PR
NEW met1 ( 2532530 156910 ) M1M2_PR
NEW met1 ( 1045810 156910 ) M1M2_PR
NEW met1 ( 1045810 2643670 ) M1M2_PR ;
- la_data_out[108] ( PIN la_data_out[108] ) ( chip_controller la_data_out[108] ) + USE SIGNAL
+ ROUTED met3 ( 557290 2700620 ) ( 557980 * )
NEW met2 ( 557290 2699260 ) ( * 2700620 )
NEW met2 ( 555910 2699260 0 ) ( 557290 * )
NEW met3 ( 557980 44540 ) ( 2550470 * )
NEW met2 ( 2550470 2380 0 ) ( * 44540 )
NEW met4 ( 557980 44540 ) ( * 2700620 )
NEW met3 ( 557980 2700620 ) M3M4_PR_M
NEW met2 ( 557290 2700620 ) M2M3_PR_M
NEW met3 ( 557980 44540 ) M3M4_PR_M
NEW met2 ( 2550470 44540 ) M2M3_PR_M ;
- la_data_out[109] ( PIN la_data_out[109] ) ( chip_controller la_data_out[109] ) + USE SIGNAL
+ ROUTED met1 ( 576150 86530 ) ( 2567030 * )
NEW met2 ( 2567030 82800 ) ( * 86530 )
NEW met2 ( 2567030 82800 ) ( 2567950 * )
NEW met2 ( 2567950 2380 0 ) ( * 82800 )
NEW met1 ( 570170 2283950 ) ( 576150 * )
NEW met2 ( 570170 2283950 ) ( * 2300100 )
NEW met2 ( 568790 2300100 0 ) ( 570170 * )
NEW met2 ( 576150 86530 ) ( * 2283950 )
NEW met1 ( 576150 86530 ) M1M2_PR
NEW met1 ( 2567030 86530 ) M1M2_PR
NEW met1 ( 576150 2283950 ) M1M2_PR
NEW met1 ( 570170 2283950 ) M1M2_PR ;
- la_data_out[10] ( PIN la_data_out[10] ) ( chip_controller la_data_out[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2374900 0 ) ( 607430 * )
NEW met2 ( 607430 2373710 ) ( * 2374900 )
NEW met2 ( 812590 2380 0 ) ( * 3060 )
NEW met2 ( 811670 3060 ) ( 812590 * )
NEW met2 ( 811670 2380 ) ( * 3060 )
NEW met2 ( 810290 2380 ) ( 811670 * )
NEW met2 ( 807530 82800 ) ( 810290 * )
NEW met2 ( 810290 2380 ) ( * 82800 )
NEW met1 ( 607430 2373710 ) ( 807530 * )
NEW met2 ( 807530 82800 ) ( * 2373710 )
NEW met2 ( 607430 2374900 ) M2M3_PR_M
NEW met1 ( 607430 2373710 ) M1M2_PR
NEW met1 ( 807530 2373710 ) M1M2_PR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( chip_controller la_data_out[110] ) + USE SIGNAL
+ ROUTED met2 ( 1274890 47770 ) ( * 2733940 )
NEW met2 ( 562350 2699260 0 ) ( 563730 * )
NEW met2 ( 563730 2699260 ) ( * 2733940 )
NEW met3 ( 563730 2733940 ) ( 1274890 * )
NEW met1 ( 1274890 47770 ) ( 2585890 * )
NEW met2 ( 2585890 2380 0 ) ( * 47770 )
NEW met2 ( 1274890 2733940 ) M2M3_PR_M
NEW met1 ( 1274890 47770 ) M1M2_PR
NEW met2 ( 563730 2733940 ) M2M3_PR_M
NEW met1 ( 2585890 47770 ) M1M2_PR ;
- la_data_out[111] ( PIN la_data_out[111] ) ( chip_controller la_data_out[111] ) + USE SIGNAL
+ ROUTED met2 ( 2603830 2380 0 ) ( * 3060 )
NEW met2 ( 2602910 3060 ) ( 2603830 * )
NEW met2 ( 2602910 2380 ) ( * 3060 )
NEW met2 ( 2601530 2380 ) ( 2602910 * )
NEW met3 ( 599380 2659140 0 ) ( 607430 * )
NEW met2 ( 607430 2657610 ) ( * 2659140 )
NEW met2 ( 1073870 155890 ) ( * 2657610 )
NEW met2 ( 2601530 2380 ) ( * 155890 )
NEW met1 ( 607430 2657610 ) ( 1073870 * )
NEW met1 ( 1073870 155890 ) ( 2601530 * )
NEW met2 ( 607430 2659140 ) M2M3_PR_M
NEW met1 ( 607430 2657610 ) M1M2_PR
NEW met1 ( 1073870 155890 ) M1M2_PR
NEW met1 ( 1073870 2657610 ) M1M2_PR
NEW met1 ( 2601530 155890 ) M1M2_PR ;
- la_data_out[112] ( PIN la_data_out[112] ) ( chip_controller la_data_out[112] ) + USE SIGNAL
+ ROUTED met2 ( 2621310 2380 0 ) ( * 25330 )
NEW met3 ( 599380 2663900 0 ) ( 607430 * )
NEW met2 ( 607430 2663900 ) ( * 2664070 )
NEW met1 ( 1142410 25330 ) ( 2621310 * )
NEW met1 ( 607430 2664070 ) ( 1142410 * )
NEW met2 ( 1142410 25330 ) ( * 2664070 )
NEW met1 ( 2621310 25330 ) M1M2_PR
NEW met2 ( 607430 2663900 ) M2M3_PR_M
NEW met1 ( 607430 2664070 ) M1M2_PR
NEW met1 ( 1142410 25330 ) M1M2_PR
NEW met1 ( 1142410 2664070 ) M1M2_PR ;
- la_data_out[113] ( PIN la_data_out[113] ) ( chip_controller la_data_out[113] ) + USE SIGNAL
+ ROUTED met2 ( 572470 2699260 0 ) ( 572930 * )
NEW met2 ( 572930 2699260 ) ( * 2700620 )
NEW met2 ( 572470 2700620 ) ( 572930 * )
NEW met2 ( 572470 2700620 ) ( * 2716090 )
NEW met1 ( 566950 2716090 ) ( 572470 * )
NEW met2 ( 566950 2716090 ) ( * 2750770 )
NEW met2 ( 1259250 47430 ) ( * 2750770 )
NEW met2 ( 2639250 2380 0 ) ( * 47430 )
NEW met1 ( 566950 2750770 ) ( 1259250 * )
NEW met1 ( 1259250 47430 ) ( 2639250 * )
NEW met1 ( 572470 2716090 ) M1M2_PR
NEW met1 ( 566950 2716090 ) M1M2_PR
NEW met1 ( 566950 2750770 ) M1M2_PR
NEW met1 ( 1259250 47430 ) M1M2_PR
NEW met1 ( 1259250 2750770 ) M1M2_PR
NEW met1 ( 2639250 47430 ) M1M2_PR ;
- la_data_out[114] ( PIN la_data_out[114] ) ( chip_controller la_data_out[114] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2668660 0 ) ( 607430 * )
NEW met2 ( 607430 2664750 ) ( * 2668660 )
NEW met2 ( 2656730 2380 0 ) ( * 24990 )
NEW met1 ( 1101010 24990 ) ( 2656730 * )
NEW met1 ( 607430 2664750 ) ( 1101010 * )
NEW met2 ( 1101010 24990 ) ( * 2664750 )
NEW met2 ( 607430 2668660 ) M2M3_PR_M
NEW met1 ( 607430 2664750 ) M1M2_PR
NEW met1 ( 1101010 24990 ) M1M2_PR
NEW met1 ( 2656730 24990 ) M1M2_PR
NEW met1 ( 1101010 2664750 ) M1M2_PR ;
- la_data_out[115] ( PIN la_data_out[115] ) ( chip_controller la_data_out[115] ) + USE SIGNAL
+ ROUTED li1 ( 2060570 203150 ) ( * 205870 )
NEW met2 ( 2059650 18190 ) ( * 131100 )
NEW met2 ( 2059650 131100 ) ( 2060570 * )
NEW met2 ( 2060570 131100 ) ( * 203150 )
NEW met1 ( 572010 205870 ) ( 2060570 * )
NEW met2 ( 2674670 2380 0 ) ( * 18190 )
NEW met1 ( 2059650 18190 ) ( 2674670 * )
NEW met2 ( 572010 2300100 ) ( 572470 * 0 )
NEW met2 ( 572010 205870 ) ( * 2300100 )
NEW met1 ( 572010 205870 ) M1M2_PR
NEW met1 ( 2059650 18190 ) M1M2_PR
NEW li1 ( 2060570 205870 ) L1M1_PR_MR
NEW li1 ( 2060570 203150 ) L1M1_PR_MR
NEW met1 ( 2060570 203150 ) M1M2_PR
NEW met1 ( 2674670 18190 ) M1M2_PR
NEW met1 ( 2060570 203150 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[116] ( PIN la_data_out[116] ) ( chip_controller la_data_out[116] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2671550 ) ( * 2673420 )
NEW met3 ( 189750 2673420 ) ( 200100 * )
NEW met3 ( 200100 2672740 0 ) ( * 2673420 )
NEW met2 ( 2691230 82800 ) ( 2692150 * )
NEW met2 ( 2692150 2380 0 ) ( * 82800 )
NEW met2 ( 2691230 82800 ) ( * 155210 )
NEW met2 ( 144210 155210 ) ( * 2671550 )
NEW met1 ( 144210 2671550 ) ( 189750 * )
NEW met1 ( 144210 155210 ) ( 2691230 * )
NEW met1 ( 189750 2671550 ) M1M2_PR
NEW met2 ( 189750 2673420 ) M2M3_PR_M
NEW met1 ( 2691230 155210 ) M1M2_PR
NEW met1 ( 144210 155210 ) M1M2_PR
NEW met1 ( 144210 2671550 ) M1M2_PR ;
- la_data_out[117] ( PIN la_data_out[117] ) ( chip_controller la_data_out[117] ) + USE SIGNAL
+ ROUTED met2 ( 577530 2699260 0 ) ( 578450 * )
NEW met2 ( 578450 2699260 ) ( * 2712860 )
NEW met2 ( 666770 128010 ) ( * 2712860 )
NEW met2 ( 2705030 82800 ) ( * 128010 )
NEW met2 ( 2705030 82800 ) ( 2710090 * )
NEW met2 ( 2710090 2380 0 ) ( * 82800 )
NEW met3 ( 578450 2712860 ) ( 666770 * )
NEW met1 ( 666770 128010 ) ( 2705030 * )
NEW met2 ( 578450 2712860 ) M2M3_PR_M
NEW met1 ( 666770 128010 ) M1M2_PR
NEW met2 ( 666770 2712860 ) M2M3_PR_M
NEW met1 ( 2705030 128010 ) M1M2_PR ;
- la_data_out[118] ( PIN la_data_out[118] ) ( chip_controller la_data_out[118] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2680220 0 ) ( 608350 * )
NEW met2 ( 608350 2677670 ) ( * 2680220 )
NEW met2 ( 990150 51510 ) ( * 2677670 )
NEW met2 ( 2727570 2380 0 ) ( * 51510 )
NEW met1 ( 608350 2677670 ) ( 990150 * )
NEW met1 ( 990150 51510 ) ( 2727570 * )
NEW met2 ( 608350 2680220 ) M2M3_PR_M
NEW met1 ( 608350 2677670 ) M1M2_PR
NEW met1 ( 990150 51510 ) M1M2_PR
NEW met1 ( 990150 2677670 ) M1M2_PR
NEW met1 ( 2727570 51510 ) M1M2_PR ;
- la_data_out[119] ( PIN la_data_out[119] ) ( chip_controller la_data_out[119] ) + USE SIGNAL
+ ROUTED met1 ( 579370 38590 ) ( 2745510 * )
NEW met2 ( 2745510 2380 0 ) ( * 38590 )
NEW met1 ( 577530 2288370 ) ( 579370 * )
NEW met2 ( 577530 2288370 ) ( * 2300100 0 )
NEW met2 ( 579370 38590 ) ( * 2288370 )
NEW met1 ( 579370 38590 ) M1M2_PR
NEW met1 ( 2745510 38590 ) M1M2_PR
NEW met1 ( 579370 2288370 ) M1M2_PR
NEW met1 ( 577530 2288370 ) M1M2_PR ;
- la_data_out[11] ( PIN la_data_out[11] ) ( chip_controller la_data_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2374050 ) ( * 2374220 )
NEW met3 ( 188830 2374220 ) ( 201020 * )
NEW met3 ( 201020 2374220 ) ( * 2374900 0 )
NEW met2 ( 178250 2293810 ) ( * 2374050 )
NEW met1 ( 178250 2374050 ) ( 188830 * )
NEW met2 ( 828230 82800 ) ( 830530 * )
NEW met2 ( 830530 2380 0 ) ( * 82800 )
NEW met2 ( 828230 82800 ) ( * 2293810 )
NEW met1 ( 178250 2293810 ) ( 828230 * )
NEW met1 ( 188830 2374050 ) M1M2_PR
NEW met2 ( 188830 2374220 ) M2M3_PR_M
NEW met1 ( 178250 2293810 ) M1M2_PR
NEW met1 ( 178250 2374050 ) M1M2_PR
NEW met1 ( 828230 2293810 ) M1M2_PR ;
- la_data_out[120] ( PIN la_data_out[120] ) ( chip_controller la_data_out[120] ) + USE SIGNAL
+ ROUTED met2 ( 582590 2699260 0 ) ( 583510 * )
NEW met2 ( 583510 2699260 ) ( * 2714900 )
NEW met2 ( 686550 65450 ) ( * 2714900 )
NEW met3 ( 583510 2714900 ) ( 686550 * )
NEW met1 ( 686550 65450 ) ( 2763450 * )
NEW met2 ( 2763450 2380 0 ) ( * 65450 )
NEW met2 ( 583510 2714900 ) M2M3_PR_M
NEW met2 ( 686550 2714900 ) M2M3_PR_M
NEW met1 ( 686550 65450 ) M1M2_PR
NEW met1 ( 2763450 65450 ) M1M2_PR ;
- la_data_out[121] ( PIN la_data_out[121] ) ( chip_controller la_data_out[121] ) + USE SIGNAL
+ ROUTED met1 ( 585350 38250 ) ( 2780930 * )
NEW met2 ( 2780930 2380 0 ) ( * 38250 )
NEW met2 ( 583510 2287860 ) ( 585350 * )
NEW met2 ( 583510 2287860 ) ( * 2300100 )
NEW met2 ( 582130 2300100 0 ) ( 583510 * )
NEW met2 ( 585350 38250 ) ( * 2287860 )
NEW met1 ( 585350 38250 ) M1M2_PR
NEW met1 ( 2780930 38250 ) M1M2_PR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( chip_controller la_data_out[122] ) + USE SIGNAL
+ ROUTED met2 ( 2798870 2380 0 ) ( * 37910 )
NEW met1 ( 585810 37910 ) ( 2798870 * )
NEW met2 ( 585810 2291940 ) ( 586730 * )
NEW met2 ( 586730 2291940 ) ( * 2293980 )
NEW met2 ( 586270 2293980 ) ( 586730 * )
NEW met2 ( 586270 2293980 ) ( * 2300100 )
NEW met2 ( 585810 2300100 0 ) ( 586270 * )
NEW met2 ( 585810 37910 ) ( * 2291940 )
NEW met1 ( 585810 37910 ) M1M2_PR
NEW met1 ( 2798870 37910 ) M1M2_PR ;
- la_data_out[123] ( PIN la_data_out[123] ) ( chip_controller la_data_out[123] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2691270 ) ( * 2692460 )
NEW met3 ( 187910 2692460 ) ( 201020 * )
NEW met3 ( 201020 2692460 ) ( * 2693140 0 )
NEW met2 ( 2815430 82800 ) ( 2816350 * )
NEW met2 ( 2816350 2380 0 ) ( * 82800 )
NEW met2 ( 2815430 82800 ) ( * 1805230 )
NEW met1 ( 168130 2691270 ) ( 187910 * )
NEW met2 ( 168130 1805230 ) ( * 2691270 )
NEW met1 ( 168130 1805230 ) ( 2815430 * )
NEW met1 ( 187910 2691270 ) M1M2_PR
NEW met2 ( 187910 2692460 ) M2M3_PR_M
NEW met1 ( 2815430 1805230 ) M1M2_PR
NEW met1 ( 168130 2691270 ) M1M2_PR
NEW met1 ( 168130 1805230 ) M1M2_PR ;
- la_data_out[124] ( PIN la_data_out[124] ) ( chip_controller la_data_out[124] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2695180 0 ) ( 607890 * )
NEW met2 ( 607890 2691950 ) ( * 2695180 )
NEW met2 ( 1356310 1702210 ) ( * 2691950 )
NEW met2 ( 2829230 82800 ) ( 2834290 * )
NEW met2 ( 2834290 2380 0 ) ( * 82800 )
NEW met2 ( 2829230 82800 ) ( * 1702210 )
NEW met1 ( 607890 2691950 ) ( 1356310 * )
NEW met1 ( 1356310 1702210 ) ( 2829230 * )
NEW met2 ( 607890 2695180 ) M2M3_PR_M
NEW met1 ( 607890 2691950 ) M1M2_PR
NEW met1 ( 1356310 2691950 ) M1M2_PR
NEW met1 ( 1356310 1702210 ) M1M2_PR
NEW met1 ( 2829230 1702210 ) M1M2_PR ;
- la_data_out[125] ( PIN la_data_out[125] ) ( chip_controller la_data_out[125] ) + USE SIGNAL
+ ROUTED met2 ( 592250 2699260 0 ) ( 593170 * )
NEW met2 ( 593170 2699260 ) ( * 2713540 )
NEW met3 ( 593170 2713540 ) ( 631810 * )
NEW met2 ( 631810 1778030 ) ( * 2713540 )
NEW met2 ( 2849930 82800 ) ( 2851770 * )
NEW met2 ( 2851770 2380 0 ) ( * 82800 )
NEW met1 ( 631810 1778030 ) ( 2849930 * )
NEW met2 ( 2849930 82800 ) ( * 1778030 )
NEW met2 ( 593170 2713540 ) M2M3_PR_M
NEW met2 ( 631810 2713540 ) M2M3_PR_M
NEW met1 ( 631810 1778030 ) M1M2_PR
NEW met1 ( 2849930 1778030 ) M1M2_PR ;
- la_data_out[126] ( PIN la_data_out[126] ) ( chip_controller la_data_out[126] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2697220 0 ) ( 608350 * )
NEW met2 ( 608350 2691610 ) ( * 2697220 )
NEW met2 ( 1446010 1703230 ) ( * 2691610 )
NEW met1 ( 608350 2691610 ) ( 1446010 * )
NEW met2 ( 2869710 2380 0 ) ( * 3060 )
NEW met2 ( 2868790 3060 ) ( 2869710 * )
NEW met2 ( 2868790 2380 ) ( * 3060 )
NEW met2 ( 2867410 2380 ) ( 2868790 * )
NEW met2 ( 2863730 82800 ) ( 2867410 * )
NEW met2 ( 2867410 2380 ) ( * 82800 )
NEW met1 ( 1446010 1703230 ) ( 2863730 * )
NEW met2 ( 2863730 82800 ) ( * 1703230 )
NEW met2 ( 608350 2697220 ) M2M3_PR_M
NEW met1 ( 608350 2691610 ) M1M2_PR
NEW met1 ( 1446010 2691610 ) M1M2_PR
NEW met1 ( 1446010 1703230 ) M1M2_PR
NEW met1 ( 2863730 1703230 ) M1M2_PR ;
- la_data_out[127] ( PIN la_data_out[127] ) ( chip_controller la_data_out[127] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2698580 0 ) ( 607430 * )
NEW met2 ( 607430 2698580 ) ( * 2698750 )
NEW met2 ( 2887190 2380 0 ) ( * 3060 )
NEW met2 ( 2886270 3060 ) ( 2887190 * )
NEW met2 ( 2886270 2380 ) ( * 3060 )
NEW met2 ( 2884890 2380 ) ( 2886270 * )
NEW met2 ( 2884890 2380 ) ( * 72250 )
NEW met1 ( 607430 2698750 ) ( 756010 * )
NEW met2 ( 756010 72250 ) ( * 2698750 )
NEW met1 ( 756010 72250 ) ( 2884890 * )
NEW met2 ( 607430 2698580 ) M2M3_PR_M
NEW met1 ( 607430 2698750 ) M1M2_PR
NEW met1 ( 2884890 72250 ) M1M2_PR
NEW met1 ( 756010 2698750 ) M1M2_PR
NEW met1 ( 756010 72250 ) M1M2_PR ;
- la_data_out[12] ( PIN la_data_out[12] ) ( chip_controller la_data_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 303830 2708610 ) ( * 2712010 )
NEW met2 ( 268410 2699940 ) ( 268870 * 0 )
NEW met2 ( 268410 2699940 ) ( * 2712010 )
NEW met1 ( 268410 2712010 ) ( 303830 * )
NEW met1 ( 842030 58310 ) ( 848010 * )
NEW met2 ( 848010 2380 0 ) ( * 58310 )
NEW met2 ( 842030 58310 ) ( * 2708610 )
NEW met1 ( 303830 2708610 ) ( 842030 * )
NEW met1 ( 303830 2712010 ) M1M2_PR
NEW met1 ( 303830 2708610 ) M1M2_PR
NEW met1 ( 268410 2712010 ) M1M2_PR
NEW met1 ( 842030 2708610 ) M1M2_PR
NEW met1 ( 842030 58310 ) M1M2_PR
NEW met1 ( 848010 58310 ) M1M2_PR ;
- la_data_out[13] ( PIN la_data_out[13] ) ( chip_controller la_data_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 316250 2300100 0 ) ( 317170 * )
NEW met2 ( 317170 37570 ) ( * 2300100 )
NEW met2 ( 865950 2380 0 ) ( * 37570 )
NEW met1 ( 317170 37570 ) ( 865950 * )
NEW met1 ( 317170 37570 ) M1M2_PR
NEW met1 ( 865950 37570 ) M1M2_PR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( chip_controller la_data_out[14] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2394620 0 ) ( 607430 * )
NEW met2 ( 607430 2394620 ) ( * 2394790 )
NEW met2 ( 883430 2380 0 ) ( * 2394790 )
NEW met1 ( 607430 2394790 ) ( 883430 * )
NEW met2 ( 607430 2394620 ) M2M3_PR_M
NEW met1 ( 607430 2394790 ) M1M2_PR
NEW met1 ( 883430 2394790 ) M1M2_PR ;
- la_data_out[15] ( PIN la_data_out[15] ) ( chip_controller la_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 901370 2380 0 ) ( * 41310 )
NEW met2 ( 329130 2285140 ) ( 330970 * )
NEW met2 ( 329130 2285140 ) ( * 2300100 )
NEW met2 ( 327750 2300100 0 ) ( 329130 * )
NEW met2 ( 330970 41310 ) ( * 2285140 )
NEW met1 ( 330970 41310 ) ( 901370 * )
NEW met1 ( 901370 41310 ) M1M2_PR
NEW met1 ( 330970 41310 ) M1M2_PR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( chip_controller la_data_out[16] ) + USE SIGNAL
+ ROUTED met2 ( 305210 2699260 0 ) ( 306590 * )
NEW met2 ( 306590 2699260 ) ( * 2717110 )
NEW met1 ( 306590 2717110 ) ( 324300 * )
NEW met1 ( 324300 2716770 ) ( * 2717110 )
NEW met1 ( 324300 2716770 ) ( 348450 * )
NEW li1 ( 348450 2716770 ) ( * 2718470 )
NEW met2 ( 523710 2703170 ) ( * 2718470 )
NEW met1 ( 523710 2703170 ) ( 917930 * )
NEW met2 ( 917930 82800 ) ( 918850 * )
NEW met2 ( 918850 2380 0 ) ( * 82800 )
NEW met2 ( 917930 82800 ) ( * 2703170 )
NEW met1 ( 348450 2718470 ) ( 523710 * )
NEW met1 ( 306590 2717110 ) M1M2_PR
NEW li1 ( 348450 2716770 ) L1M1_PR_MR
NEW li1 ( 348450 2718470 ) L1M1_PR_MR
NEW met1 ( 523710 2718470 ) M1M2_PR
NEW met1 ( 523710 2703170 ) M1M2_PR
NEW met1 ( 917930 2703170 ) M1M2_PR ;
- la_data_out[17] ( PIN la_data_out[17] ) ( chip_controller la_data_out[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2416380 0 ) ( 607430 * )
NEW met2 ( 607430 2415190 ) ( * 2416380 )
NEW met2 ( 936790 2380 0 ) ( * 3060 )
NEW met2 ( 935870 3060 ) ( 936790 * )
NEW met2 ( 935870 2380 ) ( * 3060 )
NEW met2 ( 934490 2380 ) ( 935870 * )
NEW met1 ( 607430 2415190 ) ( 931730 * )
NEW met2 ( 931730 82800 ) ( 934490 * )
NEW met2 ( 934490 2380 ) ( * 82800 )
NEW met2 ( 931730 82800 ) ( * 2415190 )
NEW met2 ( 607430 2416380 ) M2M3_PR_M
NEW met1 ( 607430 2415190 ) M1M2_PR
NEW met1 ( 931730 2415190 ) M1M2_PR ;
- la_data_out[18] ( PIN la_data_out[18] ) ( chip_controller la_data_out[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2422500 0 ) ( 607430 * )
NEW met2 ( 607430 2422500 ) ( * 2422670 )
NEW met2 ( 954270 2380 0 ) ( * 3060 )
NEW met2 ( 953350 3060 ) ( 954270 * )
NEW met2 ( 953350 2380 ) ( * 3060 )
NEW met2 ( 952430 2380 ) ( 953350 * )
NEW met2 ( 952430 2380 ) ( * 2422670 )
NEW met1 ( 607430 2422670 ) ( 952430 * )
NEW met2 ( 607430 2422500 ) M2M3_PR_M
NEW met1 ( 607430 2422670 ) M1M2_PR
NEW met1 ( 952430 2422670 ) M1M2_PR ;
- la_data_out[19] ( PIN la_data_out[19] ) ( chip_controller la_data_out[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2430660 0 ) ( 607430 * )
NEW met2 ( 607430 2429810 ) ( * 2430660 )
NEW met2 ( 972210 2380 0 ) ( * 17850 )
NEW met1 ( 966230 17850 ) ( 972210 * )
NEW met2 ( 966230 17850 ) ( * 2429810 )
NEW met1 ( 607430 2429810 ) ( 966230 * )
NEW met2 ( 607430 2430660 ) M2M3_PR_M
NEW met1 ( 607430 2429810 ) M1M2_PR
NEW met1 ( 972210 17850 ) M1M2_PR
NEW met1 ( 966230 17850 ) M1M2_PR
NEW met1 ( 966230 2429810 ) M1M2_PR ;
- la_data_out[1] ( PIN la_data_out[1] ) ( chip_controller la_data_out[1] ) + USE SIGNAL
+ ROUTED met1 ( 232530 2283950 ) ( 234370 * )
NEW met2 ( 232530 2283950 ) ( * 2300100 0 )
NEW met2 ( 234370 38930 ) ( * 2283950 )
NEW met1 ( 234370 38930 ) ( 652970 * )
NEW met2 ( 652970 2380 0 ) ( * 38930 )
NEW met1 ( 234370 38930 ) M1M2_PR
NEW met1 ( 234370 2283950 ) M1M2_PR
NEW met1 ( 232530 2283950 ) M1M2_PR
NEW met1 ( 652970 38930 ) M1M2_PR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( chip_controller la_data_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 989690 2380 0 ) ( * 39610 )
NEW met1 ( 347990 2283950 ) ( 351670 * )
NEW met2 ( 347990 2283950 ) ( * 2300100 0 )
NEW met2 ( 351670 39610 ) ( * 2283950 )
NEW met1 ( 351670 39610 ) ( 989690 * )
NEW met1 ( 989690 39610 ) M1M2_PR
NEW met1 ( 351670 39610 ) M1M2_PR
NEW met1 ( 351670 2283950 ) M1M2_PR
NEW met1 ( 347990 2283950 ) M1M2_PR ;
- la_data_out[21] ( PIN la_data_out[21] ) ( chip_controller la_data_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 353050 2279190 ) ( * 2300100 0 )
NEW met2 ( 1007630 2380 0 ) ( * 34500 )
NEW met2 ( 1007630 34500 ) ( 1008090 * )
NEW met1 ( 353050 2279190 ) ( 1008090 * )
NEW met2 ( 1008090 34500 ) ( * 2279190 )
NEW met1 ( 353050 2279190 ) M1M2_PR
NEW met1 ( 1008090 2279190 ) M1M2_PR ;
- la_data_out[22] ( PIN la_data_out[22] ) ( chip_controller la_data_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2442730 ) ( * 2443580 )
NEW met3 ( 187910 2443580 ) ( 201020 * )
NEW met3 ( 201020 2443580 ) ( * 2444260 0 )
NEW met1 ( 178710 2442730 ) ( 187910 * )
NEW met2 ( 178710 2295850 ) ( * 2442730 )
NEW met2 ( 1025570 2380 0 ) ( * 34500 )
NEW met2 ( 1021430 34500 ) ( 1025570 * )
NEW met2 ( 1021430 34500 ) ( * 2295850 )
NEW met1 ( 178710 2295850 ) ( 1021430 * )
NEW met1 ( 187910 2442730 ) M1M2_PR
NEW met2 ( 187910 2443580 ) M2M3_PR_M
NEW met1 ( 178710 2442730 ) M1M2_PR
NEW met1 ( 178710 2295850 ) M1M2_PR
NEW met1 ( 1021430 2295850 ) M1M2_PR ;
- la_data_out[23] ( PIN la_data_out[23] ) ( chip_controller la_data_out[23] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2449530 ) ( * 2450380 )
NEW met3 ( 188830 2450380 ) ( 200100 * )
NEW met3 ( 200100 2449700 0 ) ( * 2450380 )
NEW met2 ( 173190 2295510 ) ( * 2449530 )
NEW met1 ( 173190 2449530 ) ( 188830 * )
NEW met2 ( 1042130 82800 ) ( 1043050 * )
NEW met2 ( 1043050 2380 0 ) ( * 82800 )
NEW met2 ( 1042130 82800 ) ( * 2295510 )
NEW met1 ( 173190 2295510 ) ( 1042130 * )
NEW met1 ( 188830 2449530 ) M1M2_PR
NEW met2 ( 188830 2450380 ) M2M3_PR_M
NEW met1 ( 173190 2295510 ) M1M2_PR
NEW met1 ( 173190 2449530 ) M1M2_PR
NEW met1 ( 1042130 2295510 ) M1M2_PR ;
- la_data_out[24] ( PIN la_data_out[24] ) ( chip_controller la_data_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 1060990 2380 0 ) ( * 3060 )
NEW met2 ( 1060070 3060 ) ( 1060990 * )
NEW met2 ( 1060070 2380 ) ( * 3060 )
NEW met2 ( 1058690 2380 ) ( 1060070 * )
NEW met2 ( 1055930 82800 ) ( 1058690 * )
NEW met2 ( 1058690 2380 ) ( * 82800 )
NEW met2 ( 1055930 82800 ) ( * 2706570 )
NEW met2 ( 354430 2699940 ) ( 354890 * 0 )
NEW met2 ( 354430 2699940 ) ( * 2706570 )
NEW met1 ( 354430 2706570 ) ( 1055930 * )
NEW met1 ( 1055930 2706570 ) M1M2_PR
NEW met1 ( 354430 2706570 ) M1M2_PR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( chip_controller la_data_out[25] ) + USE SIGNAL
+ ROUTED met2 ( 396750 2702150 ) ( * 2712350 )
NEW met2 ( 1078470 2380 0 ) ( * 3060 )
NEW met2 ( 1077550 3060 ) ( 1078470 * )
NEW met2 ( 1077550 2380 ) ( * 3060 )
NEW met2 ( 1076630 2380 ) ( 1077550 * )
NEW met2 ( 1076630 2380 ) ( * 2702150 )
NEW met2 ( 358110 2699260 0 ) ( 358570 * )
NEW met2 ( 358570 2699260 ) ( * 2712350 )
NEW met1 ( 358570 2712350 ) ( 396750 * )
NEW met1 ( 396750 2702150 ) ( 1076630 * )
NEW met1 ( 396750 2712350 ) M1M2_PR
NEW met1 ( 396750 2702150 ) M1M2_PR
NEW met1 ( 1076630 2702150 ) M1M2_PR
NEW met1 ( 358570 2712350 ) M1M2_PR ;
- la_data_out[26] ( PIN la_data_out[26] ) ( chip_controller la_data_out[26] ) + USE SIGNAL
+ ROUTED met2 ( 1096410 2380 0 ) ( * 15810 )
NEW met1 ( 1079850 15810 ) ( 1096410 * )
NEW met2 ( 1079850 15810 ) ( * 2706230 )
NEW met2 ( 363170 2699260 0 ) ( 364550 * )
NEW met2 ( 364550 2699260 ) ( * 2706230 )
NEW met1 ( 364550 2706230 ) ( 1079850 * )
NEW met1 ( 1096410 15810 ) M1M2_PR
NEW met1 ( 1079850 15810 ) M1M2_PR
NEW met1 ( 1079850 2706230 ) M1M2_PR
NEW met1 ( 364550 2706230 ) M1M2_PR ;
- la_data_out[27] ( PIN la_data_out[27] ) ( chip_controller la_data_out[27] ) + USE SIGNAL
+ ROUTED met2 ( 381570 2279870 ) ( * 2300100 0 )
NEW met2 ( 1111130 82800 ) ( 1113890 * )
NEW met2 ( 1113890 2380 0 ) ( * 82800 )
NEW met1 ( 381570 2279870 ) ( 1111130 * )
NEW met2 ( 1111130 82800 ) ( * 2279870 )
NEW met1 ( 381570 2279870 ) M1M2_PR
NEW met1 ( 1111130 2279870 ) M1M2_PR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( chip_controller la_data_out[28] ) + USE SIGNAL
+ ROUTED met2 ( 376510 2699260 0 ) ( 377890 * )
NEW met2 ( 377890 2699260 ) ( * 2712010 )
NEW met2 ( 1131830 2380 0 ) ( * 2701810 )
NEW met2 ( 414230 2701810 ) ( * 2712010 )
NEW met1 ( 377890 2712010 ) ( 414230 * )
NEW met1 ( 414230 2701810 ) ( 1131830 * )
NEW met1 ( 377890 2712010 ) M1M2_PR
NEW met1 ( 1131830 2701810 ) M1M2_PR
NEW met1 ( 414230 2712010 ) M1M2_PR
NEW met1 ( 414230 2701810 ) M1M2_PR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( chip_controller la_data_out[29] ) + USE SIGNAL
+ ROUTED met2 ( 379730 2699260 0 ) ( 381110 * )
NEW met2 ( 381110 2699260 ) ( * 2705890 )
NEW met2 ( 1149310 2380 0 ) ( * 3060 )
NEW met2 ( 1148390 3060 ) ( 1149310 * )
NEW met2 ( 1148390 2380 ) ( * 3060 )
NEW met2 ( 1147010 2380 ) ( 1148390 * )
NEW met2 ( 1145630 82800 ) ( 1147010 * )
NEW met2 ( 1147010 2380 ) ( * 82800 )
NEW met2 ( 1145630 82800 ) ( * 2705890 )
NEW met1 ( 381110 2705890 ) ( 1145630 * )
NEW met1 ( 381110 2705890 ) M1M2_PR
NEW met1 ( 1145630 2705890 ) M1M2_PR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( chip_controller la_data_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 213210 2699940 ) ( 213670 * 0 )
NEW met2 ( 213210 2699940 ) ( * 2709970 )
NEW met2 ( 669530 82800 ) ( 670910 * )
NEW met2 ( 670910 2380 0 ) ( * 82800 )
NEW met2 ( 669530 82800 ) ( * 2709970 )
NEW met1 ( 213210 2709970 ) ( 669530 * )
NEW met1 ( 213210 2709970 ) M1M2_PR
NEW met1 ( 669530 2709970 ) M1M2_PR ;
- la_data_out[30] ( PIN la_data_out[30] ) ( chip_controller la_data_out[30] ) + USE SIGNAL
+ ROUTED met2 ( 396290 2283270 ) ( * 2300100 0 )
NEW met2 ( 1166330 82800 ) ( 1167250 * )
NEW met2 ( 1167250 2380 0 ) ( * 82800 )
NEW met2 ( 1166330 82800 ) ( * 2283270 )
NEW met1 ( 396290 2283270 ) ( 1166330 * )
NEW met1 ( 396290 2283270 ) M1M2_PR
NEW met1 ( 1166330 2283270 ) M1M2_PR ;
- la_data_out[31] ( PIN la_data_out[31] ) ( chip_controller la_data_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 1185190 2380 0 ) ( * 3060 )
NEW met2 ( 1184270 3060 ) ( 1185190 * )
NEW met2 ( 1184270 2380 ) ( * 3060 )
NEW met2 ( 1182890 2380 ) ( 1184270 * )
NEW met3 ( 599380 2493900 0 ) ( 607890 * )
NEW met2 ( 607890 2491350 ) ( * 2493900 )
NEW met2 ( 1180130 82800 ) ( 1182890 * )
NEW met2 ( 1182890 2380 ) ( * 82800 )
NEW met2 ( 1180130 82800 ) ( * 2491350 )
NEW met1 ( 607890 2491350 ) ( 1180130 * )
NEW met2 ( 607890 2493900 ) M2M3_PR_M
NEW met1 ( 607890 2491350 ) M1M2_PR
NEW met1 ( 1180130 2491350 ) M1M2_PR ;
- la_data_out[32] ( PIN la_data_out[32] ) ( chip_controller la_data_out[32] ) + USE SIGNAL
+ ROUTED met2 ( 410090 2282930 ) ( * 2300100 0 )
NEW met2 ( 1202670 2380 0 ) ( * 3060 )
NEW met2 ( 1201750 3060 ) ( 1202670 * )
NEW met2 ( 1201750 2380 ) ( * 3060 )
NEW met2 ( 1200830 2380 ) ( 1201750 * )
NEW met1 ( 410090 2282930 ) ( 1200830 * )
NEW met2 ( 1200830 2380 ) ( * 2282930 )
NEW met1 ( 410090 2282930 ) M1M2_PR
NEW met1 ( 1200830 2282930 ) M1M2_PR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( chip_controller la_data_out[33] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2484890 ) ( * 2489820 )
NEW met3 ( 188830 2489820 ) ( 200100 * )
NEW met3 ( 200100 2489140 0 ) ( * 2489820 )
NEW met2 ( 169050 2294490 ) ( * 2484890 )
NEW met1 ( 169050 2484890 ) ( 188830 * )
NEW met1 ( 1214630 58310 ) ( 1220610 * )
NEW met2 ( 1220610 2380 0 ) ( * 58310 )
NEW met2 ( 1214630 58310 ) ( * 2294490 )
NEW met1 ( 169050 2294490 ) ( 1214630 * )
NEW met1 ( 188830 2484890 ) M1M2_PR
NEW met2 ( 188830 2489820 ) M2M3_PR_M
NEW met1 ( 169050 2294490 ) M1M2_PR
NEW met1 ( 169050 2484890 ) M1M2_PR
NEW met1 ( 1214630 58310 ) M1M2_PR
NEW met1 ( 1220610 58310 ) M1M2_PR
NEW met1 ( 1214630 2294490 ) M1M2_PR ;
- la_data_out[34] ( PIN la_data_out[34] ) ( chip_controller la_data_out[34] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2495260 0 ) ( 608350 * )
NEW met2 ( 608350 2491010 ) ( * 2495260 )
NEW met2 ( 1235330 82800 ) ( 1238090 * )
NEW met2 ( 1238090 2380 0 ) ( * 82800 )
NEW met1 ( 608350 2491010 ) ( 1235330 * )
NEW met2 ( 1235330 82800 ) ( * 2491010 )
NEW met2 ( 608350 2495260 ) M2M3_PR_M
NEW met1 ( 608350 2491010 ) M1M2_PR
NEW met1 ( 1235330 2491010 ) M1M2_PR ;
- la_data_out[35] ( PIN la_data_out[35] ) ( chip_controller la_data_out[35] ) + USE SIGNAL
+ ROUTED met2 ( 418370 2282250 ) ( * 2300100 0 )
NEW met2 ( 1256030 2380 0 ) ( * 2282250 )
NEW met1 ( 418370 2282250 ) ( 1256030 * )
NEW met1 ( 418370 2282250 ) M1M2_PR
NEW met1 ( 1256030 2282250 ) M1M2_PR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( chip_controller la_data_out[36] ) + USE SIGNAL
+ ROUTED met2 ( 1273510 2380 0 ) ( * 3060 )
NEW met2 ( 1272590 3060 ) ( 1273510 * )
NEW met2 ( 1272590 2380 ) ( * 3060 )
NEW met2 ( 1271210 2380 ) ( 1272590 * )
NEW met2 ( 1269830 82800 ) ( 1271210 * )
NEW met2 ( 1271210 2380 ) ( * 82800 )
NEW met2 ( 1269830 82800 ) ( * 2281570 )
NEW met2 ( 421590 2281570 ) ( * 2300100 0 )
NEW met1 ( 421590 2281570 ) ( 1269830 * )
NEW met1 ( 1269830 2281570 ) M1M2_PR
NEW met1 ( 421590 2281570 ) M1M2_PR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( chip_controller la_data_out[37] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2502060 0 ) ( 608350 * )
NEW met2 ( 608350 2498150 ) ( * 2502060 )
NEW met1 ( 608350 2498150 ) ( 1290530 * )
NEW met2 ( 1290530 82800 ) ( 1291450 * )
NEW met2 ( 1291450 2380 0 ) ( * 82800 )
NEW met2 ( 1290530 82800 ) ( * 2498150 )
NEW met2 ( 608350 2502060 ) M2M3_PR_M
NEW met1 ( 608350 2498150 ) M1M2_PR
NEW met1 ( 1290530 2498150 ) M1M2_PR ;
- la_data_out[38] ( PIN la_data_out[38] ) ( chip_controller la_data_out[38] ) + USE SIGNAL
+ ROUTED met2 ( 190670 2498490 ) ( * 2500020 )
NEW met3 ( 190670 2500020 ) ( 201020 * )
NEW met3 ( 201020 2500020 ) ( * 2500700 0 )
NEW met1 ( 157550 2498490 ) ( 190670 * )
NEW met1 ( 157550 122230 ) ( 1304330 * )
NEW met2 ( 157550 122230 ) ( * 2498490 )
NEW met2 ( 1304330 82800 ) ( * 122230 )
NEW met2 ( 1304330 82800 ) ( 1308930 * )
NEW met2 ( 1308930 2380 0 ) ( * 82800 )
NEW met1 ( 190670 2498490 ) M1M2_PR
NEW met2 ( 190670 2500020 ) M2M3_PR_M
NEW met1 ( 157550 122230 ) M1M2_PR
NEW met1 ( 157550 2498490 ) M1M2_PR
NEW met1 ( 1304330 122230 ) M1M2_PR ;
- la_data_out[39] ( PIN la_data_out[39] ) ( chip_controller la_data_out[39] ) + USE SIGNAL
+ ROUTED met2 ( 1326870 2380 0 ) ( * 3060 )
NEW met2 ( 1325950 3060 ) ( 1326870 * )
NEW met2 ( 1325950 2380 ) ( * 3060 )
NEW met2 ( 1325030 2380 ) ( 1325950 * )
NEW met1 ( 424810 2284630 ) ( 431250 * )
NEW met2 ( 424810 2284630 ) ( * 2300100 0 )
NEW met2 ( 431250 61030 ) ( * 2284630 )
NEW met1 ( 431250 61030 ) ( 1325030 * )
NEW met2 ( 1325030 2380 ) ( * 61030 )
NEW met1 ( 431250 61030 ) M1M2_PR
NEW met1 ( 431250 2284630 ) M1M2_PR
NEW met1 ( 424810 2284630 ) M1M2_PR
NEW met1 ( 1325030 61030 ) M1M2_PR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( chip_controller la_data_out[3] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2332060 0 ) ( 608350 * )
NEW met2 ( 608350 2325430 ) ( * 2332060 )
NEW met2 ( 688390 2380 0 ) ( * 3060 )
NEW met2 ( 687470 3060 ) ( 688390 * )
NEW met2 ( 687470 2380 ) ( * 3060 )
NEW met2 ( 686090 2380 ) ( 687470 * )
NEW met2 ( 683330 82800 ) ( 686090 * )
NEW met2 ( 686090 2380 ) ( * 82800 )
NEW met2 ( 683330 82800 ) ( * 2325430 )
NEW met1 ( 608350 2325430 ) ( 683330 * )
NEW met2 ( 608350 2332060 ) M2M3_PR_M
NEW met1 ( 608350 2325430 ) M1M2_PR
NEW met1 ( 683330 2325430 ) M1M2_PR ;
- la_data_out[40] ( PIN la_data_out[40] ) ( chip_controller la_data_out[40] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2505970 ) ( * 2508180 )
NEW met3 ( 188830 2508180 ) ( 200100 * )
NEW met3 ( 200100 2507500 0 ) ( * 2508180 )
NEW met2 ( 1344350 2380 0 ) ( * 3060 )
NEW met2 ( 1343430 3060 ) ( 1344350 * )
NEW met2 ( 1343430 2380 ) ( * 3060 )
NEW met2 ( 1342050 2380 ) ( 1343430 * )
NEW met2 ( 1339290 82800 ) ( 1342050 * )
NEW met2 ( 1342050 2380 ) ( * 82800 )
NEW met2 ( 1339290 82800 ) ( * 157930 )
NEW met1 ( 135930 2505970 ) ( 188830 * )
NEW met2 ( 135930 157930 ) ( * 2505970 )
NEW met1 ( 135930 157930 ) ( 1339290 * )
NEW met1 ( 188830 2505970 ) M1M2_PR
NEW met2 ( 188830 2508180 ) M2M3_PR_M
NEW met1 ( 1339290 157930 ) M1M2_PR
NEW met1 ( 135930 2505970 ) M1M2_PR
NEW met1 ( 135930 157930 ) M1M2_PR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( chip_controller la_data_out[41] ) + USE SIGNAL
+ ROUTED met2 ( 191130 2505630 ) ( * 2510900 )
NEW met3 ( 191130 2510900 ) ( 201020 * )
NEW met3 ( 201020 2510900 ) ( * 2511580 0 )
NEW met2 ( 1362290 2380 0 ) ( * 30090 )
NEW met1 ( 143750 2505630 ) ( 191130 * )
NEW met1 ( 143750 30090 ) ( 1362290 * )
NEW met2 ( 143750 30090 ) ( * 2505630 )
NEW met1 ( 191130 2505630 ) M1M2_PR
NEW met2 ( 191130 2510900 ) M2M3_PR_M
NEW met1 ( 1362290 30090 ) M1M2_PR
NEW met1 ( 143750 30090 ) M1M2_PR
NEW met1 ( 143750 2505630 ) M1M2_PR ;
- la_data_out[42] ( PIN la_data_out[42] ) ( chip_controller la_data_out[42] ) + USE SIGNAL
+ ROUTED met2 ( 1380230 2380 0 ) ( * 17340 )
NEW met2 ( 1380230 17340 ) ( 1380690 * )
NEW met2 ( 1380690 17340 ) ( * 171190 )
NEW met2 ( 431710 2276980 ) ( 433550 * )
NEW met2 ( 431710 2276980 ) ( * 2284290 )
NEW met1 ( 428490 2284290 ) ( 431710 * )
NEW met2 ( 428490 2284290 ) ( * 2300100 0 )
NEW met2 ( 433550 171190 ) ( * 2276980 )
NEW met1 ( 433550 171190 ) ( 1380690 * )
NEW met1 ( 1380690 171190 ) M1M2_PR
NEW met1 ( 433550 171190 ) M1M2_PR
NEW met1 ( 431710 2284290 ) M1M2_PR
NEW met1 ( 428490 2284290 ) M1M2_PR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( chip_controller la_data_out[43] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2518890 ) ( * 2519740 )
NEW met3 ( 188370 2519740 ) ( 201020 * )
NEW met3 ( 201020 2519740 ) ( * 2520420 0 )
NEW met1 ( 137310 2518890 ) ( 188370 * )
NEW met2 ( 1397710 2380 0 ) ( * 3060 )
NEW met2 ( 1396790 3060 ) ( 1397710 * )
NEW met2 ( 1396790 2380 ) ( * 3060 )
NEW met2 ( 1395410 2380 ) ( 1396790 * )
NEW met2 ( 137310 66810 ) ( * 2518890 )
NEW met1 ( 137310 66810 ) ( 1395410 * )
NEW met2 ( 1395410 2380 ) ( * 66810 )
NEW met1 ( 188370 2518890 ) M1M2_PR
NEW met2 ( 188370 2519740 ) M2M3_PR_M
NEW met1 ( 137310 2518890 ) M1M2_PR
NEW met1 ( 137310 66810 ) M1M2_PR
NEW met1 ( 1395410 66810 ) M1M2_PR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( chip_controller la_data_out[44] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2526370 ) ( * 2526540 )
NEW met3 ( 188830 2526540 ) ( 200100 * )
NEW met3 ( 200100 2525860 0 ) ( * 2526540 )
NEW met1 ( 149270 2526370 ) ( 188830 * )
NEW met2 ( 149270 164390 ) ( * 2526370 )
NEW met2 ( 1414730 82800 ) ( 1415650 * )
NEW met2 ( 1415650 2380 0 ) ( * 82800 )
NEW met1 ( 149270 164390 ) ( 1414730 * )
NEW met2 ( 1414730 82800 ) ( * 164390 )
NEW met1 ( 188830 2526370 ) M1M2_PR
NEW met2 ( 188830 2526540 ) M2M3_PR_M
NEW met1 ( 149270 2526370 ) M1M2_PR
NEW met1 ( 149270 164390 ) M1M2_PR
NEW met1 ( 1414730 164390 ) M1M2_PR ;
- la_data_out[45] ( PIN la_data_out[45] ) ( chip_controller la_data_out[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2518380 0 ) ( 608810 * )
NEW met2 ( 608810 2512090 ) ( * 2518380 )
NEW met2 ( 1267530 165410 ) ( * 2512090 )
NEW met1 ( 608810 2512090 ) ( 1267530 * )
NEW met2 ( 1428530 82800 ) ( 1433130 * )
NEW met2 ( 1433130 2380 0 ) ( * 82800 )
NEW met1 ( 1267530 165410 ) ( 1428530 * )
NEW met2 ( 1428530 82800 ) ( * 165410 )
NEW met2 ( 608810 2518380 ) M2M3_PR_M
NEW met1 ( 608810 2512090 ) M1M2_PR
NEW met1 ( 1267530 2512090 ) M1M2_PR
NEW met1 ( 1267530 165410 ) M1M2_PR
NEW met1 ( 1428530 165410 ) M1M2_PR ;
- la_data_out[46] ( PIN la_data_out[46] ) ( chip_controller la_data_out[46] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2521780 0 ) ( 608350 * )
NEW met2 ( 608350 2518550 ) ( * 2521780 )
NEW met2 ( 1451070 2380 0 ) ( * 22950 )
NEW met2 ( 1252810 22610 ) ( * 2518550 )
NEW met1 ( 608350 2518550 ) ( 1252810 * )
NEW met1 ( 1252810 22610 ) ( 1290300 * )
NEW met1 ( 1290300 22610 ) ( * 22950 )
NEW met1 ( 1290300 22950 ) ( 1451070 * )
NEW met2 ( 608350 2521780 ) M2M3_PR_M
NEW met1 ( 608350 2518550 ) M1M2_PR
NEW met1 ( 1252810 22610 ) M1M2_PR
NEW met1 ( 1252810 2518550 ) M1M2_PR
NEW met1 ( 1451070 22950 ) M1M2_PR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( chip_controller la_data_out[47] ) + USE SIGNAL
+ ROUTED met2 ( 1468550 2380 0 ) ( * 3060 )
NEW met2 ( 1467630 3060 ) ( 1468550 * )
NEW met2 ( 1467630 2380 ) ( * 3060 )
NEW met2 ( 1466250 2380 ) ( 1467630 * )
NEW met2 ( 420210 143990 ) ( * 2285650 )
NEW met2 ( 1463030 82800 ) ( 1466250 * )
NEW met2 ( 1466250 2380 ) ( * 82800 )
NEW met2 ( 1463030 82800 ) ( * 143990 )
NEW met2 ( 428030 2285650 ) ( * 2288370 )
NEW met1 ( 428030 2288370 ) ( 433090 * )
NEW met2 ( 433090 2288370 ) ( * 2300100 0 )
NEW met1 ( 420210 2285650 ) ( 428030 * )
NEW met1 ( 420210 143990 ) ( 1463030 * )
NEW met1 ( 420210 143990 ) M1M2_PR
NEW met1 ( 420210 2285650 ) M1M2_PR
NEW met1 ( 1463030 143990 ) M1M2_PR
NEW met1 ( 428030 2285650 ) M1M2_PR
NEW met1 ( 428030 2288370 ) M1M2_PR
NEW met1 ( 433090 2288370 ) M1M2_PR ;
- la_data_out[48] ( PIN la_data_out[48] ) ( chip_controller la_data_out[48] ) + USE SIGNAL
+ ROUTED met2 ( 1486490 2380 0 ) ( * 15130 )
NEW met1 ( 1301570 15130 ) ( 1486490 * )
NEW met2 ( 1301570 15130 ) ( * 2699770 )
NEW met2 ( 428950 2699260 ) ( * 2699770 )
NEW met2 ( 428030 2699260 0 ) ( 428950 * )
NEW met1 ( 428950 2699770 ) ( 1301570 * )
NEW met1 ( 1301570 15130 ) M1M2_PR
NEW met1 ( 1301570 2699770 ) M1M2_PR
NEW met1 ( 1486490 15130 ) M1M2_PR
NEW met1 ( 428950 2699770 ) M1M2_PR ;
- la_data_out[49] ( PIN la_data_out[49] ) ( chip_controller la_data_out[49] ) + USE SIGNAL
+ ROUTED met2 ( 191130 2525690 ) ( * 2530620 )
NEW met3 ( 191130 2530620 ) ( 201020 * )
NEW met3 ( 201020 2530620 ) ( * 2531300 0 )
NEW met1 ( 162610 2525690 ) ( 191130 * )
NEW met1 ( 162610 182410 ) ( 1497990 * )
NEW met2 ( 162610 182410 ) ( * 2525690 )
NEW met1 ( 1497990 58310 ) ( 1503970 * )
NEW met2 ( 1503970 2380 0 ) ( * 58310 )
NEW met2 ( 1497990 58310 ) ( * 182410 )
NEW met1 ( 191130 2525690 ) M1M2_PR
NEW met2 ( 191130 2530620 ) M2M3_PR_M
NEW met1 ( 162610 182410 ) M1M2_PR
NEW met1 ( 162610 2525690 ) M1M2_PR
NEW met1 ( 1497990 182410 ) M1M2_PR
NEW met1 ( 1497990 58310 ) M1M2_PR
NEW met1 ( 1503970 58310 ) M1M2_PR ;
- la_data_out[4] ( PIN la_data_out[4] ) ( chip_controller la_data_out[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2335460 0 ) ( 607890 * )
NEW met2 ( 607890 2332910 ) ( * 2335460 )
NEW met2 ( 704030 82800 ) ( 706330 * )
NEW met2 ( 706330 2380 0 ) ( * 82800 )
NEW met2 ( 704030 82800 ) ( * 2332910 )
NEW met1 ( 607890 2332910 ) ( 704030 * )
NEW met2 ( 607890 2335460 ) M2M3_PR_M
NEW met1 ( 607890 2332910 ) M1M2_PR
NEW met1 ( 704030 2332910 ) M1M2_PR ;
- la_data_out[50] ( PIN la_data_out[50] ) ( chip_controller la_data_out[50] ) + USE SIGNAL
+ ROUTED met2 ( 190670 2533510 ) ( * 2536060 )
NEW met3 ( 190670 2536060 ) ( 201020 * )
NEW met3 ( 201020 2536060 ) ( * 2536740 0 )
NEW met2 ( 128570 157250 ) ( * 2533510 )
NEW met1 ( 128570 2533510 ) ( 190670 * )
NEW met2 ( 1521910 2380 0 ) ( * 3060 )
NEW met2 ( 1520990 3060 ) ( 1521910 * )
NEW met2 ( 1520990 2380 ) ( * 3060 )
NEW met2 ( 1519610 2380 ) ( 1520990 * )
NEW met2 ( 1518230 82800 ) ( 1519610 * )
NEW met2 ( 1519610 2380 ) ( * 82800 )
NEW met1 ( 128570 157250 ) ( 1518230 * )
NEW met2 ( 1518230 82800 ) ( * 157250 )
NEW met1 ( 128570 2533510 ) M1M2_PR
NEW met1 ( 190670 2533510 ) M1M2_PR
NEW met2 ( 190670 2536060 ) M2M3_PR_M
NEW met1 ( 128570 157250 ) M1M2_PR
NEW met1 ( 1518230 157250 ) M1M2_PR ;
- la_data_out[51] ( PIN la_data_out[51] ) ( chip_controller la_data_out[51] ) + USE SIGNAL
+ ROUTED met2 ( 1539850 2380 0 ) ( * 18530 )
NEW met2 ( 776250 18530 ) ( * 2738530 )
NEW met1 ( 437230 2738530 ) ( 776250 * )
NEW met1 ( 776250 18530 ) ( 1539850 * )
NEW met2 ( 436310 2699260 0 ) ( 437230 * )
NEW met2 ( 437230 2699260 ) ( * 2738530 )
NEW met1 ( 776250 18530 ) M1M2_PR
NEW met1 ( 776250 2738530 ) M1M2_PR
NEW met1 ( 1539850 18530 ) M1M2_PR
NEW met1 ( 437230 2738530 ) M1M2_PR ;
- la_data_out[52] ( PIN la_data_out[52] ) ( chip_controller la_data_out[52] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2533340 0 ) ( 607430 * )
NEW met2 ( 607430 2533340 ) ( * 2533510 )
NEW met2 ( 796950 150790 ) ( * 2533510 )
NEW met2 ( 1552730 82800 ) ( 1557330 * )
NEW met2 ( 1557330 2380 0 ) ( * 82800 )
NEW met2 ( 1552730 82800 ) ( * 150790 )
NEW met1 ( 607430 2533510 ) ( 796950 * )
NEW met1 ( 796950 150790 ) ( 1552730 * )
NEW met2 ( 607430 2533340 ) M2M3_PR_M
NEW met1 ( 607430 2533510 ) M1M2_PR
NEW met1 ( 796950 2533510 ) M1M2_PR
NEW met1 ( 796950 150790 ) M1M2_PR
NEW met1 ( 1552730 150790 ) M1M2_PR ;
- la_data_out[53] ( PIN la_data_out[53] ) ( chip_controller la_data_out[53] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2535380 0 ) ( 607890 * )
NEW met2 ( 607890 2532830 ) ( * 2535380 )
NEW met2 ( 1575270 2380 0 ) ( * 41310 )
NEW met1 ( 607890 2532830 ) ( 1225210 * )
NEW met2 ( 1225210 41310 ) ( * 2532830 )
NEW met1 ( 1225210 41310 ) ( 1575270 * )
NEW met2 ( 607890 2535380 ) M2M3_PR_M
NEW met1 ( 607890 2532830 ) M1M2_PR
NEW met1 ( 1575270 41310 ) M1M2_PR
NEW met1 ( 1225210 2532830 ) M1M2_PR
NEW met1 ( 1225210 41310 ) M1M2_PR ;
- la_data_out[54] ( PIN la_data_out[54] ) ( chip_controller la_data_out[54] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2541500 0 ) ( 607890 * )
NEW met2 ( 607890 2539970 ) ( * 2541500 )
NEW met2 ( 866410 154870 ) ( * 2539970 )
NEW met1 ( 607890 2539970 ) ( 866410 * )
NEW met2 ( 1592750 2380 0 ) ( * 3060 )
NEW met2 ( 1591830 3060 ) ( 1592750 * )
NEW met2 ( 1591830 2380 ) ( * 3060 )
NEW met2 ( 1590450 2380 ) ( 1591830 * )
NEW met2 ( 1587230 82800 ) ( 1590450 * )
NEW met2 ( 1590450 2380 ) ( * 82800 )
NEW met1 ( 866410 154870 ) ( 1587230 * )
NEW met2 ( 1587230 82800 ) ( * 154870 )
NEW met2 ( 607890 2541500 ) M2M3_PR_M
NEW met1 ( 607890 2539970 ) M1M2_PR
NEW met1 ( 866410 2539970 ) M1M2_PR
NEW met1 ( 866410 154870 ) M1M2_PR
NEW met1 ( 1587230 154870 ) M1M2_PR ;
- la_data_out[55] ( PIN la_data_out[55] ) ( chip_controller la_data_out[55] ) + USE SIGNAL
+ ROUTED met1 ( 443210 2284630 ) ( 447810 * )
NEW met2 ( 443210 2284630 ) ( * 2300100 0 )
NEW met2 ( 447810 40970 ) ( * 2284630 )
NEW met1 ( 447810 40970 ) ( 1610690 * )
NEW met2 ( 1610690 2380 0 ) ( * 40970 )
NEW met1 ( 447810 40970 ) M1M2_PR
NEW met1 ( 447810 2284630 ) M1M2_PR
NEW met1 ( 443210 2284630 ) M1M2_PR
NEW met1 ( 1610690 40970 ) M1M2_PR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( chip_controller la_data_out[56] ) + USE SIGNAL
+ ROUTED met1 ( 445050 2284970 ) ( 448270 * )
NEW met2 ( 445050 2284970 ) ( * 2300100 0 )
NEW met2 ( 448270 40630 ) ( * 2284970 )
NEW met1 ( 448270 40630 ) ( 1628170 * )
NEW met2 ( 1628170 2380 0 ) ( * 40630 )
NEW met1 ( 448270 40630 ) M1M2_PR
NEW met1 ( 448270 2284970 ) M1M2_PR
NEW met1 ( 445050 2284970 ) M1M2_PR
NEW met1 ( 1628170 40630 ) M1M2_PR ;
- la_data_out[57] ( PIN la_data_out[57] ) ( chip_controller la_data_out[57] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2543540 0 ) ( 608350 * )
NEW met2 ( 608350 2539630 ) ( * 2543540 )
NEW met2 ( 1646110 2380 0 ) ( * 3060 )
NEW met2 ( 1645190 3060 ) ( 1646110 * )
NEW met2 ( 1645190 2380 ) ( * 3060 )
NEW met2 ( 1643810 2380 ) ( 1645190 * )
NEW met2 ( 893550 87210 ) ( * 2539630 )
NEW met2 ( 1642430 82800 ) ( * 87210 )
NEW met2 ( 1642430 82800 ) ( 1643810 * )
NEW met2 ( 1643810 2380 ) ( * 82800 )
NEW met1 ( 608350 2539630 ) ( 893550 * )
NEW met1 ( 893550 87210 ) ( 1642430 * )
NEW met2 ( 608350 2543540 ) M2M3_PR_M
NEW met1 ( 608350 2539630 ) M1M2_PR
NEW met1 ( 893550 87210 ) M1M2_PR
NEW met1 ( 893550 2539630 ) M1M2_PR
NEW met1 ( 1642430 87210 ) M1M2_PR ;
- la_data_out[58] ( PIN la_data_out[58] ) ( chip_controller la_data_out[58] ) + USE SIGNAL
+ ROUTED met2 ( 1663590 2380 0 ) ( * 29070 )
NEW met2 ( 455630 2699940 ) ( 456090 * 0 )
NEW met2 ( 455630 2699940 ) ( * 2715070 )
NEW met1 ( 455630 2715070 ) ( 466210 * )
NEW li1 ( 466210 2715070 ) ( 467590 * )
NEW met1 ( 467590 2715070 ) ( 921610 * )
NEW met1 ( 921610 29070 ) ( 1663590 * )
NEW met2 ( 921610 29070 ) ( * 2715070 )
NEW met1 ( 1663590 29070 ) M1M2_PR
NEW met1 ( 455630 2715070 ) M1M2_PR
NEW li1 ( 466210 2715070 ) L1M1_PR_MR
NEW li1 ( 467590 2715070 ) L1M1_PR_MR
NEW met1 ( 921610 29070 ) M1M2_PR
NEW met1 ( 921610 2715070 ) M1M2_PR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( chip_controller la_data_out[59] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2553230 ) ( * 2557140 )
NEW met3 ( 189750 2557140 ) ( 200100 * )
NEW met3 ( 200100 2556460 0 ) ( * 2557140 )
NEW met2 ( 170430 156230 ) ( * 2553230 )
NEW met1 ( 170430 2553230 ) ( 189750 * )
NEW met2 ( 1676930 82800 ) ( 1681530 * )
NEW met2 ( 1681530 2380 0 ) ( * 82800 )
NEW met1 ( 170430 156230 ) ( 1676930 * )
NEW met2 ( 1676930 82800 ) ( * 156230 )
NEW met1 ( 189750 2553230 ) M1M2_PR
NEW met2 ( 189750 2557140 ) M2M3_PR_M
NEW met1 ( 170430 156230 ) M1M2_PR
NEW met1 ( 170430 2553230 ) M1M2_PR
NEW met1 ( 1676930 156230 ) M1M2_PR ;
- la_data_out[5] ( PIN la_data_out[5] ) ( chip_controller la_data_out[5] ) + USE SIGNAL
+ ROUTED met1 ( 180090 2332230 ) ( 187910 * )
NEW met2 ( 187910 2332230 ) ( * 2338860 )
NEW met3 ( 187910 2338860 ) ( 199180 * )
NEW met3 ( 199180 2338860 ) ( * 2339540 )
NEW met3 ( 199180 2339540 ) ( 200100 * )
NEW met3 ( 200100 2338860 0 ) ( * 2339540 )
NEW met2 ( 180090 2293130 ) ( * 2332230 )
NEW met2 ( 718290 82800 ) ( 723810 * )
NEW met2 ( 723810 2380 0 ) ( * 82800 )
NEW met2 ( 718290 82800 ) ( * 2293130 )
NEW met1 ( 180090 2293130 ) ( 718290 * )
NEW met1 ( 180090 2332230 ) M1M2_PR
NEW met1 ( 187910 2332230 ) M1M2_PR
NEW met2 ( 187910 2338860 ) M2M3_PR_M
NEW met1 ( 180090 2293130 ) M1M2_PR
NEW met1 ( 718290 2293130 ) M1M2_PR ;
- la_data_out[60] ( PIN la_data_out[60] ) ( chip_controller la_data_out[60] ) + USE SIGNAL
+ ROUTED met2 ( 455170 40290 ) ( * 2300100 0 )
NEW met1 ( 455170 40290 ) ( 1699470 * )
NEW met2 ( 1699470 2380 0 ) ( * 40290 )
NEW met1 ( 455170 40290 ) M1M2_PR
NEW met1 ( 1699470 40290 ) M1M2_PR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( chip_controller la_data_out[61] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2560370 ) ( * 2562580 )
NEW met3 ( 189290 2562580 ) ( 200100 * )
NEW met3 ( 200100 2561900 0 ) ( * 2562580 )
NEW met2 ( 1716950 2380 0 ) ( * 3060 )
NEW met2 ( 1716030 3060 ) ( 1716950 * )
NEW met2 ( 1716030 2380 ) ( * 3060 )
NEW met2 ( 1714650 2380 ) ( 1716030 * )
NEW met2 ( 136390 163030 ) ( * 2560370 )
NEW met1 ( 136390 2560370 ) ( 189290 * )
NEW met2 ( 1711430 82800 ) ( 1714650 * )
NEW met2 ( 1714650 2380 ) ( * 82800 )
NEW met1 ( 136390 163030 ) ( 1711430 * )
NEW met2 ( 1711430 82800 ) ( * 163030 )
NEW met1 ( 189290 2560370 ) M1M2_PR
NEW met2 ( 189290 2562580 ) M2M3_PR_M
NEW met1 ( 136390 163030 ) M1M2_PR
NEW met1 ( 136390 2560370 ) M1M2_PR
NEW met1 ( 1711430 163030 ) M1M2_PR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( chip_controller la_data_out[62] ) + USE SIGNAL
+ ROUTED met2 ( 1734890 2380 0 ) ( * 39950 )
NEW met2 ( 461610 2300100 0 ) ( 462070 * )
NEW met2 ( 462070 39950 ) ( * 2300100 )
NEW met1 ( 462070 39950 ) ( 1734890 * )
NEW met1 ( 1734890 39950 ) M1M2_PR
NEW met1 ( 462070 39950 ) M1M2_PR ;
- la_data_out[63] ( PIN la_data_out[63] ) ( chip_controller la_data_out[63] ) + USE SIGNAL
+ ROUTED met2 ( 1752370 2380 0 ) ( * 16830 )
NEW met1 ( 1745930 16830 ) ( 1752370 * )
NEW met2 ( 129030 149090 ) ( * 2561050 )
NEW met2 ( 186990 2561050 ) ( * 2565300 )
NEW met3 ( 186990 2565300 ) ( 201020 * )
NEW met3 ( 201020 2565300 ) ( * 2565980 0 )
NEW met2 ( 1745930 16830 ) ( * 149090 )
NEW met1 ( 129030 2561050 ) ( 186990 * )
NEW met1 ( 129030 149090 ) ( 1745930 * )
NEW met1 ( 1752370 16830 ) M1M2_PR
NEW met1 ( 1745930 16830 ) M1M2_PR
NEW met1 ( 129030 149090 ) M1M2_PR
NEW met1 ( 129030 2561050 ) M1M2_PR
NEW met1 ( 186990 2561050 ) M1M2_PR
NEW met2 ( 186990 2565300 ) M2M3_PR_M
NEW met1 ( 1745930 149090 ) M1M2_PR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( chip_controller la_data_out[64] ) + USE SIGNAL
+ ROUTED met2 ( 1770310 2380 0 ) ( * 3060 )
NEW met2 ( 1769390 3060 ) ( 1770310 * )
NEW met2 ( 1769390 2380 ) ( * 3060 )
NEW met2 ( 1768010 2380 ) ( 1769390 * )
NEW met2 ( 188830 2567510 ) ( * 2568700 )
NEW met3 ( 188830 2568700 ) ( 201020 * )
NEW met3 ( 201020 2568700 ) ( * 2569380 0 )
NEW met2 ( 1766630 82800 ) ( 1768010 * )
NEW met2 ( 1768010 2380 ) ( * 82800 )
NEW met2 ( 1766630 82800 ) ( * 176970 )
NEW met2 ( 142370 176970 ) ( * 2567510 )
NEW met1 ( 142370 2567510 ) ( 188830 * )
NEW met1 ( 142370 176970 ) ( 1766630 * )
NEW met1 ( 188830 2567510 ) M1M2_PR
NEW met2 ( 188830 2568700 ) M2M3_PR_M
NEW met1 ( 1766630 176970 ) M1M2_PR
NEW met1 ( 142370 176970 ) M1M2_PR
NEW met1 ( 142370 2567510 ) M1M2_PR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( chip_controller la_data_out[65] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2559860 0 ) ( 608810 * )
NEW met2 ( 608810 2553570 ) ( * 2559860 )
NEW met2 ( 900910 80070 ) ( * 2553570 )
NEW met1 ( 608810 2553570 ) ( 900910 * )
NEW met1 ( 900910 80070 ) ( 1787790 * )
NEW met2 ( 1787790 2380 0 ) ( * 80070 )
NEW met2 ( 608810 2559860 ) M2M3_PR_M
NEW met1 ( 608810 2553570 ) M1M2_PR
NEW met1 ( 900910 80070 ) M1M2_PR
NEW met1 ( 900910 2553570 ) M1M2_PR
NEW met1 ( 1787790 80070 ) M1M2_PR ;
- la_data_out[66] ( PIN la_data_out[66] ) ( chip_controller la_data_out[66] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2563260 0 ) ( 608350 * )
NEW met2 ( 608350 2561050 ) ( * 2563260 )
NEW met1 ( 608350 2561050 ) ( 942310 * )
NEW met2 ( 942310 150450 ) ( * 2561050 )
NEW met2 ( 1801130 82800 ) ( 1805730 * )
NEW met2 ( 1805730 2380 0 ) ( * 82800 )
NEW met1 ( 942310 150450 ) ( 1801130 * )
NEW met2 ( 1801130 82800 ) ( * 150450 )
NEW met2 ( 608350 2563260 ) M2M3_PR_M
NEW met1 ( 608350 2561050 ) M1M2_PR
NEW met1 ( 942310 150450 ) M1M2_PR
NEW met1 ( 942310 2561050 ) M1M2_PR
NEW met1 ( 1801130 150450 ) M1M2_PR ;
- la_data_out[67] ( PIN la_data_out[67] ) ( chip_controller la_data_out[67] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2564620 0 ) ( 607430 * )
NEW met2 ( 607430 2561390 ) ( * 2564620 )
NEW met2 ( 1163110 72930 ) ( * 2561390 )
NEW met2 ( 1823210 2380 0 ) ( * 72930 )
NEW met1 ( 607430 2561390 ) ( 1163110 * )
NEW met1 ( 1163110 72930 ) ( 1823210 * )
NEW met2 ( 607430 2564620 ) M2M3_PR_M
NEW met1 ( 607430 2561390 ) M1M2_PR
NEW met1 ( 1163110 72930 ) M1M2_PR
NEW met1 ( 1163110 2561390 ) M1M2_PR
NEW met1 ( 1823210 72930 ) M1M2_PR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( chip_controller la_data_out[68] ) + USE SIGNAL
+ ROUTED met2 ( 1841150 2380 0 ) ( * 3060 )
NEW met2 ( 1840230 3060 ) ( 1841150 * )
NEW met2 ( 1840230 2380 ) ( * 3060 )
NEW met2 ( 1838850 2380 ) ( 1840230 * )
NEW met3 ( 599380 2568020 0 ) ( 607430 * )
NEW met2 ( 607430 2567850 ) ( * 2568020 )
NEW met2 ( 1835630 82800 ) ( 1838850 * )
NEW met2 ( 1838850 2380 ) ( * 82800 )
NEW met2 ( 1835630 82800 ) ( * 151470 )
NEW met1 ( 607430 2567850 ) ( 1211410 * )
NEW met2 ( 1211410 151470 ) ( * 2567850 )
NEW met1 ( 1211410 151470 ) ( 1835630 * )
NEW met2 ( 607430 2568020 ) M2M3_PR_M
NEW met1 ( 607430 2567850 ) M1M2_PR
NEW met1 ( 1835630 151470 ) M1M2_PR
NEW met1 ( 1211410 151470 ) M1M2_PR
NEW met1 ( 1211410 2567850 ) M1M2_PR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( chip_controller la_data_out[69] ) + USE SIGNAL
+ ROUTED met2 ( 1858630 2380 0 ) ( * 3060 )
NEW met2 ( 1857710 3060 ) ( 1858630 * )
NEW met2 ( 1857710 2380 ) ( * 3060 )
NEW met2 ( 1856330 2380 ) ( 1857710 * )
NEW met3 ( 599380 2571420 0 ) ( 608810 * )
NEW met2 ( 608810 2567170 ) ( * 2571420 )
NEW met2 ( 1856330 2380 ) ( * 158270 )
NEW met1 ( 608810 2567170 ) ( 721510 * )
NEW met2 ( 721510 158270 ) ( * 2567170 )
NEW met1 ( 721510 158270 ) ( 1856330 * )
NEW met2 ( 608810 2571420 ) M2M3_PR_M
NEW met1 ( 608810 2567170 ) M1M2_PR
NEW met1 ( 1856330 158270 ) M1M2_PR
NEW met1 ( 721510 158270 ) M1M2_PR
NEW met1 ( 721510 2567170 ) M1M2_PR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( chip_controller la_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 741750 2380 0 ) ( * 3060 )
NEW met2 ( 740830 3060 ) ( 741750 * )
NEW met2 ( 740830 2380 ) ( * 3060 )
NEW met2 ( 739450 2380 ) ( 740830 * )
NEW met2 ( 269330 2277830 ) ( * 2300100 0 )
NEW met2 ( 738530 82800 ) ( 739450 * )
NEW met2 ( 739450 2380 ) ( * 82800 )
NEW met1 ( 269330 2277830 ) ( 738530 * )
NEW met2 ( 738530 82800 ) ( * 2277830 )
NEW met1 ( 269330 2277830 ) M1M2_PR
NEW met1 ( 738530 2277830 ) M1M2_PR ;
- la_data_out[70] ( PIN la_data_out[70] ) ( chip_controller la_data_out[70] ) + USE SIGNAL
+ ROUTED met2 ( 481850 2300100 0 ) ( 482310 * )
NEW met2 ( 482310 39270 ) ( * 2300100 )
NEW met1 ( 482310 39270 ) ( 1876570 * )
NEW met2 ( 1876570 2380 0 ) ( * 39270 )
NEW met1 ( 482310 39270 ) M1M2_PR
NEW met1 ( 1876570 39270 ) M1M2_PR ;
- la_data_out[71] ( PIN la_data_out[71] ) ( chip_controller la_data_out[71] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2578220 0 ) ( 607890 * )
NEW met2 ( 607890 2574650 ) ( * 2578220 )
NEW met2 ( 804310 149770 ) ( * 2574650 )
NEW met2 ( 1894510 2380 0 ) ( * 3060 )
NEW met2 ( 1893590 3060 ) ( 1894510 * )
NEW met2 ( 1893590 2380 ) ( * 3060 )
NEW met2 ( 1892210 2380 ) ( 1893590 * )
NEW met1 ( 607890 2574650 ) ( 804310 * )
NEW met2 ( 1890830 82800 ) ( 1892210 * )
NEW met2 ( 1892210 2380 ) ( * 82800 )
NEW met1 ( 804310 149770 ) ( 1890830 * )
NEW met2 ( 1890830 82800 ) ( * 149770 )
NEW met2 ( 607890 2578220 ) M2M3_PR_M
NEW met1 ( 607890 2574650 ) M1M2_PR
NEW met1 ( 804310 149770 ) M1M2_PR
NEW met1 ( 804310 2574650 ) M1M2_PR
NEW met1 ( 1890830 149770 ) M1M2_PR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( chip_controller la_data_out[72] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2581450 ) ( * 2581620 )
NEW met3 ( 189750 2581620 ) ( 201020 * )
NEW met3 ( 201020 2581620 ) ( * 2582300 0 )
NEW met1 ( 158010 183090 ) ( 1911990 * )
NEW met2 ( 158010 183090 ) ( * 2581450 )
NEW met1 ( 158010 2581450 ) ( 189750 * )
NEW met2 ( 1911990 2380 0 ) ( * 183090 )
NEW met1 ( 189750 2581450 ) M1M2_PR
NEW met2 ( 189750 2581620 ) M2M3_PR_M
NEW met1 ( 158010 183090 ) M1M2_PR
NEW met1 ( 1911990 183090 ) M1M2_PR
NEW met1 ( 158010 2581450 ) M1M2_PR ;
- la_data_out[73] ( PIN la_data_out[73] ) ( chip_controller la_data_out[73] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2582980 0 ) ( 607430 * )
NEW met2 ( 607430 2581450 ) ( * 2582980 )
NEW met2 ( 886650 150110 ) ( * 2581450 )
NEW met2 ( 1925330 82800 ) ( 1929930 * )
NEW met2 ( 1929930 2380 0 ) ( * 82800 )
NEW met2 ( 1925330 82800 ) ( * 150110 )
NEW met1 ( 607430 2581450 ) ( 886650 * )
NEW met1 ( 886650 150110 ) ( 1925330 * )
NEW met2 ( 607430 2582980 ) M2M3_PR_M
NEW met1 ( 607430 2581450 ) M1M2_PR
NEW met1 ( 886650 150110 ) M1M2_PR
NEW met1 ( 886650 2581450 ) M1M2_PR
NEW met1 ( 1925330 150110 ) M1M2_PR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( chip_controller la_data_out[74] ) + USE SIGNAL
+ ROUTED met2 ( 129490 169830 ) ( * 2587570 )
NEW met2 ( 189290 2587570 ) ( * 2589780 )
NEW met3 ( 189290 2589780 ) ( 200100 * )
NEW met3 ( 200100 2589100 0 ) ( * 2589780 )
NEW met2 ( 1946030 82800 ) ( 1947410 * )
NEW met2 ( 1947410 2380 0 ) ( * 82800 )
NEW met2 ( 1946030 82800 ) ( * 169830 )
NEW met1 ( 129490 2587570 ) ( 189290 * )
NEW met1 ( 129490 169830 ) ( 1946030 * )
NEW met1 ( 129490 169830 ) M1M2_PR
NEW met1 ( 129490 2587570 ) M1M2_PR
NEW met1 ( 189290 2587570 ) M1M2_PR
NEW met2 ( 189290 2589780 ) M2M3_PR_M
NEW met1 ( 1946030 169830 ) M1M2_PR ;
- la_data_out[75] ( PIN la_data_out[75] ) ( chip_controller la_data_out[75] ) + USE SIGNAL
+ ROUTED met2 ( 1965350 2380 0 ) ( * 3060 )
NEW met2 ( 1964430 3060 ) ( 1965350 * )
NEW met2 ( 1964430 2380 ) ( * 3060 )
NEW met2 ( 1963050 2380 ) ( 1964430 * )
NEW met2 ( 188830 2587910 ) ( * 2592500 )
NEW met3 ( 188830 2592500 ) ( 201020 * )
NEW met3 ( 201020 2592500 ) ( * 2593180 0 )
NEW met2 ( 1959830 82800 ) ( 1963050 * )
NEW met2 ( 1963050 2380 ) ( * 82800 )
NEW met2 ( 1959830 82800 ) ( * 176630 )
NEW met2 ( 136850 176630 ) ( * 2587910 )
NEW met1 ( 136850 2587910 ) ( 188830 * )
NEW met1 ( 136850 176630 ) ( 1959830 * )
NEW met1 ( 188830 2587910 ) M1M2_PR
NEW met2 ( 188830 2592500 ) M2M3_PR_M
NEW met1 ( 1959830 176630 ) M1M2_PR
NEW met1 ( 136850 176630 ) M1M2_PR
NEW met1 ( 136850 2587910 ) M1M2_PR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( chip_controller la_data_out[76] ) + USE SIGNAL
+ ROUTED li1 ( 517270 2288030 ) ( * 2288370 )
NEW li1 ( 516350 2288030 ) ( 517270 * )
NEW li1 ( 516350 2288030 ) ( * 2290410 )
NEW met1 ( 495190 2290410 ) ( 516350 * )
NEW met2 ( 495190 2290410 ) ( * 2300100 0 )
NEW met2 ( 1982830 2380 0 ) ( * 3060 )
NEW met2 ( 1981910 3060 ) ( 1982830 * )
NEW met2 ( 1981910 2380 ) ( * 3060 )
NEW met2 ( 1980530 2380 ) ( 1981910 * )
NEW met1 ( 520950 100470 ) ( 1980530 * )
NEW met2 ( 520950 100470 ) ( * 2256300 )
NEW met2 ( 517730 2256300 ) ( * 2288370 )
NEW met2 ( 517730 2256300 ) ( 520950 * )
NEW met1 ( 517270 2288370 ) ( 517730 * )
NEW met2 ( 1980530 2380 ) ( * 100470 )
NEW li1 ( 517270 2288370 ) L1M1_PR_MR
NEW li1 ( 516350 2290410 ) L1M1_PR_MR
NEW met1 ( 495190 2290410 ) M1M2_PR
NEW met1 ( 520950 100470 ) M1M2_PR
NEW met1 ( 1980530 100470 ) M1M2_PR
NEW met1 ( 517730 2288370 ) M1M2_PR ;
- la_data_out[77] ( PIN la_data_out[77] ) ( chip_controller la_data_out[77] ) + USE SIGNAL
+ ROUTED li1 ( 509910 2283950 ) ( * 2285310 )
NEW met1 ( 498410 2285310 ) ( 509910 * )
NEW met2 ( 498410 2285310 ) ( * 2300100 0 )
NEW met2 ( 708170 143310 ) ( * 2284630 )
NEW met2 ( 2000770 2380 0 ) ( * 16830 )
NEW met1 ( 1994330 16830 ) ( 2000770 * )
NEW met1 ( 708170 143310 ) ( 1994330 * )
NEW met2 ( 1994330 16830 ) ( * 143310 )
NEW met1 ( 569250 2283950 ) ( * 2284630 )
NEW met1 ( 509910 2283950 ) ( 569250 * )
NEW met1 ( 569250 2284630 ) ( 708170 * )
NEW li1 ( 509910 2283950 ) L1M1_PR_MR
NEW li1 ( 509910 2285310 ) L1M1_PR_MR
NEW met1 ( 498410 2285310 ) M1M2_PR
NEW met1 ( 708170 143310 ) M1M2_PR
NEW met1 ( 708170 2284630 ) M1M2_PR
NEW met1 ( 2000770 16830 ) M1M2_PR
NEW met1 ( 1994330 16830 ) M1M2_PR
NEW met1 ( 1994330 143310 ) M1M2_PR ;
- la_data_out[78] ( PIN la_data_out[78] ) ( chip_controller la_data_out[78] ) + USE SIGNAL
+ ROUTED met2 ( 500250 2284460 ) ( * 2300100 0 )
NEW met2 ( 2015030 82800 ) ( 2018250 * )
NEW met2 ( 2018250 2380 0 ) ( * 82800 )
NEW met2 ( 2015030 82800 ) ( * 156570 )
NEW met3 ( 500250 2284460 ) ( 527850 * )
NEW met2 ( 527850 156570 ) ( * 2284460 )
NEW met1 ( 527850 156570 ) ( 2015030 * )
NEW met2 ( 500250 2284460 ) M2M3_PR_M
NEW met1 ( 2015030 156570 ) M1M2_PR
NEW met1 ( 527850 156570 ) M1M2_PR
NEW met2 ( 527850 2284460 ) M2M3_PR_M ;
- la_data_out[79] ( PIN la_data_out[79] ) ( chip_controller la_data_out[79] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2589780 0 ) ( 607890 * )
NEW met2 ( 607890 2587570 ) ( * 2589780 )
NEW met2 ( 2036190 2380 0 ) ( * 144330 )
NEW met1 ( 607890 2587570 ) ( 1232110 * )
NEW met2 ( 1232110 144330 ) ( * 2587570 )
NEW met1 ( 1232110 144330 ) ( 2036190 * )
NEW met2 ( 607890 2589780 ) M2M3_PR_M
NEW met1 ( 607890 2587570 ) M1M2_PR
NEW met1 ( 2036190 144330 ) M1M2_PR
NEW met1 ( 1232110 144330 ) M1M2_PR
NEW met1 ( 1232110 2587570 ) M1M2_PR ;
- la_data_out[7] ( PIN la_data_out[7] ) ( chip_controller la_data_out[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2348380 0 ) ( 607430 * )
NEW met2 ( 607430 2346170 ) ( * 2348380 )
NEW met2 ( 759230 2380 0 ) ( * 34500 )
NEW met2 ( 759230 34500 ) ( 759690 * )
NEW met2 ( 759690 34500 ) ( * 2346170 )
NEW met1 ( 607430 2346170 ) ( 759690 * )
NEW met2 ( 607430 2348380 ) M2M3_PR_M
NEW met1 ( 607430 2346170 ) M1M2_PR
NEW met1 ( 759690 2346170 ) M1M2_PR ;
- la_data_out[80] ( PIN la_data_out[80] ) ( chip_controller la_data_out[80] ) + USE SIGNAL
+ ROUTED met2 ( 508530 2300100 0 ) ( 509910 * )
NEW met2 ( 509910 163370 ) ( * 2300100 )
NEW met2 ( 2049530 82800 ) ( 2054130 * )
NEW met2 ( 2054130 2380 0 ) ( * 82800 )
NEW met2 ( 2049530 82800 ) ( * 163370 )
NEW met1 ( 509910 163370 ) ( 2049530 * )
NEW met1 ( 509910 163370 ) M1M2_PR
NEW met1 ( 2049530 163370 ) M1M2_PR ;
- la_data_out[81] ( PIN la_data_out[81] ) ( chip_controller la_data_out[81] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2609670 ) ( * 2610180 )
NEW met3 ( 187910 2610180 ) ( 200100 * )
NEW met3 ( 200100 2609500 0 ) ( * 2610180 )
NEW met1 ( 150190 2609670 ) ( 187910 * )
NEW met2 ( 150190 169490 ) ( * 2609670 )
NEW met2 ( 2070230 82800 ) ( 2071610 * )
NEW met2 ( 2071610 2380 0 ) ( * 82800 )
NEW met1 ( 150190 169490 ) ( 2070230 * )
NEW met2 ( 2070230 82800 ) ( * 169490 )
NEW met1 ( 187910 2609670 ) M1M2_PR
NEW met2 ( 187910 2610180 ) M2M3_PR_M
NEW met1 ( 150190 2609670 ) M1M2_PR
NEW met1 ( 150190 169490 ) M1M2_PR
NEW met1 ( 2070230 169490 ) M1M2_PR ;
- la_data_out[82] ( PIN la_data_out[82] ) ( chip_controller la_data_out[82] ) + USE SIGNAL
+ ROUTED met2 ( 515430 2288540 ) ( * 2300100 0 )
NEW met2 ( 2089550 2380 0 ) ( * 3060 )
NEW met2 ( 2088630 3060 ) ( 2089550 * )
NEW met2 ( 2088630 2380 ) ( * 3060 )
NEW met2 ( 2087250 2380 ) ( 2088630 * )
NEW met2 ( 715070 142970 ) ( * 2288540 )
NEW met2 ( 2084030 82800 ) ( 2087250 * )
NEW met2 ( 2087250 2380 ) ( * 82800 )
NEW met1 ( 715070 142970 ) ( 2084030 * )
NEW met2 ( 2084030 82800 ) ( * 142970 )
NEW met3 ( 515430 2288540 ) ( 715070 * )
NEW met2 ( 515430 2288540 ) M2M3_PR_M
NEW met1 ( 715070 142970 ) M1M2_PR
NEW met2 ( 715070 2288540 ) M2M3_PR_M
NEW met1 ( 2084030 142970 ) M1M2_PR ;
- la_data_out[83] ( PIN la_data_out[83] ) ( chip_controller la_data_out[83] ) + USE SIGNAL
+ ROUTED met2 ( 515890 2285140 ) ( * 2300100 )
NEW met2 ( 515890 2300100 ) ( 516810 * 0 )
NEW met2 ( 2107030 2380 0 ) ( * 3060 )
NEW met2 ( 2106110 3060 ) ( 2107030 * )
NEW met2 ( 2106110 2380 ) ( * 3060 )
NEW met2 ( 2104730 2380 ) ( 2106110 * )
NEW met3 ( 515890 2285140 ) ( 521410 * )
NEW met2 ( 521410 142290 ) ( * 2285140 )
NEW met1 ( 521410 142290 ) ( 2104730 * )
NEW met2 ( 2104730 2380 ) ( * 142290 )
NEW met2 ( 515890 2285140 ) M2M3_PR_M
NEW met1 ( 521410 142290 ) M1M2_PR
NEW met2 ( 521410 2285140 ) M2M3_PR_M
NEW met1 ( 2104730 142290 ) M1M2_PR ;
- la_data_out[84] ( PIN la_data_out[84] ) ( chip_controller la_data_out[84] ) + USE SIGNAL
+ ROUTED met2 ( 2124970 2380 0 ) ( * 19550 )
NEW met1 ( 2107950 19550 ) ( 2124970 * )
NEW met1 ( 524170 86870 ) ( 2107950 * )
NEW met1 ( 520490 2285310 ) ( 524170 * )
NEW met2 ( 520490 2285310 ) ( * 2300100 0 )
NEW met2 ( 524170 86870 ) ( * 2285310 )
NEW met2 ( 2107950 19550 ) ( * 86870 )
NEW met1 ( 2124970 19550 ) M1M2_PR
NEW met1 ( 524170 86870 ) M1M2_PR
NEW met1 ( 2107950 19550 ) M1M2_PR
NEW met1 ( 2107950 86870 ) M1M2_PR
NEW met1 ( 524170 2285310 ) M1M2_PR
NEW met1 ( 520490 2285310 ) M1M2_PR ;
- la_data_out[85] ( PIN la_data_out[85] ) ( chip_controller la_data_out[85] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2597940 0 ) ( 608810 * )
NEW met2 ( 608810 2594710 ) ( * 2597940 )
NEW met2 ( 1260170 158610 ) ( * 2594710 )
NEW met2 ( 2139230 82800 ) ( 2142450 * )
NEW met2 ( 2142450 2380 0 ) ( * 82800 )
NEW met2 ( 2139230 82800 ) ( * 158610 )
NEW met1 ( 608810 2594710 ) ( 1260170 * )
NEW met1 ( 1260170 158610 ) ( 2139230 * )
NEW met2 ( 608810 2597940 ) M2M3_PR_M
NEW met1 ( 608810 2594710 ) M1M2_PR
NEW met1 ( 1260170 2594710 ) M1M2_PR
NEW met1 ( 1260170 158610 ) M1M2_PR
NEW met1 ( 2139230 158610 ) M1M2_PR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( chip_controller la_data_out[86] ) + USE SIGNAL
+ ROUTED met2 ( 187450 2622590 ) ( * 2625140 )
NEW met3 ( 187450 2625140 ) ( 201020 * )
NEW met3 ( 201020 2625140 ) ( * 2625820 0 )
NEW met2 ( 123510 148410 ) ( * 2622590 )
NEW met1 ( 123510 2622590 ) ( 187450 * )
NEW met1 ( 123510 148410 ) ( 2160390 * )
NEW met2 ( 2160390 2380 0 ) ( * 148410 )
NEW met1 ( 123510 2622590 ) M1M2_PR
NEW met1 ( 187450 2622590 ) M1M2_PR
NEW met2 ( 187450 2625140 ) M2M3_PR_M
NEW met1 ( 123510 148410 ) M1M2_PR
NEW met1 ( 2160390 148410 ) M1M2_PR ;
- la_data_out[87] ( PIN la_data_out[87] ) ( chip_controller la_data_out[87] ) + USE SIGNAL
+ ROUTED met2 ( 2177870 2380 0 ) ( * 3060 )
NEW met2 ( 2176950 3060 ) ( 2177870 * )
NEW met2 ( 2176950 2380 ) ( * 3060 )
NEW met2 ( 2175570 2380 ) ( 2176950 * )
NEW met2 ( 523710 2289900 ) ( * 2300100 0 )
NEW met2 ( 742670 135490 ) ( * 2287860 )
NEW met2 ( 2173730 82800 ) ( 2175570 * )
NEW met2 ( 2175570 2380 ) ( * 82800 )
NEW met1 ( 742670 135490 ) ( 2173730 * )
NEW met2 ( 2173730 82800 ) ( * 135490 )
NEW met2 ( 569250 2287860 ) ( * 2289900 )
NEW met3 ( 523710 2289900 ) ( 569250 * )
NEW met3 ( 569250 2287860 ) ( 742670 * )
NEW met2 ( 523710 2289900 ) M2M3_PR_M
NEW met1 ( 742670 135490 ) M1M2_PR
NEW met2 ( 742670 2287860 ) M2M3_PR_M
NEW met1 ( 2173730 135490 ) M1M2_PR
NEW met2 ( 569250 2289900 ) M2M3_PR_M
NEW met2 ( 569250 2287860 ) M2M3_PR_M ;
- la_data_out[88] ( PIN la_data_out[88] ) ( chip_controller la_data_out[88] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2606100 0 ) ( 607430 * )
NEW met2 ( 607430 2602530 ) ( * 2606100 )
NEW met1 ( 607430 2602530 ) ( 831910 * )
NEW met2 ( 831910 135830 ) ( * 2602530 )
NEW met2 ( 2194430 82800 ) ( 2195810 * )
NEW met2 ( 2195810 2380 0 ) ( * 82800 )
NEW met1 ( 831910 135830 ) ( 2194430 * )
NEW met2 ( 2194430 82800 ) ( * 135830 )
NEW met2 ( 607430 2606100 ) M2M3_PR_M
NEW met1 ( 607430 2602530 ) M1M2_PR
NEW met1 ( 831910 2602530 ) M1M2_PR
NEW met1 ( 831910 135830 ) M1M2_PR
NEW met1 ( 2194430 135830 ) M1M2_PR ;
- la_data_out[89] ( PIN la_data_out[89] ) ( chip_controller la_data_out[89] ) + USE SIGNAL
+ ROUTED met2 ( 503010 2699260 0 ) ( 503470 * )
NEW met2 ( 503470 2699260 ) ( * 2712010 )
NEW met2 ( 700810 170510 ) ( * 2712010 )
NEW met2 ( 2208230 82800 ) ( 2213290 * )
NEW met2 ( 2213290 2380 0 ) ( * 82800 )
NEW met2 ( 2208230 82800 ) ( * 170510 )
NEW met1 ( 503470 2712010 ) ( 700810 * )
NEW met1 ( 700810 170510 ) ( 2208230 * )
NEW met1 ( 503470 2712010 ) M1M2_PR
NEW met1 ( 700810 2712010 ) M1M2_PR
NEW met1 ( 700810 170510 ) M1M2_PR
NEW met1 ( 2208230 170510 ) M1M2_PR ;
- la_data_out[8] ( PIN la_data_out[8] ) ( chip_controller la_data_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 777170 2380 0 ) ( * 17340 )
NEW met2 ( 775790 17340 ) ( 777170 * )
NEW met2 ( 773030 82800 ) ( 775790 * )
NEW met2 ( 775790 17340 ) ( * 82800 )
NEW met2 ( 773030 82800 ) ( * 2703510 )
NEW met2 ( 243570 2699260 0 ) ( 244950 * )
NEW met2 ( 244950 2699260 ) ( * 2713030 )
NEW met2 ( 434930 2703510 ) ( * 2713030 )
NEW met1 ( 244950 2713030 ) ( 434930 * )
NEW met1 ( 434930 2703510 ) ( 773030 * )
NEW met1 ( 773030 2703510 ) M1M2_PR
NEW met1 ( 244950 2713030 ) M1M2_PR
NEW met1 ( 434930 2713030 ) M1M2_PR
NEW met1 ( 434930 2703510 ) M1M2_PR ;
- la_data_out[90] ( PIN la_data_out[90] ) ( chip_controller la_data_out[90] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2609500 0 ) ( 607430 * )
NEW met2 ( 607430 2608310 ) ( * 2609500 )
NEW met2 ( 2231230 2380 0 ) ( * 3060 )
NEW met2 ( 2230310 3060 ) ( 2231230 * )
NEW met2 ( 2230310 2380 ) ( * 3060 )
NEW met2 ( 2228930 2380 ) ( 2230310 * )
NEW met2 ( 1245910 136510 ) ( * 2608310 )
NEW met2 ( 2228930 2380 ) ( * 136510 )
NEW met1 ( 607430 2608310 ) ( 1245910 * )
NEW met1 ( 1245910 136510 ) ( 2228930 * )
NEW met2 ( 607430 2609500 ) M2M3_PR_M
NEW met1 ( 607430 2608310 ) M1M2_PR
NEW met1 ( 1245910 2608310 ) M1M2_PR
NEW met1 ( 1245910 136510 ) M1M2_PR
NEW met1 ( 2228930 136510 ) M1M2_PR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( chip_controller la_data_out[91] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2629730 ) ( * 2635340 )
NEW met3 ( 189750 2635340 ) ( 200100 * )
NEW met3 ( 200100 2634660 0 ) ( * 2635340 )
NEW met2 ( 2249170 2380 0 ) ( * 17510 )
NEW met1 ( 2242730 17510 ) ( 2249170 * )
NEW met2 ( 130870 127670 ) ( * 2629730 )
NEW met2 ( 2242730 17510 ) ( * 127670 )
NEW met1 ( 130870 2629730 ) ( 189750 * )
NEW met1 ( 130870 127670 ) ( 2242730 * )
NEW met1 ( 130870 127670 ) M1M2_PR
NEW met1 ( 130870 2629730 ) M1M2_PR
NEW met1 ( 189750 2629730 ) M1M2_PR
NEW met2 ( 189750 2635340 ) M2M3_PR_M
NEW met1 ( 2249170 17510 ) M1M2_PR
NEW met1 ( 2242730 17510 ) M1M2_PR
NEW met1 ( 2242730 127670 ) M1M2_PR ;
- la_data_out[92] ( PIN la_data_out[92] ) ( chip_controller la_data_out[92] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2610860 0 ) ( 607890 * )
NEW met2 ( 607890 2608990 ) ( * 2610860 )
NEW met1 ( 607890 2608990 ) ( 1114350 * )
NEW met2 ( 1114350 136170 ) ( * 2608990 )
NEW met2 ( 2263430 82800 ) ( 2266650 * )
NEW met2 ( 2266650 2380 0 ) ( * 82800 )
NEW met1 ( 1114350 136170 ) ( 2263430 * )
NEW met2 ( 2263430 82800 ) ( * 136170 )
NEW met2 ( 607890 2610860 ) M2M3_PR_M
NEW met1 ( 607890 2608990 ) M1M2_PR
NEW met1 ( 1114350 2608990 ) M1M2_PR
NEW met1 ( 1114350 136170 ) M1M2_PR
NEW met1 ( 2263430 136170 ) M1M2_PR ;
- la_data_out[93] ( PIN la_data_out[93] ) ( chip_controller la_data_out[93] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2614260 0 ) ( 608350 * )
NEW met2 ( 608350 2608650 ) ( * 2614260 )
NEW met2 ( 1156210 129370 ) ( * 2608650 )
NEW met1 ( 608350 2608650 ) ( 1156210 * )
NEW met1 ( 1156210 129370 ) ( 2284130 * )
NEW met2 ( 2284590 2380 0 ) ( * 34500 )
NEW met2 ( 2284130 34500 ) ( 2284590 * )
NEW met2 ( 2284130 34500 ) ( * 129370 )
NEW met2 ( 608350 2614260 ) M2M3_PR_M
NEW met1 ( 608350 2608650 ) M1M2_PR
NEW met1 ( 1156210 129370 ) M1M2_PR
NEW met1 ( 1156210 2608650 ) M1M2_PR
NEW met1 ( 2284130 129370 ) M1M2_PR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( chip_controller la_data_out[94] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2635850 ) ( * 2640780 )
NEW met3 ( 189750 2640780 ) ( 200100 * )
NEW met3 ( 200100 2640100 0 ) ( * 2640780 )
NEW met1 ( 173650 2635850 ) ( 189750 * )
NEW met2 ( 2302070 2380 0 ) ( * 3060 )
NEW met2 ( 2301150 3060 ) ( 2302070 * )
NEW met2 ( 2301150 2380 ) ( * 3060 )
NEW met2 ( 2299770 2380 ) ( 2301150 * )
NEW met2 ( 173650 148070 ) ( * 2635850 )
NEW met2 ( 2297930 82800 ) ( 2299770 * )
NEW met2 ( 2299770 2380 ) ( * 82800 )
NEW met1 ( 173650 148070 ) ( 2297930 * )
NEW met2 ( 2297930 82800 ) ( * 148070 )
NEW met1 ( 189750 2635850 ) M1M2_PR
NEW met2 ( 189750 2640780 ) M2M3_PR_M
NEW met1 ( 173650 2635850 ) M1M2_PR
NEW met1 ( 173650 148070 ) M1M2_PR
NEW met1 ( 2297930 148070 ) M1M2_PR ;
- la_data_out[95] ( PIN la_data_out[95] ) ( chip_controller la_data_out[95] ) + USE SIGNAL
+ ROUTED met2 ( 2320010 2380 0 ) ( * 46750 )
NEW met1 ( 542110 2284630 ) ( 544870 * )
NEW met2 ( 542110 2284630 ) ( * 2300100 0 )
NEW met2 ( 544870 46750 ) ( * 2284630 )
NEW met1 ( 544870 46750 ) ( 2320010 * )
NEW met1 ( 2320010 46750 ) M1M2_PR
NEW met1 ( 544870 46750 ) M1M2_PR
NEW met1 ( 544870 2284630 ) M1M2_PR
NEW met1 ( 542110 2284630 ) M1M2_PR ;
- la_data_out[96] ( PIN la_data_out[96] ) ( chip_controller la_data_out[96] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2621060 0 ) ( 609270 * )
NEW met2 ( 609270 2615110 ) ( * 2621060 )
NEW met2 ( 1170010 143650 ) ( * 2615110 )
NEW met2 ( 2332430 82800 ) ( 2337490 * )
NEW met2 ( 2337490 2380 0 ) ( * 82800 )
NEW met2 ( 2332430 82800 ) ( * 143650 )
NEW met1 ( 609270 2615110 ) ( 1170010 * )
NEW met1 ( 1170010 143650 ) ( 2332430 * )
NEW met2 ( 609270 2621060 ) M2M3_PR_M
NEW met1 ( 609270 2615110 ) M1M2_PR
NEW met1 ( 1170010 2615110 ) M1M2_PR
NEW met1 ( 1170010 143650 ) M1M2_PR
NEW met1 ( 2332430 143650 ) M1M2_PR ;
- la_data_out[97] ( PIN la_data_out[97] ) ( chip_controller la_data_out[97] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2622420 0 ) ( 607430 * )
NEW met2 ( 607430 2622250 ) ( * 2622420 )
NEW met1 ( 607430 2622250 ) ( 1121250 * )
NEW met2 ( 2355430 2380 0 ) ( * 3060 )
NEW met2 ( 2354510 3060 ) ( 2355430 * )
NEW met2 ( 2354510 2380 ) ( * 3060 )
NEW met2 ( 2353130 2380 ) ( 2354510 * )
NEW met1 ( 1121250 128690 ) ( 2353130 * )
NEW met2 ( 1121250 128690 ) ( * 2622250 )
NEW met2 ( 2353130 2380 ) ( * 128690 )
NEW met2 ( 607430 2622420 ) M2M3_PR_M
NEW met1 ( 607430 2622250 ) M1M2_PR
NEW met1 ( 1121250 128690 ) M1M2_PR
NEW met1 ( 1121250 2622250 ) M1M2_PR
NEW met1 ( 2353130 128690 ) M1M2_PR ;
- la_data_out[98] ( PIN la_data_out[98] ) ( chip_controller la_data_out[98] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2625820 0 ) ( 607890 * )
NEW met2 ( 607890 2622590 ) ( * 2625820 )
NEW met1 ( 607890 2622590 ) ( 1045350 * )
NEW met2 ( 1045350 39610 ) ( * 2622590 )
NEW met1 ( 1045350 39610 ) ( 2372910 * )
NEW met2 ( 2372910 2380 0 ) ( * 39610 )
NEW met2 ( 607890 2625820 ) M2M3_PR_M
NEW met1 ( 607890 2622590 ) M1M2_PR
NEW met1 ( 1045350 2622590 ) M1M2_PR
NEW met1 ( 1045350 39610 ) M1M2_PR
NEW met1 ( 2372910 39610 ) M1M2_PR ;
- la_data_out[99] ( PIN la_data_out[99] ) ( chip_controller la_data_out[99] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2627860 0 ) ( 607430 * )
NEW met2 ( 607430 2622930 ) ( * 2627860 )
NEW met1 ( 607430 2622930 ) ( 1024650 * )
NEW met2 ( 1024650 66470 ) ( * 2622930 )
NEW met1 ( 1024650 66470 ) ( 2390850 * )
NEW met2 ( 2390850 2380 0 ) ( * 66470 )
NEW met2 ( 607430 2627860 ) M2M3_PR_M
NEW met1 ( 607430 2622930 ) M1M2_PR
NEW met1 ( 1024650 2622930 ) M1M2_PR
NEW met1 ( 1024650 66470 ) M1M2_PR
NEW met1 ( 2390850 66470 ) M1M2_PR ;
- la_data_out[9] ( PIN la_data_out[9] ) ( chip_controller la_data_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 793730 82800 ) ( 794650 * )
NEW met2 ( 794650 2380 0 ) ( * 82800 )
NEW met2 ( 793730 82800 ) ( * 2707250 )
NEW met2 ( 250470 2699260 0 ) ( 251390 * )
NEW met2 ( 251390 2699260 ) ( * 2707250 )
NEW met1 ( 251390 2707250 ) ( 793730 * )
NEW met1 ( 793730 2707250 ) M1M2_PR
NEW met1 ( 251390 2707250 ) M1M2_PR ;
- la_oenb[0] ( PIN la_oenb[0] ) ( chip_controller la_oenb[0] ) + USE SIGNAL
+ ROUTED met3 ( 197340 2308260 ) ( 200100 * )
NEW met3 ( 200100 2307580 0 ) ( * 2308260 )
NEW met3 ( 197340 2299420 ) ( 199410 * )
NEW met2 ( 199410 2299420 ) ( * 2299590 )
NEW met4 ( 197340 2299420 ) ( * 2308260 )
NEW met1 ( 238050 17850 ) ( 276000 * )
NEW met1 ( 276000 17510 ) ( * 17850 )
NEW met2 ( 641010 2380 0 ) ( * 17510 )
NEW met1 ( 276000 17510 ) ( 641010 * )
NEW met1 ( 199410 2299590 ) ( 238050 * )
NEW met2 ( 238050 17850 ) ( * 2299590 )
NEW met3 ( 197340 2308260 ) M3M4_PR_M
NEW met3 ( 197340 2299420 ) M3M4_PR_M
NEW met2 ( 199410 2299420 ) M2M3_PR_M
NEW met1 ( 199410 2299590 ) M1M2_PR
NEW met1 ( 238050 17850 ) M1M2_PR
NEW met1 ( 641010 17510 ) M1M2_PR
NEW met1 ( 238050 2299590 ) M1M2_PR ;
- la_oenb[100] ( PIN la_oenb[100] ) ( chip_controller la_oenb[100] ) + USE SIGNAL
+ ROUTED met2 ( 2414310 2380 0 ) ( * 46410 )
NEW met1 ( 550390 46410 ) ( 2414310 * )
NEW met2 ( 550390 46410 ) ( * 2300100 0 )
NEW met1 ( 2414310 46410 ) M1M2_PR
NEW met1 ( 550390 46410 ) M1M2_PR ;
- la_oenb[101] ( PIN la_oenb[101] ) ( chip_controller la_oenb[101] ) + USE SIGNAL
+ ROUTED met2 ( 2432250 2380 0 ) ( * 46070 )
NEW met1 ( 557290 46070 ) ( 2432250 * )
NEW met1 ( 554070 2288370 ) ( 557290 * )
NEW met2 ( 554070 2288370 ) ( * 2300100 0 )
NEW met2 ( 557290 46070 ) ( * 2288370 )
NEW met1 ( 2432250 46070 ) M1M2_PR
NEW met1 ( 557290 46070 ) M1M2_PR
NEW met1 ( 557290 2288370 ) M1M2_PR
NEW met1 ( 554070 2288370 ) M1M2_PR ;
- la_oenb[102] ( PIN la_oenb[102] ) ( chip_controller la_oenb[102] ) + USE SIGNAL
+ ROUTED met1 ( 557750 45730 ) ( 2449730 * )
NEW met2 ( 2449730 2380 0 ) ( * 45730 )
NEW met2 ( 557750 2300100 ) ( 558670 * 0 )
NEW met2 ( 557750 45730 ) ( * 2300100 )
NEW met1 ( 557750 45730 ) M1M2_PR
NEW met1 ( 2449730 45730 ) M1M2_PR ;
- la_oenb[103] ( PIN la_oenb[103] ) ( chip_controller la_oenb[103] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2637380 0 ) ( 607890 * )
NEW met2 ( 607890 2636190 ) ( * 2637380 )
NEW met1 ( 607890 2636190 ) ( 1204970 * )
NEW met2 ( 2467670 2380 0 ) ( * 3060 )
NEW met2 ( 2466750 3060 ) ( 2467670 * )
NEW met2 ( 2466750 2380 ) ( * 3060 )
NEW met2 ( 2465370 2380 ) ( 2466750 * )
NEW met2 ( 1204970 164730 ) ( * 2636190 )
NEW met2 ( 2463530 82800 ) ( 2465370 * )
NEW met2 ( 2465370 2380 ) ( * 82800 )
NEW met1 ( 1204970 164730 ) ( 2463530 * )
NEW met2 ( 2463530 82800 ) ( * 164730 )
NEW met2 ( 607890 2637380 ) M2M3_PR_M
NEW met1 ( 607890 2636190 ) M1M2_PR
NEW met1 ( 1204970 2636190 ) M1M2_PR
NEW met1 ( 1204970 164730 ) M1M2_PR
NEW met1 ( 2463530 164730 ) M1M2_PR ;
- la_oenb[104] ( PIN la_oenb[104] ) ( chip_controller la_oenb[104] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2640780 0 ) ( 608350 * )
NEW met2 ( 608350 2636530 ) ( * 2640780 )
NEW met1 ( 608350 2636530 ) ( 1010850 * )
NEW met2 ( 1010850 142630 ) ( * 2636530 )
NEW met2 ( 2484230 82800 ) ( 2485610 * )
NEW met2 ( 2485610 2380 0 ) ( * 82800 )
NEW met1 ( 1010850 142630 ) ( 2484230 * )
NEW met2 ( 2484230 82800 ) ( * 142630 )
NEW met2 ( 608350 2640780 ) M2M3_PR_M
NEW met1 ( 608350 2636530 ) M1M2_PR
NEW met1 ( 1010850 2636530 ) M1M2_PR
NEW met1 ( 1010850 142630 ) M1M2_PR
NEW met1 ( 2484230 142630 ) M1M2_PR ;
- la_oenb[105] ( PIN la_oenb[105] ) ( chip_controller la_oenb[105] ) + USE SIGNAL
+ ROUTED met2 ( 2498030 82800 ) ( 2503090 * )
NEW met2 ( 2503090 2380 0 ) ( * 82800 )
NEW met2 ( 2498030 82800 ) ( * 134810 )
NEW met2 ( 544410 2699260 0 ) ( 544870 * )
NEW met2 ( 544870 2699260 ) ( * 2714050 )
NEW met1 ( 544870 2714050 ) ( 549010 * )
NEW li1 ( 549010 2714050 ) ( * 2718470 )
NEW met1 ( 549010 2718470 ) ( 734850 * )
NEW met2 ( 734850 134810 ) ( * 2718470 )
NEW met1 ( 734850 134810 ) ( 2498030 * )
NEW met1 ( 2498030 134810 ) M1M2_PR
NEW met1 ( 544870 2714050 ) M1M2_PR
NEW li1 ( 549010 2714050 ) L1M1_PR_MR
NEW li1 ( 549010 2718470 ) L1M1_PR_MR
NEW met1 ( 734850 2718470 ) M1M2_PR
NEW met1 ( 734850 134810 ) M1M2_PR ;
- la_oenb[106] ( PIN la_oenb[106] ) ( chip_controller la_oenb[106] ) + USE SIGNAL
+ ROUTED met2 ( 2521030 2380 0 ) ( * 3060 )
NEW met2 ( 2520110 3060 ) ( 2521030 * )
NEW met2 ( 2520110 2380 ) ( * 3060 )
NEW met2 ( 2518730 2380 ) ( 2520110 * )
NEW met2 ( 687470 120870 ) ( * 2718300 )
NEW met2 ( 2518730 2380 ) ( * 120870 )
NEW met2 ( 550850 2699260 0 ) ( 551770 * )
NEW met2 ( 551770 2699260 ) ( * 2718300 )
NEW met3 ( 551770 2718300 ) ( 687470 * )
NEW met1 ( 687470 120870 ) ( 2518730 * )
NEW met1 ( 687470 120870 ) M1M2_PR
NEW met2 ( 687470 2718300 ) M2M3_PR_M
NEW met1 ( 2518730 120870 ) M1M2_PR
NEW met2 ( 551770 2718300 ) M2M3_PR_M ;
- la_oenb[107] ( PIN la_oenb[107] ) ( chip_controller la_oenb[107] ) + USE SIGNAL
+ ROUTED met2 ( 2538510 2380 0 ) ( * 45390 )
NEW met1 ( 572470 45390 ) ( 2538510 * )
NEW met1 ( 567410 2288370 ) ( 572470 * )
NEW met2 ( 567410 2288370 ) ( * 2300100 0 )
NEW met2 ( 572470 45390 ) ( * 2288370 )
NEW met1 ( 572470 45390 ) M1M2_PR
NEW met1 ( 2538510 45390 ) M1M2_PR
NEW met1 ( 572470 2288370 ) M1M2_PR
NEW met1 ( 567410 2288370 ) M1M2_PR ;
- la_oenb[108] ( PIN la_oenb[108] ) ( chip_controller la_oenb[108] ) + USE SIGNAL
+ ROUTED met2 ( 783150 134470 ) ( * 2716940 )
NEW met2 ( 557750 2699260 0 ) ( 558670 * )
NEW met2 ( 558670 2699260 ) ( * 2716940 )
NEW met3 ( 558670 2716940 ) ( 783150 * )
NEW met2 ( 2553230 82800 ) ( 2556450 * )
NEW met2 ( 2556450 2380 0 ) ( * 82800 )
NEW met1 ( 783150 134470 ) ( 2553230 * )
NEW met2 ( 2553230 82800 ) ( * 134470 )
NEW met2 ( 783150 2716940 ) M2M3_PR_M
NEW met1 ( 783150 134470 ) M1M2_PR
NEW met2 ( 558670 2716940 ) M2M3_PR_M
NEW met1 ( 2553230 134470 ) M1M2_PR ;
- la_oenb[109] ( PIN la_oenb[109] ) ( chip_controller la_oenb[109] ) + USE SIGNAL
+ ROUTED met2 ( 560970 2699260 0 ) ( 561890 * )
NEW met2 ( 561890 2699260 ) ( * 2716260 )
NEW met3 ( 561890 2716260 ) ( 756470 * )
NEW met2 ( 2573930 2380 0 ) ( * 17340 )
NEW met2 ( 2573930 17340 ) ( 2574390 * )
NEW met2 ( 756470 148750 ) ( * 2716260 )
NEW met1 ( 756470 148750 ) ( 2574390 * )
NEW met2 ( 2574390 17340 ) ( * 148750 )
NEW met2 ( 561890 2716260 ) M2M3_PR_M
NEW met2 ( 756470 2716260 ) M2M3_PR_M
NEW met1 ( 756470 148750 ) M1M2_PR
NEW met1 ( 2574390 148750 ) M1M2_PR ;
- la_oenb[10] ( PIN la_oenb[10] ) ( chip_controller la_oenb[10] ) + USE SIGNAL
+ ROUTED met2 ( 301070 2290410 ) ( * 2300100 0 )
NEW met2 ( 818570 2380 0 ) ( * 33150 )
NEW met1 ( 341550 33150 ) ( 818570 * )
NEW met1 ( 301070 2290410 ) ( 341550 * )
NEW met2 ( 341550 33150 ) ( * 2290410 )
NEW met1 ( 301070 2290410 ) M1M2_PR
NEW met1 ( 341550 33150 ) M1M2_PR
NEW met1 ( 818570 33150 ) M1M2_PR
NEW met1 ( 341550 2290410 ) M1M2_PR ;
- la_oenb[110] ( PIN la_oenb[110] ) ( chip_controller la_oenb[110] ) + USE SIGNAL
+ ROUTED met2 ( 187450 2656590 ) ( * 2659820 )
NEW met3 ( 187450 2659820 ) ( 201020 * )
NEW met3 ( 201020 2659820 ) ( * 2660500 0 )
NEW met2 ( 2591870 2380 0 ) ( * 3060 )
NEW met2 ( 2590950 3060 ) ( 2591870 * )
NEW met2 ( 2590950 2380 ) ( * 3060 )
NEW met2 ( 2589570 2380 ) ( 2590950 * )
NEW met3 ( 143290 182580 ) ( 2587730 * )
NEW met2 ( 143290 182580 ) ( * 2656590 )
NEW met1 ( 143290 2656590 ) ( 187450 * )
NEW met2 ( 2587730 82800 ) ( 2589570 * )
NEW met2 ( 2589570 2380 ) ( * 82800 )
NEW met2 ( 2587730 82800 ) ( * 182580 )
NEW met1 ( 187450 2656590 ) M1M2_PR
NEW met2 ( 187450 2659820 ) M2M3_PR_M
NEW met2 ( 143290 182580 ) M2M3_PR_M
NEW met2 ( 2587730 182580 ) M2M3_PR_M
NEW met1 ( 143290 2656590 ) M1M2_PR ;
- la_oenb[111] ( PIN la_oenb[111] ) ( chip_controller la_oenb[111] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2660500 0 ) ( 607890 * )
NEW met2 ( 607890 2657270 ) ( * 2660500 )
NEW met2 ( 2608430 82800 ) ( 2609350 * )
NEW met2 ( 2609350 2380 0 ) ( * 82800 )
NEW met2 ( 2608430 82800 ) ( * 141950 )
NEW met1 ( 607890 2657270 ) ( 907350 * )
NEW met2 ( 907350 141950 ) ( * 2657270 )
NEW met1 ( 907350 141950 ) ( 2608430 * )
NEW met2 ( 607890 2660500 ) M2M3_PR_M
NEW met1 ( 607890 2657270 ) M1M2_PR
NEW met1 ( 2608430 141950 ) M1M2_PR
NEW met1 ( 907350 141950 ) M1M2_PR
NEW met1 ( 907350 2657270 ) M1M2_PR ;
- la_oenb[112] ( PIN la_oenb[112] ) ( chip_controller la_oenb[112] ) + USE SIGNAL
+ ROUTED met2 ( 567410 2699260 0 ) ( 568790 * )
NEW met2 ( 568790 2699260 ) ( * 2714220 )
NEW met2 ( 693450 162350 ) ( * 2714220 )
NEW met2 ( 2622230 82800 ) ( 2627290 * )
NEW met2 ( 2627290 2380 0 ) ( * 82800 )
NEW met2 ( 2622230 82800 ) ( * 162350 )
NEW met3 ( 568790 2714220 ) ( 693450 * )
NEW met1 ( 693450 162350 ) ( 2622230 * )
NEW met2 ( 568790 2714220 ) M2M3_PR_M
NEW met2 ( 693450 2714220 ) M2M3_PR_M
NEW met1 ( 693450 162350 ) M1M2_PR
NEW met1 ( 2622230 162350 ) M1M2_PR ;
- la_oenb[113] ( PIN la_oenb[113] ) ( chip_controller la_oenb[113] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2664410 ) ( * 2665260 )
NEW met3 ( 189290 2665260 ) ( 201020 * )
NEW met3 ( 201020 2665260 ) ( * 2665940 0 )
NEW met3 ( 163990 202980 ) ( 2644770 * )
NEW met2 ( 163990 202980 ) ( * 2664410 )
NEW met1 ( 163990 2664410 ) ( 189290 * )
NEW met2 ( 2643850 82800 ) ( 2645230 * )
NEW met2 ( 2645230 2380 0 ) ( * 82800 )
NEW met2 ( 2643850 82800 ) ( * 131100 )
NEW met2 ( 2643850 131100 ) ( 2644770 * )
NEW met2 ( 2644770 131100 ) ( * 202980 )
NEW met1 ( 189290 2664410 ) M1M2_PR
NEW met2 ( 189290 2665260 ) M2M3_PR_M
NEW met2 ( 163990 202980 ) M2M3_PR_M
NEW met2 ( 2644770 202980 ) M2M3_PR_M
NEW met1 ( 163990 2664410 ) M1M2_PR ;
- la_oenb[114] ( PIN la_oenb[114] ) ( chip_controller la_oenb[114] ) + USE SIGNAL
+ ROUTED met3 ( 185380 2667980 ) ( 200100 * )
NEW met3 ( 200100 2667300 0 ) ( * 2667980 )
NEW met4 ( 185380 92820 ) ( * 2667980 )
NEW met2 ( 2662710 2380 0 ) ( * 3060 )
NEW met2 ( 2661790 3060 ) ( 2662710 * )
NEW met2 ( 2661790 2380 ) ( * 3060 )
NEW met2 ( 2660410 2380 ) ( 2661790 * )
NEW met3 ( 185380 92820 ) ( 2656730 * )
NEW met2 ( 2656730 82800 ) ( * 92820 )
NEW met2 ( 2656730 82800 ) ( 2660410 * )
NEW met2 ( 2660410 2380 ) ( * 82800 )
NEW met3 ( 185380 92820 ) M3M4_PR_M
NEW met3 ( 185380 2667980 ) M3M4_PR_M
NEW met2 ( 2656730 92820 ) M2M3_PR_M ;
- la_oenb[115] ( PIN la_oenb[115] ) ( chip_controller la_oenb[115] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2672060 0 ) ( 607890 * )
NEW met2 ( 607890 2671550 ) ( * 2672060 )
NEW met1 ( 607890 2671550 ) ( 1135050 * )
NEW met2 ( 1135050 149430 ) ( * 2671550 )
NEW met2 ( 2677430 82800 ) ( 2680650 * )
NEW met2 ( 2680650 2380 0 ) ( * 82800 )
NEW met1 ( 1135050 149430 ) ( 2677430 * )
NEW met2 ( 2677430 82800 ) ( * 149430 )
NEW met2 ( 607890 2672060 ) M2M3_PR_M
NEW met1 ( 607890 2671550 ) M1M2_PR
NEW met1 ( 1135050 149430 ) M1M2_PR
NEW met1 ( 1135050 2671550 ) M1M2_PR
NEW met1 ( 2677430 149430 ) M1M2_PR ;
- la_oenb[116] ( PIN la_oenb[116] ) ( chip_controller la_oenb[116] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2676820 0 ) ( 607430 * )
NEW met2 ( 607430 2671890 ) ( * 2676820 )
NEW met2 ( 1086750 128350 ) ( * 2671890 )
NEW met2 ( 2698130 2380 0 ) ( * 128350 )
NEW met1 ( 1086750 128350 ) ( 2698130 * )
NEW met1 ( 607430 2671890 ) ( 1086750 * )
NEW met1 ( 1086750 128350 ) M1M2_PR
NEW met1 ( 2698130 128350 ) M1M2_PR
NEW met2 ( 607430 2676820 ) M2M3_PR_M
NEW met1 ( 607430 2671890 ) M1M2_PR
NEW met1 ( 1086750 2671890 ) M1M2_PR ;
- la_oenb[117] ( PIN la_oenb[117] ) ( chip_controller la_oenb[117] ) + USE SIGNAL
+ ROUTED met2 ( 2716070 2380 0 ) ( * 3060 )
NEW met2 ( 2715150 3060 ) ( 2716070 * )
NEW met2 ( 2715150 2380 ) ( * 3060 )
NEW met2 ( 2713770 2380 ) ( 2715150 * )
NEW met2 ( 123970 168810 ) ( * 2671210 )
NEW met2 ( 188830 2671210 ) ( * 2676140 )
NEW met3 ( 188830 2676140 ) ( 201020 * )
NEW met3 ( 201020 2676140 ) ( * 2676820 0 )
NEW met2 ( 2711930 82800 ) ( 2713770 * )
NEW met2 ( 2713770 2380 ) ( * 82800 )
NEW met2 ( 2711930 82800 ) ( * 168810 )
NEW met1 ( 123970 2671210 ) ( 188830 * )
NEW met1 ( 123970 168810 ) ( 2711930 * )
NEW met1 ( 123970 168810 ) M1M2_PR
NEW met1 ( 123970 2671210 ) M1M2_PR
NEW met1 ( 188830 2671210 ) M1M2_PR
NEW met2 ( 188830 2676140 ) M2M3_PR_M
NEW met1 ( 2711930 168810 ) M1M2_PR ;
- la_oenb[118] ( PIN la_oenb[118] ) ( chip_controller la_oenb[118] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2682260 0 ) ( 607890 * )
NEW met2 ( 607890 2678350 ) ( * 2682260 )
NEW met2 ( 2732630 82800 ) ( * 120530 )
NEW met2 ( 2732630 82800 ) ( 2733550 * )
NEW met2 ( 2733550 2380 0 ) ( * 82800 )
NEW met1 ( 810750 120530 ) ( 2732630 * )
NEW met1 ( 607890 2678350 ) ( 810750 * )
NEW met2 ( 810750 120530 ) ( * 2678350 )
NEW met1 ( 2732630 120530 ) M1M2_PR
NEW met2 ( 607890 2682260 ) M2M3_PR_M
NEW met1 ( 607890 2678350 ) M1M2_PR
NEW met1 ( 810750 120530 ) M1M2_PR
NEW met1 ( 810750 2678350 ) M1M2_PR ;
- la_oenb[119] ( PIN la_oenb[119] ) ( chip_controller la_oenb[119] ) + USE SIGNAL
+ ROUTED met2 ( 580750 2699260 0 ) ( 582130 * )
NEW met2 ( 582130 2699260 ) ( * 2717620 )
NEW met2 ( 665850 38930 ) ( * 2717620 )
NEW met3 ( 582130 2717620 ) ( 665850 * )
NEW met1 ( 665850 38930 ) ( 2751490 * )
NEW met2 ( 2751490 2380 0 ) ( * 38930 )
NEW met2 ( 582130 2717620 ) M2M3_PR_M
NEW met2 ( 665850 2717620 ) M2M3_PR_M
NEW met1 ( 665850 38930 ) M1M2_PR
NEW met1 ( 2751490 38930 ) M1M2_PR ;
- la_oenb[11] ( PIN la_oenb[11] ) ( chip_controller la_oenb[11] ) + USE SIGNAL
+ ROUTED met2 ( 265190 2699260 0 ) ( 266570 * )
NEW met2 ( 266570 2699260 ) ( * 2700450 )
NEW met2 ( 836050 2380 0 ) ( * 15130 )
NEW met1 ( 824550 15130 ) ( 836050 * )
NEW met2 ( 824550 15130 ) ( * 2700450 )
NEW met1 ( 266570 2700450 ) ( 824550 * )
NEW met1 ( 266570 2700450 ) M1M2_PR
NEW met1 ( 836050 15130 ) M1M2_PR
NEW met1 ( 824550 15130 ) M1M2_PR
NEW met1 ( 824550 2700450 ) M1M2_PR ;
- la_oenb[120] ( PIN la_oenb[120] ) ( chip_controller la_oenb[120] ) + USE SIGNAL
+ ROUTED met1 ( 581670 2277490 ) ( 584890 * )
NEW met2 ( 584890 45050 ) ( * 2277490 )
NEW met1 ( 584890 45050 ) ( 2768970 * )
NEW met2 ( 2768970 2380 0 ) ( * 45050 )
NEW met2 ( 580750 2300100 0 ) ( 581670 * )
NEW met2 ( 581670 2277490 ) ( * 2300100 )
NEW met1 ( 584890 45050 ) M1M2_PR
NEW met1 ( 584890 2277490 ) M1M2_PR
NEW met1 ( 581670 2277490 ) M1M2_PR
NEW met1 ( 2768970 45050 ) M1M2_PR ;
- la_oenb[121] ( PIN la_oenb[121] ) ( chip_controller la_oenb[121] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2687020 0 ) ( 607430 * )
NEW met2 ( 607430 2685150 ) ( * 2687020 )
NEW met2 ( 955650 121210 ) ( * 2685150 )
NEW met2 ( 2786910 2380 0 ) ( * 3060 )
NEW met2 ( 2785990 3060 ) ( 2786910 * )
NEW met2 ( 2785990 2380 ) ( * 3060 )
NEW met2 ( 2784610 2380 ) ( 2785990 * )
NEW met1 ( 955650 121210 ) ( 2780930 * )
NEW met1 ( 607430 2685150 ) ( 955650 * )
NEW met2 ( 2780930 82800 ) ( * 121210 )
NEW met2 ( 2780930 82800 ) ( 2784610 * )
NEW met2 ( 2784610 2380 ) ( * 82800 )
NEW met1 ( 955650 121210 ) M1M2_PR
NEW met2 ( 607430 2687020 ) M2M3_PR_M
NEW met1 ( 607430 2685150 ) M1M2_PR
NEW met1 ( 955650 2685150 ) M1M2_PR
NEW met1 ( 2780930 121210 ) M1M2_PR ;
- la_oenb[122] ( PIN la_oenb[122] ) ( chip_controller la_oenb[122] ) + USE SIGNAL
+ ROUTED met2 ( 2804390 2380 0 ) ( * 3060 )
NEW met2 ( 2803470 3060 ) ( 2804390 * )
NEW met2 ( 2803470 2380 ) ( * 3060 )
NEW met2 ( 2802090 2380 ) ( 2803470 * )
NEW met3 ( 599380 2688380 0 ) ( 608350 * )
NEW met2 ( 608350 2684810 ) ( * 2688380 )
NEW met2 ( 1342510 1702550 ) ( * 2684810 )
NEW met2 ( 2801630 82800 ) ( 2802090 * )
NEW met2 ( 2802090 2380 ) ( * 82800 )
NEW met2 ( 2801630 82800 ) ( * 1702550 )
NEW met1 ( 608350 2684810 ) ( 1342510 * )
NEW met1 ( 1342510 1702550 ) ( 2801630 * )
NEW met2 ( 608350 2688380 ) M2M3_PR_M
NEW met1 ( 608350 2684810 ) M1M2_PR
NEW met1 ( 1342510 1702550 ) M1M2_PR
NEW met1 ( 1342510 2684810 ) M1M2_PR
NEW met1 ( 2801630 1702550 ) M1M2_PR ;
- la_oenb[123] ( PIN la_oenb[123] ) ( chip_controller la_oenb[123] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2690420 0 ) ( 608810 * )
NEW met2 ( 608810 2684470 ) ( * 2690420 )
NEW met2 ( 2822330 2380 0 ) ( * 1799110 )
NEW met1 ( 608810 2684470 ) ( 1390810 * )
NEW met2 ( 1390810 1799110 ) ( * 2684470 )
NEW met1 ( 1390810 1799110 ) ( 2822330 * )
NEW met2 ( 608810 2690420 ) M2M3_PR_M
NEW met1 ( 608810 2684470 ) M1M2_PR
NEW met1 ( 2822330 1799110 ) M1M2_PR
NEW met1 ( 1390810 1799110 ) M1M2_PR
NEW met1 ( 1390810 2684470 ) M1M2_PR ;
- la_oenb[124] ( PIN la_oenb[124] ) ( chip_controller la_oenb[124] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2691610 ) ( * 2695180 )
NEW met3 ( 189290 2695180 ) ( 200100 * )
NEW met3 ( 200100 2694500 0 ) ( * 2695180 )
NEW met1 ( 168590 2691610 ) ( 189290 * )
NEW met2 ( 2840270 2380 0 ) ( * 3060 )
NEW met2 ( 2839350 3060 ) ( 2840270 * )
NEW met2 ( 2839350 2380 ) ( * 3060 )
NEW met2 ( 2837970 2380 ) ( 2839350 * )
NEW met2 ( 168590 1804550 ) ( * 2691610 )
NEW met2 ( 2836130 82800 ) ( 2837970 * )
NEW met2 ( 2837970 2380 ) ( * 82800 )
NEW met1 ( 168590 1804550 ) ( 2836130 * )
NEW met2 ( 2836130 82800 ) ( * 1804550 )
NEW met1 ( 189290 2691610 ) M1M2_PR
NEW met2 ( 189290 2695180 ) M2M3_PR_M
NEW met1 ( 168590 2691610 ) M1M2_PR
NEW met1 ( 168590 1804550 ) M1M2_PR
NEW met1 ( 2836130 1804550 ) M1M2_PR ;
- la_oenb[125] ( PIN la_oenb[125] ) ( chip_controller la_oenb[125] ) + USE SIGNAL
+ ROUTED met1 ( 593170 44710 ) ( 2857750 * )
NEW met2 ( 2857750 2380 0 ) ( * 44710 )
NEW met2 ( 592250 2300100 0 ) ( 593170 * )
NEW met2 ( 593170 44710 ) ( * 2300100 )
NEW met1 ( 593170 44710 ) M1M2_PR
NEW met1 ( 2857750 44710 ) M1M2_PR ;
- la_oenb[126] ( PIN la_oenb[126] ) ( chip_controller la_oenb[126] ) + USE SIGNAL
+ ROUTED met2 ( 190210 2691950 ) ( * 2695860 )
NEW met3 ( 190210 2695860 ) ( 201020 * )
NEW met3 ( 201020 2695860 ) ( * 2696540 0 )
NEW met1 ( 156170 2691950 ) ( 190210 * )
NEW met2 ( 156170 1804210 ) ( * 2691950 )
NEW met2 ( 2870630 82800 ) ( 2875690 * )
NEW met2 ( 2875690 2380 0 ) ( * 82800 )
NEW met1 ( 156170 1804210 ) ( 2870630 * )
NEW met2 ( 2870630 82800 ) ( * 1804210 )
NEW met1 ( 190210 2691950 ) M1M2_PR
NEW met2 ( 190210 2695860 ) M2M3_PR_M
NEW met1 ( 156170 2691950 ) M1M2_PR
NEW met1 ( 156170 1804210 ) M1M2_PR
NEW met1 ( 2870630 1804210 ) M1M2_PR ;
- la_oenb[127] ( PIN la_oenb[127] ) ( chip_controller la_oenb[127] ) + USE SIGNAL
+ ROUTED met2 ( 597310 2699260 0 ) ( 597770 * )
NEW met2 ( 597770 2699260 ) ( * 2712180 )
NEW met2 ( 2891330 82800 ) ( 2893170 * )
NEW met2 ( 2893170 2380 0 ) ( * 82800 )
NEW met2 ( 2891330 82800 ) ( * 1805910 )
NEW met3 ( 597770 2712180 ) ( 623990 * )
NEW met2 ( 623990 1805910 ) ( * 2712180 )
NEW met1 ( 623990 1805910 ) ( 2891330 * )
NEW met2 ( 597770 2712180 ) M2M3_PR_M
NEW met1 ( 2891330 1805910 ) M1M2_PR
NEW met2 ( 623990 2712180 ) M2M3_PR_M
NEW met1 ( 623990 1805910 ) M1M2_PR ;
- la_oenb[12] ( PIN la_oenb[12] ) ( chip_controller la_oenb[12] ) + USE SIGNAL
+ ROUTED met2 ( 270250 2699260 0 ) ( 271630 * )
NEW met2 ( 271630 2699260 ) ( * 2712350 )
NEW met2 ( 344770 2708950 ) ( * 2712350 )
NEW met1 ( 271630 2712350 ) ( 344770 * )
NEW met2 ( 853990 2380 0 ) ( * 3060 )
NEW met2 ( 853070 3060 ) ( 853990 * )
NEW met2 ( 853070 2380 ) ( * 3060 )
NEW met2 ( 851690 2380 ) ( 853070 * )
NEW met2 ( 848930 82800 ) ( 851690 * )
NEW met2 ( 851690 2380 ) ( * 82800 )
NEW met2 ( 848930 82800 ) ( * 2708950 )
NEW met1 ( 344770 2708950 ) ( 848930 * )
NEW met1 ( 271630 2712350 ) M1M2_PR
NEW met1 ( 344770 2712350 ) M1M2_PR
NEW met1 ( 344770 2708950 ) M1M2_PR
NEW met1 ( 848930 2708950 ) M1M2_PR ;
- la_oenb[13] ( PIN la_oenb[13] ) ( chip_controller la_oenb[13] ) + USE SIGNAL
+ ROUTED met2 ( 871470 2380 0 ) ( * 3060 )
NEW met2 ( 870550 3060 ) ( 871470 * )
NEW met2 ( 870550 2380 ) ( * 3060 )
NEW met2 ( 869630 2380 ) ( 870550 * )
NEW met2 ( 187910 2387990 ) ( * 2392580 )
NEW met3 ( 187910 2392580 ) ( 201020 * )
NEW met3 ( 201020 2392580 ) ( * 2393260 0 )
NEW met2 ( 869630 2380 ) ( * 2296530 )
NEW met2 ( 171810 2296530 ) ( * 2387990 )
NEW met1 ( 171810 2387990 ) ( 187910 * )
NEW met1 ( 171810 2296530 ) ( 869630 * )
NEW met1 ( 187910 2387990 ) M1M2_PR
NEW met2 ( 187910 2392580 ) M2M3_PR_M
NEW met1 ( 869630 2296530 ) M1M2_PR
NEW met1 ( 171810 2296530 ) M1M2_PR
NEW met1 ( 171810 2387990 ) M1M2_PR ;
- la_oenb[14] ( PIN la_oenb[14] ) ( chip_controller la_oenb[14] ) + USE SIGNAL
+ ROUTED met2 ( 321310 2287010 ) ( * 2300100 0 )
NEW met2 ( 883890 82800 ) ( 889410 * )
NEW met2 ( 889410 2380 0 ) ( * 82800 )
NEW met2 ( 883890 82800 ) ( * 2272730 )
NEW met2 ( 347530 2272730 ) ( * 2287010 )
NEW met1 ( 321310 2287010 ) ( 347530 * )
NEW met1 ( 347530 2272730 ) ( 883890 * )
NEW met1 ( 321310 2287010 ) M1M2_PR
NEW met1 ( 883890 2272730 ) M1M2_PR
NEW met1 ( 347530 2287010 ) M1M2_PR
NEW met1 ( 347530 2272730 ) M1M2_PR ;
- la_oenb[15] ( PIN la_oenb[15] ) ( chip_controller la_oenb[15] ) + USE SIGNAL
+ ROUTED met2 ( 907350 2380 0 ) ( * 3060 )
NEW met2 ( 906430 3060 ) ( 907350 * )
NEW met2 ( 906430 2380 ) ( * 3060 )
NEW met2 ( 905050 2380 ) ( 906430 * )
NEW met2 ( 343850 2278850 ) ( * 2285650 )
NEW met1 ( 329590 2285650 ) ( 343850 * )
NEW met2 ( 329590 2285650 ) ( * 2300100 0 )
NEW met2 ( 904130 82800 ) ( 905050 * )
NEW met2 ( 905050 2380 ) ( * 82800 )
NEW met1 ( 343850 2278850 ) ( 904130 * )
NEW met2 ( 904130 82800 ) ( * 2278850 )
NEW met1 ( 343850 2278850 ) M1M2_PR
NEW met1 ( 343850 2285650 ) M1M2_PR
NEW met1 ( 329590 2285650 ) M1M2_PR
NEW met1 ( 904130 2278850 ) M1M2_PR ;
- la_oenb[16] ( PIN la_oenb[16] ) ( chip_controller la_oenb[16] ) + USE SIGNAL
+ ROUTED met2 ( 924830 2380 0 ) ( * 24990 )
NEW met1 ( 355350 24990 ) ( 924830 * )
NEW met1 ( 332810 2285310 ) ( 355350 * )
NEW met2 ( 332810 2285310 ) ( * 2300100 0 )
NEW met2 ( 355350 24990 ) ( * 2285310 )
NEW met1 ( 355350 24990 ) M1M2_PR
NEW met1 ( 924830 24990 ) M1M2_PR
NEW met1 ( 355350 2285310 ) M1M2_PR
NEW met1 ( 332810 2285310 ) M1M2_PR ;
- la_oenb[17] ( PIN la_oenb[17] ) ( chip_controller la_oenb[17] ) + USE SIGNAL
+ ROUTED met2 ( 315330 2699260 0 ) ( 316250 * )
NEW met2 ( 316250 2699260 ) ( * 2714730 )
NEW met2 ( 449190 2702830 ) ( * 2714730 )
NEW met2 ( 942770 2380 0 ) ( * 17340 )
NEW met2 ( 941390 17340 ) ( 942770 * )
NEW met1 ( 449190 2702830 ) ( 938630 * )
NEW met2 ( 938630 82800 ) ( 941390 * )
NEW met2 ( 941390 17340 ) ( * 82800 )
NEW met2 ( 938630 82800 ) ( * 2702830 )
NEW met1 ( 316250 2714730 ) ( 449190 * )
NEW met1 ( 316250 2714730 ) M1M2_PR
NEW met1 ( 449190 2714730 ) M1M2_PR
NEW met1 ( 449190 2702830 ) M1M2_PR
NEW met1 ( 938630 2702830 ) M1M2_PR ;
- la_oenb[18] ( PIN la_oenb[18] ) ( chip_controller la_oenb[18] ) + USE SIGNAL
+ ROUTED met2 ( 323610 2699260 0 ) ( 324070 * )
NEW met2 ( 324070 2699260 ) ( * 2714390 )
NEW met2 ( 959330 82800 ) ( 960250 * )
NEW met2 ( 960250 2380 0 ) ( * 82800 )
NEW met2 ( 959330 82800 ) ( * 2709290 )
NEW met2 ( 462990 2709290 ) ( * 2714390 )
NEW met1 ( 462990 2709290 ) ( 959330 * )
NEW met1 ( 324070 2714390 ) ( 462990 * )
NEW met1 ( 324070 2714390 ) M1M2_PR
NEW met1 ( 959330 2709290 ) M1M2_PR
NEW met1 ( 462990 2714390 ) M1M2_PR
NEW met1 ( 462990 2709290 ) M1M2_PR ;
- la_oenb[19] ( PIN la_oenb[19] ) ( chip_controller la_oenb[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2432700 0 ) ( 608350 * )
NEW met2 ( 608350 2429470 ) ( * 2432700 )
NEW met2 ( 978190 2380 0 ) ( * 3060 )
NEW met2 ( 977270 3060 ) ( 978190 * )
NEW met2 ( 977270 2380 ) ( * 3060 )
NEW met2 ( 975890 2380 ) ( 977270 * )
NEW met2 ( 973130 82800 ) ( 975890 * )
NEW met2 ( 975890 2380 ) ( * 82800 )
NEW met2 ( 973130 82800 ) ( * 2429470 )
NEW met1 ( 608350 2429470 ) ( 973130 * )
NEW met2 ( 608350 2432700 ) M2M3_PR_M
NEW met1 ( 608350 2429470 ) M1M2_PR
NEW met1 ( 973130 2429470 ) M1M2_PR ;
- la_oenb[1] ( PIN la_oenb[1] ) ( chip_controller la_oenb[1] ) + USE SIGNAL
+ ROUTED met2 ( 209070 2699260 0 ) ( 209990 * )
NEW met2 ( 209990 2699260 ) ( * 2713370 )
NEW met2 ( 658950 2380 0 ) ( * 3060 )
NEW met2 ( 658030 3060 ) ( 658950 * )
NEW met2 ( 658030 2380 ) ( * 3060 )
NEW met2 ( 656650 2380 ) ( 658030 * )
NEW met2 ( 655730 82800 ) ( 656650 * )
NEW met2 ( 656650 2380 ) ( * 82800 )
NEW met2 ( 655730 82800 ) ( * 2703850 )
NEW met2 ( 441830 2703850 ) ( * 2713370 )
NEW met1 ( 209990 2713370 ) ( 441830 * )
NEW met1 ( 441830 2703850 ) ( 655730 * )
NEW met1 ( 209990 2713370 ) M1M2_PR
NEW met1 ( 655730 2703850 ) M1M2_PR
NEW met1 ( 441830 2713370 ) M1M2_PR
NEW met1 ( 441830 2703850 ) M1M2_PR ;
- la_oenb[20] ( PIN la_oenb[20] ) ( chip_controller la_oenb[20] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2439500 0 ) ( 608350 * )
NEW met2 ( 608350 2436270 ) ( * 2439500 )
NEW met2 ( 995670 2380 0 ) ( * 3060 )
NEW met2 ( 994750 3060 ) ( 995670 * )
NEW met2 ( 994750 2380 ) ( * 3060 )
NEW met2 ( 993830 2380 ) ( 994750 * )
NEW met2 ( 993830 2380 ) ( * 2436270 )
NEW met1 ( 608350 2436270 ) ( 993830 * )
NEW met2 ( 608350 2439500 ) M2M3_PR_M
NEW met1 ( 608350 2436270 ) M1M2_PR
NEW met1 ( 993830 2436270 ) M1M2_PR ;
- la_oenb[21] ( PIN la_oenb[21] ) ( chip_controller la_oenb[21] ) + USE SIGNAL
+ ROUTED met2 ( 333270 2699260 0 ) ( 334650 * )
NEW met2 ( 334650 2699260 ) ( * 2717110 )
NEW met1 ( 334650 2717110 ) ( 341550 * )
NEW met2 ( 341550 2717110 ) ( * 2742270 )
NEW met1 ( 1007630 58310 ) ( 1013610 * )
NEW met2 ( 1013610 2380 0 ) ( * 58310 )
NEW met1 ( 341550 2742270 ) ( 1007630 * )
NEW met2 ( 1007630 58310 ) ( * 2742270 )
NEW met1 ( 334650 2717110 ) M1M2_PR
NEW met1 ( 341550 2717110 ) M1M2_PR
NEW met1 ( 341550 2742270 ) M1M2_PR
NEW met1 ( 1007630 58310 ) M1M2_PR
NEW met1 ( 1013610 58310 ) M1M2_PR
NEW met1 ( 1007630 2742270 ) M1M2_PR ;
- la_oenb[22] ( PIN la_oenb[22] ) ( chip_controller la_oenb[22] ) + USE SIGNAL
+ ROUTED met2 ( 363630 2279530 ) ( * 2287690 )
NEW met1 ( 354430 2287690 ) ( 363630 * )
NEW met2 ( 354430 2287690 ) ( * 2300100 0 )
NEW met2 ( 1028330 82800 ) ( 1031090 * )
NEW met2 ( 1031090 2380 0 ) ( * 82800 )
NEW met1 ( 363630 2279530 ) ( 1028330 * )
NEW met2 ( 1028330 82800 ) ( * 2279530 )
NEW met1 ( 363630 2279530 ) M1M2_PR
NEW met1 ( 363630 2287690 ) M1M2_PR
NEW met1 ( 354430 2287690 ) M1M2_PR
NEW met1 ( 1028330 2279530 ) M1M2_PR ;
- la_oenb[23] ( PIN la_oenb[23] ) ( chip_controller la_oenb[23] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2449870 ) ( * 2451740 )
NEW met3 ( 186990 2451740 ) ( 200100 * )
NEW met3 ( 200100 2451060 0 ) ( * 2451740 )
NEW met2 ( 1049030 2380 0 ) ( * 34500 )
NEW met2 ( 1049030 34500 ) ( 1049490 * )
NEW met2 ( 1049490 34500 ) ( * 2281230 )
NEW met2 ( 165370 2281230 ) ( * 2449870 )
NEW met1 ( 165370 2449870 ) ( 186990 * )
NEW met1 ( 165370 2281230 ) ( 1049490 * )
NEW met1 ( 186990 2449870 ) M1M2_PR
NEW met2 ( 186990 2451740 ) M2M3_PR_M
NEW met1 ( 1049490 2281230 ) M1M2_PR
NEW met1 ( 165370 2281230 ) M1M2_PR
NEW met1 ( 165370 2449870 ) M1M2_PR ;
- la_oenb[24] ( PIN la_oenb[24] ) ( chip_controller la_oenb[24] ) + USE SIGNAL
+ ROUTED met2 ( 1062830 82800 ) ( 1066970 * )
NEW met2 ( 1066970 2380 0 ) ( * 82800 )
NEW met2 ( 1062830 82800 ) ( * 2276470 )
NEW met2 ( 367310 2276470 ) ( * 2287010 )
NEW met1 ( 361330 2287010 ) ( 367310 * )
NEW met2 ( 361330 2287010 ) ( * 2300100 0 )
NEW met1 ( 367310 2276470 ) ( 1062830 * )
NEW met1 ( 1062830 2276470 ) M1M2_PR
NEW met1 ( 367310 2276470 ) M1M2_PR
NEW met1 ( 367310 2287010 ) M1M2_PR
NEW met1 ( 361330 2287010 ) M1M2_PR ;
- la_oenb[25] ( PIN la_oenb[25] ) ( chip_controller la_oenb[25] ) + USE SIGNAL
+ ROUTED met2 ( 378350 2276130 ) ( * 2285990 )
NEW met2 ( 1083530 82800 ) ( 1084450 * )
NEW met2 ( 1084450 2380 0 ) ( * 82800 )
NEW met2 ( 1083530 82800 ) ( * 2276130 )
NEW met2 ( 368230 2285990 ) ( * 2300100 0 )
NEW met1 ( 368230 2285990 ) ( 378350 * )
NEW met1 ( 378350 2276130 ) ( 1083530 * )
NEW met1 ( 378350 2285990 ) M1M2_PR
NEW met1 ( 378350 2276130 ) M1M2_PR
NEW met1 ( 1083530 2276130 ) M1M2_PR
NEW met1 ( 368230 2285990 ) M1M2_PR ;
- la_oenb[26] ( PIN la_oenb[26] ) ( chip_controller la_oenb[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2472140 0 ) ( 607890 * )
NEW met2 ( 607890 2470610 ) ( * 2472140 )
NEW met2 ( 1102390 2380 0 ) ( * 3060 )
NEW met2 ( 1101470 3060 ) ( 1102390 * )
NEW met2 ( 1101470 2380 ) ( * 3060 )
NEW met2 ( 1100090 2380 ) ( 1101470 * )
NEW met2 ( 1097330 82800 ) ( 1100090 * )
NEW met2 ( 1100090 2380 ) ( * 82800 )
NEW met1 ( 607890 2470610 ) ( 1097330 * )
NEW met2 ( 1097330 82800 ) ( * 2470610 )
NEW met2 ( 607890 2472140 ) M2M3_PR_M
NEW met1 ( 607890 2470610 ) M1M2_PR
NEW met1 ( 1097330 2470610 ) M1M2_PR ;
- la_oenb[27] ( PIN la_oenb[27] ) ( chip_controller la_oenb[27] ) + USE SIGNAL
+ ROUTED met2 ( 401810 2275790 ) ( * 2286670 )
NEW met1 ( 384330 2286670 ) ( 401810 * )
NEW met2 ( 384330 2286670 ) ( * 2300100 )
NEW met2 ( 382950 2300100 0 ) ( 384330 * )
NEW met2 ( 1119870 2380 0 ) ( * 3060 )
NEW met2 ( 1118950 3060 ) ( 1119870 * )
NEW met2 ( 1118950 2380 ) ( * 3060 )
NEW met2 ( 1118030 2380 ) ( 1118950 * )
NEW met1 ( 401810 2275790 ) ( 1118030 * )
NEW met2 ( 1118030 2380 ) ( * 2275790 )
NEW met1 ( 401810 2275790 ) M1M2_PR
NEW met1 ( 401810 2286670 ) M1M2_PR
NEW met1 ( 384330 2286670 ) M1M2_PR
NEW met1 ( 1118030 2275790 ) M1M2_PR ;
- la_oenb[28] ( PIN la_oenb[28] ) ( chip_controller la_oenb[28] ) + USE SIGNAL
+ ROUTED met2 ( 399970 2275450 ) ( * 2284290 )
NEW met1 ( 388010 2284290 ) ( 399970 * )
NEW met2 ( 388010 2284290 ) ( * 2300100 0 )
NEW met2 ( 1132290 82800 ) ( 1137810 * )
NEW met2 ( 1137810 2380 0 ) ( * 82800 )
NEW met1 ( 399970 2275450 ) ( 1132290 * )
NEW met2 ( 1132290 82800 ) ( * 2275450 )
NEW met1 ( 399970 2275450 ) M1M2_PR
NEW met1 ( 399970 2284290 ) M1M2_PR
NEW met1 ( 388010 2284290 ) M1M2_PR
NEW met1 ( 1132290 2275450 ) M1M2_PR ;
- la_oenb[29] ( PIN la_oenb[29] ) ( chip_controller la_oenb[29] ) + USE SIGNAL
+ ROUTED met2 ( 393070 2288710 ) ( * 2300100 0 )
NEW met2 ( 1152530 82800 ) ( 1155290 * )
NEW met2 ( 1155290 2380 0 ) ( * 82800 )
NEW met2 ( 1152530 82800 ) ( * 2288710 )
NEW met1 ( 393070 2288710 ) ( 1152530 * )
NEW met1 ( 393070 2288710 ) M1M2_PR
NEW met1 ( 1152530 2288710 ) M1M2_PR ;
- la_oenb[2] ( PIN la_oenb[2] ) ( chip_controller la_oenb[2] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2326620 0 ) ( 607430 * )
NEW met2 ( 607430 2326110 ) ( * 2326620 )
NEW met2 ( 676430 2380 0 ) ( * 2326110 )
NEW met1 ( 607430 2326110 ) ( 676430 * )
NEW met2 ( 607430 2326620 ) M2M3_PR_M
NEW met1 ( 607430 2326110 ) M1M2_PR
NEW met1 ( 676430 2326110 ) M1M2_PR ;
- la_oenb[30] ( PIN la_oenb[30] ) ( chip_controller la_oenb[30] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2485740 0 ) ( 607890 * )
NEW met2 ( 607890 2484210 ) ( * 2485740 )
NEW met2 ( 1173230 2380 0 ) ( * 34500 )
NEW met2 ( 1173230 34500 ) ( 1173690 * )
NEW met2 ( 1173690 34500 ) ( * 2484210 )
NEW met1 ( 607890 2484210 ) ( 1173690 * )
NEW met2 ( 607890 2485740 ) M2M3_PR_M
NEW met1 ( 607890 2484210 ) M1M2_PR
NEW met1 ( 1173690 2484210 ) M1M2_PR ;
- la_oenb[31] ( PIN la_oenb[31] ) ( chip_controller la_oenb[31] ) + USE SIGNAL
+ ROUTED met2 ( 1190710 2380 0 ) ( * 3060 )
NEW met2 ( 1189790 3060 ) ( 1190710 * )
NEW met2 ( 1189790 2380 ) ( * 3060 )
NEW met2 ( 1188410 2380 ) ( 1189790 * )
NEW met2 ( 188830 2477410 ) ( * 2483700 )
NEW met3 ( 188830 2483700 ) ( 199180 * )
NEW met3 ( 199180 2483700 ) ( * 2484380 )
NEW met3 ( 199180 2484380 ) ( 200100 * )
NEW met3 ( 200100 2483700 0 ) ( * 2484380 )
NEW met2 ( 1187030 82800 ) ( 1188410 * )
NEW met2 ( 1188410 2380 ) ( * 82800 )
NEW met2 ( 1187030 82800 ) ( * 2294830 )
NEW met2 ( 160770 2294830 ) ( * 2477410 )
NEW met1 ( 160770 2477410 ) ( 188830 * )
NEW met1 ( 160770 2294830 ) ( 1187030 * )
NEW met1 ( 188830 2477410 ) M1M2_PR
NEW met2 ( 188830 2483700 ) M2M3_PR_M
NEW met1 ( 1187030 2294830 ) M1M2_PR
NEW met1 ( 160770 2294830 ) M1M2_PR
NEW met1 ( 160770 2477410 ) M1M2_PR ;
- la_oenb[32] ( PIN la_oenb[32] ) ( chip_controller la_oenb[32] ) + USE SIGNAL
+ ROUTED met2 ( 394910 2699260 0 ) ( 395830 * )
NEW met2 ( 395830 2699260 ) ( * 2712690 )
NEW met1 ( 405490 2720510 ) ( 1207730 * )
NEW met2 ( 1207730 82800 ) ( 1208650 * )
NEW met2 ( 1208650 2380 0 ) ( * 82800 )
NEW met2 ( 1207730 82800 ) ( * 2720510 )
NEW met1 ( 395830 2712690 ) ( 405490 * )
NEW met2 ( 405490 2712690 ) ( * 2720510 )
NEW met1 ( 395830 2712690 ) M1M2_PR
NEW met1 ( 405490 2720510 ) M1M2_PR
NEW met1 ( 1207730 2720510 ) M1M2_PR
NEW met1 ( 405490 2712690 ) M1M2_PR ;
- la_oenb[33] ( PIN la_oenb[33] ) ( chip_controller la_oenb[33] ) + USE SIGNAL
+ ROUTED met2 ( 396290 2699260 0 ) ( 397670 * )
NEW met2 ( 397670 2699260 ) ( * 2720170 )
NEW met2 ( 1226130 2380 0 ) ( * 17340 )
NEW met2 ( 1224290 17340 ) ( 1226130 * )
NEW met1 ( 397670 2720170 ) ( 1221530 * )
NEW met2 ( 1221530 82800 ) ( 1224290 * )
NEW met2 ( 1224290 17340 ) ( * 82800 )
NEW met2 ( 1221530 82800 ) ( * 2720170 )
NEW met1 ( 397670 2720170 ) M1M2_PR
NEW met1 ( 1221530 2720170 ) M1M2_PR ;
- la_oenb[34] ( PIN la_oenb[34] ) ( chip_controller la_oenb[34] ) + USE SIGNAL
+ ROUTED met2 ( 1244070 2380 0 ) ( * 3060 )
NEW met2 ( 1243150 3060 ) ( 1244070 * )
NEW met2 ( 1243150 2380 ) ( * 3060 )
NEW met2 ( 1242230 2380 ) ( 1243150 * )
NEW met2 ( 414690 2288030 ) ( * 2300100 0 )
NEW met2 ( 1242230 2380 ) ( * 2288030 )
NEW met1 ( 414690 2288030 ) ( 1242230 * )
NEW met1 ( 414690 2288030 ) M1M2_PR
NEW met1 ( 1242230 2288030 ) M1M2_PR ;
- la_oenb[35] ( PIN la_oenb[35] ) ( chip_controller la_oenb[35] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2491350 ) ( * 2495260 )
NEW met3 ( 188830 2495260 ) ( 200100 * )
NEW met3 ( 200100 2494580 0 ) ( * 2495260 )
NEW met2 ( 1262010 2380 0 ) ( * 34500 )
NEW met2 ( 1256490 34500 ) ( 1262010 * )
NEW met2 ( 1256490 34500 ) ( * 2280890 )
NEW met2 ( 175030 2280890 ) ( * 2491350 )
NEW met1 ( 175030 2491350 ) ( 188830 * )
NEW met1 ( 175030 2280890 ) ( 1256490 * )
NEW met1 ( 188830 2491350 ) M1M2_PR
NEW met2 ( 188830 2495260 ) M2M3_PR_M
NEW met1 ( 1256490 2280890 ) M1M2_PR
NEW met1 ( 175030 2280890 ) M1M2_PR
NEW met1 ( 175030 2491350 ) M1M2_PR ;
- la_oenb[36] ( PIN la_oenb[36] ) ( chip_controller la_oenb[36] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2498660 0 ) ( 607430 * )
NEW met2 ( 607430 2498490 ) ( * 2498660 )
NEW met2 ( 1276730 82800 ) ( 1279490 * )
NEW met2 ( 1279490 2380 0 ) ( * 82800 )
NEW met2 ( 1276730 82800 ) ( * 2498490 )
NEW met1 ( 607430 2498490 ) ( 1276730 * )
NEW met2 ( 607430 2498660 ) M2M3_PR_M
NEW met1 ( 607430 2498490 ) M1M2_PR
NEW met1 ( 1276730 2498490 ) M1M2_PR ;
- la_oenb[37] ( PIN la_oenb[37] ) ( chip_controller la_oenb[37] ) + USE SIGNAL
+ ROUTED met2 ( 488750 2699430 ) ( * 2712010 )
NEW met1 ( 469200 2712010 ) ( 488750 * )
NEW met1 ( 469200 2712010 ) ( * 2712350 )
NEW li1 ( 519110 2699430 ) ( * 2701130 )
NEW met1 ( 488750 2699430 ) ( 519110 * )
NEW met1 ( 519110 2701130 ) ( 1297430 * )
NEW met2 ( 1297430 2380 0 ) ( * 2701130 )
NEW met2 ( 405030 2699260 0 ) ( 405950 * )
NEW met2 ( 405950 2699260 ) ( * 2714050 )
NEW met1 ( 405950 2714050 ) ( 432630 * )
NEW li1 ( 432630 2712350 ) ( * 2714050 )
NEW met1 ( 432630 2712350 ) ( 469200 * )
NEW met1 ( 488750 2712010 ) M1M2_PR
NEW met1 ( 488750 2699430 ) M1M2_PR
NEW li1 ( 519110 2699430 ) L1M1_PR_MR
NEW li1 ( 519110 2701130 ) L1M1_PR_MR
NEW met1 ( 1297430 2701130 ) M1M2_PR
NEW met1 ( 405950 2714050 ) M1M2_PR
NEW li1 ( 432630 2714050 ) L1M1_PR_MR
NEW li1 ( 432630 2712350 ) L1M1_PR_MR ;
- la_oenb[38] ( PIN la_oenb[38] ) ( chip_controller la_oenb[38] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2498830 ) ( * 2502740 )
NEW met3 ( 188830 2502740 ) ( 200100 * )
NEW met3 ( 200100 2502060 0 ) ( * 2502740 )
NEW met1 ( 135470 2498830 ) ( 188830 * )
NEW met2 ( 1314910 2380 0 ) ( * 3060 )
NEW met2 ( 1313990 3060 ) ( 1314910 * )
NEW met2 ( 1313990 2380 ) ( * 3060 )
NEW met2 ( 1312610 2380 ) ( 1313990 * )
NEW met2 ( 135470 174250 ) ( * 2498830 )
NEW met2 ( 1311230 82800 ) ( 1312610 * )
NEW met2 ( 1312610 2380 ) ( * 82800 )
NEW met1 ( 135470 174250 ) ( 1311230 * )
NEW met2 ( 1311230 82800 ) ( * 174250 )
NEW met1 ( 188830 2498830 ) M1M2_PR
NEW met2 ( 188830 2502740 ) M2M3_PR_M
NEW met1 ( 135470 2498830 ) M1M2_PR
NEW met1 ( 135470 174250 ) M1M2_PR
NEW met1 ( 1311230 174250 ) M1M2_PR ;
- la_oenb[39] ( PIN la_oenb[39] ) ( chip_controller la_oenb[39] ) + USE SIGNAL
+ ROUTED met2 ( 1280410 14110 ) ( * 2719830 )
NEW met1 ( 412850 2719830 ) ( 1280410 * )
NEW met2 ( 1332850 2380 0 ) ( * 14110 )
NEW met1 ( 1280410 14110 ) ( 1332850 * )
NEW met2 ( 411470 2699260 0 ) ( 412850 * )
NEW met2 ( 412850 2699260 ) ( * 2719830 )
NEW met1 ( 412850 2719830 ) M1M2_PR
NEW met1 ( 1280410 14110 ) M1M2_PR
NEW met1 ( 1280410 2719830 ) M1M2_PR
NEW met1 ( 1332850 14110 ) M1M2_PR ;
- la_oenb[3] ( PIN la_oenb[3] ) ( chip_controller la_oenb[3] ) + USE SIGNAL
+ ROUTED met1 ( 179630 2318630 ) ( 188830 * )
NEW met2 ( 188830 2318630 ) ( * 2321860 )
NEW met3 ( 188830 2321860 ) ( 201020 * )
NEW met3 ( 201020 2321860 ) ( * 2322540 0 )
NEW met2 ( 179630 2272390 ) ( * 2318630 )
NEW met2 ( 690230 82800 ) ( 694370 * )
NEW met2 ( 694370 2380 0 ) ( * 82800 )
NEW met2 ( 690230 82800 ) ( * 2272390 )
NEW met1 ( 179630 2272390 ) ( 690230 * )
NEW met1 ( 179630 2318630 ) M1M2_PR
NEW met1 ( 188830 2318630 ) M1M2_PR
NEW met2 ( 188830 2321860 ) M2M3_PR_M
NEW met1 ( 179630 2272390 ) M1M2_PR
NEW met1 ( 690230 2272390 ) M1M2_PR ;
- la_oenb[40] ( PIN la_oenb[40] ) ( chip_controller la_oenb[40] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2506820 0 ) ( 607430 * )
NEW met2 ( 607430 2505970 ) ( * 2506820 )
NEW met2 ( 1190710 168470 ) ( * 2505970 )
NEW met2 ( 1345730 82800 ) ( 1350330 * )
NEW met2 ( 1350330 2380 0 ) ( * 82800 )
NEW met2 ( 1345730 82800 ) ( * 168470 )
NEW met1 ( 607430 2505970 ) ( 1190710 * )
NEW met1 ( 1190710 168470 ) ( 1345730 * )
NEW met2 ( 607430 2506820 ) M2M3_PR_M
NEW met1 ( 607430 2505970 ) M1M2_PR
NEW met1 ( 1190710 2505970 ) M1M2_PR
NEW met1 ( 1190710 168470 ) M1M2_PR
NEW met1 ( 1345730 168470 ) M1M2_PR ;
- la_oenb[41] ( PIN la_oenb[41] ) ( chip_controller la_oenb[41] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2512090 ) ( * 2513620 )
NEW met3 ( 188830 2513620 ) ( 200100 * )
NEW met3 ( 200100 2512940 0 ) ( * 2513620 )
NEW met2 ( 1368270 2380 0 ) ( * 3060 )
NEW met2 ( 1367350 3060 ) ( 1368270 * )
NEW met2 ( 1367350 2380 ) ( * 3060 )
NEW met2 ( 1366430 2380 ) ( 1367350 * )
NEW met2 ( 128110 174590 ) ( * 2512090 )
NEW met2 ( 1366430 2380 ) ( * 174590 )
NEW met1 ( 128110 2512090 ) ( 188830 * )
NEW met1 ( 128110 174590 ) ( 1366430 * )
NEW met1 ( 128110 2512090 ) M1M2_PR
NEW met1 ( 188830 2512090 ) M1M2_PR
NEW met2 ( 188830 2513620 ) M2M3_PR_M
NEW met1 ( 128110 174590 ) M1M2_PR
NEW met1 ( 1366430 174590 ) M1M2_PR ;
- la_oenb[42] ( PIN la_oenb[42] ) ( chip_controller la_oenb[42] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2511750 ) ( * 2518380 )
NEW met3 ( 186990 2518380 ) ( 199180 * )
NEW met3 ( 199180 2518380 ) ( * 2519060 )
NEW met3 ( 199180 2519060 ) ( 200100 * )
NEW met3 ( 200100 2518380 0 ) ( * 2519060 )
NEW met2 ( 1385750 2380 0 ) ( * 20910 )
NEW met1 ( 1380230 20910 ) ( 1385750 * )
NEW met2 ( 1380230 20910 ) ( * 181730 )
NEW met1 ( 148810 2511750 ) ( 186990 * )
NEW met1 ( 148810 181730 ) ( 1380230 * )
NEW met2 ( 148810 181730 ) ( * 2511750 )
NEW met1 ( 186990 2511750 ) M1M2_PR
NEW met2 ( 186990 2518380 ) M2M3_PR_M
NEW met1 ( 1385750 20910 ) M1M2_PR
NEW met1 ( 1380230 20910 ) M1M2_PR
NEW met1 ( 1380230 181730 ) M1M2_PR
NEW met1 ( 148810 181730 ) M1M2_PR
NEW met1 ( 148810 2511750 ) M1M2_PR ;
- la_oenb[43] ( PIN la_oenb[43] ) ( chip_controller la_oenb[43] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2518550 ) ( * 2521780 )
NEW met3 ( 187910 2521780 ) ( 201020 * )
NEW met3 ( 201020 2521780 ) ( * 2522460 0 )
NEW met2 ( 122590 164050 ) ( * 2518550 )
NEW met1 ( 122590 2518550 ) ( 187910 * )
NEW met2 ( 1400930 82800 ) ( 1403690 * )
NEW met2 ( 1403690 2380 0 ) ( * 82800 )
NEW met1 ( 122590 164050 ) ( 1400930 * )
NEW met2 ( 1400930 82800 ) ( * 164050 )
NEW met1 ( 122590 2518550 ) M1M2_PR
NEW met1 ( 187910 2518550 ) M1M2_PR
NEW met2 ( 187910 2521780 ) M2M3_PR_M
NEW met1 ( 122590 164050 ) M1M2_PR
NEW met1 ( 1400930 164050 ) M1M2_PR ;
- la_oenb[44] ( PIN la_oenb[44] ) ( chip_controller la_oenb[44] ) + USE SIGNAL
+ ROUTED met2 ( 872850 20230 ) ( * 2744990 )
NEW met2 ( 1421630 2380 0 ) ( * 20230 )
NEW met1 ( 872850 20230 ) ( 1421630 * )
NEW met1 ( 414690 2744990 ) ( 872850 * )
NEW met2 ( 416990 2699940 ) ( 417910 * 0 )
NEW met2 ( 416990 2699940 ) ( * 2712010 )
NEW met1 ( 414690 2712010 ) ( 416990 * )
NEW met2 ( 414690 2712010 ) ( * 2744990 )
NEW met1 ( 872850 20230 ) M1M2_PR
NEW met1 ( 414690 2744990 ) M1M2_PR
NEW met1 ( 872850 2744990 ) M1M2_PR
NEW met1 ( 1421630 20230 ) M1M2_PR
NEW met1 ( 416990 2712010 ) M1M2_PR
NEW met1 ( 414690 2712010 ) M1M2_PR ;
- la_oenb[45] ( PIN la_oenb[45] ) ( chip_controller la_oenb[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2520420 0 ) ( 607430 * )
NEW met2 ( 607430 2518890 ) ( * 2520420 )
NEW met2 ( 1439110 2380 0 ) ( * 3060 )
NEW met2 ( 1438190 3060 ) ( 1439110 * )
NEW met2 ( 1438190 2380 ) ( * 3060 )
NEW met2 ( 1436810 2380 ) ( 1438190 * )
NEW met2 ( 1066510 137190 ) ( * 2518890 )
NEW met2 ( 1435430 82800 ) ( 1436810 * )
NEW met2 ( 1436810 2380 ) ( * 82800 )
NEW met2 ( 1435430 82800 ) ( * 137190 )
NEW met1 ( 607430 2518890 ) ( 1066510 * )
NEW met1 ( 1066510 137190 ) ( 1435430 * )
NEW met2 ( 607430 2520420 ) M2M3_PR_M
NEW met1 ( 607430 2518890 ) M1M2_PR
NEW met1 ( 1066510 2518890 ) M1M2_PR
NEW met1 ( 1066510 137190 ) M1M2_PR
NEW met1 ( 1435430 137190 ) M1M2_PR ;
- la_oenb[46] ( PIN la_oenb[46] ) ( chip_controller la_oenb[46] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2523820 0 ) ( 607890 * )
NEW met2 ( 607890 2519570 ) ( * 2523820 )
NEW met2 ( 790050 136850 ) ( * 2519570 )
NEW met2 ( 1456130 82800 ) ( 1457050 * )
NEW met2 ( 1457050 2380 0 ) ( * 82800 )
NEW met2 ( 1456130 82800 ) ( * 136850 )
NEW met1 ( 607890 2519570 ) ( 790050 * )
NEW met1 ( 790050 136850 ) ( 1456130 * )
NEW met2 ( 607890 2523820 ) M2M3_PR_M
NEW met1 ( 607890 2519570 ) M1M2_PR
NEW met1 ( 790050 2519570 ) M1M2_PR
NEW met1 ( 790050 136850 ) M1M2_PR
NEW met1 ( 1456130 136850 ) M1M2_PR ;
- la_oenb[47] ( PIN la_oenb[47] ) ( chip_controller la_oenb[47] ) + USE SIGNAL
+ ROUTED met2 ( 1474530 2380 0 ) ( * 20570 )
NEW met1 ( 934950 20570 ) ( 1474530 * )
NEW met1 ( 422050 2744650 ) ( 934950 * )
NEW met2 ( 934950 20570 ) ( * 2744650 )
NEW met2 ( 426190 2699260 0 ) ( 426650 * )
NEW met2 ( 426650 2699260 ) ( * 2712010 )
NEW met1 ( 422050 2712010 ) ( 426650 * )
NEW met2 ( 422050 2712010 ) ( * 2744650 )
NEW met1 ( 1474530 20570 ) M1M2_PR
NEW met1 ( 934950 20570 ) M1M2_PR
NEW met1 ( 422050 2744650 ) M1M2_PR
NEW met1 ( 934950 2744650 ) M1M2_PR
NEW met1 ( 426650 2712010 ) M1M2_PR
NEW met1 ( 422050 2712010 ) M1M2_PR ;
- la_oenb[48] ( PIN la_oenb[48] ) ( chip_controller la_oenb[48] ) + USE SIGNAL
+ ROUTED met2 ( 762910 151130 ) ( * 2716430 )
NEW met1 ( 450570 2711670 ) ( * 2712010 )
NEW met1 ( 450570 2711670 ) ( 463910 * )
NEW met1 ( 463910 2711670 ) ( * 2712010 )
NEW met1 ( 463910 2712010 ) ( 468510 * )
NEW li1 ( 468510 2712010 ) ( * 2716430 )
NEW met1 ( 468510 2716430 ) ( 762910 * )
NEW met2 ( 1492470 2380 0 ) ( * 3060 )
NEW met2 ( 1491550 3060 ) ( 1492470 * )
NEW met2 ( 1491550 2380 ) ( * 3060 )
NEW met2 ( 1490630 2380 ) ( 1491550 * )
NEW met1 ( 762910 151130 ) ( 1490630 * )
NEW met2 ( 1490630 2380 ) ( * 151130 )
NEW met2 ( 429870 2699260 0 ) ( 430330 * )
NEW met2 ( 430330 2699260 ) ( * 2712350 )
NEW met1 ( 430330 2712350 ) ( 432170 * )
NEW met1 ( 432170 2712010 ) ( * 2712350 )
NEW met1 ( 432170 2712010 ) ( 450570 * )
NEW met1 ( 762910 2716430 ) M1M2_PR
NEW met1 ( 762910 151130 ) M1M2_PR
NEW li1 ( 468510 2712010 ) L1M1_PR_MR
NEW li1 ( 468510 2716430 ) L1M1_PR_MR
NEW met1 ( 1490630 151130 ) M1M2_PR
NEW met1 ( 430330 2712350 ) M1M2_PR ;
- la_oenb[49] ( PIN la_oenb[49] ) ( chip_controller la_oenb[49] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2533170 ) ( * 2534020 )
NEW met3 ( 188830 2534020 ) ( 200100 * )
NEW met3 ( 200100 2533340 0 ) ( * 2534020 )
NEW met1 ( 157090 2533170 ) ( 188830 * )
NEW met2 ( 1509950 2380 0 ) ( * 3060 )
NEW met2 ( 1509030 3060 ) ( 1509950 * )
NEW met2 ( 1509030 2380 ) ( * 3060 )
NEW met2 ( 1507650 2380 ) ( 1509030 * )
NEW met2 ( 157090 157590 ) ( * 2533170 )
NEW met2 ( 1504430 82800 ) ( 1507650 * )
NEW met2 ( 1507650 2380 ) ( * 82800 )
NEW met1 ( 157090 157590 ) ( 1504430 * )
NEW met2 ( 1504430 82800 ) ( * 157590 )
NEW met1 ( 188830 2533170 ) M1M2_PR
NEW met2 ( 188830 2534020 ) M2M3_PR_M
NEW met1 ( 157090 2533170 ) M1M2_PR
NEW met1 ( 157090 157590 ) M1M2_PR
NEW met1 ( 1504430 157590 ) M1M2_PR ;
- la_oenb[4] ( PIN la_oenb[4] ) ( chip_controller la_oenb[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2336820 0 ) ( 608350 * )
NEW met2 ( 608350 2332570 ) ( * 2336820 )
NEW met1 ( 608350 2332570 ) ( 710930 * )
NEW met2 ( 710930 82800 ) ( 712310 * )
NEW met2 ( 712310 2380 0 ) ( * 82800 )
NEW met2 ( 710930 82800 ) ( * 2332570 )
NEW met2 ( 608350 2336820 ) M2M3_PR_M
NEW met1 ( 608350 2332570 ) M1M2_PR
NEW met1 ( 710930 2332570 ) M1M2_PR ;
- la_oenb[50] ( PIN la_oenb[50] ) ( chip_controller la_oenb[50] ) + USE SIGNAL
+ ROUTED met1 ( 448730 2716430 ) ( 468050 * )
NEW li1 ( 468050 2715750 ) ( * 2716430 )
NEW met1 ( 468050 2715750 ) ( 845250 * )
NEW met2 ( 845250 144670 ) ( * 2715750 )
NEW met2 ( 1525130 82800 ) ( 1527890 * )
NEW met2 ( 1527890 2380 0 ) ( * 82800 )
NEW met1 ( 845250 144670 ) ( 1525130 * )
NEW met2 ( 1525130 82800 ) ( * 144670 )
NEW met2 ( 434930 2699260 0 ) ( 435850 * )
NEW met2 ( 435850 2699260 ) ( * 2716430 )
NEW met1 ( 435850 2716430 ) ( 448270 * )
NEW li1 ( 448270 2716430 ) ( 448730 * )
NEW li1 ( 448730 2716430 ) L1M1_PR_MR
NEW li1 ( 468050 2716430 ) L1M1_PR_MR
NEW li1 ( 468050 2715750 ) L1M1_PR_MR
NEW met1 ( 845250 2715750 ) M1M2_PR
NEW met1 ( 845250 144670 ) M1M2_PR
NEW met1 ( 1525130 144670 ) M1M2_PR
NEW met1 ( 435850 2716430 ) M1M2_PR
NEW li1 ( 448270 2716430 ) L1M1_PR_MR ;
- la_oenb[51] ( PIN la_oenb[51] ) ( chip_controller la_oenb[51] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2532830 ) ( * 2538100 )
NEW met3 ( 186990 2538100 ) ( 201020 * )
NEW met3 ( 201020 2538100 ) ( * 2538780 0 )
NEW met1 ( 1539390 58310 ) ( 1545370 * )
NEW met2 ( 1539390 58310 ) ( * 100810 )
NEW met2 ( 1545370 2380 0 ) ( * 58310 )
NEW met1 ( 142830 2532830 ) ( 186990 * )
NEW met1 ( 142830 100810 ) ( 1539390 * )
NEW met2 ( 142830 100810 ) ( * 2532830 )
NEW met1 ( 186990 2532830 ) M1M2_PR
NEW met2 ( 186990 2538100 ) M2M3_PR_M
NEW met1 ( 1539390 100810 ) M1M2_PR
NEW met1 ( 1539390 58310 ) M1M2_PR
NEW met1 ( 1545370 58310 ) M1M2_PR
NEW met1 ( 142830 100810 ) M1M2_PR
NEW met1 ( 142830 2532830 ) M1M2_PR ;
- la_oenb[52] ( PIN la_oenb[52] ) ( chip_controller la_oenb[52] ) + USE SIGNAL
+ ROUTED met2 ( 1563310 2380 0 ) ( * 3060 )
NEW met2 ( 1562390 3060 ) ( 1563310 * )
NEW met2 ( 1562390 2380 ) ( * 3060 )
NEW met2 ( 1561010 2380 ) ( 1562390 * )
NEW met2 ( 880210 129710 ) ( * 2715410 )
NEW met2 ( 1559630 82800 ) ( * 129710 )
NEW met2 ( 1559630 82800 ) ( 1561010 * )
NEW met2 ( 1561010 2380 ) ( * 82800 )
NEW met1 ( 467590 2715410 ) ( * 2715750 )
NEW met1 ( 467590 2715410 ) ( 880210 * )
NEW met1 ( 880210 129710 ) ( 1559630 * )
NEW met2 ( 441370 2699260 0 ) ( 441830 * )
NEW met2 ( 441830 2699260 ) ( * 2700620 )
NEW met2 ( 441370 2700620 ) ( 441830 * )
NEW met2 ( 441370 2700620 ) ( * 2715750 )
NEW met1 ( 441370 2715750 ) ( 467590 * )
NEW met1 ( 880210 129710 ) M1M2_PR
NEW met1 ( 880210 2715410 ) M1M2_PR
NEW met1 ( 1559630 129710 ) M1M2_PR
NEW met1 ( 441370 2715750 ) M1M2_PR ;
- la_oenb[53] ( PIN la_oenb[53] ) ( chip_controller la_oenb[53] ) + USE SIGNAL
+ ROUTED met2 ( 983710 130050 ) ( * 2714730 )
NEW met1 ( 467130 2714730 ) ( * 2715410 )
NEW met1 ( 467130 2714730 ) ( 983710 * )
NEW met1 ( 983710 130050 ) ( 1580790 * )
NEW met2 ( 1580790 82800 ) ( * 130050 )
NEW met2 ( 1580790 82800 ) ( 1581250 * )
NEW met2 ( 1581250 2380 0 ) ( * 82800 )
NEW met2 ( 444590 2699260 0 ) ( 445970 * )
NEW met2 ( 445970 2699260 ) ( * 2715410 )
NEW met1 ( 445970 2715410 ) ( 467130 * )
NEW met1 ( 983710 130050 ) M1M2_PR
NEW met1 ( 983710 2714730 ) M1M2_PR
NEW met1 ( 1580790 130050 ) M1M2_PR
NEW met1 ( 445970 2715410 ) M1M2_PR ;
- la_oenb[54] ( PIN la_oenb[54] ) ( chip_controller la_oenb[54] ) + USE SIGNAL
+ ROUTED met2 ( 190670 2539970 ) ( * 2543540 )
NEW met3 ( 190670 2543540 ) ( 201020 * )
NEW met3 ( 201020 2543540 ) ( * 2544220 0 )
NEW met1 ( 137770 2539970 ) ( 190670 * )
NEW met2 ( 137770 47090 ) ( * 2539970 )
NEW met1 ( 137770 47090 ) ( 1598730 * )
NEW met2 ( 1598730 2380 0 ) ( * 47090 )
NEW met1 ( 190670 2539970 ) M1M2_PR
NEW met2 ( 190670 2543540 ) M2M3_PR_M
NEW met1 ( 137770 2539970 ) M1M2_PR
NEW met1 ( 137770 47090 ) M1M2_PR
NEW met1 ( 1598730 47090 ) M1M2_PR ;
- la_oenb[55] ( PIN la_oenb[55] ) ( chip_controller la_oenb[55] ) + USE SIGNAL
+ ROUTED met2 ( 1072950 122910 ) ( * 2714390 )
NEW met2 ( 449650 2699260 0 ) ( 451030 * )
NEW met2 ( 451030 2699260 ) ( * 2712010 )
NEW met1 ( 451030 2712010 ) ( 463450 * )
NEW li1 ( 463450 2712010 ) ( * 2714390 )
NEW met1 ( 463450 2714390 ) ( 1072950 * )
NEW met2 ( 1616670 2380 0 ) ( * 3060 )
NEW met2 ( 1615750 3060 ) ( 1616670 * )
NEW met2 ( 1615750 2380 ) ( * 3060 )
NEW met2 ( 1614830 2380 ) ( 1615750 * )
NEW met1 ( 1072950 122910 ) ( 1614830 * )
NEW met2 ( 1614830 2380 ) ( * 122910 )
NEW met1 ( 1072950 122910 ) M1M2_PR
NEW met1 ( 1072950 2714390 ) M1M2_PR
NEW met1 ( 451030 2712010 ) M1M2_PR
NEW li1 ( 463450 2712010 ) L1M1_PR_MR
NEW li1 ( 463450 2714390 ) L1M1_PR_MR
NEW met1 ( 1614830 122910 ) M1M2_PR ;
- la_oenb[56] ( PIN la_oenb[56] ) ( chip_controller la_oenb[56] ) + USE SIGNAL
+ ROUTED met1 ( 1266150 22950 ) ( 1268450 * )
NEW met1 ( 1268450 22950 ) ( * 23290 )
NEW met2 ( 1634150 2380 0 ) ( * 23290 )
NEW met2 ( 1266150 22950 ) ( * 2713370 )
NEW met2 ( 452870 2699260 0 ) ( 454250 * )
NEW met2 ( 454250 2699260 ) ( * 2713370 )
NEW met1 ( 454250 2713370 ) ( 1266150 * )
NEW met1 ( 1268450 23290 ) ( 1634150 * )
NEW met1 ( 1266150 22950 ) M1M2_PR
NEW met1 ( 1266150 2713370 ) M1M2_PR
NEW met1 ( 1634150 23290 ) M1M2_PR
NEW met1 ( 454250 2713370 ) M1M2_PR ;
- la_oenb[57] ( PIN la_oenb[57] ) ( chip_controller la_oenb[57] ) + USE SIGNAL
+ ROUTED met2 ( 1652090 2380 0 ) ( * 52530 )
NEW met2 ( 447350 2300100 ) ( 448270 * 0 )
NEW met2 ( 447350 52530 ) ( * 2300100 )
NEW met1 ( 447350 52530 ) ( 1652090 * )
NEW met1 ( 1652090 52530 ) M1M2_PR
NEW met1 ( 447350 52530 ) M1M2_PR ;
- la_oenb[58] ( PIN la_oenb[58] ) ( chip_controller la_oenb[58] ) + USE SIGNAL
+ ROUTED met2 ( 1669570 2380 0 ) ( * 16830 )
NEW met1 ( 1663130 16830 ) ( 1669570 * )
NEW met2 ( 1663130 16830 ) ( * 52190 )
NEW met2 ( 452870 2278340 ) ( 454710 * )
NEW met2 ( 452870 2278340 ) ( * 2300100 )
NEW met2 ( 451950 2300100 0 ) ( 452870 * )
NEW met2 ( 454710 52190 ) ( * 2278340 )
NEW met1 ( 454710 52190 ) ( 1663130 * )
NEW met1 ( 1669570 16830 ) M1M2_PR
NEW met1 ( 1663130 16830 ) M1M2_PR
NEW met1 ( 1663130 52190 ) M1M2_PR
NEW met1 ( 454710 52190 ) M1M2_PR ;
- la_oenb[59] ( PIN la_oenb[59] ) ( chip_controller la_oenb[59] ) + USE SIGNAL
+ ROUTED li1 ( 490130 2287010 ) ( * 2288370 )
NEW met2 ( 866870 43690 ) ( * 2287010 )
NEW met2 ( 453330 2288370 ) ( * 2300100 0 )
NEW met1 ( 453330 2288370 ) ( 490130 * )
NEW met1 ( 866870 43690 ) ( 1687510 * )
NEW met2 ( 1687510 2380 0 ) ( * 43690 )
NEW met1 ( 490130 2287010 ) ( 866870 * )
NEW li1 ( 490130 2288370 ) L1M1_PR_MR
NEW li1 ( 490130 2287010 ) L1M1_PR_MR
NEW met1 ( 866870 43690 ) M1M2_PR
NEW met1 ( 866870 2287010 ) M1M2_PR
NEW met1 ( 453330 2288370 ) M1M2_PR
NEW met1 ( 1687510 43690 ) M1M2_PR ;
- la_oenb[5] ( PIN la_oenb[5] ) ( chip_controller la_oenb[5] ) + USE SIGNAL
+ ROUTED met2 ( 230230 2699260 0 ) ( 230690 * )
NEW met2 ( 230690 2699260 ) ( * 2712010 )
NEW met1 ( 230690 2712010 ) ( 232990 * )
NEW met2 ( 232990 2712010 ) ( * 2713710 )
NEW met2 ( 462530 2710650 ) ( * 2713710 )
NEW met2 ( 729790 2380 0 ) ( * 3060 )
NEW met2 ( 728870 3060 ) ( 729790 * )
NEW met2 ( 728870 2380 ) ( * 3060 )
NEW met2 ( 727490 2380 ) ( 728870 * )
NEW met1 ( 462530 2710650 ) ( 724730 * )
NEW met2 ( 724730 82800 ) ( 727490 * )
NEW met2 ( 727490 2380 ) ( * 82800 )
NEW met2 ( 724730 82800 ) ( * 2710650 )
NEW met1 ( 232990 2713710 ) ( 462530 * )
NEW met1 ( 230690 2712010 ) M1M2_PR
NEW met1 ( 232990 2712010 ) M1M2_PR
NEW met1 ( 232990 2713710 ) M1M2_PR
NEW met1 ( 462530 2713710 ) M1M2_PR
NEW met1 ( 462530 2710650 ) M1M2_PR
NEW met1 ( 724730 2710650 ) M1M2_PR ;
- la_oenb[60] ( PIN la_oenb[60] ) ( chip_controller la_oenb[60] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2560710 ) ( * 2561220 )
NEW met3 ( 189750 2561220 ) ( 200100 * )
NEW met3 ( 200100 2560540 0 ) ( * 2561220 )
NEW met2 ( 171350 135150 ) ( * 2560710 )
NEW met1 ( 171350 2560710 ) ( 189750 * )
NEW met2 ( 1704990 2380 0 ) ( * 34500 )
NEW met2 ( 1704530 34500 ) ( 1704990 * )
NEW met1 ( 171350 135150 ) ( 1704530 * )
NEW met2 ( 1704530 34500 ) ( * 135150 )
NEW met1 ( 189750 2560710 ) M1M2_PR
NEW met2 ( 189750 2561220 ) M2M3_PR_M
NEW met1 ( 171350 135150 ) M1M2_PR
NEW met1 ( 171350 2560710 ) M1M2_PR
NEW met1 ( 1704530 135150 ) M1M2_PR ;
- la_oenb[61] ( PIN la_oenb[61] ) ( chip_controller la_oenb[61] ) + USE SIGNAL
+ ROUTED li1 ( 493350 2285650 ) ( * 2290410 )
NEW met2 ( 797410 53550 ) ( * 2285650 )
NEW li1 ( 467590 2289050 ) ( * 2290410 )
NEW met1 ( 456550 2289050 ) ( 467590 * )
NEW met2 ( 456550 2289050 ) ( * 2300100 0 )
NEW met1 ( 467590 2290410 ) ( 493350 * )
NEW met1 ( 797410 53550 ) ( 1722930 * )
NEW met2 ( 1722930 2380 0 ) ( * 53550 )
NEW met1 ( 493350 2285650 ) ( 797410 * )
NEW li1 ( 493350 2290410 ) L1M1_PR_MR
NEW li1 ( 493350 2285650 ) L1M1_PR_MR
NEW met1 ( 797410 53550 ) M1M2_PR
NEW met1 ( 797410 2285650 ) M1M2_PR
NEW li1 ( 467590 2290410 ) L1M1_PR_MR
NEW li1 ( 467590 2289050 ) L1M1_PR_MR
NEW met1 ( 456550 2289050 ) M1M2_PR
NEW met1 ( 1722930 53550 ) M1M2_PR ;
- la_oenb[62] ( PIN la_oenb[62] ) ( chip_controller la_oenb[62] ) + USE SIGNAL
+ ROUTED met2 ( 1740410 2380 0 ) ( * 61370 )
NEW met2 ( 463450 2290070 ) ( * 2300100 0 )
NEW met2 ( 942770 61370 ) ( * 2290070 )
NEW met1 ( 942770 61370 ) ( 1740410 * )
NEW met1 ( 463450 2290070 ) ( 942770 * )
NEW met1 ( 1740410 61370 ) M1M2_PR
NEW met1 ( 463450 2290070 ) M1M2_PR
NEW met1 ( 942770 61370 ) M1M2_PR
NEW met1 ( 942770 2290070 ) M1M2_PR ;
- la_oenb[63] ( PIN la_oenb[63] ) ( chip_controller la_oenb[63] ) + USE SIGNAL
+ ROUTED met1 ( 1266610 23290 ) ( 1267990 * )
NEW met1 ( 1267990 23290 ) ( * 23630 )
NEW met2 ( 1758350 2380 0 ) ( * 23630 )
NEW met2 ( 1266610 23290 ) ( * 2713710 )
NEW met2 ( 465750 2699940 ) ( 466210 * 0 )
NEW met2 ( 465750 2699940 ) ( * 2713710 )
NEW met1 ( 465750 2713710 ) ( 1266610 * )
NEW met1 ( 1267990 23630 ) ( 1758350 * )
NEW met1 ( 1266610 23290 ) M1M2_PR
NEW met1 ( 1266610 2713710 ) M1M2_PR
NEW met1 ( 1758350 23630 ) M1M2_PR
NEW met1 ( 465750 2713710 ) M1M2_PR ;
- la_oenb[64] ( PIN la_oenb[64] ) ( chip_controller la_oenb[64] ) + USE SIGNAL
+ ROUTED met2 ( 991070 43350 ) ( * 2289730 )
NEW met2 ( 465290 2289730 ) ( * 2300100 0 )
NEW met1 ( 991070 43350 ) ( 1776290 * )
NEW met2 ( 1776290 2380 0 ) ( * 43350 )
NEW met1 ( 465290 2289730 ) ( 991070 * )
NEW met1 ( 991070 43350 ) M1M2_PR
NEW met1 ( 991070 2289730 ) M1M2_PR
NEW met1 ( 465290 2289730 ) M1M2_PR
NEW met1 ( 1776290 43350 ) M1M2_PR ;
- la_oenb[65] ( PIN la_oenb[65] ) ( chip_controller la_oenb[65] ) + USE SIGNAL
+ ROUTED met2 ( 130410 114070 ) ( * 2566830 )
NEW met2 ( 189750 2566830 ) ( * 2570740 )
NEW met3 ( 189750 2570740 ) ( 201020 * )
NEW met3 ( 201020 2570740 ) ( * 2571420 0 )
NEW met2 ( 1793770 2380 0 ) ( * 16830 )
NEW met1 ( 1787330 16830 ) ( 1793770 * )
NEW met1 ( 130410 114070 ) ( 1787330 * )
NEW met1 ( 130410 2566830 ) ( 189750 * )
NEW met2 ( 1787330 16830 ) ( * 114070 )
NEW met1 ( 130410 114070 ) M1M2_PR
NEW met1 ( 130410 2566830 ) M1M2_PR
NEW met1 ( 189750 2566830 ) M1M2_PR
NEW met2 ( 189750 2570740 ) M2M3_PR_M
NEW met1 ( 1793770 16830 ) M1M2_PR
NEW met1 ( 1787330 16830 ) M1M2_PR
NEW met1 ( 1787330 114070 ) M1M2_PR ;
- la_oenb[66] ( PIN la_oenb[66] ) ( chip_controller la_oenb[66] ) + USE SIGNAL
+ ROUTED met2 ( 1811710 2380 0 ) ( * 3060 )
NEW met2 ( 1810790 3060 ) ( 1811710 * )
NEW met2 ( 1810790 2380 ) ( * 3060 )
NEW met2 ( 1809410 2380 ) ( 1810790 * )
NEW met2 ( 468510 2289050 ) ( * 2300100 0 )
NEW met2 ( 1211870 53210 ) ( * 2289050 )
NEW met1 ( 1211870 53210 ) ( 1809410 * )
NEW met2 ( 1809410 2380 ) ( * 53210 )
NEW met1 ( 468510 2289050 ) ( 1211870 * )
NEW met1 ( 468510 2289050 ) M1M2_PR
NEW met1 ( 1211870 53210 ) M1M2_PR
NEW met1 ( 1211870 2289050 ) M1M2_PR
NEW met1 ( 1809410 53210 ) M1M2_PR ;
- la_oenb[67] ( PIN la_oenb[67] ) ( chip_controller la_oenb[67] ) + USE SIGNAL
+ ROUTED li1 ( 510370 2285310 ) ( * 2286330 )
NEW li1 ( 509910 2286330 ) ( 510370 * )
NEW met1 ( 497950 2286330 ) ( 509910 * )
NEW li1 ( 497950 2285310 ) ( * 2286330 )
NEW met1 ( 492890 2285310 ) ( 497950 * )
NEW met1 ( 492890 2285310 ) ( * 2285650 )
NEW met1 ( 479550 2285650 ) ( 492890 * )
NEW li1 ( 479550 2284630 ) ( * 2285650 )
NEW met1 ( 476330 2284630 ) ( 479550 * )
NEW met2 ( 476330 2284630 ) ( * 2285140 )
NEW met2 ( 474490 2285140 ) ( 476330 * )
NEW met2 ( 474490 2285140 ) ( * 2300100 )
NEW met2 ( 473570 2300100 0 ) ( 474490 * )
NEW met2 ( 776710 107610 ) ( * 2284970 )
NEW met2 ( 1828730 82800 ) ( * 107610 )
NEW met2 ( 1828730 82800 ) ( 1829190 * )
NEW met2 ( 1829190 2380 0 ) ( * 82800 )
NEW met1 ( 776710 107610 ) ( 1828730 * )
NEW met1 ( 510370 2285310 ) ( 517500 * )
NEW met1 ( 517500 2284970 ) ( * 2285310 )
NEW li1 ( 566030 2284970 ) ( 566950 * )
NEW met1 ( 517500 2284970 ) ( 566030 * )
NEW met1 ( 566950 2284970 ) ( 776710 * )
NEW met1 ( 776710 107610 ) M1M2_PR
NEW met1 ( 1828730 107610 ) M1M2_PR
NEW li1 ( 510370 2285310 ) L1M1_PR_MR
NEW li1 ( 509910 2286330 ) L1M1_PR_MR
NEW li1 ( 497950 2286330 ) L1M1_PR_MR
NEW li1 ( 497950 2285310 ) L1M1_PR_MR
NEW li1 ( 479550 2285650 ) L1M1_PR_MR
NEW li1 ( 479550 2284630 ) L1M1_PR_MR
NEW met1 ( 476330 2284630 ) M1M2_PR
NEW met1 ( 776710 2284970 ) M1M2_PR
NEW li1 ( 566030 2284970 ) L1M1_PR_MR
NEW li1 ( 566950 2284970 ) L1M1_PR_MR ;
- la_oenb[68] ( PIN la_oenb[68] ) ( chip_controller la_oenb[68] ) + USE SIGNAL
+ ROUTED met2 ( 517270 2289220 ) ( * 2290410 )
NEW met2 ( 516350 2289220 ) ( 517270 * )
NEW met2 ( 516350 2286670 ) ( * 2289220 )
NEW met1 ( 489670 2286670 ) ( 516350 * )
NEW met1 ( 489670 2286670 ) ( * 2287010 )
NEW met1 ( 474950 2287010 ) ( 489670 * )
NEW met2 ( 474950 2287010 ) ( * 2300100 0 )
NEW met2 ( 894010 60690 ) ( * 2290410 )
NEW met2 ( 1847130 2380 0 ) ( * 60690 )
NEW met1 ( 894010 60690 ) ( 1847130 * )
NEW met1 ( 517270 2290410 ) ( 894010 * )
NEW met1 ( 517270 2290410 ) M1M2_PR
NEW met1 ( 516350 2286670 ) M1M2_PR
NEW met1 ( 474950 2287010 ) M1M2_PR
NEW met1 ( 894010 60690 ) M1M2_PR
NEW met1 ( 894010 2290410 ) M1M2_PR
NEW met1 ( 1847130 60690 ) M1M2_PR ;
- la_oenb[69] ( PIN la_oenb[69] ) ( chip_controller la_oenb[69] ) + USE SIGNAL
+ ROUTED met2 ( 473110 2699260 0 ) ( 474030 * )
NEW met2 ( 474030 2699260 ) ( * 2720850 )
NEW met2 ( 1864610 2380 0 ) ( * 19890 )
NEW met2 ( 1252350 19890 ) ( * 2720850 )
NEW met1 ( 474030 2720850 ) ( 1252350 * )
NEW met1 ( 1252350 19890 ) ( 1864610 * )
NEW met1 ( 474030 2720850 ) M1M2_PR
NEW met1 ( 1252350 19890 ) M1M2_PR
NEW met1 ( 1252350 2720850 ) M1M2_PR
NEW met1 ( 1864610 19890 ) M1M2_PR ;
- la_oenb[6] ( PIN la_oenb[6] ) ( chip_controller la_oenb[6] ) + USE SIGNAL
+ ROUTED met2 ( 747730 2380 0 ) ( * 17850 )
NEW met1 ( 738530 17850 ) ( 747730 * )
NEW met2 ( 274850 47430 ) ( * 2256300 )
NEW met2 ( 273470 2256300 ) ( 274850 * )
NEW met2 ( 273470 2256300 ) ( * 2299420 )
NEW met2 ( 272090 2299420 ) ( 273470 * )
NEW met2 ( 272090 2299420 ) ( * 2300100 )
NEW met2 ( 270710 2300100 0 ) ( 272090 * )
NEW met1 ( 274850 47430 ) ( 738530 * )
NEW met2 ( 738530 17850 ) ( * 47430 )
NEW met1 ( 747730 17850 ) M1M2_PR
NEW met1 ( 738530 17850 ) M1M2_PR
NEW met1 ( 274850 47430 ) M1M2_PR
NEW met1 ( 738530 47430 ) M1M2_PR ;
- la_oenb[70] ( PIN la_oenb[70] ) ( chip_controller la_oenb[70] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2576180 0 ) ( 607430 * )
NEW met2 ( 607430 2574310 ) ( * 2576180 )
NEW met2 ( 865950 115090 ) ( * 2574310 )
NEW met2 ( 1882550 2380 0 ) ( * 3060 )
NEW met2 ( 1881630 3060 ) ( 1882550 * )
NEW met2 ( 1881630 2380 ) ( * 3060 )
NEW met2 ( 1880250 2380 ) ( 1881630 * )
NEW met1 ( 865950 115090 ) ( 1877030 * )
NEW met1 ( 607430 2574310 ) ( 865950 * )
NEW met2 ( 1877030 82800 ) ( * 115090 )
NEW met2 ( 1877030 82800 ) ( 1880250 * )
NEW met2 ( 1880250 2380 ) ( * 82800 )
NEW met1 ( 865950 115090 ) M1M2_PR
NEW met2 ( 607430 2576180 ) M2M3_PR_M
NEW met1 ( 607430 2574310 ) M1M2_PR
NEW met1 ( 865950 2574310 ) M1M2_PR
NEW met1 ( 1877030 115090 ) M1M2_PR ;
- la_oenb[71] ( PIN la_oenb[71] ) ( chip_controller la_oenb[71] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2579580 0 ) ( 608350 * )
NEW met2 ( 608350 2573970 ) ( * 2579580 )
NEW met2 ( 1900030 2380 0 ) ( * 3060 )
NEW met2 ( 1899110 3060 ) ( 1900030 * )
NEW met2 ( 1899110 2380 ) ( * 3060 )
NEW met2 ( 1897730 2380 ) ( 1899110 * )
NEW met1 ( 608350 2573970 ) ( 914250 * )
NEW met2 ( 914250 67150 ) ( * 2573970 )
NEW met1 ( 914250 67150 ) ( 1897730 * )
NEW met2 ( 1897730 2380 ) ( * 67150 )
NEW met2 ( 608350 2579580 ) M2M3_PR_M
NEW met1 ( 608350 2573970 ) M1M2_PR
NEW met1 ( 914250 67150 ) M1M2_PR
NEW met1 ( 914250 2573970 ) M1M2_PR
NEW met1 ( 1897730 67150 ) M1M2_PR ;
- la_oenb[72] ( PIN la_oenb[72] ) ( chip_controller la_oenb[72] ) + USE SIGNAL
+ ROUTED met2 ( 476330 2699260 0 ) ( 477250 * )
NEW met2 ( 477250 2699260 ) ( * 2702660 )
NEW met3 ( 477250 2702660 ) ( 491050 * )
NEW met2 ( 491050 2701300 ) ( * 2702660 )
NEW met2 ( 1275350 19550 ) ( * 2700620 )
NEW met2 ( 564650 2701300 ) ( 565110 * )
NEW met2 ( 565110 2700620 ) ( * 2701300 )
NEW met2 ( 565110 2700620 ) ( 565570 * )
NEW met3 ( 491050 2701300 ) ( 564650 * )
NEW met3 ( 565570 2700620 ) ( 1275350 * )
NEW met2 ( 1917970 2380 0 ) ( * 19550 )
NEW met1 ( 1275350 19550 ) ( 1917970 * )
NEW met2 ( 477250 2702660 ) M2M3_PR_M
NEW met2 ( 491050 2702660 ) M2M3_PR_M
NEW met2 ( 491050 2701300 ) M2M3_PR_M
NEW met1 ( 1275350 19550 ) M1M2_PR
NEW met2 ( 1275350 2700620 ) M2M3_PR_M
NEW met2 ( 564650 2701300 ) M2M3_PR_M
NEW met2 ( 565570 2700620 ) M2M3_PR_M
NEW met1 ( 1917970 19550 ) M1M2_PR ;
- la_oenb[73] ( PIN la_oenb[73] ) ( chip_controller la_oenb[73] ) + USE SIGNAL
+ ROUTED met2 ( 1935910 2380 0 ) ( * 3060 )
NEW met2 ( 1934990 3060 ) ( 1935910 * )
NEW met2 ( 1934990 2380 ) ( * 3060 )
NEW met2 ( 1933610 2380 ) ( 1934990 * )
NEW li1 ( 516810 2288370 ) ( * 2291090 )
NEW met1 ( 490590 2288370 ) ( 516810 * )
NEW met2 ( 490590 2288370 ) ( * 2288540 )
NEW met2 ( 489670 2288540 ) ( 490590 * )
NEW met2 ( 489670 2288540 ) ( * 2300100 )
NEW met2 ( 488750 2300100 0 ) ( 489670 * )
NEW met2 ( 1932230 82800 ) ( * 92990 )
NEW met2 ( 1932230 82800 ) ( 1933610 * )
NEW met2 ( 1933610 2380 ) ( * 82800 )
NEW met1 ( 817650 92990 ) ( 1932230 * )
NEW li1 ( 518190 2288370 ) ( * 2291090 )
NEW met1 ( 518190 2288370 ) ( 539810 * )
NEW met2 ( 539810 2287860 ) ( * 2288370 )
NEW met1 ( 516810 2291090 ) ( 518190 * )
NEW met2 ( 817650 92990 ) ( * 2285310 )
NEW met2 ( 545790 2284630 ) ( * 2287860 )
NEW met1 ( 545790 2284630 ) ( 566490 * )
NEW met1 ( 566490 2284630 ) ( * 2285310 )
NEW met1 ( 566490 2285310 ) ( 573390 * )
NEW li1 ( 573390 2283950 ) ( * 2285310 )
NEW li1 ( 573390 2283950 ) ( 576610 * )
NEW met1 ( 576610 2283950 ) ( 589490 * )
NEW li1 ( 589490 2283950 ) ( * 2285310 )
NEW met3 ( 539810 2287860 ) ( 545790 * )
NEW met1 ( 589490 2285310 ) ( 817650 * )
NEW met1 ( 1932230 92990 ) M1M2_PR
NEW li1 ( 516810 2291090 ) L1M1_PR_MR
NEW li1 ( 516810 2288370 ) L1M1_PR_MR
NEW met1 ( 490590 2288370 ) M1M2_PR
NEW met1 ( 817650 92990 ) M1M2_PR
NEW li1 ( 518190 2291090 ) L1M1_PR_MR
NEW li1 ( 518190 2288370 ) L1M1_PR_MR
NEW met1 ( 539810 2288370 ) M1M2_PR
NEW met2 ( 539810 2287860 ) M2M3_PR_M
NEW met1 ( 817650 2285310 ) M1M2_PR
NEW met2 ( 545790 2287860 ) M2M3_PR_M
NEW met1 ( 545790 2284630 ) M1M2_PR
NEW li1 ( 573390 2285310 ) L1M1_PR_MR
NEW li1 ( 576610 2283950 ) L1M1_PR_MR
NEW li1 ( 589490 2283950 ) L1M1_PR_MR
NEW li1 ( 589490 2285310 ) L1M1_PR_MR ;
- la_oenb[74] ( PIN la_oenb[74] ) ( chip_controller la_oenb[74] ) + USE SIGNAL
+ ROUTED li1 ( 516810 2284970 ) ( * 2286670 )
NEW met1 ( 506690 2284970 ) ( 516810 * )
NEW met1 ( 506690 2284630 ) ( * 2284970 )
NEW met1 ( 491510 2284630 ) ( 506690 * )
NEW met2 ( 491510 2284630 ) ( * 2300100 )
NEW met2 ( 490130 2300100 0 ) ( 491510 * )
NEW met2 ( 901370 52870 ) ( * 2286670 )
NEW met2 ( 1953390 2380 0 ) ( * 52870 )
NEW met1 ( 901370 52870 ) ( 1953390 * )
NEW met1 ( 516810 2286670 ) ( 901370 * )
NEW li1 ( 516810 2286670 ) L1M1_PR_MR
NEW li1 ( 516810 2284970 ) L1M1_PR_MR
NEW met1 ( 491510 2284630 ) M1M2_PR
NEW met1 ( 901370 52870 ) M1M2_PR
NEW met1 ( 901370 2286670 ) M1M2_PR
NEW met1 ( 1953390 52870 ) M1M2_PR ;
- la_oenb[75] ( PIN la_oenb[75] ) ( chip_controller la_oenb[75] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2595220 ) ( * 2595390 )
NEW met3 ( 189750 2595220 ) ( 200100 * )
NEW met3 ( 200100 2594540 0 ) ( * 2595220 )
NEW met1 ( 163530 2595390 ) ( 189750 * )
NEW met2 ( 163530 155550 ) ( * 2595390 )
NEW met2 ( 1966730 82800 ) ( 1971330 * )
NEW met2 ( 1971330 2380 0 ) ( * 82800 )
NEW met1 ( 163530 155550 ) ( 1966730 * )
NEW met2 ( 1966730 82800 ) ( * 155550 )
NEW met1 ( 189750 2595390 ) M1M2_PR
NEW met2 ( 189750 2595220 ) M2M3_PR_M
NEW met1 ( 163530 2595390 ) M1M2_PR
NEW met1 ( 163530 155550 ) M1M2_PR
NEW met1 ( 1966730 155550 ) M1M2_PR ;
- la_oenb[76] ( PIN la_oenb[76] ) ( chip_controller la_oenb[76] ) + USE SIGNAL
+ ROUTED met2 ( 481390 2699260 0 ) ( 482310 * )
NEW met2 ( 482310 2699260 ) ( * 2721190 )
NEW met1 ( 482310 2721190 ) ( 1224750 * )
NEW met2 ( 1988810 2380 0 ) ( * 18870 )
NEW met1 ( 1224750 18870 ) ( 1988810 * )
NEW met2 ( 1224750 18870 ) ( * 2721190 )
NEW met1 ( 482310 2721190 ) M1M2_PR
NEW met1 ( 1224750 18870 ) M1M2_PR
NEW met1 ( 1224750 2721190 ) M1M2_PR
NEW met1 ( 1988810 18870 ) M1M2_PR ;
- la_oenb[77] ( PIN la_oenb[77] ) ( chip_controller la_oenb[77] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2594710 ) ( * 2599980 )
NEW met3 ( 189290 2599980 ) ( 201020 * )
NEW met3 ( 201020 2599980 ) ( * 2600660 0 )
NEW met2 ( 123050 141610 ) ( * 2594710 )
NEW met1 ( 123050 2594710 ) ( 189290 * )
NEW met2 ( 2006750 2380 0 ) ( * 3060 )
NEW met2 ( 2005830 3060 ) ( 2006750 * )
NEW met2 ( 2005830 2380 ) ( * 3060 )
NEW met2 ( 2004450 2380 ) ( 2005830 * )
NEW met2 ( 2001230 82800 ) ( 2004450 * )
NEW met2 ( 2004450 2380 ) ( * 82800 )
NEW met1 ( 123050 141610 ) ( 2001230 * )
NEW met2 ( 2001230 82800 ) ( * 141610 )
NEW met1 ( 123050 2594710 ) M1M2_PR
NEW met1 ( 189290 2594710 ) M1M2_PR
NEW met2 ( 189290 2599980 ) M2M3_PR_M
NEW met1 ( 123050 141610 ) M1M2_PR
NEW met1 ( 2001230 141610 ) M1M2_PR ;
- la_oenb[78] ( PIN la_oenb[78] ) ( chip_controller la_oenb[78] ) + USE SIGNAL
+ ROUTED met2 ( 2024230 2380 0 ) ( * 3060 )
NEW met2 ( 2023310 3060 ) ( 2024230 * )
NEW met2 ( 2023310 2380 ) ( * 3060 )
NEW met2 ( 2021930 2380 ) ( 2023310 * )
NEW met2 ( 502090 2287180 ) ( * 2300100 0 )
NEW met2 ( 2021930 2380 ) ( * 72590 )
NEW met2 ( 756930 72590 ) ( * 2287180 )
NEW met1 ( 756930 72590 ) ( 2021930 * )
NEW met3 ( 502090 2287180 ) ( 756930 * )
NEW met2 ( 502090 2287180 ) M2M3_PR_M
NEW met1 ( 2021930 72590 ) M1M2_PR
NEW met1 ( 756930 72590 ) M1M2_PR
NEW met2 ( 756930 2287180 ) M2M3_PR_M ;
- la_oenb[79] ( PIN la_oenb[79] ) ( chip_controller la_oenb[79] ) + USE SIGNAL
+ ROUTED met2 ( 487830 2699260 0 ) ( 488290 * )
NEW met2 ( 488290 2699260 ) ( * 2722550 )
NEW met2 ( 2042170 2380 0 ) ( * 18190 )
NEW met1 ( 488290 2722550 ) ( 1141950 * )
NEW met1 ( 1141950 18190 ) ( 2042170 * )
NEW met2 ( 1141950 18190 ) ( * 2722550 )
NEW met1 ( 488290 2722550 ) M1M2_PR
NEW met1 ( 2042170 18190 ) M1M2_PR
NEW met1 ( 1141950 18190 ) M1M2_PR
NEW met1 ( 1141950 2722550 ) M1M2_PR ;
- la_oenb[7] ( PIN la_oenb[7] ) ( chip_controller la_oenb[7] ) + USE SIGNAL
+ ROUTED met2 ( 765210 2380 0 ) ( * 17510 )
NEW met2 ( 707250 17510 ) ( * 2723910 )
NEW met2 ( 238970 2699260 0 ) ( 239890 * )
NEW met2 ( 239890 2699260 ) ( * 2723910 )
NEW met1 ( 239890 2723910 ) ( 707250 * )
NEW met1 ( 707250 17510 ) ( 765210 * )
NEW met1 ( 707250 17510 ) M1M2_PR
NEW met1 ( 707250 2723910 ) M1M2_PR
NEW met1 ( 765210 17510 ) M1M2_PR
NEW met1 ( 239890 2723910 ) M1M2_PR ;
- la_oenb[80] ( PIN la_oenb[80] ) ( chip_controller la_oenb[80] ) + USE SIGNAL
+ ROUTED met2 ( 2059650 2380 0 ) ( * 17340 )
NEW met2 ( 2059190 17340 ) ( 2059650 * )
NEW met2 ( 510370 2286330 ) ( * 2300100 0 )
NEW met2 ( 2056430 82800 ) ( * 121890 )
NEW met2 ( 2056430 82800 ) ( 2059190 * )
NEW met2 ( 2059190 17340 ) ( * 82800 )
NEW met1 ( 852610 121890 ) ( 2056430 * )
NEW met2 ( 852610 121890 ) ( * 2286330 )
NEW met1 ( 510370 2286330 ) ( 852610 * )
NEW met1 ( 2056430 121890 ) M1M2_PR
NEW met1 ( 510370 2286330 ) M1M2_PR
NEW met1 ( 852610 121890 ) M1M2_PR
NEW met1 ( 852610 2286330 ) M1M2_PR ;
- la_oenb[81] ( PIN la_oenb[81] ) ( chip_controller la_oenb[81] ) + USE SIGNAL
+ ROUTED met2 ( 512210 2289900 ) ( * 2300100 0 )
NEW met2 ( 541650 66130 ) ( * 2256300 )
NEW met3 ( 512210 2289900 ) ( 517500 * )
NEW met3 ( 517500 2289900 ) ( * 2290580 )
NEW met3 ( 517500 2290580 ) ( 541190 * )
NEW met2 ( 541190 2256300 ) ( * 2290580 )
NEW met2 ( 541190 2256300 ) ( 541650 * )
NEW met1 ( 541650 66130 ) ( 2077590 * )
NEW met2 ( 2077590 2380 0 ) ( * 66130 )
NEW met2 ( 512210 2289900 ) M2M3_PR_M
NEW met1 ( 541650 66130 ) M1M2_PR
NEW met2 ( 541190 2290580 ) M2M3_PR_M
NEW met1 ( 2077590 66130 ) M1M2_PR ;
- la_oenb[82] ( PIN la_oenb[82] ) ( chip_controller la_oenb[82] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2608990 ) ( * 2614260 )
NEW met3 ( 189290 2614260 ) ( 201020 * )
NEW met3 ( 201020 2614260 ) ( * 2614940 0 )
NEW met1 ( 149730 2608990 ) ( 189290 * )
NEW met2 ( 2095070 2380 0 ) ( * 3060 )
NEW met2 ( 2094150 3060 ) ( 2095070 * )
NEW met2 ( 2094150 2380 ) ( * 3060 )
NEW met2 ( 2092770 2380 ) ( 2094150 * )
NEW li1 ( 2090930 203150 ) ( * 203830 )
NEW met1 ( 149730 203830 ) ( 2090930 * )
NEW met2 ( 149730 203830 ) ( * 2608990 )
NEW met2 ( 2090930 82800 ) ( 2092770 * )
NEW met2 ( 2092770 2380 ) ( * 82800 )
NEW met2 ( 2090930 82800 ) ( * 203150 )
NEW met1 ( 189290 2608990 ) M1M2_PR
NEW met2 ( 189290 2614260 ) M2M3_PR_M
NEW met1 ( 149730 203830 ) M1M2_PR
NEW met1 ( 149730 2608990 ) M1M2_PR
NEW li1 ( 2090930 203830 ) L1M1_PR_MR
NEW li1 ( 2090930 203150 ) L1M1_PR_MR
NEW met1 ( 2090930 203150 ) M1M2_PR
NEW met1 ( 2090930 203150 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[83] ( PIN la_oenb[83] ) ( chip_controller la_oenb[83] ) + USE SIGNAL
+ ROUTED met2 ( 492890 2699260 0 ) ( 493810 * )
NEW met2 ( 493810 2699260 ) ( * 2721870 )
NEW met2 ( 2113010 2380 0 ) ( * 17850 )
NEW met2 ( 1162650 17850 ) ( * 2721870 )
NEW met1 ( 493810 2721870 ) ( 1162650 * )
NEW met1 ( 1162650 17850 ) ( 2113010 * )
NEW met1 ( 493810 2721870 ) M1M2_PR
NEW met1 ( 1162650 17850 ) M1M2_PR
NEW met1 ( 1162650 2721870 ) M1M2_PR
NEW met1 ( 2113010 17850 ) M1M2_PR ;
- la_oenb[84] ( PIN la_oenb[84] ) ( chip_controller la_oenb[84] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2594540 0 ) ( 607890 * )
NEW met2 ( 607890 2594540 ) ( * 2595730 )
NEW met2 ( 2130950 2380 0 ) ( * 3060 )
NEW met2 ( 2130030 3060 ) ( 2130950 * )
NEW met2 ( 2130030 2380 ) ( * 3060 )
NEW met2 ( 2128650 2380 ) ( 2130030 * )
NEW met2 ( 997510 129030 ) ( * 2595730 )
NEW met2 ( 2125430 82800 ) ( * 129030 )
NEW met2 ( 2125430 82800 ) ( 2128650 * )
NEW met2 ( 2128650 2380 ) ( * 82800 )
NEW met1 ( 607890 2595730 ) ( 997510 * )
NEW met1 ( 997510 129030 ) ( 2125430 * )
NEW met2 ( 607890 2594540 ) M2M3_PR_M
NEW met1 ( 607890 2595730 ) M1M2_PR
NEW met1 ( 997510 129030 ) M1M2_PR
NEW met1 ( 997510 2595730 ) M1M2_PR
NEW met1 ( 2125430 129030 ) M1M2_PR ;
- la_oenb[85] ( PIN la_oenb[85] ) ( chip_controller la_oenb[85] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2599300 0 ) ( 608350 * )
NEW met2 ( 608350 2595390 ) ( * 2599300 )
NEW met2 ( 2148430 2380 0 ) ( * 3060 )
NEW met2 ( 2147510 3060 ) ( 2148430 * )
NEW met2 ( 2147510 2380 ) ( * 3060 )
NEW met2 ( 2146130 2380 ) ( 2147510 * )
NEW met2 ( 2146130 2380 ) ( * 122570 )
NEW met1 ( 608350 2595390 ) ( 1003950 * )
NEW met1 ( 1003950 122570 ) ( 2146130 * )
NEW met2 ( 1003950 122570 ) ( * 2595390 )
NEW met2 ( 608350 2599300 ) M2M3_PR_M
NEW met1 ( 608350 2595390 ) M1M2_PR
NEW met1 ( 2146130 122570 ) M1M2_PR
NEW met1 ( 1003950 122570 ) M1M2_PR
NEW met1 ( 1003950 2595390 ) M1M2_PR ;
- la_oenb[86] ( PIN la_oenb[86] ) ( chip_controller la_oenb[86] ) + USE SIGNAL
+ ROUTED met2 ( 496110 2699260 0 ) ( 496570 * )
NEW met2 ( 496570 2699260 ) ( * 2722890 )
NEW met1 ( 496570 2722890 ) ( 1100550 * )
NEW met2 ( 2166370 2380 0 ) ( * 17510 )
NEW met1 ( 1100550 17510 ) ( 2166370 * )
NEW met2 ( 1100550 17510 ) ( * 2722890 )
NEW met1 ( 496570 2722890 ) M1M2_PR
NEW met1 ( 1100550 17510 ) M1M2_PR
NEW met1 ( 1100550 2722890 ) M1M2_PR
NEW met1 ( 2166370 17510 ) M1M2_PR ;
- la_oenb[87] ( PIN la_oenb[87] ) ( chip_controller la_oenb[87] ) + USE SIGNAL
+ ROUTED met2 ( 497490 2699940 ) ( 497950 * 0 )
NEW met2 ( 497490 2699940 ) ( * 2700620 )
NEW met2 ( 497490 2700620 ) ( 497950 * )
NEW met2 ( 497950 2700620 ) ( * 2723570 )
NEW met1 ( 497950 2723570 ) ( 1031550 * )
NEW met2 ( 2183850 2380 0 ) ( * 17170 )
NEW met1 ( 1031550 17170 ) ( 2183850 * )
NEW met2 ( 1031550 17170 ) ( * 2723570 )
NEW met1 ( 497950 2723570 ) M1M2_PR
NEW met1 ( 1031550 17170 ) M1M2_PR
NEW met1 ( 1031550 2723570 ) M1M2_PR
NEW met1 ( 2183850 17170 ) M1M2_PR ;
- la_oenb[88] ( PIN la_oenb[88] ) ( chip_controller la_oenb[88] ) + USE SIGNAL
+ ROUTED met2 ( 501170 2699260 0 ) ( 502550 * )
NEW met2 ( 502550 2699260 ) ( * 2724250 )
NEW met1 ( 502550 2724250 ) ( 921150 * )
NEW met2 ( 2201790 2380 0 ) ( * 15300 )
NEW met3 ( 921150 15300 ) ( 2201790 * )
NEW met2 ( 921150 15300 ) ( * 2724250 )
NEW met1 ( 502550 2724250 ) M1M2_PR
NEW met2 ( 921150 15300 ) M2M3_PR_M
NEW met1 ( 921150 2724250 ) M1M2_PR
NEW met2 ( 2201790 15300 ) M2M3_PR_M ;
- la_oenb[89] ( PIN la_oenb[89] ) ( chip_controller la_oenb[89] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2629390 ) ( * 2629900 )
NEW met3 ( 187910 2629900 ) ( 200100 * )
NEW met3 ( 200100 2629220 0 ) ( * 2629900 )
NEW met2 ( 2219270 2380 0 ) ( * 3060 )
NEW met2 ( 2218350 3060 ) ( 2219270 * )
NEW met2 ( 2218350 2380 ) ( * 3060 )
NEW met2 ( 2216970 2380 ) ( 2218350 * )
NEW met2 ( 115690 141270 ) ( * 2629390 )
NEW met2 ( 2215130 82800 ) ( 2216970 * )
NEW met2 ( 2216970 2380 ) ( * 82800 )
NEW met2 ( 2215130 82800 ) ( * 141270 )
NEW met1 ( 115690 2629390 ) ( 187910 * )
NEW met1 ( 115690 141270 ) ( 2215130 * )
NEW met1 ( 115690 2629390 ) M1M2_PR
NEW met1 ( 187910 2629390 ) M1M2_PR
NEW met2 ( 187910 2629900 ) M2M3_PR_M
NEW met1 ( 115690 141270 ) M1M2_PR
NEW met1 ( 2215130 141270 ) M1M2_PR ;
- la_oenb[8] ( PIN la_oenb[8] ) ( chip_controller la_oenb[8] ) + USE SIGNAL
+ ROUTED met2 ( 783150 2380 0 ) ( * 3060 )
NEW met2 ( 782230 3060 ) ( 783150 * )
NEW met2 ( 782230 2380 ) ( * 3060 )
NEW met2 ( 780850 2380 ) ( 782230 * )
NEW met3 ( 198950 2357220 ) ( 200100 * )
NEW met3 ( 200100 2356540 0 ) ( * 2357220 )
NEW met2 ( 198950 2301970 ) ( * 2357220 )
NEW met2 ( 779930 82800 ) ( 780850 * )
NEW met2 ( 780850 2380 ) ( * 82800 )
NEW met2 ( 779930 82800 ) ( * 2301970 )
NEW met1 ( 198950 2301970 ) ( 779930 * )
NEW met1 ( 198950 2301970 ) M1M2_PR
NEW met2 ( 198950 2357220 ) M2M3_PR_M
NEW met1 ( 779930 2301970 ) M1M2_PR ;
- la_oenb[90] ( PIN la_oenb[90] ) ( chip_controller la_oenb[90] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2629050 ) ( * 2630580 )
NEW met3 ( 189290 2630580 ) ( 201020 * )
NEW met3 ( 201020 2630580 ) ( * 2631260 0 )
NEW met2 ( 96370 86190 ) ( * 2629050 )
NEW met2 ( 2235830 82800 ) ( * 86190 )
NEW met2 ( 2235830 82800 ) ( 2237210 * )
NEW met2 ( 2237210 2380 0 ) ( * 82800 )
NEW met1 ( 96370 2629050 ) ( 189290 * )
NEW met1 ( 96370 86190 ) ( 2235830 * )
NEW met1 ( 96370 86190 ) M1M2_PR
NEW met1 ( 96370 2629050 ) M1M2_PR
NEW met1 ( 189290 2629050 ) M1M2_PR
NEW met2 ( 189290 2630580 ) M2M3_PR_M
NEW met1 ( 2235830 86190 ) M1M2_PR ;
- la_oenb[91] ( PIN la_oenb[91] ) ( chip_controller la_oenb[91] ) + USE SIGNAL
+ ROUTED met2 ( 507610 2699260 0 ) ( 508990 * )
NEW met2 ( 508990 2699260 ) ( * 2702660 )
NEW met2 ( 2254690 2380 0 ) ( * 14620 )
NEW met2 ( 1080310 14620 ) ( * 2701300 )
NEW met3 ( 508990 2702660 ) ( 517500 * )
NEW met3 ( 517500 2702660 ) ( * 2703340 )
NEW met3 ( 517500 2703340 ) ( 542340 * )
NEW met3 ( 542340 2702660 ) ( * 2703340 )
NEW met3 ( 542340 2702660 ) ( 565570 * )
NEW met2 ( 565570 2701300 ) ( * 2702660 )
NEW met3 ( 565570 2701300 ) ( 1080310 * )
NEW met3 ( 1080310 14620 ) ( 2254690 * )
NEW met2 ( 508990 2702660 ) M2M3_PR_M
NEW met2 ( 1080310 14620 ) M2M3_PR_M
NEW met2 ( 1080310 2701300 ) M2M3_PR_M
NEW met2 ( 2254690 14620 ) M2M3_PR_M
NEW met2 ( 565570 2702660 ) M2M3_PR_M
NEW met2 ( 565570 2701300 ) M2M3_PR_M ;
- la_oenb[92] ( PIN la_oenb[92] ) ( chip_controller la_oenb[92] ) + USE SIGNAL
+ ROUTED met2 ( 511750 2699940 ) ( 512670 * 0 )
NEW met2 ( 511750 2699940 ) ( * 2719150 )
NEW met1 ( 511750 2719150 ) ( 714150 * )
NEW met2 ( 2272630 2380 0 ) ( * 15980 )
NEW met3 ( 714150 15980 ) ( 2272630 * )
NEW met2 ( 714150 15980 ) ( * 2719150 )
NEW met1 ( 511750 2719150 ) M1M2_PR
NEW met2 ( 714150 15980 ) M2M3_PR_M
NEW met1 ( 714150 2719150 ) M1M2_PR
NEW met2 ( 2272630 15980 ) M2M3_PR_M ;
- la_oenb[93] ( PIN la_oenb[93] ) ( chip_controller la_oenb[93] ) + USE SIGNAL
+ ROUTED met1 ( 542110 100130 ) ( 2284590 * )
NEW met2 ( 541650 2278340 ) ( 542110 * )
NEW met2 ( 541650 2278340 ) ( * 2284630 )
NEW met1 ( 537050 2284630 ) ( 541650 * )
NEW met2 ( 537050 2284630 ) ( * 2300100 0 )
NEW met2 ( 542110 100130 ) ( * 2278340 )
NEW met1 ( 2284590 58990 ) ( 2290570 * )
NEW met2 ( 2284590 58990 ) ( * 100130 )
NEW met2 ( 2290570 2380 0 ) ( * 58990 )
NEW met1 ( 542110 100130 ) M1M2_PR
NEW met1 ( 2284590 100130 ) M1M2_PR
NEW met1 ( 541650 2284630 ) M1M2_PR
NEW met1 ( 537050 2284630 ) M1M2_PR
NEW met1 ( 2284590 58990 ) M1M2_PR
NEW met1 ( 2290570 58990 ) M1M2_PR ;
- la_oenb[94] ( PIN la_oenb[94] ) ( chip_controller la_oenb[94] ) + USE SIGNAL
+ ROUTED met2 ( 515890 2699260 0 ) ( 516810 * )
NEW met2 ( 516810 2699260 ) ( * 2718810 )
NEW met2 ( 2308050 2380 0 ) ( * 20060 )
NEW met1 ( 516810 2718810 ) ( 741750 * )
NEW met3 ( 741750 20060 ) ( 2308050 * )
NEW met2 ( 741750 20060 ) ( * 2718810 )
NEW met1 ( 516810 2718810 ) M1M2_PR
NEW met2 ( 2308050 20060 ) M2M3_PR_M
NEW met2 ( 741750 20060 ) M2M3_PR_M
NEW met1 ( 741750 2718810 ) M1M2_PR ;
- la_oenb[95] ( PIN la_oenb[95] ) ( chip_controller la_oenb[95] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2617660 0 ) ( 607890 * )
NEW met2 ( 607890 2616130 ) ( * 2617660 )
NEW met2 ( 2325990 2380 0 ) ( * 114410 )
NEW met1 ( 607890 2616130 ) ( 721050 * )
NEW met1 ( 721050 114410 ) ( 2325990 * )
NEW met2 ( 721050 114410 ) ( * 2616130 )
NEW met2 ( 607890 2617660 ) M2M3_PR_M
NEW met1 ( 607890 2616130 ) M1M2_PR
NEW met1 ( 2325990 114410 ) M1M2_PR
NEW met1 ( 721050 114410 ) M1M2_PR
NEW met1 ( 721050 2616130 ) M1M2_PR ;
- la_oenb[96] ( PIN la_oenb[96] ) ( chip_controller la_oenb[96] ) + USE SIGNAL
+ ROUTED met2 ( 2343470 2380 0 ) ( * 18700 )
NEW met2 ( 700350 18700 ) ( * 2721020 )
NEW met2 ( 520950 2699260 0 ) ( 522330 * )
NEW met2 ( 522330 2699260 ) ( * 2721020 )
NEW met3 ( 522330 2721020 ) ( 700350 * )
NEW met3 ( 700350 18700 ) ( 2343470 * )
NEW met2 ( 700350 18700 ) M2M3_PR_M
NEW met2 ( 700350 2721020 ) M2M3_PR_M
NEW met2 ( 2343470 18700 ) M2M3_PR_M
NEW met2 ( 522330 2721020 ) M2M3_PR_M ;
- la_oenb[97] ( PIN la_oenb[97] ) ( chip_controller la_oenb[97] ) + USE SIGNAL
+ ROUTED met2 ( 109710 162010 ) ( * 2650130 )
NEW met2 ( 189750 2650130 ) ( * 2650300 )
NEW met3 ( 189750 2650300 ) ( 200100 * )
NEW met3 ( 200100 2649620 0 ) ( * 2650300 )
NEW met1 ( 109710 2650130 ) ( 189750 * )
NEW met2 ( 2360030 82800 ) ( 2361410 * )
NEW met2 ( 2361410 2380 0 ) ( * 82800 )
NEW met1 ( 109710 162010 ) ( 2360030 * )
NEW met2 ( 2360030 82800 ) ( * 162010 )
NEW met1 ( 109710 162010 ) M1M2_PR
NEW met1 ( 109710 2650130 ) M1M2_PR
NEW met1 ( 189750 2650130 ) M1M2_PR
NEW met2 ( 189750 2650300 ) M2M3_PR_M
NEW met1 ( 2360030 162010 ) M1M2_PR ;
- la_oenb[98] ( PIN la_oenb[98] ) ( chip_controller la_oenb[98] ) + USE SIGNAL
+ ROUTED met2 ( 525550 2699940 ) ( 526010 * 0 )
NEW met2 ( 525550 2699940 ) ( * 2724590 )
NEW met1 ( 525550 2724590 ) ( 1231650 * )
NEW met2 ( 2378890 2380 0 ) ( * 13940 )
NEW met3 ( 1231650 13940 ) ( 2378890 * )
NEW met2 ( 1231650 13940 ) ( * 2724590 )
NEW met1 ( 525550 2724590 ) M1M2_PR
NEW met2 ( 1231650 13940 ) M2M3_PR_M
NEW met1 ( 1231650 2724590 ) M1M2_PR
NEW met2 ( 2378890 13940 ) M2M3_PR_M ;
- la_oenb[99] ( PIN la_oenb[99] ) ( chip_controller la_oenb[99] ) + USE SIGNAL
+ ROUTED met2 ( 803850 19380 ) ( * 2720340 )
NEW met2 ( 532450 2699260 0 ) ( 533830 * )
NEW met2 ( 533830 2699260 ) ( * 2720340 )
NEW met3 ( 533830 2720340 ) ( 803850 * )
NEW met2 ( 2396830 2380 0 ) ( * 19380 )
NEW met3 ( 803850 19380 ) ( 2396830 * )
NEW met2 ( 803850 19380 ) M2M3_PR_M
NEW met2 ( 803850 2720340 ) M2M3_PR_M
NEW met2 ( 533830 2720340 ) M2M3_PR_M
NEW met2 ( 2396830 19380 ) M2M3_PR_M ;
- la_oenb[9] ( PIN la_oenb[9] ) ( chip_controller la_oenb[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2366740 0 ) ( 607430 * )
NEW met2 ( 607430 2366740 ) ( * 2367250 )
NEW met2 ( 800630 2380 0 ) ( * 2367250 )
NEW met1 ( 607430 2367250 ) ( 800630 * )
NEW met2 ( 607430 2366740 ) M2M3_PR_M
NEW met1 ( 607430 2367250 ) M1M2_PR
NEW met1 ( 800630 2367250 ) M1M2_PR ;
- read_data_from_mem\[0\] ( core0 data_from_mem[0] ) ( chip_controller rd_data_out[0] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2308940 0 ) ( 607430 * )
NEW met2 ( 607430 2307410 ) ( * 2308940 )
NEW met2 ( 1283630 235620 ) ( * 241230 )
NEW met1 ( 607430 2307410 ) ( 624450 * )
NEW met2 ( 624450 241230 ) ( * 2307410 )
NEW met1 ( 624450 241230 ) ( 1283630 * )
NEW met3 ( 1283630 235620 ) ( 1300420 * 0 )
NEW met2 ( 607430 2308940 ) M2M3_PR_M
NEW met1 ( 607430 2307410 ) M1M2_PR
NEW met1 ( 1283630 241230 ) M1M2_PR
NEW met2 ( 1283630 235620 ) M2M3_PR_M
NEW met1 ( 624450 2307410 ) M1M2_PR
NEW met1 ( 624450 241230 ) M1M2_PR ;
- read_data_from_mem\[100\] ( core0 data_from_mem[100] ) ( chip_controller rd_data_out[100] ) + USE SIGNAL
+ ROUTED met2 ( 1439110 1715980 ) ( * 2699430 )
NEW met2 ( 2615790 1699660 0 ) ( * 1715980 )
NEW met2 ( 535210 2699260 ) ( * 2699430 )
NEW met2 ( 534290 2699260 0 ) ( 535210 * )
NEW met1 ( 535210 2699430 ) ( 1439110 * )
NEW met3 ( 1439110 1715980 ) ( 2615790 * )
NEW met1 ( 1439110 2699430 ) M1M2_PR
NEW met2 ( 1439110 1715980 ) M2M3_PR_M
NEW met2 ( 2615790 1715980 ) M2M3_PR_M
NEW met1 ( 535210 2699430 ) M1M2_PR ;
- read_data_from_mem\[101\] ( core0 data_from_mem[101] ) ( chip_controller rd_data_out[101] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1443300 0 ) ( 2808530 * )
NEW met2 ( 2808530 1443300 ) ( * 1443470 )
NEW met1 ( 2808530 1443470 ) ( 2817730 * )
NEW met2 ( 2817730 1443470 ) ( * 1722100 )
NEW met3 ( 537050 2700620 ) ( 537740 * )
NEW met2 ( 537050 2699260 ) ( * 2700620 )
NEW met2 ( 536130 2699260 0 ) ( 537050 * )
NEW met4 ( 537740 1722100 ) ( * 2700620 )
NEW met3 ( 537740 1722100 ) ( 2817730 * )
NEW met2 ( 2808530 1443300 ) M2M3_PR_M
NEW met1 ( 2808530 1443470 ) M1M2_PR
NEW met1 ( 2817730 1443470 ) M1M2_PR
NEW met2 ( 2817730 1722100 ) M2M3_PR_M
NEW met3 ( 537740 2700620 ) M3M4_PR_M
NEW met2 ( 537050 2700620 ) M2M3_PR_M
NEW met3 ( 537740 1722100 ) M3M4_PR_M ;
- read_data_from_mem\[102\] ( core0 data_from_mem[102] ) ( chip_controller rd_data_out[102] ) + USE SIGNAL
+ ROUTED met3 ( 191130 2653700 ) ( 200100 * )
NEW met3 ( 200100 2653020 0 ) ( * 2653700 )
NEW met2 ( 191130 2653700 ) ( * 2700790 )
NEW met2 ( 1283630 1488180 ) ( * 1490390 )
NEW met2 ( 845710 1490390 ) ( * 2700790 )
NEW met1 ( 845710 1490390 ) ( 1283630 * )
NEW met3 ( 1283630 1488180 ) ( 1300420 * 0 )
NEW met1 ( 191130 2700790 ) ( 845710 * )
NEW met1 ( 191130 2700790 ) M1M2_PR
NEW met2 ( 191130 2653700 ) M2M3_PR_M
NEW met1 ( 1283630 1490390 ) M1M2_PR
NEW met2 ( 1283630 1488180 ) M2M3_PR_M
NEW met1 ( 845710 2700790 ) M1M2_PR
NEW met1 ( 845710 1490390 ) M1M2_PR ;
- read_data_from_mem\[103\] ( core0 data_from_mem[103] ) ( chip_controller rd_data_out[103] ) + USE SIGNAL
+ ROUTED met2 ( 2539430 192950 ) ( * 200260 0 )
NEW met2 ( 538890 2699940 ) ( 539350 * 0 )
NEW met2 ( 538890 2699940 ) ( * 2727820 )
NEW met3 ( 538890 2727820 ) ( 727950 * )
NEW met1 ( 727950 192950 ) ( 2539430 * )
NEW met2 ( 727950 192950 ) ( * 2727820 )
NEW met1 ( 2539430 192950 ) M1M2_PR
NEW met2 ( 538890 2727820 ) M2M3_PR_M
NEW met1 ( 727950 192950 ) M1M2_PR
NEW met2 ( 727950 2727820 ) M2M3_PR_M ;
- read_data_from_mem\[104\] ( core0 data_from_mem[104] ) ( chip_controller rd_data_out[104] ) + USE SIGNAL
+ ROUTED met2 ( 103270 186150 ) ( * 2650810 )
NEW met2 ( 189750 2650810 ) ( * 2656420 )
NEW met3 ( 189750 2656420 ) ( 199180 * )
NEW met3 ( 199180 2656420 ) ( * 2657100 )
NEW met3 ( 199180 2657100 ) ( 200100 * )
NEW met3 ( 200100 2656420 0 ) ( * 2657100 )
NEW met2 ( 2560130 186150 ) ( * 200260 0 )
NEW met1 ( 103270 186150 ) ( 2560130 * )
NEW met1 ( 103270 2650810 ) ( 189750 * )
NEW met1 ( 103270 186150 ) M1M2_PR
NEW met1 ( 103270 2650810 ) M1M2_PR
NEW met1 ( 189750 2650810 ) M1M2_PR
NEW met2 ( 189750 2656420 ) M2M3_PR_M
NEW met1 ( 2560130 186150 ) M1M2_PR ;
- read_data_from_mem\[105\] ( core0 data_from_mem[105] ) ( chip_controller rd_data_out[105] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1484100 0 ) ( * 1486820 )
NEW met3 ( 2799100 1486820 ) ( 2800250 * )
NEW met2 ( 2800250 1486820 ) ( * 1701190 )
NEW met1 ( 564650 1701190 ) ( 2800250 * )
NEW met2 ( 563730 2300100 0 ) ( 564650 * )
NEW met2 ( 564650 1701190 ) ( * 2300100 )
NEW met2 ( 2800250 1486820 ) M2M3_PR_M
NEW met1 ( 2800250 1701190 ) M1M2_PR
NEW met1 ( 564650 1701190 ) M1M2_PR ;
- read_data_from_mem\[106\] ( core0 data_from_mem[106] ) ( chip_controller rd_data_out[106] ) + USE SIGNAL
+ ROUTED met2 ( 2601990 193630 ) ( * 200260 0 )
NEW met2 ( 597310 193630 ) ( * 2289900 )
NEW met1 ( 597310 193630 ) ( 2601990 * )
NEW met3 ( 593400 2289900 ) ( 597310 * )
NEW met3 ( 593400 2289900 ) ( * 2290580 )
NEW met3 ( 565570 2290580 ) ( 593400 * )
NEW met2 ( 565570 2290580 ) ( * 2300100 0 )
NEW met1 ( 597310 193630 ) M1M2_PR
NEW met1 ( 2601990 193630 ) M1M2_PR
NEW met2 ( 597310 2289900 ) M2M3_PR_M
NEW met2 ( 565570 2290580 ) M2M3_PR_M ;
- read_data_from_mem\[107\] ( core0 data_from_mem[107] ) ( chip_controller rd_data_out[107] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2645540 0 ) ( 607430 * )
NEW met2 ( 607430 2644690 ) ( * 2645540 )
NEW met2 ( 1283630 1503140 ) ( * 1503990 )
NEW met1 ( 607430 2644690 ) ( 627670 * )
NEW met2 ( 627670 1503990 ) ( * 2644690 )
NEW met1 ( 627670 1503990 ) ( 1283630 * )
NEW met3 ( 1283630 1503140 ) ( 1300420 * 0 )
NEW met2 ( 607430 2645540 ) M2M3_PR_M
NEW met1 ( 607430 2644690 ) M1M2_PR
NEW met1 ( 1283630 1503990 ) M1M2_PR
NEW met2 ( 1283630 1503140 ) M2M3_PR_M
NEW met1 ( 627670 1503990 ) M1M2_PR
NEW met1 ( 627670 2644690 ) M1M2_PR ;
- read_data_from_mem\[108\] ( core0 data_from_mem[108] ) ( chip_controller rd_data_out[108] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2648940 0 ) ( 608350 * )
NEW met2 ( 608350 2642990 ) ( * 2648940 )
NEW met2 ( 1342970 1772590 ) ( * 2642990 )
NEW met1 ( 1342970 1772590 ) ( 2642930 * )
NEW met1 ( 608350 2642990 ) ( 1342970 * )
NEW met2 ( 2642930 1699660 ) ( 2645230 * 0 )
NEW met2 ( 2642930 1699660 ) ( * 1772590 )
NEW met1 ( 1342970 1772590 ) M1M2_PR
NEW met2 ( 608350 2648940 ) M2M3_PR_M
NEW met1 ( 608350 2642990 ) M1M2_PR
NEW met1 ( 1342970 2642990 ) M1M2_PR
NEW met1 ( 2642930 1772590 ) M1M2_PR ;
- read_data_from_mem\[109\] ( core0 data_from_mem[109] ) ( chip_controller rd_data_out[109] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2652340 0 ) ( 607890 * )
NEW met2 ( 607890 2650130 ) ( * 2652340 )
NEW met2 ( 1384370 1736210 ) ( * 2650130 )
NEW met1 ( 1384370 1736210 ) ( 2674670 * )
NEW met1 ( 607890 2650130 ) ( 1384370 * )
NEW met2 ( 2674670 1699660 0 ) ( * 1736210 )
NEW met1 ( 1384370 1736210 ) M1M2_PR
NEW met2 ( 607890 2652340 ) M2M3_PR_M
NEW met1 ( 607890 2650130 ) M1M2_PR
NEW met1 ( 1384370 2650130 ) M1M2_PR
NEW met1 ( 2674670 1736210 ) M1M2_PR ;
- read_data_from_mem\[10\] ( core0 data_from_mem[10] ) ( chip_controller rd_data_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 1630470 1699660 0 ) ( * 1712070 )
NEW met2 ( 258750 2699260 0 ) ( 259670 * )
NEW met2 ( 259670 2699260 ) ( * 2733090 )
NEW met1 ( 259670 2733090 ) ( 1411050 * )
NEW met2 ( 1411050 1712070 ) ( * 2733090 )
NEW met1 ( 1411050 1712070 ) ( 1630470 * )
NEW met1 ( 1630470 1712070 ) M1M2_PR
NEW met1 ( 259670 2733090 ) M1M2_PR
NEW met1 ( 1411050 2733090 ) M1M2_PR
NEW met1 ( 1411050 1712070 ) M1M2_PR ;
- read_data_from_mem\[110\] ( core0 data_from_mem[110] ) ( chip_controller rd_data_out[110] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1545980 0 ) ( 2804390 * )
NEW met2 ( 2804390 1545980 ) ( * 1770550 )
NEW met1 ( 571550 1770550 ) ( 2804390 * )
NEW met2 ( 570630 2300100 0 ) ( 571550 * )
NEW met2 ( 571550 1770550 ) ( * 2300100 )
NEW met1 ( 571550 1770550 ) M1M2_PR
NEW met2 ( 2804390 1545980 ) M2M3_PR_M
NEW met1 ( 2804390 1770550 ) M1M2_PR ;
- read_data_from_mem\[111\] ( core0 data_from_mem[111] ) ( chip_controller rd_data_out[111] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2676820 ) ( 188830 * )
NEW met2 ( 188370 2663220 ) ( * 2676820 )
NEW met3 ( 188370 2663220 ) ( 200100 * )
NEW met3 ( 200100 2661860 0 ) ( * 2663220 )
NEW met2 ( 188830 2676820 ) ( * 2729690 )
NEW met2 ( 1283630 1531530 ) ( * 1531700 )
NEW met1 ( 188830 2729690 ) ( 748650 * )
NEW met2 ( 748650 1531530 ) ( * 2729690 )
NEW met1 ( 748650 1531530 ) ( 1283630 * )
NEW met3 ( 1283630 1531700 ) ( 1300420 * 0 )
NEW met1 ( 188830 2729690 ) M1M2_PR
NEW met2 ( 188370 2663220 ) M2M3_PR_M
NEW met1 ( 1283630 1531530 ) M1M2_PR
NEW met2 ( 1283630 1531700 ) M2M3_PR_M
NEW met1 ( 748650 2729690 ) M1M2_PR
NEW met1 ( 748650 1531530 ) M1M2_PR ;
- read_data_from_mem\[112\] ( core0 data_from_mem[112] ) ( chip_controller rd_data_out[112] ) + USE SIGNAL
+ ROUTED met2 ( 94530 1693710 ) ( * 2664070 )
NEW met2 ( 189750 2664070 ) ( * 2664580 )
NEW met3 ( 189750 2664580 ) ( 200100 * )
NEW met3 ( 200100 2663900 0 ) ( * 2664580 )
NEW met3 ( 2799100 1586780 0 ) ( 2812670 * )
NEW met2 ( 2812670 1586780 ) ( * 1693710 )
NEW met1 ( 94530 2664070 ) ( 189750 * )
NEW met1 ( 94530 1693710 ) ( 2812670 * )
NEW met1 ( 94530 1693710 ) M1M2_PR
NEW met1 ( 94530 2664070 ) M1M2_PR
NEW met1 ( 189750 2664070 ) M1M2_PR
NEW met2 ( 189750 2664580 ) M2M3_PR_M
NEW met2 ( 2812670 1586780 ) M2M3_PR_M
NEW met1 ( 2812670 1693710 ) M1M2_PR ;
- read_data_from_mem\[113\] ( core0 data_from_mem[113] ) ( chip_controller rd_data_out[113] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2665260 0 ) ( 608350 * )
NEW met2 ( 608350 2663730 ) ( * 2665260 )
NEW met1 ( 1348950 1696430 ) ( * 1696770 )
NEW met2 ( 1348950 1696770 ) ( * 2663730 )
NEW met3 ( 2799100 1607180 0 ) ( 2808990 * )
NEW met2 ( 2808990 1607180 ) ( * 1696430 )
NEW met1 ( 608350 2663730 ) ( 1348950 * )
NEW met1 ( 1348950 1696430 ) ( 2808990 * )
NEW met2 ( 608350 2665260 ) M2M3_PR_M
NEW met1 ( 608350 2663730 ) M1M2_PR
NEW met1 ( 1348950 1696770 ) M1M2_PR
NEW met1 ( 1348950 2663730 ) M1M2_PR
NEW met2 ( 2808990 1607180 ) M2M3_PR_M
NEW met1 ( 2808990 1696430 ) M1M2_PR ;
- read_data_from_mem\[114\] ( core0 data_from_mem[114] ) ( chip_controller rd_data_out[114] ) + USE SIGNAL
+ ROUTED met2 ( 94070 1784150 ) ( * 2663730 )
NEW met2 ( 187910 2663730 ) ( * 2668660 )
NEW met3 ( 187910 2668660 ) ( 201020 * )
NEW met3 ( 201020 2668660 ) ( * 2669340 0 )
NEW met2 ( 2701810 1699660 ) ( 2704110 * 0 )
NEW met2 ( 2701810 1699660 ) ( * 1784150 )
NEW met1 ( 94070 2663730 ) ( 187910 * )
NEW met1 ( 94070 1784150 ) ( 2701810 * )
NEW met1 ( 94070 1784150 ) M1M2_PR
NEW met1 ( 94070 2663730 ) M1M2_PR
NEW met1 ( 187910 2663730 ) M1M2_PR
NEW met2 ( 187910 2668660 ) M2M3_PR_M
NEW met1 ( 2701810 1784150 ) M1M2_PR ;
- read_data_from_mem\[115\] ( core0 data_from_mem[115] ) ( chip_controller rd_data_out[115] ) + USE SIGNAL
+ ROUTED met2 ( 102810 1694390 ) ( * 2670530 )
NEW met2 ( 189750 2670530 ) ( * 2670700 )
NEW met3 ( 189750 2670700 ) ( 201020 * )
NEW met3 ( 201020 2670700 ) ( * 2671380 0 )
NEW met3 ( 2799100 1628260 0 ) ( 2808530 * )
NEW met2 ( 2808530 1628260 ) ( * 1694390 )
NEW met1 ( 102810 2670530 ) ( 189750 * )
NEW met1 ( 102810 1694390 ) ( 2808530 * )
NEW met1 ( 102810 1694390 ) M1M2_PR
NEW met1 ( 102810 2670530 ) M1M2_PR
NEW met1 ( 189750 2670530 ) M1M2_PR
NEW met2 ( 189750 2670700 ) M2M3_PR_M
NEW met2 ( 2808530 1628260 ) M2M3_PR_M
NEW met1 ( 2808530 1694390 ) M1M2_PR ;
- read_data_from_mem\[116\] ( core0 data_from_mem[116] ) ( chip_controller rd_data_out[116] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1560940 ) ( * 1566210 )
NEW met1 ( 578450 1566210 ) ( 1283630 * )
NEW met3 ( 1283630 1560940 ) ( 1300420 * 0 )
NEW met1 ( 573850 2285310 ) ( 578450 * )
NEW met2 ( 573850 2285310 ) ( * 2300100 0 )
NEW met2 ( 578450 1566210 ) ( * 2285310 )
NEW met1 ( 578450 1566210 ) M1M2_PR
NEW met1 ( 1283630 1566210 ) M1M2_PR
NEW met2 ( 1283630 1560940 ) M2M3_PR_M
NEW met1 ( 578450 2285310 ) M1M2_PR
NEW met1 ( 573850 2285310 ) M1M2_PR ;
- read_data_from_mem\[117\] ( core0 data_from_mem[117] ) ( chip_controller rd_data_out[117] ) + USE SIGNAL
+ ROUTED met2 ( 2705950 192100 ) ( * 200260 0 )
NEW met3 ( 191590 2678860 ) ( 200100 * )
NEW met3 ( 200100 2678180 0 ) ( * 2678860 )
NEW met2 ( 191590 2678860 ) ( * 2733260 )
NEW met2 ( 956110 192100 ) ( * 2733260 )
NEW met3 ( 191590 2733260 ) ( 956110 * )
NEW met3 ( 956110 192100 ) ( 2705950 * )
NEW met2 ( 191590 2733260 ) M2M3_PR_M
NEW met2 ( 956110 192100 ) M2M3_PR_M
NEW met2 ( 956110 2733260 ) M2M3_PR_M
NEW met2 ( 2705950 192100 ) M2M3_PR_M
NEW met2 ( 191590 2678860 ) M2M3_PR_M ;
- read_data_from_mem\[118\] ( core0 data_from_mem[118] ) ( chip_controller rd_data_out[118] ) + USE SIGNAL
+ ROUTED met2 ( 2726650 191420 ) ( * 200260 0 )
NEW met3 ( 188370 2682940 ) ( 200100 * )
NEW met3 ( 200100 2682260 0 ) ( * 2682940 )
NEW met2 ( 188370 2682940 ) ( * 2732580 )
NEW met2 ( 1073410 191420 ) ( * 2732580 )
NEW met3 ( 188370 2732580 ) ( 1073410 * )
NEW met3 ( 1073410 191420 ) ( 2726650 * )
NEW met2 ( 188370 2732580 ) M2M3_PR_M
NEW met2 ( 1073410 191420 ) M2M3_PR_M
NEW met2 ( 1073410 2732580 ) M2M3_PR_M
NEW met2 ( 2726650 191420 ) M2M3_PR_M
NEW met2 ( 188370 2682940 ) M2M3_PR_M ;
- read_data_from_mem\[119\] ( core0 data_from_mem[119] ) ( chip_controller rd_data_out[119] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2683620 0 ) ( 608810 * )
NEW met2 ( 608810 2677330 ) ( * 2683620 )
NEW met2 ( 2747810 194820 ) ( * 200260 0 )
NEW met3 ( 1032470 194820 ) ( 2747810 * )
NEW met1 ( 608810 2677330 ) ( 1032470 * )
NEW met2 ( 1032470 194820 ) ( * 2677330 )
NEW met2 ( 608810 2683620 ) M2M3_PR_M
NEW met1 ( 608810 2677330 ) M1M2_PR
NEW met2 ( 1032470 194820 ) M2M3_PR_M
NEW met2 ( 2747810 194820 ) M2M3_PR_M
NEW met1 ( 1032470 2677330 ) M1M2_PR ;
- read_data_from_mem\[11\] ( core0 data_from_mem[11] ) ( chip_controller rd_data_out[11] ) + USE SIGNAL
+ ROUTED met1 ( 186990 2328830 ) ( 187910 * )
NEW met2 ( 1283630 410380 ) ( * 413950 )
NEW met2 ( 187910 2304010 ) ( * 2328830 )
NEW met3 ( 186990 2376260 ) ( 201020 * )
NEW met3 ( 201020 2376260 ) ( * 2376940 0 )
NEW met2 ( 186990 2328830 ) ( * 2376260 )
NEW met1 ( 259670 413950 ) ( 1283630 * )
NEW met3 ( 1283630 410380 ) ( 1300420 * 0 )
NEW li1 ( 259670 2300270 ) ( * 2304010 )
NEW met1 ( 187910 2304010 ) ( 259670 * )
NEW met2 ( 259670 413950 ) ( * 2300270 )
NEW met1 ( 186990 2328830 ) M1M2_PR
NEW met1 ( 187910 2328830 ) M1M2_PR
NEW met1 ( 1283630 413950 ) M1M2_PR
NEW met2 ( 1283630 410380 ) M2M3_PR_M
NEW met1 ( 187910 2304010 ) M1M2_PR
NEW met2 ( 186990 2376260 ) M2M3_PR_M
NEW met1 ( 259670 413950 ) M1M2_PR
NEW li1 ( 259670 2304010 ) L1M1_PR_MR
NEW li1 ( 259670 2300270 ) L1M1_PR_MR
NEW met1 ( 259670 2300270 ) M1M2_PR
NEW met1 ( 259670 2300270 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[120\] ( core0 data_from_mem[120] ) ( chip_controller rd_data_out[120] ) + USE SIGNAL
+ ROUTED met3 ( 187450 2688380 ) ( 200100 * )
NEW met3 ( 200100 2687700 0 ) ( * 2688380 )
NEW met2 ( 187450 2688380 ) ( * 2735810 )
NEW met2 ( 977270 1621290 ) ( * 2735810 )
NEW met2 ( 1283630 1619420 ) ( * 1621290 )
NEW met1 ( 187450 2735810 ) ( 977270 * )
NEW met1 ( 977270 1621290 ) ( 1283630 * )
NEW met3 ( 1283630 1619420 ) ( 1300420 * 0 )
NEW met1 ( 187450 2735810 ) M1M2_PR
NEW met1 ( 977270 2735810 ) M1M2_PR
NEW met2 ( 187450 2688380 ) M2M3_PR_M
NEW met1 ( 977270 1621290 ) M1M2_PR
NEW met1 ( 1283630 1621290 ) M1M2_PR
NEW met2 ( 1283630 1619420 ) M2M3_PR_M ;
- read_data_from_mem\[121\] ( core0 data_from_mem[121] ) ( chip_controller rd_data_out[121] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1633700 ) ( * 1635230 )
NEW met3 ( 186990 2689740 ) ( 200100 * )
NEW met3 ( 200100 2689060 0 ) ( * 2689740 )
NEW met2 ( 186990 2689740 ) ( * 2735470 )
NEW met1 ( 186990 2735470 ) ( 1004410 * )
NEW met1 ( 1004410 1635230 ) ( 1283630 * )
NEW met3 ( 1283630 1633700 ) ( 1300420 * 0 )
NEW met2 ( 1004410 1635230 ) ( * 2735470 )
NEW met1 ( 186990 2735470 ) M1M2_PR
NEW met1 ( 1283630 1635230 ) M1M2_PR
NEW met2 ( 1283630 1633700 ) M2M3_PR_M
NEW met2 ( 186990 2689740 ) M2M3_PR_M
NEW met1 ( 1004410 1635230 ) M1M2_PR
NEW met1 ( 1004410 2735470 ) M1M2_PR ;
- read_data_from_mem\[122\] ( core0 data_from_mem[122] ) ( chip_controller rd_data_out[122] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1648660 ) ( * 1648830 )
NEW met1 ( 592710 1648830 ) ( 1283630 * )
NEW met3 ( 1283630 1648660 ) ( 1300420 * 0 )
NEW met1 ( 587190 2288370 ) ( 592710 * )
NEW met2 ( 587190 2288370 ) ( * 2300100 0 )
NEW met2 ( 592710 1648830 ) ( * 2288370 )
NEW met1 ( 592710 1648830 ) M1M2_PR
NEW met1 ( 1283630 1648830 ) M1M2_PR
NEW met2 ( 1283630 1648660 ) M2M3_PR_M
NEW met1 ( 592710 2288370 ) M1M2_PR
NEW met1 ( 587190 2288370 ) M1M2_PR ;
- read_data_from_mem\[123\] ( core0 data_from_mem[123] ) ( chip_controller rd_data_out[123] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2691780 0 ) ( 607430 * )
NEW met2 ( 607430 2691780 ) ( * 2692290 )
NEW met1 ( 607430 2692290 ) ( 1321350 * )
NEW met2 ( 1321350 1723290 ) ( * 2692290 )
NEW met2 ( 2748270 1699660 0 ) ( * 1723290 )
NEW met1 ( 1321350 1723290 ) ( 2748270 * )
NEW met2 ( 607430 2691780 ) M2M3_PR_M
NEW met1 ( 607430 2692290 ) M1M2_PR
NEW met1 ( 1321350 2692290 ) M1M2_PR
NEW met1 ( 1321350 1723290 ) M1M2_PR
NEW met1 ( 2748270 1723290 ) M1M2_PR ;
- read_data_from_mem\[124\] ( core0 data_from_mem[124] ) ( chip_controller rd_data_out[124] ) + USE SIGNAL
+ ROUTED met1 ( 1404150 1735870 ) ( 2762990 * )
NEW met2 ( 1404150 1735870 ) ( * 2288370 )
NEW met2 ( 2762990 1699660 0 ) ( * 1735870 )
NEW li1 ( 593170 2288370 ) ( * 2291090 )
NEW met1 ( 591790 2291090 ) ( 593170 * )
NEW met2 ( 591790 2291090 ) ( * 2300100 )
NEW met2 ( 590870 2300100 0 ) ( 591790 * )
NEW met1 ( 593170 2288370 ) ( 1404150 * )
NEW met1 ( 1404150 1735870 ) M1M2_PR
NEW met1 ( 2762990 1735870 ) M1M2_PR
NEW met1 ( 1404150 2288370 ) M1M2_PR
NEW li1 ( 593170 2288370 ) L1M1_PR_MR
NEW li1 ( 593170 2291090 ) L1M1_PR_MR
NEW met1 ( 591790 2291090 ) M1M2_PR ;
- read_data_from_mem\[125\] ( core0 data_from_mem[125] ) ( chip_controller rd_data_out[125] ) + USE SIGNAL
+ ROUTED met2 ( 600530 2692630 ) ( * 2697220 )
NEW met2 ( 600070 2697220 ) ( 600530 * )
NEW met2 ( 600070 2697220 ) ( * 2704020 )
NEW met3 ( 595470 2704020 ) ( 600070 * )
NEW met2 ( 595470 2699260 ) ( * 2704020 )
NEW met2 ( 594090 2699260 0 ) ( 595470 * )
NEW met2 ( 1283630 1662940 ) ( * 1669570 )
NEW met2 ( 880670 1669570 ) ( * 2692630 )
NEW met1 ( 600530 2692630 ) ( 880670 * )
NEW met1 ( 880670 1669570 ) ( 1283630 * )
NEW met3 ( 1283630 1662940 ) ( 1300420 * 0 )
NEW met1 ( 600530 2692630 ) M1M2_PR
NEW met2 ( 600070 2704020 ) M2M3_PR_M
NEW met2 ( 595470 2704020 ) M2M3_PR_M
NEW met1 ( 880670 1669570 ) M1M2_PR
NEW met1 ( 880670 2692630 ) M1M2_PR
NEW met1 ( 1283630 1669570 ) M1M2_PR
NEW met2 ( 1283630 1662940 ) M2M3_PR_M ;
- read_data_from_mem\[126\] ( core0 data_from_mem[126] ) ( chip_controller rd_data_out[126] ) + USE SIGNAL
+ ROUTED met2 ( 599150 1770890 ) ( * 2256300 )
NEW met2 ( 598690 2256300 ) ( 599150 * )
NEW met2 ( 598690 2256300 ) ( * 2300100 )
NEW met2 ( 597310 2300100 0 ) ( 598690 * )
NEW met2 ( 2790130 1699660 ) ( 2792430 * 0 )
NEW met2 ( 2790130 1699660 ) ( * 1770890 )
NEW met1 ( 599150 1770890 ) ( 2790130 * )
NEW met1 ( 599150 1770890 ) M1M2_PR
NEW met1 ( 2790130 1770890 ) M1M2_PR ;
- read_data_from_mem\[127\] ( core0 data_from_mem[127] ) ( chip_controller rd_data_out[127] ) + USE SIGNAL
+ ROUTED met2 ( 599150 2300100 0 ) ( 599610 * )
NEW met2 ( 599610 1683510 ) ( * 2300100 )
NEW met2 ( 1283630 1677900 ) ( * 1683510 )
NEW met1 ( 599610 1683510 ) ( 1283630 * )
NEW met3 ( 1283630 1677900 ) ( 1300420 * 0 )
NEW met1 ( 599610 1683510 ) M1M2_PR
NEW met1 ( 1283630 1683510 ) M1M2_PR
NEW met2 ( 1283630 1677900 ) M2M3_PR_M ;
- read_data_from_mem\[12\] ( core0 data_from_mem[12] ) ( chip_controller rd_data_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 309350 2300100 0 ) ( 310270 * )
NEW met2 ( 310270 1728390 ) ( * 2300100 )
NEW met3 ( 2799100 538900 0 ) ( 2809450 * )
NEW met2 ( 2809450 538900 ) ( * 541450 )
NEW met1 ( 310270 1728390 ) ( 2843490 * )
NEW met1 ( 2809450 541450 ) ( 2843490 * )
NEW met2 ( 2843490 541450 ) ( * 1728390 )
NEW met1 ( 310270 1728390 ) M1M2_PR
NEW met2 ( 2809450 538900 ) M2M3_PR_M
NEW met1 ( 2809450 541450 ) M1M2_PR
NEW met1 ( 2843490 1728390 ) M1M2_PR
NEW met1 ( 2843490 541450 ) M1M2_PR ;
- read_data_from_mem\[13\] ( core0 data_from_mem[13] ) ( chip_controller rd_data_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 278070 2699940 ) ( 278530 * 0 )
NEW met2 ( 278070 2699940 ) ( * 2732750 )
NEW met2 ( 1473150 1711730 ) ( * 2732750 )
NEW met1 ( 278070 2732750 ) ( 1473150 * )
NEW met2 ( 1689350 1699660 0 ) ( * 1711730 )
NEW met1 ( 1473150 1711730 ) ( 1689350 * )
NEW met1 ( 278070 2732750 ) M1M2_PR
NEW met1 ( 1473150 2732750 ) M1M2_PR
NEW met1 ( 1473150 1711730 ) M1M2_PR
NEW met1 ( 1689350 1711730 ) M1M2_PR ;
- read_data_from_mem\[14\] ( core0 data_from_mem[14] ) ( chip_controller rd_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 115230 185130 ) ( * 2394450 )
NEW met2 ( 188830 2394450 ) ( * 2395980 )
NEW met3 ( 188830 2395980 ) ( 201020 * )
NEW met3 ( 201020 2395980 ) ( * 2396660 0 )
NEW met2 ( 1580790 185130 ) ( * 200260 0 )
NEW met1 ( 115230 185130 ) ( 1580790 * )
NEW met1 ( 115230 2394450 ) ( 188830 * )
NEW met1 ( 115230 185130 ) M1M2_PR
NEW met1 ( 115230 2394450 ) M1M2_PR
NEW met1 ( 188830 2394450 ) M1M2_PR
NEW met2 ( 188830 2395980 ) M2M3_PR_M
NEW met1 ( 1580790 185130 ) M1M2_PR ;
- read_data_from_mem\[15\] ( core0 data_from_mem[15] ) ( chip_controller rd_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2401250 ) ( * 2403460 )
NEW met3 ( 187910 2403460 ) ( 201020 * )
NEW met3 ( 201020 2403460 ) ( * 2404140 0 )
NEW met2 ( 101890 1786190 ) ( * 2401250 )
NEW met1 ( 101890 2401250 ) ( 187910 * )
NEW met2 ( 1790090 1699660 ) ( 1792390 * 0 )
NEW met1 ( 101890 1786190 ) ( 1790090 * )
NEW met2 ( 1790090 1699660 ) ( * 1786190 )
NEW met1 ( 101890 2401250 ) M1M2_PR
NEW met1 ( 187910 2401250 ) M1M2_PR
NEW met2 ( 187910 2403460 ) M2M3_PR_M
NEW met1 ( 101890 1786190 ) M1M2_PR
NEW met1 ( 1790090 1786190 ) M1M2_PR ;
- read_data_from_mem\[16\] ( core0 data_from_mem[16] ) ( chip_controller rd_data_out[16] ) + USE SIGNAL
+ ROUTED met3 ( 188140 2408900 ) ( 201020 * )
NEW met3 ( 201020 2408900 ) ( * 2409580 0 )
NEW met3 ( 2799100 600780 0 ) ( 2811750 * )
NEW met2 ( 2811750 600780 ) ( * 600950 )
NEW met1 ( 2811750 600950 ) ( 2831070 * )
NEW met4 ( 188140 1804380 ) ( * 2408900 )
NEW met2 ( 2831070 600950 ) ( * 1804380 )
NEW met3 ( 188140 1804380 ) ( 2831070 * )
NEW met3 ( 188140 2408900 ) M3M4_PR_M
NEW met2 ( 2811750 600780 ) M2M3_PR_M
NEW met1 ( 2811750 600950 ) M1M2_PR
NEW met1 ( 2831070 600950 ) M1M2_PR
NEW met3 ( 188140 1804380 ) M3M4_PR_M
NEW met2 ( 2831070 1804380 ) M2M3_PR_M ;
- read_data_from_mem\[17\] ( core0 data_from_mem[17] ) ( chip_controller rd_data_out[17] ) + USE SIGNAL
+ ROUTED met3 ( 192050 2410940 ) ( 201020 * )
NEW met3 ( 201020 2410940 ) ( * 2411620 0 )
NEW met2 ( 192050 2302650 ) ( * 2410940 )
NEW li1 ( 307050 2299590 ) ( * 2302650 )
NEW met2 ( 307050 544850 ) ( * 2299590 )
NEW met2 ( 1283630 541620 ) ( * 544850 )
NEW met1 ( 192050 2302650 ) ( 307050 * )
NEW met1 ( 307050 544850 ) ( 1283630 * )
NEW met3 ( 1283630 541620 ) ( 1300420 * 0 )
NEW met2 ( 192050 2410940 ) M2M3_PR_M
NEW met1 ( 192050 2302650 ) M1M2_PR
NEW met1 ( 307050 544850 ) M1M2_PR
NEW li1 ( 307050 2302650 ) L1M1_PR_MR
NEW li1 ( 307050 2299590 ) L1M1_PR_MR
NEW met1 ( 307050 2299590 ) M1M2_PR
NEW met1 ( 1283630 544850 ) M1M2_PR
NEW met2 ( 1283630 541620 ) M2M3_PR_M
NEW met1 ( 307050 2299590 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[18\] ( core0 data_from_mem[18] ) ( chip_controller rd_data_out[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2424540 0 ) ( 607890 * )
NEW met2 ( 607890 2421990 ) ( * 2424540 )
NEW met2 ( 1376550 1776330 ) ( * 2421990 )
NEW met2 ( 1835630 1699660 ) ( 1836090 * 0 )
NEW met2 ( 1835630 1699660 ) ( * 1776330 )
NEW met1 ( 607890 2421990 ) ( 1376550 * )
NEW met1 ( 1376550 1776330 ) ( 1835630 * )
NEW met2 ( 607890 2424540 ) M2M3_PR_M
NEW met1 ( 607890 2421990 ) M1M2_PR
NEW met1 ( 1376550 2421990 ) M1M2_PR
NEW met1 ( 1376550 1776330 ) M1M2_PR
NEW met1 ( 1835630 1776330 ) M1M2_PR ;
- read_data_from_mem\[19\] ( core0 data_from_mem[19] ) ( chip_controller rd_data_out[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2434060 0 ) ( 607430 * )
NEW met2 ( 607430 2432530 ) ( * 2434060 )
NEW met2 ( 1283630 614380 ) ( * 620670 )
NEW met1 ( 607430 2432530 ) ( 625370 * )
NEW met2 ( 625370 620670 ) ( * 2432530 )
NEW met1 ( 625370 620670 ) ( 1283630 * )
NEW met3 ( 1283630 614380 ) ( 1300420 * 0 )
NEW met2 ( 607430 2434060 ) M2M3_PR_M
NEW met1 ( 607430 2432530 ) M1M2_PR
NEW met1 ( 1283630 620670 ) M1M2_PR
NEW met2 ( 1283630 614380 ) M2M3_PR_M
NEW met1 ( 625370 2432530 ) M1M2_PR
NEW met1 ( 625370 620670 ) M1M2_PR ;
- read_data_from_mem\[1\] ( core0 data_from_mem[1] ) ( chip_controller rd_data_out[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2318460 0 ) ( 607430 * )
NEW met2 ( 607430 2318460 ) ( * 2318630 )
NEW met1 ( 607430 2318630 ) ( 1407830 * )
NEW met2 ( 1407830 1699660 ) ( 1409670 * 0 )
NEW met2 ( 1407830 1699660 ) ( * 2318630 )
NEW met2 ( 607430 2318460 ) M2M3_PR_M
NEW met1 ( 607430 2318630 ) M1M2_PR
NEW met1 ( 1407830 2318630 ) M1M2_PR ;
- read_data_from_mem\[20\] ( core0 data_from_mem[20] ) ( chip_controller rd_data_out[20] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2440860 0 ) ( 607430 * )
NEW met2 ( 607430 2440690 ) ( * 2440860 )
NEW met2 ( 1283630 643620 ) ( * 648550 )
NEW met1 ( 607430 2440690 ) ( 625830 * )
NEW met2 ( 625830 648550 ) ( * 2440690 )
NEW met1 ( 625830 648550 ) ( 1283630 * )
NEW met3 ( 1283630 643620 ) ( 1300420 * 0 )
NEW met2 ( 607430 2440860 ) M2M3_PR_M
NEW met1 ( 607430 2440690 ) M1M2_PR
NEW met1 ( 1283630 648550 ) M1M2_PR
NEW met2 ( 1283630 643620 ) M2M3_PR_M
NEW met1 ( 625830 2440690 ) M1M2_PR
NEW met1 ( 625830 648550 ) M1M2_PR ;
- read_data_from_mem\[21\] ( core0 data_from_mem[21] ) ( chip_controller rd_data_out[21] ) + USE SIGNAL
+ ROUTED met3 ( 189980 2435420 ) ( 190210 * )
NEW met3 ( 189980 2435420 ) ( * 2438140 )
NEW met3 ( 189980 2438140 ) ( 201020 * )
NEW met3 ( 201020 2438140 ) ( * 2438820 0 )
NEW met2 ( 190210 1783810 ) ( * 2435420 )
NEW met3 ( 2799100 723860 0 ) ( 2814970 * )
NEW met2 ( 2814970 723860 ) ( * 724370 )
NEW met1 ( 2814970 724370 ) ( 2857290 * )
NEW met1 ( 190210 1783810 ) ( 2857290 * )
NEW met2 ( 2857290 724370 ) ( * 1783810 )
NEW met2 ( 190210 2435420 ) M2M3_PR_M
NEW met1 ( 190210 1783810 ) M1M2_PR
NEW met2 ( 2814970 723860 ) M2M3_PR_M
NEW met1 ( 2814970 724370 ) M1M2_PR
NEW met1 ( 2857290 724370 ) M1M2_PR
NEW met1 ( 2857290 1783810 ) M1M2_PR ;
- read_data_from_mem\[22\] ( core0 data_from_mem[22] ) ( chip_controller rd_data_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 702100 ) ( * 703630 )
NEW met3 ( 599380 2452420 0 ) ( 607430 * )
NEW met2 ( 607430 2452250 ) ( * 2452420 )
NEW met1 ( 626290 703630 ) ( 1283630 * )
NEW met3 ( 1283630 702100 ) ( 1300420 * 0 )
NEW met1 ( 607430 2452250 ) ( 626290 * )
NEW met2 ( 626290 703630 ) ( * 2452250 )
NEW met1 ( 1283630 703630 ) M1M2_PR
NEW met2 ( 1283630 702100 ) M2M3_PR_M
NEW met2 ( 607430 2452420 ) M2M3_PR_M
NEW met1 ( 607430 2452250 ) M1M2_PR
NEW met1 ( 626290 703630 ) M1M2_PR
NEW met1 ( 626290 2452250 ) M1M2_PR ;
- read_data_from_mem\[23\] ( core0 data_from_mem[23] ) ( chip_controller rd_data_out[23] ) + USE SIGNAL
+ ROUTED met2 ( 95910 191930 ) ( * 2450210 )
NEW met2 ( 187910 2450210 ) ( * 2452420 )
NEW met3 ( 187910 2452420 ) ( 201020 * )
NEW met3 ( 201020 2452420 ) ( * 2453100 0 )
NEW met2 ( 1705910 191930 ) ( * 200260 0 )
NEW met1 ( 95910 191930 ) ( 1705910 * )
NEW met1 ( 95910 2450210 ) ( 187910 * )
NEW met1 ( 95910 191930 ) M1M2_PR
NEW met1 ( 95910 2450210 ) M1M2_PR
NEW met1 ( 187910 2450210 ) M1M2_PR
NEW met2 ( 187910 2452420 ) M2M3_PR_M
NEW met1 ( 1705910 191930 ) M1M2_PR ;
- read_data_from_mem\[24\] ( core0 data_from_mem[24] ) ( chip_controller rd_data_out[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2460580 0 ) ( 607430 * )
NEW met2 ( 607430 2458370 ) ( * 2460580 )
NEW met2 ( 1283630 731170 ) ( * 731340 )
NEW met1 ( 607430 2458370 ) ( 626750 * )
NEW met2 ( 626750 731170 ) ( * 2458370 )
NEW met1 ( 626750 731170 ) ( 1283630 * )
NEW met3 ( 1283630 731340 ) ( 1300420 * 0 )
NEW met2 ( 607430 2460580 ) M2M3_PR_M
NEW met1 ( 607430 2458370 ) M1M2_PR
NEW met1 ( 1283630 731170 ) M1M2_PR
NEW met2 ( 1283630 731340 ) M2M3_PR_M
NEW met1 ( 626750 731170 ) M1M2_PR
NEW met1 ( 626750 2458370 ) M1M2_PR ;
- read_data_from_mem\[25\] ( core0 data_from_mem[25] ) ( chip_controller rd_data_out[25] ) + USE SIGNAL
+ ROUTED met2 ( 107870 1779390 ) ( * 2456670 )
NEW met2 ( 190670 2456670 ) ( * 2457860 )
NEW met3 ( 190670 2457860 ) ( 201020 * )
NEW met3 ( 201020 2457860 ) ( * 2458540 0 )
NEW met1 ( 107870 2456670 ) ( 190670 * )
NEW met2 ( 1893130 1699660 ) ( 1894970 * 0 )
NEW met1 ( 107870 1779390 ) ( 1893130 * )
NEW met2 ( 1893130 1699660 ) ( * 1779390 )
NEW met1 ( 107870 1779390 ) M1M2_PR
NEW met1 ( 107870 2456670 ) M1M2_PR
NEW met1 ( 190670 2456670 ) M1M2_PR
NEW met2 ( 190670 2457860 ) M2M3_PR_M
NEW met1 ( 1893130 1779390 ) M1M2_PR ;
- read_data_from_mem\[26\] ( core0 data_from_mem[26] ) ( chip_controller rd_data_out[26] ) + USE SIGNAL
+ ROUTED met1 ( 188830 2344130 ) ( 192510 * )
NEW met2 ( 188830 2328660 ) ( * 2344130 )
NEW met3 ( 188830 2328660 ) ( 199180 * )
NEW met4 ( 199180 2327300 ) ( * 2328660 )
NEW met4 ( 199180 2327300 ) ( 203780 * )
NEW met4 ( 203780 759220 ) ( * 2327300 )
NEW met3 ( 192510 2462620 ) ( 200100 * )
NEW met3 ( 200100 2461940 0 ) ( * 2462620 )
NEW met2 ( 192510 2344130 ) ( * 2462620 )
NEW met3 ( 1300420 759220 ) ( * 759900 0 )
NEW met3 ( 203780 759220 ) ( 1300420 * )
NEW met3 ( 203780 759220 ) M3M4_PR_M
NEW met1 ( 192510 2344130 ) M1M2_PR
NEW met1 ( 188830 2344130 ) M1M2_PR
NEW met2 ( 188830 2328660 ) M2M3_PR_M
NEW met3 ( 199180 2328660 ) M3M4_PR_M
NEW met2 ( 192510 2462620 ) M2M3_PR_M ;
- read_data_from_mem\[27\] ( core0 data_from_mem[27] ) ( chip_controller rd_data_out[27] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 789140 ) ( * 793390 )
NEW met2 ( 88090 793390 ) ( * 2464150 )
NEW met2 ( 190670 2464150 ) ( * 2465340 )
NEW met3 ( 190670 2465340 ) ( 201020 * )
NEW met3 ( 201020 2465340 ) ( * 2466020 0 )
NEW met1 ( 88090 793390 ) ( 1283630 * )
NEW met3 ( 1283630 789140 ) ( 1300420 * 0 )
NEW met1 ( 88090 2464150 ) ( 190670 * )
NEW met1 ( 88090 793390 ) M1M2_PR
NEW met1 ( 1283630 793390 ) M1M2_PR
NEW met2 ( 1283630 789140 ) M2M3_PR_M
NEW met1 ( 88090 2464150 ) M1M2_PR
NEW met1 ( 190670 2464150 ) M1M2_PR
NEW met2 ( 190670 2465340 ) M2M3_PR_M ;
- read_data_from_mem\[28\] ( core0 data_from_mem[28] ) ( chip_controller rd_data_out[28] ) + USE SIGNAL
+ ROUTED met3 ( 195270 2473500 ) ( 200100 * )
NEW met3 ( 200100 2472820 0 ) ( * 2473500 )
NEW met2 ( 195270 1779730 ) ( * 2473500 )
NEW met2 ( 1939130 1699660 0 ) ( * 1779730 )
NEW met1 ( 195270 1779730 ) ( 1939130 * )
NEW met1 ( 195270 1779730 ) M1M2_PR
NEW met2 ( 195270 2473500 ) M2M3_PR_M
NEW met1 ( 1939130 1779730 ) M1M2_PR ;
- read_data_from_mem\[29\] ( core0 data_from_mem[29] ) ( chip_controller rd_data_out[29] ) + USE SIGNAL
+ ROUTED met2 ( 381570 2699260 0 ) ( 382490 * )
NEW met2 ( 382490 2699260 ) ( * 2736490 )
NEW met2 ( 984170 188870 ) ( * 2736490 )
NEW met1 ( 382490 2736490 ) ( 984170 * )
NEW met2 ( 1872430 188870 ) ( * 200260 0 )
NEW met1 ( 984170 188870 ) ( 1872430 * )
NEW met1 ( 382490 2736490 ) M1M2_PR
NEW met1 ( 984170 188870 ) M1M2_PR
NEW met1 ( 984170 2736490 ) M1M2_PR
NEW met1 ( 1872430 188870 ) M1M2_PR ;
- read_data_from_mem\[2\] ( core0 data_from_mem[2] ) ( chip_controller rd_data_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 383410 199750 ) ( * 2288710 )
NEW met2 ( 1329630 199750 ) ( * 200260 )
NEW met2 ( 1329630 200260 ) ( 1331010 * 0 )
NEW met1 ( 383410 199750 ) ( 1329630 * )
NEW met2 ( 242650 2288710 ) ( * 2300100 0 )
NEW met1 ( 242650 2288710 ) ( 383410 * )
NEW met1 ( 383410 199750 ) M1M2_PR
NEW met1 ( 383410 2288710 ) M1M2_PR
NEW met1 ( 1329630 199750 ) M1M2_PR
NEW met1 ( 242650 2288710 ) M1M2_PR ;
- read_data_from_mem\[30\] ( core0 data_from_mem[30] ) ( chip_controller rd_data_out[30] ) + USE SIGNAL
+ ROUTED met2 ( 398130 2300100 0 ) ( 399050 * )
NEW met2 ( 399050 194650 ) ( * 2300100 )
NEW met2 ( 1893590 194650 ) ( * 200260 0 )
NEW met1 ( 399050 194650 ) ( 1893590 * )
NEW met1 ( 399050 194650 ) M1M2_PR
NEW met1 ( 1893590 194650 ) M1M2_PR ;
- read_data_from_mem\[31\] ( core0 data_from_mem[31] ) ( chip_controller rd_data_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 406410 848470 ) ( * 2256300 )
NEW met2 ( 405950 2256300 ) ( 406410 * )
NEW met2 ( 405950 2256300 ) ( * 2300100 )
NEW met2 ( 405030 2300100 0 ) ( 405950 * )
NEW met2 ( 1283630 847620 ) ( * 848470 )
NEW met1 ( 406410 848470 ) ( 1283630 * )
NEW met3 ( 1283630 847620 ) ( 1300420 * 0 )
NEW met1 ( 406410 848470 ) M1M2_PR
NEW met1 ( 1283630 848470 ) M1M2_PR
NEW met2 ( 1283630 847620 ) M2M3_PR_M ;
- read_data_from_mem\[32\] ( core0 data_from_mem[32] ) ( chip_controller rd_data_out[32] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 861900 ) ( * 862410 )
NEW met2 ( 411470 2300100 0 ) ( 412850 * )
NEW met2 ( 412850 862410 ) ( * 2300100 )
NEW met1 ( 412850 862410 ) ( 1283630 * )
NEW met3 ( 1283630 861900 ) ( 1300420 * 0 )
NEW met1 ( 412850 862410 ) M1M2_PR
NEW met1 ( 1283630 862410 ) M1M2_PR
NEW met2 ( 1283630 861900 ) M2M3_PR_M ;
- read_data_from_mem\[33\] ( core0 data_from_mem[33] ) ( chip_controller rd_data_out[33] ) + USE SIGNAL
+ ROUTED met1 ( 189750 2346170 ) ( 192970 * )
NEW met2 ( 189750 2338180 ) ( * 2346170 )
NEW met3 ( 189750 2338180 ) ( 202860 * )
NEW met4 ( 202860 2337500 ) ( * 2338180 )
NEW met4 ( 202860 2337500 ) ( 204700 * )
NEW met4 ( 204700 876860 ) ( * 2337500 )
NEW met3 ( 192970 2491860 ) ( 200100 * )
NEW met3 ( 200100 2491180 0 ) ( * 2491860 )
NEW met2 ( 192970 2346170 ) ( * 2491860 )
NEW met3 ( 204700 876860 ) ( 1300420 * 0 )
NEW met3 ( 204700 876860 ) M3M4_PR_M
NEW met1 ( 192970 2346170 ) M1M2_PR
NEW met1 ( 189750 2346170 ) M1M2_PR
NEW met2 ( 189750 2338180 ) M2M3_PR_M
NEW met3 ( 202860 2338180 ) M3M4_PR_M
NEW met2 ( 192970 2491860 ) M2M3_PR_M ;
- read_data_from_mem\[34\] ( core0 data_from_mem[34] ) ( chip_controller rd_data_out[34] ) + USE SIGNAL
+ ROUTED met2 ( 399970 2700620 ) ( * 2737170 )
NEW met2 ( 1956150 189550 ) ( * 200260 0 )
NEW met2 ( 990610 189550 ) ( * 2737170 )
NEW met1 ( 399970 2737170 ) ( 990610 * )
NEW met1 ( 990610 189550 ) ( 1956150 * )
NEW met2 ( 400430 2699260 ) ( * 2700620 )
NEW met2 ( 399970 2699260 0 ) ( 400430 * )
NEW met2 ( 399970 2700620 ) ( 400430 * )
NEW met1 ( 399970 2737170 ) M1M2_PR
NEW met1 ( 990610 189550 ) M1M2_PR
NEW met1 ( 990610 2737170 ) M1M2_PR
NEW met1 ( 1956150 189550 ) M1M2_PR ;
- read_data_from_mem\[35\] ( core0 data_from_mem[35] ) ( chip_controller rd_data_out[35] ) + USE SIGNAL
+ ROUTED li1 ( 191130 2455310 ) ( * 2463130 )
NEW met1 ( 191130 2463130 ) ( 192510 * )
NEW met2 ( 192510 2463130 ) ( * 2495940 )
NEW met3 ( 192510 2495940 ) ( 201020 * )
NEW met3 ( 201020 2495940 ) ( * 2496620 0 )
NEW met2 ( 191130 1776670 ) ( * 2455310 )
NEW met3 ( 2799100 929220 0 ) ( 2814970 * )
NEW met2 ( 2814970 925310 ) ( * 929220 )
NEW met1 ( 2814970 925310 ) ( 2844410 * )
NEW met1 ( 191130 1776670 ) ( 2844410 * )
NEW met2 ( 2844410 925310 ) ( * 1776670 )
NEW met1 ( 191130 1776670 ) M1M2_PR
NEW li1 ( 191130 2455310 ) L1M1_PR_MR
NEW met1 ( 191130 2455310 ) M1M2_PR
NEW li1 ( 191130 2463130 ) L1M1_PR_MR
NEW met1 ( 192510 2463130 ) M1M2_PR
NEW met2 ( 192510 2495940 ) M2M3_PR_M
NEW met2 ( 2814970 929220 ) M2M3_PR_M
NEW met1 ( 2814970 925310 ) M1M2_PR
NEW met1 ( 2844410 925310 ) M1M2_PR
NEW met1 ( 2844410 1776670 ) M1M2_PR
NEW met1 ( 191130 2455310 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[36\] ( core0 data_from_mem[36] ) ( chip_controller rd_data_out[36] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2497810 ) ( * 2497980 )
NEW met3 ( 188830 2497980 ) ( 201020 * )
NEW met3 ( 201020 2497980 ) ( * 2498660 0 )
NEW met2 ( 2025610 1699660 ) ( 2027450 * 0 )
NEW met2 ( 2025610 1699660 ) ( * 1779050 )
NEW met1 ( 175490 2497810 ) ( 188830 * )
NEW met2 ( 175490 1779050 ) ( * 2497810 )
NEW met1 ( 175490 1779050 ) ( 2025610 * )
NEW met1 ( 188830 2497810 ) M1M2_PR
NEW met2 ( 188830 2497980 ) M2M3_PR_M
NEW met1 ( 2025610 1779050 ) M1M2_PR
NEW met1 ( 175490 2497810 ) M1M2_PR
NEW met1 ( 175490 1779050 ) M1M2_PR ;
- read_data_from_mem\[37\] ( core0 data_from_mem[37] ) ( chip_controller rd_data_out[37] ) + USE SIGNAL
+ ROUTED met2 ( 1445550 1713430 ) ( * 2734110 )
NEW met2 ( 2042170 1699660 0 ) ( * 1713430 )
NEW met1 ( 406870 2734110 ) ( 1445550 * )
NEW met1 ( 1445550 1713430 ) ( 2042170 * )
NEW met2 ( 406410 2699260 0 ) ( 406870 * )
NEW met2 ( 406870 2699260 ) ( * 2734110 )
NEW met1 ( 406870 2734110 ) M1M2_PR
NEW met1 ( 1445550 2734110 ) M1M2_PR
NEW met1 ( 1445550 1713430 ) M1M2_PR
NEW met1 ( 2042170 1713430 ) M1M2_PR ;
- read_data_from_mem\[38\] ( core0 data_from_mem[38] ) ( chip_controller rd_data_out[38] ) + USE SIGNAL
+ ROUTED met2 ( 191130 2498150 ) ( * 2503420 )
NEW met3 ( 191130 2503420 ) ( 201020 * )
NEW met3 ( 201020 2503420 ) ( * 2504100 0 )
NEW met2 ( 2056430 1699660 ) ( 2056890 * 0 )
NEW met2 ( 2056430 1699660 ) ( * 1803190 )
NEW met1 ( 140990 2498150 ) ( 191130 * )
NEW met2 ( 140990 1803870 ) ( * 2498150 )
NEW met1 ( 140990 1803870 ) ( 1966500 * )
NEW met1 ( 1966500 1803190 ) ( * 1803870 )
NEW met1 ( 1966500 1803190 ) ( 2056430 * )
NEW met1 ( 191130 2498150 ) M1M2_PR
NEW met2 ( 191130 2503420 ) M2M3_PR_M
NEW met1 ( 2056430 1803190 ) M1M2_PR
NEW met1 ( 140990 2498150 ) M1M2_PR
NEW met1 ( 140990 1803870 ) M1M2_PR ;
- read_data_from_mem\[39\] ( core0 data_from_mem[39] ) ( chip_controller rd_data_out[39] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2505460 0 ) ( 607430 * )
NEW met2 ( 607430 2505290 ) ( * 2505460 )
NEW met2 ( 1370110 1764770 ) ( * 2505290 )
NEW met1 ( 607430 2505290 ) ( 1370110 * )
NEW met1 ( 1370110 1764770 ) ( 2070230 * )
NEW met2 ( 2070230 1699660 ) ( 2071610 * 0 )
NEW met2 ( 2070230 1699660 ) ( * 1764770 )
NEW met2 ( 607430 2505460 ) M2M3_PR_M
NEW met1 ( 607430 2505290 ) M1M2_PR
NEW met1 ( 1370110 1764770 ) M1M2_PR
NEW met1 ( 1370110 2505290 ) M1M2_PR
NEW met1 ( 2070230 1764770 ) M1M2_PR ;
- read_data_from_mem\[3\] ( core0 data_from_mem[3] ) ( chip_controller rd_data_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 396750 199410 ) ( * 2288030 )
NEW met2 ( 1393570 199410 ) ( * 200260 0 )
NEW met1 ( 396750 199410 ) ( 1393570 * )
NEW met2 ( 245870 2288030 ) ( * 2300100 0 )
NEW met1 ( 245870 2288030 ) ( 396750 * )
NEW met1 ( 396750 199410 ) M1M2_PR
NEW met1 ( 396750 2288030 ) M1M2_PR
NEW met1 ( 1393570 199410 ) M1M2_PR
NEW met1 ( 245870 2288030 ) M1M2_PR ;
- read_data_from_mem\[40\] ( core0 data_from_mem[40] ) ( chip_controller rd_data_out[40] ) + USE SIGNAL
+ ROUTED met2 ( 486450 171870 ) ( * 2256300 )
NEW met2 ( 485990 2256300 ) ( * 2286670 )
NEW met2 ( 485990 2256300 ) ( 486450 * )
NEW met2 ( 1973630 200260 ) ( 1976850 * 0 )
NEW li1 ( 451490 2286670 ) ( * 2289730 )
NEW met1 ( 426650 2289730 ) ( 451490 * )
NEW met2 ( 426650 2289730 ) ( * 2300100 0 )
NEW met1 ( 451490 2286670 ) ( 485990 * )
NEW met1 ( 486450 171870 ) ( 1973630 * )
NEW met2 ( 1973630 171870 ) ( * 200260 )
NEW met1 ( 486450 171870 ) M1M2_PR
NEW met1 ( 485990 2286670 ) M1M2_PR
NEW li1 ( 451490 2286670 ) L1M1_PR_MR
NEW li1 ( 451490 2289730 ) L1M1_PR_MR
NEW met1 ( 426650 2289730 ) M1M2_PR
NEW met1 ( 1973630 171870 ) M1M2_PR ;
- read_data_from_mem\[41\] ( core0 data_from_mem[41] ) ( chip_controller rd_data_out[41] ) + USE SIGNAL
+ ROUTED met2 ( 190670 2512770 ) ( * 2514300 )
NEW met3 ( 190670 2514300 ) ( 201020 * )
NEW met3 ( 201020 2514300 ) ( * 2514980 0 )
NEW met2 ( 126270 1778710 ) ( * 2512770 )
NEW met1 ( 126270 2512770 ) ( 190670 * )
NEW met2 ( 2084490 1699660 ) ( 2086330 * 0 )
NEW met1 ( 126270 1778710 ) ( 2084490 * )
NEW met2 ( 2084490 1699660 ) ( * 1778710 )
NEW met1 ( 126270 2512770 ) M1M2_PR
NEW met1 ( 190670 2512770 ) M1M2_PR
NEW met2 ( 190670 2514300 ) M2M3_PR_M
NEW met1 ( 126270 1778710 ) M1M2_PR
NEW met1 ( 2084490 1778710 ) M1M2_PR ;
- read_data_from_mem\[42\] ( core0 data_from_mem[42] ) ( chip_controller rd_data_out[42] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1011500 0 ) ( 2810830 * )
NEW met2 ( 2810830 1011500 ) ( * 1696770 )
NEW met2 ( 1431750 1696770 ) ( * 2712690 )
NEW met1 ( 1431750 1696770 ) ( 2810830 * )
NEW met2 ( 414690 2699260 0 ) ( 415610 * )
NEW met2 ( 415610 2699260 ) ( * 2712690 )
NEW met1 ( 415610 2712690 ) ( 1431750 * )
NEW met2 ( 2810830 1011500 ) M2M3_PR_M
NEW met1 ( 2810830 1696770 ) M1M2_PR
NEW met1 ( 1431750 2712690 ) M1M2_PR
NEW met1 ( 1431750 1696770 ) M1M2_PR
NEW met1 ( 415610 2712690 ) M1M2_PR ;
- read_data_from_mem\[43\] ( core0 data_from_mem[43] ) ( chip_controller rd_data_out[43] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2513620 0 ) ( 613410 * )
NEW met2 ( 613410 1763070 ) ( * 2513620 )
NEW met3 ( 2799100 1031900 0 ) ( 2816350 * )
NEW met2 ( 2816350 1031900 ) ( * 1763070 )
NEW met1 ( 613410 1763070 ) ( 2816350 * )
NEW met1 ( 613410 1763070 ) M1M2_PR
NEW met2 ( 613410 2513620 ) M2M3_PR_M
NEW met1 ( 2816350 1763070 ) M1M2_PR
NEW met2 ( 2816350 1031900 ) M2M3_PR_M ;
- read_data_from_mem\[44\] ( core0 data_from_mem[44] ) ( chip_controller rd_data_out[44] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2514980 0 ) ( 613870 * )
NEW met2 ( 613870 2514300 ) ( * 2514980 )
NEW met2 ( 1466250 1765110 ) ( * 2511750 )
NEW met2 ( 2113930 1699660 ) ( 2115770 * 0 )
NEW met2 ( 2113930 1699660 ) ( * 1765110 )
NEW met2 ( 614330 2511750 ) ( * 2514300 )
NEW met2 ( 613870 2514300 ) ( 614330 * )
NEW met1 ( 614330 2511750 ) ( 1466250 * )
NEW met1 ( 1466250 1765110 ) ( 2113930 * )
NEW met2 ( 613870 2514980 ) M2M3_PR_M
NEW met1 ( 1466250 1765110 ) M1M2_PR
NEW met1 ( 1466250 2511750 ) M1M2_PR
NEW met1 ( 2113930 1765110 ) M1M2_PR
NEW met1 ( 614330 2511750 ) M1M2_PR ;
- read_data_from_mem\[45\] ( core0 data_from_mem[45] ) ( chip_controller rd_data_out[45] ) + USE SIGNAL
+ ROUTED met2 ( 190670 2526030 ) ( * 2527220 )
NEW met3 ( 190670 2527220 ) ( 201020 * )
NEW met3 ( 201020 2527220 ) ( * 2527900 0 )
NEW met2 ( 1288230 963900 ) ( * 2280550 )
NEW met1 ( 162150 2526030 ) ( 190670 * )
NEW met3 ( 1288230 963900 ) ( 1300420 * 0 )
NEW met2 ( 162150 2280550 ) ( * 2526030 )
NEW met1 ( 162150 2280550 ) ( 1288230 * )
NEW met1 ( 190670 2526030 ) M1M2_PR
NEW met2 ( 190670 2527220 ) M2M3_PR_M
NEW met2 ( 1288230 963900 ) M2M3_PR_M
NEW met1 ( 1288230 2280550 ) M1M2_PR
NEW met1 ( 162150 2526030 ) M1M2_PR
NEW met1 ( 162150 2280550 ) M1M2_PR ;
- read_data_from_mem\[46\] ( core0 data_from_mem[46] ) ( chip_controller rd_data_out[46] ) + USE SIGNAL
+ ROUTED met3 ( 191590 2529940 ) ( 200100 * )
NEW met3 ( 200100 2529260 0 ) ( * 2529940 )
NEW met3 ( 2799100 1073380 0 ) ( 2811290 * )
NEW met2 ( 2811290 1073380 ) ( * 1075590 )
NEW met1 ( 2811290 1075590 ) ( 2824170 * )
NEW met2 ( 191590 1769870 ) ( * 2529940 )
NEW met2 ( 2824170 1075590 ) ( * 1769870 )
NEW met1 ( 191590 1769870 ) ( 2824170 * )
NEW met1 ( 191590 1769870 ) M1M2_PR
NEW met2 ( 191590 2529940 ) M2M3_PR_M
NEW met2 ( 2811290 1073380 ) M2M3_PR_M
NEW met1 ( 2811290 1075590 ) M1M2_PR
NEW met1 ( 2824170 1075590 ) M1M2_PR
NEW met1 ( 2824170 1769870 ) M1M2_PR ;
- read_data_from_mem\[47\] ( core0 data_from_mem[47] ) ( chip_controller rd_data_out[47] ) + USE SIGNAL
+ ROUTED met2 ( 2015030 200260 ) ( 2018250 * 0 )
NEW met2 ( 2015030 172210 ) ( * 200260 )
NEW met1 ( 434930 2284630 ) ( 440910 * )
NEW met2 ( 434930 2284630 ) ( * 2300100 0 )
NEW met2 ( 440910 172210 ) ( * 2284630 )
NEW met1 ( 440910 172210 ) ( 2015030 * )
NEW met1 ( 2015030 172210 ) M1M2_PR
NEW met1 ( 440910 172210 ) M1M2_PR
NEW met1 ( 440910 2284630 ) M1M2_PR
NEW met1 ( 434930 2284630 ) M1M2_PR ;
- read_data_from_mem\[48\] ( core0 data_from_mem[48] ) ( chip_controller rd_data_out[48] ) + USE SIGNAL
+ ROUTED met2 ( 2039410 187850 ) ( * 200260 0 )
NEW met2 ( 1288690 187850 ) ( * 313990 )
NEW met1 ( 440450 313990 ) ( 1288690 * )
NEW met1 ( 1288690 187850 ) ( 2039410 * )
NEW met1 ( 436770 2284290 ) ( 440450 * )
NEW met2 ( 436770 2284290 ) ( * 2300100 0 )
NEW met2 ( 440450 313990 ) ( * 2284290 )
NEW met1 ( 1288690 187850 ) M1M2_PR
NEW met1 ( 1288690 313990 ) M1M2_PR
NEW met1 ( 2039410 187850 ) M1M2_PR
NEW met1 ( 440450 313990 ) M1M2_PR
NEW met1 ( 440450 2284290 ) M1M2_PR
NEW met1 ( 436770 2284290 ) M1M2_PR ;
- read_data_from_mem\[49\] ( core0 data_from_mem[49] ) ( chip_controller rd_data_out[49] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2529940 0 ) ( 612490 * )
NEW met2 ( 612490 1728730 ) ( * 2529940 )
NEW met1 ( 612490 1728730 ) ( 2159930 * )
NEW met2 ( 2159930 1699660 0 ) ( * 1728730 )
NEW met1 ( 612490 1728730 ) M1M2_PR
NEW met2 ( 612490 2529940 ) M2M3_PR_M
NEW met1 ( 2159930 1728730 ) M1M2_PR ;
- read_data_from_mem\[4\] ( core0 data_from_mem[4] ) ( chip_controller rd_data_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 1483270 1699660 0 ) ( * 1715130 )
NEW met2 ( 254150 1715130 ) ( * 2300100 0 )
NEW met1 ( 254150 1715130 ) ( 1483270 * )
NEW met1 ( 1483270 1715130 ) M1M2_PR
NEW met1 ( 254150 1715130 ) M1M2_PR ;
- read_data_from_mem\[50\] ( core0 data_from_mem[50] ) ( chip_controller rd_data_out[50] ) + USE SIGNAL
+ ROUTED met1 ( 451950 1764430 ) ( 2173730 * )
NEW met2 ( 451950 1764430 ) ( * 2256300 )
NEW met2 ( 451030 2256300 ) ( 451950 * )
NEW met2 ( 451030 2256300 ) ( * 2286670 )
NEW met1 ( 438150 2286670 ) ( 451030 * )
NEW met2 ( 438150 2286670 ) ( * 2300100 0 )
NEW met2 ( 2173730 1699660 ) ( 2174650 * 0 )
NEW met2 ( 2173730 1699660 ) ( * 1764430 )
NEW met1 ( 451950 1764430 ) M1M2_PR
NEW met1 ( 2173730 1764430 ) M1M2_PR
NEW met1 ( 451030 2286670 ) M1M2_PR
NEW met1 ( 438150 2286670 ) M1M2_PR ;
- read_data_from_mem\[51\] ( core0 data_from_mem[51] ) ( chip_controller rd_data_out[51] ) + USE SIGNAL
+ ROUTED met1 ( 439070 2734450 ) ( 1390350 * )
NEW met1 ( 1390350 1713770 ) ( 1435200 * )
NEW met1 ( 1435200 1713770 ) ( * 1714110 )
NEW met2 ( 1390350 1713770 ) ( * 2734450 )
NEW met2 ( 2189370 1699660 0 ) ( * 1714110 )
NEW met1 ( 1435200 1714110 ) ( 2189370 * )
NEW met2 ( 438150 2699260 0 ) ( 439070 * )
NEW met2 ( 439070 2699260 ) ( * 2734450 )
NEW met1 ( 439070 2734450 ) M1M2_PR
NEW met1 ( 1390350 2734450 ) M1M2_PR
NEW met1 ( 1390350 1713770 ) M1M2_PR
NEW met1 ( 2189370 1714110 ) M1M2_PR ;
- read_data_from_mem\[52\] ( core0 data_from_mem[52] ) ( chip_controller rd_data_out[52] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1022380 ) ( * 1027990 )
NEW met1 ( 441830 2284290 ) ( 446890 * )
NEW met2 ( 441830 2284290 ) ( * 2300100 0 )
NEW met2 ( 446890 1027990 ) ( * 2284290 )
NEW met1 ( 446890 1027990 ) ( 1283630 * )
NEW met3 ( 1283630 1022380 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1027990 ) M1M2_PR
NEW met2 ( 1283630 1022380 ) M2M3_PR_M
NEW met1 ( 446890 1027990 ) M1M2_PR
NEW met1 ( 446890 2284290 ) M1M2_PR
NEW met1 ( 441830 2284290 ) M1M2_PR ;
- read_data_from_mem\[53\] ( core0 data_from_mem[53] ) ( chip_controller rd_data_out[53] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2536740 0 ) ( 608810 * )
NEW met2 ( 608810 2532490 ) ( * 2536740 )
NEW met2 ( 1481430 1749470 ) ( * 2532490 )
NEW met1 ( 608810 2532490 ) ( 1481430 * )
NEW met1 ( 1481430 1749470 ) ( 2202250 * )
NEW met2 ( 2202250 1699660 ) ( 2204090 * 0 )
NEW met2 ( 2202250 1699660 ) ( * 1749470 )
NEW met2 ( 608810 2536740 ) M2M3_PR_M
NEW met1 ( 608810 2532490 ) M1M2_PR
NEW met1 ( 1481430 1749470 ) M1M2_PR
NEW met1 ( 1481430 2532490 ) M1M2_PR
NEW met1 ( 2202250 1749470 ) M1M2_PR ;
- read_data_from_mem\[54\] ( core0 data_from_mem[54] ) ( chip_controller rd_data_out[54] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1134580 0 ) ( 2814970 * )
NEW met2 ( 2814970 1134580 ) ( * 1135430 )
NEW met1 ( 2814970 1135430 ) ( 2837050 * )
NEW met3 ( 447580 1721420 ) ( 2837050 * )
NEW met2 ( 2837050 1135430 ) ( * 1721420 )
NEW met3 ( 447350 2700620 ) ( 447580 * )
NEW met2 ( 447350 2699260 ) ( * 2700620 )
NEW met2 ( 446430 2699260 0 ) ( 447350 * )
NEW met4 ( 447580 1721420 ) ( * 2700620 )
NEW met2 ( 2814970 1134580 ) M2M3_PR_M
NEW met1 ( 2814970 1135430 ) M1M2_PR
NEW met3 ( 447580 1721420 ) M3M4_PR_M
NEW met1 ( 2837050 1135430 ) M1M2_PR
NEW met2 ( 2837050 1721420 ) M2M3_PR_M
NEW met3 ( 447580 2700620 ) M3M4_PR_M
NEW met2 ( 447350 2700620 ) M2M3_PR_M
NEW met3 ( 447580 2700620 ) RECT ( 0 -150 390 150 ) ;
- read_data_from_mem\[55\] ( core0 data_from_mem[55] ) ( chip_controller rd_data_out[55] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2546430 ) ( * 2546940 )
NEW met3 ( 187910 2546940 ) ( 201020 * )
NEW met3 ( 201020 2546940 ) ( * 2547620 0 )
NEW met2 ( 1289150 1051620 ) ( * 2274430 )
NEW met3 ( 1289150 1051620 ) ( 1300420 * 0 )
NEW met2 ( 134090 2274430 ) ( * 2546430 )
NEW met1 ( 134090 2546430 ) ( 187910 * )
NEW met1 ( 134090 2274430 ) ( 1289150 * )
NEW met2 ( 1289150 1051620 ) M2M3_PR_M
NEW met1 ( 187910 2546430 ) M1M2_PR
NEW met2 ( 187910 2546940 ) M2M3_PR_M
NEW met1 ( 1289150 2274430 ) M1M2_PR
NEW met1 ( 134090 2274430 ) M1M2_PR
NEW met1 ( 134090 2546430 ) M1M2_PR ;
- read_data_from_mem\[56\] ( core0 data_from_mem[56] ) ( chip_controller rd_data_out[56] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2347020 ) ( 189750 * )
NEW met2 ( 189290 2343110 ) ( * 2347020 )
NEW met1 ( 186990 2343110 ) ( 189290 * )
NEW li1 ( 186990 2328150 ) ( * 2343110 )
NEW met2 ( 186990 2310300 ) ( * 2328150 )
NEW met3 ( 186990 2310300 ) ( 199180 * )
NEW met4 ( 199180 2310300 ) ( 200100 * )
NEW met2 ( 2122670 192780 ) ( * 200260 0 )
NEW met4 ( 202860 192780 ) ( * 2256300 )
NEW met4 ( 200100 2256300 ) ( 202860 * )
NEW met4 ( 200100 2256300 ) ( * 2310300 )
NEW met3 ( 189750 2546260 ) ( 190670 * )
NEW met2 ( 190670 2546260 ) ( * 2551700 )
NEW met3 ( 190670 2551700 ) ( 200100 * )
NEW met3 ( 200100 2551020 0 ) ( * 2551700 )
NEW met2 ( 189750 2347020 ) ( * 2546260 )
NEW met3 ( 202860 192780 ) ( 2122670 * )
NEW met3 ( 202860 192780 ) M3M4_PR_M
NEW met1 ( 189290 2343110 ) M1M2_PR
NEW li1 ( 186990 2343110 ) L1M1_PR_MR
NEW li1 ( 186990 2328150 ) L1M1_PR_MR
NEW met1 ( 186990 2328150 ) M1M2_PR
NEW met2 ( 186990 2310300 ) M2M3_PR_M
NEW met3 ( 199180 2310300 ) M3M4_PR_M
NEW met2 ( 2122670 192780 ) M2M3_PR_M
NEW met2 ( 189750 2546260 ) M2M3_PR_M
NEW met2 ( 190670 2546260 ) M2M3_PR_M
NEW met2 ( 190670 2551700 ) M2M3_PR_M
NEW met1 ( 186990 2328150 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[57\] ( core0 data_from_mem[57] ) ( chip_controller rd_data_out[57] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2553740 ) ( * 2553910 )
NEW met3 ( 186990 2553740 ) ( 200100 * )
NEW met3 ( 200100 2553060 0 ) ( * 2553740 )
NEW met2 ( 1289610 1065900 ) ( * 2280210 )
NEW met3 ( 1289610 1065900 ) ( 1300420 * 0 )
NEW met2 ( 148350 2280210 ) ( * 2553910 )
NEW met1 ( 148350 2553910 ) ( 186990 * )
NEW met1 ( 148350 2280210 ) ( 1289610 * )
NEW met2 ( 1289610 1065900 ) M2M3_PR_M
NEW met1 ( 186990 2553910 ) M1M2_PR
NEW met2 ( 186990 2553740 ) M2M3_PR_M
NEW met1 ( 1289610 2280210 ) M1M2_PR
NEW met1 ( 148350 2280210 ) M1M2_PR
NEW met1 ( 148350 2553910 ) M1M2_PR ;
- read_data_from_mem\[58\] ( core0 data_from_mem[58] ) ( chip_controller rd_data_out[58] ) + USE SIGNAL
+ ROUTED met3 ( 189290 2352460 ) ( 202860 * )
NEW met4 ( 202860 2351100 ) ( * 2352460 )
NEW met4 ( 202860 2351100 ) ( 205620 * )
NEW met4 ( 205620 1076780 ) ( * 2351100 )
NEW met3 ( 189290 2554420 ) ( 201020 * )
NEW met3 ( 201020 2554420 ) ( * 2555100 0 )
NEW met2 ( 189290 2352460 ) ( * 2554420 )
NEW met3 ( 1300420 1076780 ) ( * 1080860 0 )
NEW met3 ( 205620 1076780 ) ( 1300420 * )
NEW met3 ( 205620 1076780 ) M3M4_PR_M
NEW met2 ( 189290 2352460 ) M2M3_PR_M
NEW met3 ( 202860 2352460 ) M3M4_PR_M
NEW met2 ( 189290 2554420 ) M2M3_PR_M ;
- read_data_from_mem\[59\] ( core0 data_from_mem[59] ) ( chip_controller rd_data_out[59] ) + USE SIGNAL
+ ROUTED met1 ( 609730 2521950 ) ( 612030 * )
NEW met3 ( 2799100 1155660 0 ) ( 2814050 * )
NEW met2 ( 2814050 1155660 ) ( * 1157190 )
NEW met1 ( 2814050 1157190 ) ( 2831990 * )
NEW met2 ( 612030 1695070 ) ( * 2521950 )
NEW met3 ( 599380 2548300 0 ) ( 609730 * )
NEW met2 ( 609730 2521950 ) ( * 2548300 )
NEW met2 ( 2831990 1157190 ) ( * 1695070 )
NEW met1 ( 612030 1695070 ) ( 2831990 * )
NEW met1 ( 609730 2521950 ) M1M2_PR
NEW met1 ( 612030 2521950 ) M1M2_PR
NEW met2 ( 2814050 1155660 ) M2M3_PR_M
NEW met1 ( 2814050 1157190 ) M1M2_PR
NEW met1 ( 2831990 1157190 ) M1M2_PR
NEW met1 ( 612030 1695070 ) M1M2_PR
NEW met2 ( 609730 2548300 ) M2M3_PR_M
NEW met1 ( 2831990 1695070 ) M1M2_PR ;
- read_data_from_mem\[5\] ( core0 data_from_mem[5] ) ( chip_controller rd_data_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 294100 ) ( * 296650 )
NEW met2 ( 231150 2699940 ) ( 232070 * 0 )
NEW met2 ( 231150 2699940 ) ( * 2736830 )
NEW met1 ( 231150 2736830 ) ( 825010 * )
NEW met1 ( 825010 296650 ) ( 1283630 * )
NEW met3 ( 1283630 294100 ) ( 1300420 * 0 )
NEW met2 ( 825010 296650 ) ( * 2736830 )
NEW met1 ( 1283630 296650 ) M1M2_PR
NEW met2 ( 1283630 294100 ) M2M3_PR_M
NEW met1 ( 231150 2736830 ) M1M2_PR
NEW met1 ( 825010 296650 ) M1M2_PR
NEW met1 ( 825010 2736830 ) M1M2_PR ;
- read_data_from_mem\[60\] ( core0 data_from_mem[60] ) ( chip_controller rd_data_out[60] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1176060 0 ) ( 2814970 * )
NEW met2 ( 2814970 1173510 ) ( * 1176060 )
NEW met3 ( 457700 2700620 ) ( 458390 * )
NEW met2 ( 458390 2699940 ) ( * 2700620 )
NEW met2 ( 458390 2699940 ) ( 459770 * 0 )
NEW met1 ( 2814970 1173510 ) ( 2844870 * )
NEW met3 ( 457700 1763580 ) ( 2844870 * )
NEW met4 ( 457700 1763580 ) ( * 2700620 )
NEW met2 ( 2844870 1173510 ) ( * 1763580 )
NEW met2 ( 2814970 1176060 ) M2M3_PR_M
NEW met1 ( 2814970 1173510 ) M1M2_PR
NEW met3 ( 457700 1763580 ) M3M4_PR_M
NEW met3 ( 457700 2700620 ) M3M4_PR_M
NEW met2 ( 458390 2700620 ) M2M3_PR_M
NEW met1 ( 2844870 1173510 ) M1M2_PR
NEW met2 ( 2844870 1763580 ) M2M3_PR_M ;
- read_data_from_mem\[61\] ( core0 data_from_mem[61] ) ( chip_controller rd_data_out[61] ) + USE SIGNAL
+ ROUTED met1 ( 466210 1764090 ) ( 2261130 * )
NEW met1 ( 458390 2284630 ) ( 466210 * )
NEW met2 ( 458390 2284630 ) ( * 2300100 0 )
NEW met2 ( 466210 1764090 ) ( * 2284630 )
NEW met2 ( 2261130 1699660 ) ( 2262970 * 0 )
NEW met2 ( 2261130 1699660 ) ( * 1764090 )
NEW met1 ( 466210 1764090 ) M1M2_PR
NEW met1 ( 2261130 1764090 ) M1M2_PR
NEW met1 ( 466210 2284630 ) M1M2_PR
NEW met1 ( 458390 2284630 ) M1M2_PR ;
- read_data_from_mem\[62\] ( core0 data_from_mem[62] ) ( chip_controller rd_data_out[62] ) + USE SIGNAL
+ ROUTED met3 ( 184230 2563260 ) ( 201020 * )
NEW met3 ( 201020 2563260 ) ( * 2563940 0 )
NEW met2 ( 184230 1771570 ) ( * 2563260 )
NEW met1 ( 184230 1771570 ) ( 2277230 * )
NEW met2 ( 2277230 1699660 ) ( 2277690 * 0 )
NEW met2 ( 2277230 1699660 ) ( * 1771570 )
NEW met1 ( 184230 1771570 ) M1M2_PR
NEW met2 ( 184230 2563260 ) M2M3_PR_M
NEW met1 ( 2277230 1771570 ) M1M2_PR ;
- read_data_from_mem\[63\] ( core0 data_from_mem[63] ) ( chip_controller rd_data_out[63] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2555100 0 ) ( 607430 * )
NEW met2 ( 607430 2554590 ) ( * 2555100 )
NEW met2 ( 1080770 199070 ) ( * 2554590 )
NEW met2 ( 2185230 199070 ) ( * 200260 0 )
NEW met1 ( 1080770 199070 ) ( 2185230 * )
NEW met1 ( 607430 2554590 ) ( 1080770 * )
NEW met1 ( 1080770 199070 ) M1M2_PR
NEW met2 ( 607430 2555100 ) M2M3_PR_M
NEW met1 ( 607430 2554590 ) M1M2_PR
NEW met1 ( 1080770 2554590 ) M1M2_PR
NEW met1 ( 2185230 199070 ) M1M2_PR ;
- read_data_from_mem\[64\] ( core0 data_from_mem[64] ) ( chip_controller rd_data_out[64] ) + USE SIGNAL
+ ROUTED met2 ( 473110 192270 ) ( * 1194590 )
NEW met2 ( 2205930 192270 ) ( * 200260 0 )
NEW met1 ( 473110 192270 ) ( 2205930 * )
NEW met1 ( 468510 1194590 ) ( 473110 * )
NEW met2 ( 468510 1194590 ) ( * 2256300 )
NEW met2 ( 468050 2256300 ) ( 468510 * )
NEW met2 ( 468050 2256300 ) ( * 2300100 )
NEW met2 ( 466670 2300100 0 ) ( 468050 * )
NEW met1 ( 473110 192270 ) M1M2_PR
NEW met1 ( 473110 1194590 ) M1M2_PR
NEW met1 ( 2205930 192270 ) M1M2_PR
NEW met1 ( 468510 1194590 ) M1M2_PR ;
- read_data_from_mem\[65\] ( core0 data_from_mem[65] ) ( chip_controller rd_data_out[65] ) + USE SIGNAL
+ ROUTED met3 ( 470810 2700620 ) ( 475180 * )
NEW met2 ( 470810 2699260 ) ( * 2700620 )
NEW met2 ( 469430 2699260 0 ) ( 470810 * )
NEW met4 ( 475180 1756100 ) ( * 2700620 )
NEW met3 ( 2799100 1196460 0 ) ( 2814970 * )
NEW met2 ( 2814970 1196460 ) ( * 1200370 )
NEW met3 ( 475180 1756100 ) ( 2850850 * )
NEW met1 ( 2814970 1200370 ) ( 2850850 * )
NEW met2 ( 2850850 1200370 ) ( * 1756100 )
NEW met3 ( 475180 1756100 ) M3M4_PR_M
NEW met3 ( 475180 2700620 ) M3M4_PR_M
NEW met2 ( 470810 2700620 ) M2M3_PR_M
NEW met2 ( 2814970 1196460 ) M2M3_PR_M
NEW met1 ( 2814970 1200370 ) M1M2_PR
NEW met2 ( 2850850 1756100 ) M2M3_PR_M
NEW met1 ( 2850850 1200370 ) M1M2_PR ;
- read_data_from_mem\[66\] ( core0 data_from_mem[66] ) ( chip_controller rd_data_out[66] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1153620 ) ( * 1158890 )
NEW met1 ( 470350 2284630 ) ( 475870 * )
NEW met2 ( 470350 2284630 ) ( * 2300100 0 )
NEW met2 ( 475870 1158890 ) ( * 2284630 )
NEW met1 ( 475870 1158890 ) ( 1283630 * )
NEW met3 ( 1283630 1153620 ) ( 1300420 * 0 )
NEW met1 ( 475870 1158890 ) M1M2_PR
NEW met1 ( 1283630 1158890 ) M1M2_PR
NEW met2 ( 1283630 1153620 ) M2M3_PR_M
NEW met1 ( 475870 2284630 ) M1M2_PR
NEW met1 ( 470350 2284630 ) M1M2_PR ;
- read_data_from_mem\[67\] ( core0 data_from_mem[67] ) ( chip_controller rd_data_out[67] ) + USE SIGNAL
+ ROUTED met2 ( 2226630 197710 ) ( * 200260 0 )
NEW met3 ( 599380 2566660 0 ) ( 608810 * )
NEW met2 ( 608810 2560710 ) ( * 2566660 )
NEW met2 ( 1059150 197710 ) ( * 2560710 )
NEW met1 ( 1059150 197710 ) ( 2226630 * )
NEW met1 ( 608810 2560710 ) ( 1059150 * )
NEW met1 ( 1059150 197710 ) M1M2_PR
NEW met1 ( 2226630 197710 ) M1M2_PR
NEW met2 ( 608810 2566660 ) M2M3_PR_M
NEW met1 ( 608810 2560710 ) M1M2_PR
NEW met1 ( 1059150 2560710 ) M1M2_PR ;
- read_data_from_mem\[68\] ( core0 data_from_mem[68] ) ( chip_controller rd_data_out[68] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1167900 ) ( * 1172830 )
NEW met1 ( 476790 2283950 ) ( 481850 * )
NEW met2 ( 476790 2283950 ) ( * 2300100 0 )
NEW met2 ( 481850 1172830 ) ( * 2283950 )
NEW met1 ( 481850 1172830 ) ( 1283630 * )
NEW met3 ( 1283630 1167900 ) ( 1300420 * 0 )
NEW met1 ( 481850 1172830 ) M1M2_PR
NEW met1 ( 1283630 1172830 ) M1M2_PR
NEW met2 ( 1283630 1167900 ) M2M3_PR_M
NEW met1 ( 481850 2283950 ) M1M2_PR
NEW met1 ( 476790 2283950 ) M1M2_PR ;
- read_data_from_mem\[69\] ( core0 data_from_mem[69] ) ( chip_controller rd_data_out[69] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2572780 0 ) ( 608350 * )
NEW met2 ( 608350 2568190 ) ( * 2572780 )
NEW met2 ( 1283630 1197140 ) ( * 1200370 )
NEW met1 ( 608350 2568190 ) ( 627210 * )
NEW met2 ( 627210 1200370 ) ( * 2568190 )
NEW met1 ( 627210 1200370 ) ( 1283630 * )
NEW met3 ( 1283630 1197140 ) ( 1300420 * 0 )
NEW met2 ( 608350 2572780 ) M2M3_PR_M
NEW met1 ( 608350 2568190 ) M1M2_PR
NEW met1 ( 1283630 1200370 ) M1M2_PR
NEW met2 ( 1283630 1197140 ) M2M3_PR_M
NEW met1 ( 627210 1200370 ) M1M2_PR
NEW met1 ( 627210 2568190 ) M1M2_PR ;
- read_data_from_mem\[6\] ( core0 data_from_mem[6] ) ( chip_controller rd_data_out[6] ) + USE SIGNAL
+ ROUTED met3 ( 194350 2349060 ) ( 201020 * )
NEW met3 ( 201020 2349060 ) ( * 2349740 0 )
NEW met2 ( 194350 1714450 ) ( * 2349060 )
NEW met2 ( 1571590 1699660 0 ) ( * 1714450 )
NEW met1 ( 194350 1714450 ) ( 1571590 * )
NEW met2 ( 194350 2349060 ) M2M3_PR_M
NEW met1 ( 194350 1714450 ) M1M2_PR
NEW met1 ( 1571590 1714450 ) M1M2_PR ;
- read_data_from_mem\[70\] ( core0 data_from_mem[70] ) ( chip_controller rd_data_out[70] ) + USE SIGNAL
+ ROUTED met2 ( 474490 2699260 0 ) ( 475870 * )
NEW met2 ( 475870 2699260 ) ( * 2734790 )
NEW met2 ( 2307130 1699660 0 ) ( * 1716150 )
NEW met1 ( 475870 2734790 ) ( 1335150 * )
NEW met2 ( 1335150 1716150 ) ( * 2734790 )
NEW met1 ( 1335150 1716150 ) ( 2307130 * )
NEW met1 ( 475870 2734790 ) M1M2_PR
NEW met1 ( 2307130 1716150 ) M1M2_PR
NEW met1 ( 1335150 2734790 ) M1M2_PR
NEW met1 ( 1335150 1716150 ) M1M2_PR ;
- read_data_from_mem\[71\] ( core0 data_from_mem[71] ) ( chip_controller rd_data_out[71] ) + USE SIGNAL
+ ROUTED met1 ( 483690 2283950 ) ( 489210 * )
NEW met2 ( 483690 2283950 ) ( * 2300100 0 )
NEW met2 ( 489210 1214310 ) ( * 2283950 )
NEW met2 ( 1283630 1211420 ) ( * 1214310 )
NEW met1 ( 489210 1214310 ) ( 1283630 * )
NEW met3 ( 1283630 1211420 ) ( 1300420 * 0 )
NEW met1 ( 489210 1214310 ) M1M2_PR
NEW met1 ( 489210 2283950 ) M1M2_PR
NEW met1 ( 483690 2283950 ) M1M2_PR
NEW met1 ( 1283630 1214310 ) M1M2_PR
NEW met2 ( 1283630 1211420 ) M2M3_PR_M ;
- read_data_from_mem\[72\] ( core0 data_from_mem[72] ) ( chip_controller rd_data_out[72] ) + USE SIGNAL
+ ROUTED met2 ( 189290 2580770 ) ( * 2584340 )
NEW met3 ( 189290 2584340 ) ( 200100 * )
NEW met3 ( 200100 2583660 0 ) ( * 2584340 )
NEW met2 ( 1286390 1240660 ) ( * 2301630 )
NEW met2 ( 140530 2301630 ) ( * 2580770 )
NEW met1 ( 140530 2580770 ) ( 189290 * )
NEW met3 ( 1286390 1240660 ) ( 1300420 * 0 )
NEW met1 ( 140530 2301630 ) ( 1286390 * )
NEW met1 ( 189290 2580770 ) M1M2_PR
NEW met2 ( 189290 2584340 ) M2M3_PR_M
NEW met2 ( 1286390 1240660 ) M2M3_PR_M
NEW met1 ( 1286390 2301630 ) M1M2_PR
NEW met1 ( 140530 2301630 ) M1M2_PR
NEW met1 ( 140530 2580770 ) M1M2_PR ;
- read_data_from_mem\[73\] ( core0 data_from_mem[73] ) ( chip_controller rd_data_out[73] ) + USE SIGNAL
+ ROUTED met2 ( 186990 2588420 ) ( * 2588590 )
NEW met3 ( 186990 2588420 ) ( 200100 * )
NEW met3 ( 200100 2587740 0 ) ( * 2588420 )
NEW met2 ( 1285930 1255620 ) ( * 2275110 )
NEW met3 ( 1285930 1255620 ) ( 1300420 * 0 )
NEW met2 ( 155710 2275110 ) ( * 2588590 )
NEW met1 ( 155710 2588590 ) ( 186990 * )
NEW met1 ( 155710 2275110 ) ( 1285930 * )
NEW met2 ( 1285930 1255620 ) M2M3_PR_M
NEW met1 ( 186990 2588590 ) M1M2_PR
NEW met2 ( 186990 2588420 ) M2M3_PR_M
NEW met1 ( 1285930 2275110 ) M1M2_PR
NEW met1 ( 155710 2275110 ) M1M2_PR
NEW met1 ( 155710 2588590 ) M1M2_PR ;
- read_data_from_mem\[74\] ( core0 data_from_mem[74] ) ( chip_controller rd_data_out[74] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1278740 0 ) ( 2803010 * )
NEW met3 ( 599380 2584340 0 ) ( 611570 * )
NEW met2 ( 611570 1695410 ) ( * 2584340 )
NEW met2 ( 2803010 1278740 ) ( * 1695410 )
NEW met1 ( 611570 1695410 ) ( 2803010 * )
NEW met2 ( 2803010 1278740 ) M2M3_PR_M
NEW met1 ( 611570 1695410 ) M1M2_PR
NEW met2 ( 611570 2584340 ) M2M3_PR_M
NEW met1 ( 2803010 1695410 ) M1M2_PR ;
- read_data_from_mem\[75\] ( core0 data_from_mem[75] ) ( chip_controller rd_data_out[75] ) + USE SIGNAL
+ ROUTED met3 ( 188370 2595900 ) ( 201020 * )
NEW met3 ( 201020 2595900 ) ( * 2596580 0 )
NEW met1 ( 186530 2576690 ) ( 188370 * )
NEW met2 ( 186530 1708330 ) ( * 2576690 )
NEW met2 ( 188370 2576690 ) ( * 2595900 )
NEW met3 ( 2798870 1301860 ) ( 2799100 * )
NEW met3 ( 2799100 1299140 0 ) ( * 1301860 )
NEW met2 ( 2800710 1689630 ) ( * 1708330 )
NEW met1 ( 2798870 1689630 ) ( 2800710 * )
NEW met2 ( 2798870 1301860 ) ( * 1689630 )
NEW met1 ( 186530 1708330 ) ( 2800710 * )
NEW met2 ( 188370 2595900 ) M2M3_PR_M
NEW met1 ( 186530 1708330 ) M1M2_PR
NEW met1 ( 186530 2576690 ) M1M2_PR
NEW met1 ( 188370 2576690 ) M1M2_PR
NEW met2 ( 2798870 1301860 ) M2M3_PR_M
NEW met1 ( 2800710 1708330 ) M1M2_PR
NEW met1 ( 2800710 1689630 ) M1M2_PR
NEW met1 ( 2798870 1689630 ) M1M2_PR ;
- read_data_from_mem\[76\] ( core0 data_from_mem[76] ) ( chip_controller rd_data_out[76] ) + USE SIGNAL
+ ROUTED met2 ( 2310350 197370 ) ( * 200260 0 )
NEW met3 ( 599380 2587740 0 ) ( 607430 * )
NEW met2 ( 607430 2587740 ) ( * 2588250 )
NEW met1 ( 1038450 197370 ) ( 2310350 * )
NEW met1 ( 607430 2588250 ) ( 1038450 * )
NEW met2 ( 1038450 197370 ) ( * 2588250 )
NEW met1 ( 2310350 197370 ) M1M2_PR
NEW met2 ( 607430 2587740 ) M2M3_PR_M
NEW met1 ( 607430 2588250 ) M1M2_PR
NEW met1 ( 1038450 197370 ) M1M2_PR
NEW met1 ( 1038450 2588250 ) M1M2_PR ;
- read_data_from_mem\[77\] ( core0 data_from_mem[77] ) ( chip_controller rd_data_out[77] ) + USE SIGNAL
+ ROUTED met2 ( 482770 2699260 0 ) ( 483230 * )
NEW met2 ( 483230 2699260 ) ( * 2700620 )
NEW met2 ( 482770 2700620 ) ( 483230 * )
NEW met2 ( 482770 2700620 ) ( * 2722210 )
NEW met2 ( 1283630 1269900 ) ( * 1276190 )
NEW met2 ( 1148850 1276190 ) ( * 2722210 )
NEW met1 ( 482770 2722210 ) ( 1148850 * )
NEW met1 ( 1148850 1276190 ) ( 1283630 * )
NEW met3 ( 1283630 1269900 ) ( 1300420 * 0 )
NEW met1 ( 482770 2722210 ) M1M2_PR
NEW met1 ( 1148850 1276190 ) M1M2_PR
NEW met1 ( 1148850 2722210 ) M1M2_PR
NEW met1 ( 1283630 1276190 ) M1M2_PR
NEW met2 ( 1283630 1269900 ) M2M3_PR_M ;
- read_data_from_mem\[78\] ( core0 data_from_mem[78] ) ( chip_controller rd_data_out[78] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1284180 ) ( * 1290130 )
NEW met2 ( 503010 2300100 ) ( 503470 * 0 )
NEW met2 ( 503010 1290130 ) ( * 2300100 )
NEW met1 ( 503010 1290130 ) ( 1283630 * )
NEW met3 ( 1283630 1284180 ) ( 1300420 * 0 )
NEW met1 ( 503010 1290130 ) M1M2_PR
NEW met1 ( 1283630 1290130 ) M1M2_PR
NEW met2 ( 1283630 1284180 ) M2M3_PR_M ;
- read_data_from_mem\[79\] ( core0 data_from_mem[79] ) ( chip_controller rd_data_out[79] ) + USE SIGNAL
+ ROUTED met2 ( 489210 2699940 ) ( 489670 * 0 )
NEW met2 ( 489210 2699940 ) ( * 2738190 )
NEW met2 ( 1283630 1299140 ) ( * 1304070 )
NEW met1 ( 489210 2738190 ) ( 838350 * )
NEW met2 ( 838350 1304070 ) ( * 2738190 )
NEW met1 ( 838350 1304070 ) ( 1283630 * )
NEW met3 ( 1283630 1299140 ) ( 1300420 * 0 )
NEW met1 ( 489210 2738190 ) M1M2_PR
NEW met1 ( 1283630 1304070 ) M1M2_PR
NEW met2 ( 1283630 1299140 ) M2M3_PR_M
NEW met1 ( 838350 2738190 ) M1M2_PR
NEW met1 ( 838350 1304070 ) M1M2_PR ;
- read_data_from_mem\[7\] ( core0 data_from_mem[7] ) ( chip_controller rd_data_out[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2349740 0 ) ( 607430 * )
NEW met2 ( 607430 2348890 ) ( * 2349740 )
NEW met2 ( 1283630 352580 ) ( * 358530 )
NEW met1 ( 607430 2348890 ) ( 624910 * )
NEW met2 ( 624910 358530 ) ( * 2348890 )
NEW met1 ( 624910 358530 ) ( 1283630 * )
NEW met3 ( 1283630 352580 ) ( 1300420 * 0 )
NEW met2 ( 607430 2349740 ) M2M3_PR_M
NEW met1 ( 607430 2348890 ) M1M2_PR
NEW met1 ( 1283630 358530 ) M1M2_PR
NEW met2 ( 1283630 352580 ) M2M3_PR_M
NEW met1 ( 624910 2348890 ) M1M2_PR
NEW met1 ( 624910 358530 ) M1M2_PR ;
- read_data_from_mem\[80\] ( core0 data_from_mem[80] ) ( chip_controller rd_data_out[80] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2591140 0 ) ( 608810 * )
NEW met2 ( 608810 2583830 ) ( * 2591140 )
NEW met2 ( 1288690 1313420 ) ( * 2583830 )
NEW met1 ( 608810 2583830 ) ( 1288690 * )
NEW met3 ( 1288690 1313420 ) ( 1300420 * 0 )
NEW met2 ( 608810 2591140 ) M2M3_PR_M
NEW met1 ( 608810 2583830 ) M1M2_PR
NEW met2 ( 1288690 1313420 ) M2M3_PR_M
NEW met1 ( 1288690 2583830 ) M1M2_PR ;
- read_data_from_mem\[81\] ( core0 data_from_mem[81] ) ( chip_controller rd_data_out[81] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1340620 0 ) ( 2811750 * )
NEW met2 ( 2811750 1340620 ) ( * 1341810 )
NEW met1 ( 2811750 1341810 ) ( 2825090 * )
NEW met1 ( 513590 2284630 ) ( 517270 * )
NEW met2 ( 513590 2284630 ) ( * 2300100 0 )
NEW met2 ( 517270 1694730 ) ( * 2284630 )
NEW met2 ( 2825090 1341810 ) ( * 1694730 )
NEW met1 ( 517270 1694730 ) ( 2825090 * )
NEW met2 ( 2811750 1340620 ) M2M3_PR_M
NEW met1 ( 2811750 1341810 ) M1M2_PR
NEW met1 ( 2825090 1341810 ) M1M2_PR
NEW met1 ( 517270 1694730 ) M1M2_PR
NEW met1 ( 517270 2284630 ) M1M2_PR
NEW met1 ( 513590 2284630 ) M1M2_PR
NEW met1 ( 2825090 1694730 ) M1M2_PR ;
- read_data_from_mem\[82\] ( core0 data_from_mem[82] ) ( chip_controller rd_data_out[82] ) + USE SIGNAL
+ ROUTED met2 ( 490590 2699940 ) ( 491050 * 0 )
NEW met2 ( 490590 2699940 ) ( * 2745670 )
NEW met2 ( 1283630 1328380 ) ( * 1331610 )
NEW met1 ( 490590 2745670 ) ( 922070 * )
NEW met2 ( 922070 1331610 ) ( * 2745670 )
NEW met1 ( 922070 1331610 ) ( 1283630 * )
NEW met3 ( 1283630 1328380 ) ( 1300420 * 0 )
NEW met1 ( 490590 2745670 ) M1M2_PR
NEW met1 ( 1283630 1331610 ) M1M2_PR
NEW met2 ( 1283630 1328380 ) M2M3_PR_M
NEW met1 ( 922070 1331610 ) M1M2_PR
NEW met1 ( 922070 2745670 ) M1M2_PR ;
- read_data_from_mem\[83\] ( core0 data_from_mem[83] ) ( chip_controller rd_data_out[83] ) + USE SIGNAL
+ ROUTED met2 ( 2408330 1699660 ) ( 2409710 * 0 )
NEW met2 ( 2408330 1699660 ) ( * 1763750 )
NEW met1 ( 523250 1763750 ) ( 2408330 * )
NEW met2 ( 523250 1763750 ) ( * 2256300 )
NEW met2 ( 522790 2256300 ) ( 523250 * )
NEW met2 ( 522790 2256300 ) ( * 2284630 )
NEW met1 ( 518650 2284630 ) ( 522790 * )
NEW met2 ( 518650 2284630 ) ( * 2300100 0 )
NEW met1 ( 2408330 1763750 ) M1M2_PR
NEW met1 ( 523250 1763750 ) M1M2_PR
NEW met1 ( 522790 2284630 ) M1M2_PR
NEW met1 ( 518650 2284630 ) M1M2_PR ;
- read_data_from_mem\[84\] ( core0 data_from_mem[84] ) ( chip_controller rd_data_out[84] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2595900 0 ) ( 607430 * )
NEW met2 ( 607430 2595050 ) ( * 2595900 )
NEW met1 ( 607430 2595050 ) ( 1017750 * )
NEW met2 ( 2372450 196350 ) ( * 200260 0 )
NEW met1 ( 1017750 196350 ) ( 2372450 * )
NEW met2 ( 1017750 196350 ) ( * 2595050 )
NEW met2 ( 607430 2595900 ) M2M3_PR_M
NEW met1 ( 607430 2595050 ) M1M2_PR
NEW met1 ( 1017750 196350 ) M1M2_PR
NEW met1 ( 1017750 2595050 ) M1M2_PR
NEW met1 ( 2372450 196350 ) M1M2_PR ;
- read_data_from_mem\[85\] ( core0 data_from_mem[85] ) ( chip_controller rd_data_out[85] ) + USE SIGNAL
+ ROUTED met2 ( 188830 2622250 ) ( * 2624460 )
NEW met3 ( 188830 2624460 ) ( 200100 * )
NEW met3 ( 200100 2623780 0 ) ( * 2624460 )
NEW met2 ( 87630 1770210 ) ( * 2622250 )
NEW met2 ( 2436850 1699660 ) ( 2439150 * 0 )
NEW met2 ( 2436850 1699660 ) ( * 1770210 )
NEW met1 ( 87630 2622250 ) ( 188830 * )
NEW met1 ( 87630 1770210 ) ( 2436850 * )
NEW met1 ( 87630 1770210 ) M1M2_PR
NEW met1 ( 87630 2622250 ) M1M2_PR
NEW met1 ( 188830 2622250 ) M1M2_PR
NEW met2 ( 188830 2624460 ) M2M3_PR_M
NEW met1 ( 2436850 1770210 ) M1M2_PR ;
- read_data_from_mem\[86\] ( core0 data_from_mem[86] ) ( chip_controller rd_data_out[86] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2602700 0 ) ( 609730 * )
NEW met2 ( 609730 2570230 ) ( * 2602700 )
NEW met2 ( 962550 190910 ) ( * 2570230 )
NEW met2 ( 2393610 190910 ) ( * 200260 0 )
NEW met1 ( 962550 190910 ) ( 2393610 * )
NEW met1 ( 609730 2570230 ) ( 962550 * )
NEW met2 ( 609730 2602700 ) M2M3_PR_M
NEW met1 ( 962550 190910 ) M1M2_PR
NEW met1 ( 609730 2570230 ) M1M2_PR
NEW met1 ( 962550 2570230 ) M1M2_PR
NEW met1 ( 2393610 190910 ) M1M2_PR ;
- read_data_from_mem\[87\] ( core0 data_from_mem[87] ) ( chip_controller rd_data_out[87] ) + USE SIGNAL
+ ROUTED met2 ( 498410 2699940 ) ( 499330 * 0 )
NEW met2 ( 1283630 1356940 ) ( * 1359150 )
NEW met2 ( 498410 2699940 ) ( * 2746010 )
NEW met2 ( 859050 1359150 ) ( * 2746010 )
NEW met1 ( 859050 1359150 ) ( 1283630 * )
NEW met3 ( 1283630 1356940 ) ( 1300420 * 0 )
NEW met1 ( 498410 2746010 ) ( 859050 * )
NEW met1 ( 859050 1359150 ) M1M2_PR
NEW met1 ( 1283630 1359150 ) M1M2_PR
NEW met2 ( 1283630 1356940 ) M2M3_PR_M
NEW met1 ( 498410 2746010 ) M1M2_PR
NEW met1 ( 859050 2746010 ) M1M2_PR ;
- read_data_from_mem\[88\] ( core0 data_from_mem[88] ) ( chip_controller rd_data_out[88] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2607460 0 ) ( 608350 * )
NEW met2 ( 608350 2602190 ) ( * 2607460 )
NEW met2 ( 2435010 194990 ) ( * 200260 0 )
NEW met1 ( 608350 2602190 ) ( 948750 * )
NEW met1 ( 948750 194990 ) ( 2435010 * )
NEW met2 ( 948750 194990 ) ( * 2602190 )
NEW met2 ( 608350 2607460 ) M2M3_PR_M
NEW met1 ( 608350 2602190 ) M1M2_PR
NEW met1 ( 2435010 194990 ) M1M2_PR
NEW met1 ( 948750 194990 ) M1M2_PR
NEW met1 ( 948750 2602190 ) M1M2_PR ;
- read_data_from_mem\[89\] ( core0 data_from_mem[89] ) ( chip_controller rd_data_out[89] ) + USE SIGNAL
+ ROUTED met1 ( 537050 1763410 ) ( 2466290 * )
NEW met2 ( 533370 2276980 ) ( 537050 * )
NEW met2 ( 533370 2276980 ) ( * 2300100 )
NEW met2 ( 531990 2300100 0 ) ( 533370 * )
NEW met2 ( 537050 1763410 ) ( * 2276980 )
NEW met2 ( 2466290 1699660 ) ( 2468590 * 0 )
NEW met2 ( 2466290 1699660 ) ( * 1763410 )
NEW met1 ( 537050 1763410 ) M1M2_PR
NEW met1 ( 2466290 1763410 ) M1M2_PR ;
- read_data_from_mem\[8\] ( core0 data_from_mem[8] ) ( chip_controller rd_data_out[8] ) + USE SIGNAL
+ ROUTED met1 ( 187910 2342430 ) ( 189290 * )
NEW met2 ( 189290 2300270 ) ( * 2342430 )
NEW met3 ( 187910 2357900 ) ( 201020 * )
NEW met3 ( 201020 2357900 ) ( * 2358580 0 )
NEW met2 ( 187910 2342430 ) ( * 2357900 )
NEW met2 ( 1283630 366860 ) ( * 372470 )
NEW met2 ( 238050 2300100 ) ( * 2300270 )
NEW met2 ( 238050 2300100 ) ( 238510 * )
NEW met1 ( 189290 2300270 ) ( 238050 * )
NEW met2 ( 238510 372470 ) ( * 2300100 )
NEW met1 ( 238510 372470 ) ( 1283630 * )
NEW met3 ( 1283630 366860 ) ( 1300420 * 0 )
NEW met1 ( 187910 2342430 ) M1M2_PR
NEW met1 ( 189290 2342430 ) M1M2_PR
NEW met1 ( 189290 2300270 ) M1M2_PR
NEW met2 ( 187910 2357900 ) M2M3_PR_M
NEW met1 ( 1283630 372470 ) M1M2_PR
NEW met2 ( 1283630 366860 ) M2M3_PR_M
NEW met1 ( 238510 372470 ) M1M2_PR
NEW met1 ( 238050 2300270 ) M1M2_PR ;
- read_data_from_mem\[90\] ( core0 data_from_mem[90] ) ( chip_controller rd_data_out[90] ) + USE SIGNAL
+ ROUTED met2 ( 2446050 1714450 ) ( * 1756270 )
NEW met1 ( 537510 1756270 ) ( 2446050 * )
NEW met2 ( 535210 2277660 ) ( 537510 * )
NEW met2 ( 535210 2277660 ) ( * 2300100 )
NEW met2 ( 533830 2300100 0 ) ( 535210 * )
NEW met2 ( 537510 1756270 ) ( * 2277660 )
NEW met2 ( 2483310 1699660 0 ) ( * 1714450 )
NEW met1 ( 2446050 1714450 ) ( 2483310 * )
NEW met1 ( 2446050 1756270 ) M1M2_PR
NEW met1 ( 2446050 1714450 ) M1M2_PR
NEW met1 ( 537510 1756270 ) M1M2_PR
NEW met1 ( 2483310 1714450 ) M1M2_PR ;
- read_data_from_mem\[91\] ( core0 data_from_mem[91] ) ( chip_controller rd_data_out[91] ) + USE SIGNAL
+ ROUTED met3 ( 192510 2637380 ) ( 200100 * )
NEW met3 ( 200100 2636700 0 ) ( * 2637380 )
NEW met2 ( 1283630 1371900 ) ( * 1373090 )
NEW met2 ( 192510 2637380 ) ( * 2702490 )
NEW met2 ( 687010 1373090 ) ( * 2702490 )
NEW met1 ( 687010 1373090 ) ( 1283630 * )
NEW met3 ( 1283630 1371900 ) ( 1300420 * 0 )
NEW met1 ( 192510 2702490 ) ( 687010 * )
NEW met2 ( 192510 2637380 ) M2M3_PR_M
NEW met1 ( 192510 2702490 ) M1M2_PR
NEW met1 ( 687010 1373090 ) M1M2_PR
NEW met1 ( 687010 2702490 ) M1M2_PR
NEW met1 ( 1283630 1373090 ) M1M2_PR
NEW met2 ( 1283630 1371900 ) M2M3_PR_M ;
- read_data_from_mem\[92\] ( core0 data_from_mem[92] ) ( chip_controller rd_data_out[92] ) + USE SIGNAL
+ ROUTED met2 ( 513130 2699940 ) ( 514510 * 0 )
NEW met2 ( 513130 2699940 ) ( * 2714050 )
NEW met1 ( 510830 2714050 ) ( 513130 * )
NEW met2 ( 510830 2714050 ) ( * 2740230 )
NEW met2 ( 1480050 1715810 ) ( * 2740230 )
NEW met2 ( 2512750 1699660 0 ) ( * 1715130 )
NEW met1 ( 510830 2740230 ) ( 1480050 * )
NEW met1 ( 1507650 1715130 ) ( * 1715810 )
NEW met1 ( 1480050 1715810 ) ( 1507650 * )
NEW met1 ( 1507650 1715130 ) ( 2512750 * )
NEW met1 ( 513130 2714050 ) M1M2_PR
NEW met1 ( 510830 2714050 ) M1M2_PR
NEW met1 ( 510830 2740230 ) M1M2_PR
NEW met1 ( 1480050 1715810 ) M1M2_PR
NEW met1 ( 1480050 2740230 ) M1M2_PR
NEW met1 ( 2512750 1715130 ) M1M2_PR ;
- read_data_from_mem\[93\] ( core0 data_from_mem[93] ) ( chip_controller rd_data_out[93] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1415420 ) ( * 1421370 )
NEW met1 ( 538890 2285310 ) ( 544410 * )
NEW met2 ( 538890 2285310 ) ( * 2300100 0 )
NEW met2 ( 544410 1421370 ) ( * 2285310 )
NEW met1 ( 544410 1421370 ) ( 1283630 * )
NEW met3 ( 1283630 1415420 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1421370 ) M1M2_PR
NEW met2 ( 1283630 1415420 ) M2M3_PR_M
NEW met1 ( 544410 1421370 ) M1M2_PR
NEW met1 ( 544410 2285310 ) M1M2_PR
NEW met1 ( 538890 2285310 ) M1M2_PR ;
- read_data_from_mem\[94\] ( core0 data_from_mem[94] ) ( chip_controller rd_data_out[94] ) + USE SIGNAL
+ ROUTED met2 ( 187450 2614260 ) ( 188370 * )
NEW met2 ( 188370 2614260 ) ( * 2641460 )
NEW met3 ( 188370 2641460 ) ( 201020 * )
NEW met3 ( 201020 2641460 ) ( * 2642140 0 )
NEW met2 ( 187450 1700850 ) ( * 2614260 )
NEW met3 ( 2799100 1401820 0 ) ( 2808530 * )
NEW met2 ( 2808530 1401820 ) ( * 1401990 )
NEW met1 ( 2808530 1401990 ) ( 2817270 * )
NEW met2 ( 2817270 1401990 ) ( * 1700850 )
NEW met1 ( 187450 1700850 ) ( 2817270 * )
NEW met2 ( 188370 2641460 ) M2M3_PR_M
NEW met1 ( 187450 1700850 ) M1M2_PR
NEW met2 ( 2808530 1401820 ) M2M3_PR_M
NEW met1 ( 2808530 1401990 ) M1M2_PR
NEW met1 ( 2817270 1401990 ) M1M2_PR
NEW met1 ( 2817270 1700850 ) M1M2_PR ;
- read_data_from_mem\[95\] ( core0 data_from_mem[95] ) ( chip_controller rd_data_out[95] ) + USE SIGNAL
+ ROUTED met2 ( 1355850 1715470 ) ( * 2741250 )
NEW met2 ( 2527470 1699660 0 ) ( * 1715470 )
NEW met2 ( 519110 2699940 ) ( 519570 * 0 )
NEW met2 ( 519110 2699940 ) ( * 2741250 )
NEW met1 ( 519110 2741250 ) ( 1355850 * )
NEW li1 ( 1507190 1715470 ) ( 1508570 * )
NEW met1 ( 1355850 1715470 ) ( 1507190 * )
NEW met1 ( 1508570 1715470 ) ( 2527470 * )
NEW met1 ( 1355850 1715470 ) M1M2_PR
NEW met1 ( 1355850 2741250 ) M1M2_PR
NEW met1 ( 2527470 1715470 ) M1M2_PR
NEW met1 ( 519110 2741250 ) M1M2_PR
NEW li1 ( 1507190 1715470 ) L1M1_PR_MR
NEW li1 ( 1508570 1715470 ) L1M1_PR_MR ;
- read_data_from_mem\[96\] ( core0 data_from_mem[96] ) ( chip_controller rd_data_out[96] ) + USE SIGNAL
+ ROUTED met3 ( 192970 2646220 ) ( 200100 * )
NEW met3 ( 200100 2645540 0 ) ( * 2646220 )
NEW met2 ( 192970 2646220 ) ( * 2709460 )
NEW met2 ( 2497570 192610 ) ( * 200260 0 )
NEW met1 ( 735310 192610 ) ( 2497570 * )
NEW met2 ( 735310 192610 ) ( * 2709460 )
NEW met3 ( 192970 2709460 ) ( 735310 * )
NEW met2 ( 192970 2709460 ) M2M3_PR_M
NEW met2 ( 192970 2646220 ) M2M3_PR_M
NEW met1 ( 735310 192610 ) M1M2_PR
NEW met2 ( 735310 2709460 ) M2M3_PR_M
NEW met1 ( 2497570 192610 ) M1M2_PR ;
- read_data_from_mem\[97\] ( core0 data_from_mem[97] ) ( chip_controller rd_data_out[97] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2624460 0 ) ( 608810 * )
NEW met2 ( 608810 2618510 ) ( * 2624460 )
NEW met2 ( 1290070 1458940 ) ( * 2618510 )
NEW met1 ( 608810 2618510 ) ( 1290070 * )
NEW met3 ( 1290070 1458940 ) ( 1300420 * 0 )
NEW met2 ( 608810 2624460 ) M2M3_PR_M
NEW met1 ( 608810 2618510 ) M1M2_PR
NEW met2 ( 1290070 1458940 ) M2M3_PR_M
NEW met1 ( 1290070 2618510 ) M1M2_PR ;
- read_data_from_mem\[98\] ( core0 data_from_mem[98] ) ( chip_controller rd_data_out[98] ) + USE SIGNAL
+ ROUTED met2 ( 526470 2699940 ) ( 527850 * 0 )
NEW met2 ( 526470 2699940 ) ( * 2740910 )
NEW met1 ( 526470 2740910 ) ( 1424850 * )
NEW met2 ( 1424850 1716660 ) ( * 2740910 )
NEW met2 ( 2571630 1699660 0 ) ( * 1716660 )
NEW met3 ( 1424850 1716660 ) ( 2571630 * )
NEW met1 ( 526470 2740910 ) M1M2_PR
NEW met2 ( 1424850 1716660 ) M2M3_PR_M
NEW met1 ( 1424850 2740910 ) M1M2_PR
NEW met2 ( 2571630 1716660 ) M2M3_PR_M ;
- read_data_from_mem\[99\] ( core0 data_from_mem[99] ) ( chip_controller rd_data_out[99] ) + USE SIGNAL
+ ROUTED met2 ( 1459350 1716830 ) ( * 2267290 )
NEW met1 ( 547170 2267290 ) ( 1459350 * )
NEW li1 ( 1508110 1715810 ) ( * 1716830 )
NEW met1 ( 1459350 1716830 ) ( 1508110 * )
NEW met2 ( 2586350 1699660 0 ) ( * 1715810 )
NEW met1 ( 1508110 1715810 ) ( 2586350 * )
NEW met2 ( 547170 2267290 ) ( * 2300100 0 )
NEW met1 ( 1459350 1716830 ) M1M2_PR
NEW met1 ( 1459350 2267290 ) M1M2_PR
NEW met1 ( 547170 2267290 ) M1M2_PR
NEW li1 ( 1508110 1716830 ) L1M1_PR_MR
NEW li1 ( 1508110 1715810 ) L1M1_PR_MR
NEW met1 ( 2586350 1715810 ) M1M2_PR ;
- read_data_from_mem\[9\] ( core0 data_from_mem[9] ) ( chip_controller rd_data_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 1456130 198050 ) ( * 200260 0 )
NEW met2 ( 295550 2278340 ) ( 296470 * )
NEW met2 ( 295550 2278340 ) ( * 2300100 )
NEW met2 ( 294170 2300100 0 ) ( 295550 * )
NEW met2 ( 296470 198050 ) ( * 2278340 )
NEW met1 ( 296470 198050 ) ( 1456130 * )
NEW met1 ( 296470 198050 ) M1M2_PR
NEW met1 ( 1456130 198050 ) M1M2_PR ;
- read_enable_to_Elpis ( chip_controller read_enable_to_Elpis ) + USE SIGNAL ;
- read_interactive_req_core0 ( core0 read_interactive_req ) ( chip_controller read_interactive_req_core0 ) + USE SIGNAL
+ ROUTED met1 ( 217350 2283950 ) ( 219650 * )
NEW met2 ( 217350 2283950 ) ( * 2300100 0 )
NEW met2 ( 219650 1715470 ) ( * 2283950 )
NEW met2 ( 1350790 1699660 0 ) ( * 1715470 )
NEW met1 ( 219650 1715470 ) ( 1350790 * )
NEW met1 ( 219650 1715470 ) M1M2_PR
NEW met1 ( 219650 2283950 ) M1M2_PR
NEW met1 ( 217350 2283950 ) M1M2_PR
NEW met1 ( 1350790 1715470 ) M1M2_PR ;
- read_value_to_Elpis\[0\] ( chip_controller read_value_to_Elpis[0] ) + USE SIGNAL ;
- read_value_to_Elpis\[10\] ( chip_controller read_value_to_Elpis[10] ) + USE SIGNAL ;
- read_value_to_Elpis\[11\] ( chip_controller read_value_to_Elpis[11] ) + USE SIGNAL ;
- read_value_to_Elpis\[12\] ( chip_controller read_value_to_Elpis[12] ) + USE SIGNAL ;
- read_value_to_Elpis\[13\] ( chip_controller read_value_to_Elpis[13] ) + USE SIGNAL ;
- read_value_to_Elpis\[14\] ( chip_controller read_value_to_Elpis[14] ) + USE SIGNAL ;
- read_value_to_Elpis\[15\] ( chip_controller read_value_to_Elpis[15] ) + USE SIGNAL ;
- read_value_to_Elpis\[16\] ( chip_controller read_value_to_Elpis[16] ) + USE SIGNAL ;
- read_value_to_Elpis\[17\] ( chip_controller read_value_to_Elpis[17] ) + USE SIGNAL ;
- read_value_to_Elpis\[18\] ( chip_controller read_value_to_Elpis[18] ) + USE SIGNAL ;
- read_value_to_Elpis\[19\] ( chip_controller read_value_to_Elpis[19] ) + USE SIGNAL ;
- read_value_to_Elpis\[1\] ( chip_controller read_value_to_Elpis[1] ) + USE SIGNAL ;
- read_value_to_Elpis\[20\] ( chip_controller read_value_to_Elpis[20] ) + USE SIGNAL ;
- read_value_to_Elpis\[21\] ( chip_controller read_value_to_Elpis[21] ) + USE SIGNAL ;
- read_value_to_Elpis\[22\] ( chip_controller read_value_to_Elpis[22] ) + USE SIGNAL ;
- read_value_to_Elpis\[23\] ( chip_controller read_value_to_Elpis[23] ) + USE SIGNAL ;
- read_value_to_Elpis\[24\] ( chip_controller read_value_to_Elpis[24] ) + USE SIGNAL ;
- read_value_to_Elpis\[25\] ( chip_controller read_value_to_Elpis[25] ) + USE SIGNAL ;
- read_value_to_Elpis\[26\] ( chip_controller read_value_to_Elpis[26] ) + USE SIGNAL ;
- read_value_to_Elpis\[27\] ( chip_controller read_value_to_Elpis[27] ) + USE SIGNAL ;
- read_value_to_Elpis\[28\] ( chip_controller read_value_to_Elpis[28] ) + USE SIGNAL ;
- read_value_to_Elpis\[29\] ( chip_controller read_value_to_Elpis[29] ) + USE SIGNAL ;
- read_value_to_Elpis\[2\] ( chip_controller read_value_to_Elpis[2] ) + USE SIGNAL ;
- read_value_to_Elpis\[30\] ( chip_controller read_value_to_Elpis[30] ) + USE SIGNAL ;
- read_value_to_Elpis\[31\] ( chip_controller read_value_to_Elpis[31] ) + USE SIGNAL ;
- read_value_to_Elpis\[3\] ( chip_controller read_value_to_Elpis[3] ) + USE SIGNAL ;
- read_value_to_Elpis\[4\] ( chip_controller read_value_to_Elpis[4] ) + USE SIGNAL ;
- read_value_to_Elpis\[5\] ( chip_controller read_value_to_Elpis[5] ) + USE SIGNAL ;
- read_value_to_Elpis\[6\] ( chip_controller read_value_to_Elpis[6] ) + USE SIGNAL ;
- read_value_to_Elpis\[7\] ( chip_controller read_value_to_Elpis[7] ) + USE SIGNAL ;
- read_value_to_Elpis\[8\] ( chip_controller read_value_to_Elpis[8] ) + USE SIGNAL ;
- read_value_to_Elpis\[9\] ( chip_controller read_value_to_Elpis[9] ) + USE SIGNAL ;
- req_out_core0 ( core0 hex_req ) ( chip_controller req_out_core0 ) + USE SIGNAL
+ ROUTED met1 ( 214130 2284290 ) ( 220110 * )
NEW met2 ( 214130 2284290 ) ( * 2300100 0 )
NEW met2 ( 220110 1715810 ) ( * 2284290 )
NEW met2 ( 1365510 1699660 0 ) ( * 1715810 )
NEW met1 ( 220110 1715810 ) ( 1365510 * )
NEW met1 ( 220110 1715810 ) M1M2_PR
NEW met1 ( 220110 2284290 ) M1M2_PR
NEW met1 ( 214130 2284290 ) M1M2_PR
NEW met1 ( 1365510 1715810 ) M1M2_PR ;
- reset_core ( core0 rst ) ( chip_controller reset_core ) + USE SIGNAL
+ ROUTED met1 ( 207230 2283950 ) ( 213670 * )
NEW met2 ( 207230 2283950 ) ( * 2300100 0 )
NEW met2 ( 213670 1716150 ) ( * 2283950 )
NEW met2 ( 1321350 1699660 0 ) ( * 1716150 )
NEW met1 ( 213670 1716150 ) ( 1321350 * )
NEW met1 ( 213670 1716150 ) M1M2_PR
NEW met1 ( 213670 2283950 ) M1M2_PR
NEW met1 ( 207230 2283950 ) M1M2_PR
NEW met1 ( 1321350 1716150 ) M1M2_PR ;
- rst ( chip_controller rst ) + USE SIGNAL ;
- spare_wen0_to_sram ( custom_sram spare_wen0_to_sram ) ( chip_controller spare_wen0_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 599380 2303500 0 ) ( 608350 * )
NEW met2 ( 608350 2299250 ) ( * 2303500 )
NEW met2 ( 673210 2000730 ) ( * 2299250 )
NEW met1 ( 608350 2299250 ) ( 673210 * )
NEW met2 ( 1486950 1994100 ) ( * 2000730 )
NEW met3 ( 1486950 1994100 ) ( 1500060 * 0 )
NEW met1 ( 673210 2000730 ) ( 1486950 * )
NEW met2 ( 608350 2303500 ) M2M3_PR_M
NEW met1 ( 608350 2299250 ) M1M2_PR
NEW met1 ( 673210 2000730 ) M1M2_PR
NEW met1 ( 673210 2299250 ) M1M2_PR
NEW met1 ( 1486950 2000730 ) M1M2_PR
NEW met2 ( 1486950 1994100 ) M2M3_PR_M ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( chip_controller wb_clk_i ) + USE SIGNAL
+ ROUTED met2 ( 2990 2380 0 ) ( * 17510 )
NEW met1 ( 2990 17510 ) ( 6670 * )
NEW met2 ( 6670 17510 ) ( * 2287350 )
NEW met2 ( 200790 2287350 ) ( * 2300100 0 )
NEW met1 ( 6670 2287350 ) ( 200790 * )
NEW met1 ( 2990 17510 ) M1M2_PR
NEW met1 ( 6670 17510 ) M1M2_PR
NEW met1 ( 6670 2287350 ) M1M2_PR
NEW met1 ( 200790 2287350 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( chip_controller wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 2380 0 ) ( * 20570 )
NEW met2 ( 202170 2287690 ) ( * 2300100 0 )
NEW met1 ( 8510 20570 ) ( 37950 * )
NEW met2 ( 37950 20570 ) ( * 2287690 )
NEW met1 ( 37950 2287690 ) ( 202170 * )
NEW met1 ( 8510 20570 ) M1M2_PR
NEW met1 ( 202170 2287690 ) M1M2_PR
NEW met1 ( 37950 20570 ) M1M2_PR
NEW met1 ( 37950 2287690 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) + USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) + USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) + USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) + USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) + USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) + USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) + USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) + USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) + USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) + USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) + USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) + USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) + USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) + USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) + USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) + USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) + USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) + USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) + USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) + USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) + USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) + USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) + USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) + USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) + USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) + USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) + USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) + USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) + USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) + USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) + USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) + USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) + USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) + USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) + USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) + USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) + USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) + USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) + USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) + USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) + USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) + USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) + USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) + USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) + USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) + USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) + USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) + USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) + USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) + USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) + USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) + USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) + USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) + USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) + USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) + USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) + USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) + USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) + USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) + USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) + USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) + USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) + USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( chip_controller wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2311660 ) ( * 2312340 0 )
NEW met3 ( 599380 2311660 ) ( 607430 * )
NEW met2 ( 607430 2309620 ) ( * 2311660 )
NEW met2 ( 606970 2309620 ) ( 607430 * )
NEW met2 ( 606970 2306900 ) ( * 2309620 )
NEW met2 ( 606970 2306900 ) ( 607430 * )
NEW met2 ( 608810 2273070 ) ( * 2304180 )
NEW met2 ( 607430 2304180 ) ( 608810 * )
NEW met2 ( 607430 2304180 ) ( * 2306900 )
NEW met2 ( 49910 2380 0 ) ( * 14790 )
NEW met1 ( 49910 14790 ) ( 58650 * )
NEW met2 ( 58650 14790 ) ( * 2273070 )
NEW met1 ( 58650 2273070 ) ( 608810 * )
NEW met2 ( 607430 2311660 ) M2M3_PR_M
NEW met1 ( 608810 2273070 ) M1M2_PR
NEW met1 ( 49910 14790 ) M1M2_PR
NEW met1 ( 58650 14790 ) M1M2_PR
NEW met1 ( 58650 2273070 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( chip_controller wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2376260 0 ) ( 607430 * )
NEW met2 ( 607430 2375410 ) ( * 2376260 )
NEW met2 ( 250930 2380 0 ) ( * 17510 )
NEW met1 ( 250930 17510 ) ( 255070 * )
NEW met2 ( 255070 17510 ) ( * 2292450 )
NEW met1 ( 607430 2375410 ) ( 621690 * )
NEW met2 ( 621690 2292450 ) ( * 2375410 )
NEW met1 ( 255070 2292450 ) ( 621690 * )
NEW met2 ( 607430 2376260 ) M2M3_PR_M
NEW met1 ( 607430 2375410 ) M1M2_PR
NEW met1 ( 250930 17510 ) M1M2_PR
NEW met1 ( 255070 17510 ) M1M2_PR
NEW met1 ( 255070 2292450 ) M1M2_PR
NEW met1 ( 621690 2292450 ) M1M2_PR
NEW met1 ( 621690 2375410 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( chip_controller wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2381700 0 ) ( 607430 * )
NEW met2 ( 607430 2381530 ) ( * 2381700 )
NEW met2 ( 267950 82800 ) ( 268870 * )
NEW met2 ( 268870 2380 0 ) ( * 82800 )
NEW met2 ( 267950 82800 ) ( * 2292110 )
NEW met1 ( 607430 2381530 ) ( 621230 * )
NEW met2 ( 621230 2292110 ) ( * 2381530 )
NEW met1 ( 267950 2292110 ) ( 621230 * )
NEW met2 ( 607430 2381700 ) M2M3_PR_M
NEW met1 ( 607430 2381530 ) M1M2_PR
NEW met1 ( 267950 2292110 ) M1M2_PR
NEW met1 ( 621230 2292110 ) M1M2_PR
NEW met1 ( 621230 2381530 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( chip_controller wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 2380 0 ) ( * 3060 )
NEW met2 ( 285430 3060 ) ( 286350 * )
NEW met2 ( 285430 2380 ) ( * 3060 )
NEW met2 ( 284050 2380 ) ( 285430 * )
NEW met3 ( 185610 2388500 ) ( 200100 * )
NEW met3 ( 200100 2387820 0 ) ( * 2388500 )
NEW met2 ( 185610 2302990 ) ( * 2388500 )
NEW li1 ( 284050 2299590 ) ( * 2302990 )
NEW met2 ( 284050 2380 ) ( * 2299590 )
NEW met1 ( 185610 2302990 ) ( 284050 * )
NEW met1 ( 185610 2302990 ) M1M2_PR
NEW met2 ( 185610 2388500 ) M2M3_PR_M
NEW li1 ( 284050 2302990 ) L1M1_PR_MR
NEW li1 ( 284050 2299590 ) L1M1_PR_MR
NEW met1 ( 284050 2299590 ) M1M2_PR
NEW met1 ( 284050 2299590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( chip_controller wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 278990 2699940 ) ( 280370 * 0 )
NEW met2 ( 278990 2699940 ) ( * 2712690 )
NEW met2 ( 182850 2302310 ) ( * 2712690 )
NEW met2 ( 303830 82800 ) ( 304290 * )
NEW met2 ( 304290 2380 0 ) ( * 82800 )
NEW li1 ( 303830 2299590 ) ( * 2302310 )
NEW met2 ( 303830 82800 ) ( * 2299590 )
NEW met1 ( 182850 2712690 ) ( 278990 * )
NEW met1 ( 182850 2302310 ) ( 303830 * )
NEW met1 ( 182850 2712690 ) M1M2_PR
NEW met1 ( 278990 2712690 ) M1M2_PR
NEW met1 ( 182850 2302310 ) M1M2_PR
NEW li1 ( 303830 2302310 ) L1M1_PR_MR
NEW li1 ( 303830 2299590 ) L1M1_PR_MR
NEW met1 ( 303830 2299590 ) M1M2_PR
NEW met1 ( 303830 2299590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( chip_controller wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 187910 2394790 ) ( * 2398020 )
NEW met3 ( 187910 2398020 ) ( 201020 * )
NEW met3 ( 201020 2398020 ) ( * 2398700 0 )
NEW met2 ( 317630 82800 ) ( 321770 * )
NEW met2 ( 321770 2380 0 ) ( * 82800 )
NEW met2 ( 317630 82800 ) ( * 2256300 )
NEW met2 ( 318090 2256300 ) ( * 2296870 )
NEW met2 ( 317630 2256300 ) ( 318090 * )
NEW met2 ( 164910 2296870 ) ( * 2394790 )
NEW met1 ( 164910 2394790 ) ( 187910 * )
NEW met1 ( 164910 2296870 ) ( 318090 * )
NEW met1 ( 187910 2394790 ) M1M2_PR
NEW met2 ( 187910 2398020 ) M2M3_PR_M
NEW met1 ( 318090 2296870 ) M1M2_PR
NEW met1 ( 164910 2296870 ) M1M2_PR
NEW met1 ( 164910 2394790 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( chip_controller wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2401420 0 ) ( 603290 * )
NEW met2 ( 603290 2291430 ) ( * 2401420 )
NEW met2 ( 339710 2380 0 ) ( * 17850 )
NEW met1 ( 339710 17850 ) ( 344770 * )
NEW met2 ( 344770 17850 ) ( * 2291430 )
NEW met1 ( 344770 2291430 ) ( 603290 * )
NEW met2 ( 603290 2401420 ) M2M3_PR_M
NEW met1 ( 603290 2291430 ) M1M2_PR
NEW met1 ( 339710 17850 ) M1M2_PR
NEW met1 ( 344770 17850 ) M1M2_PR
NEW met1 ( 344770 2291430 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( chip_controller wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2409580 0 ) ( 601910 * )
NEW met2 ( 601910 2302310 ) ( * 2409580 )
NEW li1 ( 357650 2299590 ) ( * 2302310 )
NEW met2 ( 357650 2380 0 ) ( * 2299590 )
NEW met1 ( 357650 2302310 ) ( 601910 * )
NEW met2 ( 601910 2409580 ) M2M3_PR_M
NEW met1 ( 601910 2302310 ) M1M2_PR
NEW li1 ( 357650 2299590 ) L1M1_PR_MR
NEW met1 ( 357650 2299590 ) M1M2_PR
NEW li1 ( 357650 2302310 ) L1M1_PR_MR
NEW met1 ( 357650 2299590 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( chip_controller wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 316710 2699260 0 ) ( 317170 * )
NEW met2 ( 317170 2699260 ) ( * 2712860 )
NEW met2 ( 373750 82800 ) ( 375130 * )
NEW met2 ( 375130 2380 0 ) ( * 82800 )
NEW met2 ( 373750 82800 ) ( * 2287180 )
NEW met3 ( 373750 2287180 ) ( 463220 * )
NEW met4 ( 463220 2287180 ) ( * 2712860 )
NEW met3 ( 317170 2712860 ) ( 463220 * )
NEW met2 ( 317170 2712860 ) M2M3_PR_M
NEW met2 ( 373750 2287180 ) M2M3_PR_M
NEW met3 ( 463220 2712860 ) M3M4_PR_M
NEW met3 ( 463220 2287180 ) M3M4_PR_M ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( chip_controller wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 393070 2380 0 ) ( * 16660 )
NEW met4 ( 483460 16660 ) ( * 2703340 )
NEW met2 ( 324990 2699260 0 ) ( 326370 * )
NEW met2 ( 326370 2699260 ) ( * 2703340 )
NEW met3 ( 393070 16660 ) ( 483460 * )
NEW met3 ( 326370 2703340 ) ( 483460 * )
NEW met2 ( 393070 16660 ) M2M3_PR_M
NEW met3 ( 483460 16660 ) M3M4_PR_M
NEW met3 ( 483460 2703340 ) M3M4_PR_M
NEW met2 ( 326370 2703340 ) M2M3_PR_M ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( chip_controller wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 410550 2380 0 ) ( * 17850 )
NEW met1 ( 410550 17850 ) ( 413770 * )
NEW met3 ( 599380 2436100 0 ) ( 607890 * )
NEW met2 ( 607890 2436100 ) ( * 2437290 )
NEW met2 ( 413770 17850 ) ( * 865810 )
NEW met1 ( 413770 865810 ) ( 642390 * )
NEW met1 ( 607890 2437290 ) ( 642390 * )
NEW met2 ( 642390 865810 ) ( * 2437290 )
NEW met1 ( 410550 17850 ) M1M2_PR
NEW met1 ( 413770 17850 ) M1M2_PR
NEW met1 ( 413770 865810 ) M1M2_PR
NEW met2 ( 607890 2436100 ) M2M3_PR_M
NEW met1 ( 607890 2437290 ) M1M2_PR
NEW met1 ( 642390 865810 ) M1M2_PR
NEW met1 ( 642390 2437290 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( chip_controller wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2321860 0 ) ( 605590 * )
NEW met2 ( 605590 2278510 ) ( * 2321860 )
NEW met2 ( 73830 2380 0 ) ( * 34500 )
NEW met2 ( 73830 34500 ) ( 75670 * )
NEW met2 ( 75670 34500 ) ( * 2278510 )
NEW met1 ( 75670 2278510 ) ( 605590 * )
NEW met2 ( 605590 2321860 ) M2M3_PR_M
NEW met1 ( 605590 2278510 ) M1M2_PR
NEW met1 ( 75670 2278510 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( chip_controller wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met1 ( 376050 18530 ) ( 414230 * )
NEW met1 ( 414230 17850 ) ( * 18530 )
NEW met2 ( 376050 18530 ) ( * 2285650 )
NEW met2 ( 428490 2380 0 ) ( * 17850 )
NEW met1 ( 414230 17850 ) ( 428490 * )
NEW met2 ( 349370 2285650 ) ( * 2300100 0 )
NEW met1 ( 349370 2285650 ) ( 376050 * )
NEW met1 ( 376050 18530 ) M1M2_PR
NEW met1 ( 376050 2285650 ) M1M2_PR
NEW met1 ( 428490 17850 ) M1M2_PR
NEW met1 ( 349370 2285650 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( chip_controller wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met4 ( 489900 17340 ) ( * 2701300 )
NEW met2 ( 335110 2699260 0 ) ( 336490 * )
NEW met2 ( 336490 2699260 ) ( * 2701300 )
NEW met2 ( 445970 2380 0 ) ( * 17340 )
NEW met3 ( 445970 17340 ) ( 489900 * )
NEW met3 ( 336490 2701300 ) ( 489900 * )
NEW met3 ( 489900 17340 ) M3M4_PR_M
NEW met3 ( 489900 2701300 ) M3M4_PR_M
NEW met2 ( 336490 2701300 ) M2M3_PR_M
NEW met2 ( 445970 17340 ) M2M3_PR_M ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( chip_controller wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 190210 2443070 ) ( * 2446300 )
NEW met3 ( 190210 2446300 ) ( 200100 * )
NEW met3 ( 200100 2445620 0 ) ( * 2446300 )
NEW met1 ( 155250 2443070 ) ( 190210 * )
NEW met2 ( 155250 2288370 ) ( * 2443070 )
NEW met2 ( 462530 82800 ) ( 463910 * )
NEW met2 ( 463910 2380 0 ) ( * 82800 )
NEW li1 ( 427570 2288370 ) ( * 2290070 )
NEW met1 ( 427570 2290070 ) ( 462530 * )
NEW met1 ( 155250 2288370 ) ( 427570 * )
NEW met2 ( 462530 82800 ) ( * 2290070 )
NEW met1 ( 190210 2443070 ) M1M2_PR
NEW met2 ( 190210 2446300 ) M2M3_PR_M
NEW met1 ( 155250 2443070 ) M1M2_PR
NEW met1 ( 155250 2288370 ) M1M2_PR
NEW li1 ( 427570 2288370 ) L1M1_PR_MR
NEW li1 ( 427570 2290070 ) L1M1_PR_MR
NEW met1 ( 462530 2290070 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( chip_controller wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 481390 2380 0 ) ( * 17850 )
NEW met3 ( 599380 2457180 0 ) ( 607430 * )
NEW met2 ( 607430 2456670 ) ( * 2457180 )
NEW met2 ( 662630 17850 ) ( * 2456670 )
NEW met1 ( 481390 17850 ) ( 662630 * )
NEW met1 ( 607430 2456670 ) ( 662630 * )
NEW met1 ( 481390 17850 ) M1M2_PR
NEW met1 ( 662630 17850 ) M1M2_PR
NEW met2 ( 607430 2457180 ) M2M3_PR_M
NEW met1 ( 607430 2456670 ) M1M2_PR
NEW met1 ( 662630 2456670 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( chip_controller wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 499330 2380 0 ) ( * 18870 )
NEW met3 ( 599380 2462620 0 ) ( 601450 * )
NEW met2 ( 601450 18870 ) ( * 2462620 )
NEW met1 ( 499330 18870 ) ( 601450 * )
NEW met1 ( 499330 18870 ) M1M2_PR
NEW met1 ( 601450 18870 ) M1M2_PR
NEW met2 ( 601450 2462620 ) M2M3_PR_M ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( chip_controller wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 2380 0 ) ( * 19550 )
NEW met3 ( 599380 2468740 0 ) ( 600990 * )
NEW met2 ( 600990 19550 ) ( * 2468740 )
NEW met1 ( 516810 19550 ) ( 600990 * )
NEW met1 ( 516810 19550 ) M1M2_PR
NEW met1 ( 600990 19550 ) M1M2_PR
NEW met2 ( 600990 2468740 ) M2M3_PR_M ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( chip_controller wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 365930 2699940 ) ( 366390 * 0 )
NEW met2 ( 365930 2699940 ) ( * 2721530 )
NEW met2 ( 534750 2380 0 ) ( * 19210 )
NEW met1 ( 534750 19210 ) ( 635030 * )
NEW met1 ( 365930 2721530 ) ( 635030 * )
NEW met2 ( 635030 19210 ) ( * 2721530 )
NEW met1 ( 365930 2721530 ) M1M2_PR
NEW met1 ( 534750 19210 ) M1M2_PR
NEW met1 ( 635030 19210 ) M1M2_PR
NEW met1 ( 635030 2721530 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( chip_controller wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2475540 0 ) ( 602830 * )
NEW met2 ( 602830 2302650 ) ( * 2475540 )
NEW met2 ( 552690 2380 0 ) ( * 16830 )
NEW met1 ( 552690 16830 ) ( 556370 * )
NEW li1 ( 556370 2300270 ) ( * 2302650 )
NEW met2 ( 556370 16830 ) ( * 2300270 )
NEW met1 ( 556370 2302650 ) ( 602830 * )
NEW met1 ( 602830 2302650 ) M1M2_PR
NEW met2 ( 602830 2475540 ) M2M3_PR_M
NEW met1 ( 552690 16830 ) M1M2_PR
NEW met1 ( 556370 16830 ) M1M2_PR
NEW li1 ( 556370 2300270 ) L1M1_PR_MR
NEW met1 ( 556370 2300270 ) M1M2_PR
NEW li1 ( 556370 2302650 ) L1M1_PR_MR
NEW met1 ( 556370 2300270 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( chip_controller wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 188370 2470270 ) ( * 2474180 )
NEW met3 ( 188370 2474180 ) ( 201020 * )
NEW met3 ( 201020 2474180 ) ( * 2474860 0 )
NEW met2 ( 566030 82800 ) ( 570170 * )
NEW met2 ( 570170 2380 0 ) ( * 82800 )
NEW met2 ( 566030 82800 ) ( * 2277490 )
NEW met2 ( 172270 2277490 ) ( * 2470270 )
NEW met1 ( 172270 2470270 ) ( 188370 * )
NEW met1 ( 172270 2277490 ) ( 566030 * )
NEW met1 ( 188370 2470270 ) M1M2_PR
NEW met2 ( 188370 2474180 ) M2M3_PR_M
NEW met1 ( 566030 2277490 ) M1M2_PR
NEW met1 ( 172270 2277490 ) M1M2_PR
NEW met1 ( 172270 2470270 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( chip_controller wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 2380 0 ) ( * 18530 )
NEW met1 ( 394910 2285310 ) ( 417450 * )
NEW met2 ( 394910 2285310 ) ( * 2300100 0 )
NEW met2 ( 417450 18530 ) ( * 2285310 )
NEW met1 ( 417450 18530 ) ( 588110 * )
NEW met1 ( 417450 18530 ) M1M2_PR
NEW met1 ( 588110 18530 ) M1M2_PR
NEW met1 ( 417450 2285310 ) M1M2_PR
NEW met1 ( 394910 2285310 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( chip_controller wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 2380 0 ) ( * 17510 )
NEW met1 ( 97290 17510 ) ( 106950 * )
NEW met2 ( 188830 2311830 ) ( * 2314380 )
NEW met3 ( 188830 2314380 ) ( 201020 * )
NEW met3 ( 201020 2314380 ) ( * 2315060 0 )
NEW met2 ( 106950 17510 ) ( * 2311830 )
NEW met1 ( 106950 2311830 ) ( 188830 * )
NEW met1 ( 97290 17510 ) M1M2_PR
NEW met1 ( 106950 17510 ) M1M2_PR
NEW met1 ( 106950 2311830 ) M1M2_PR
NEW met1 ( 188830 2311830 ) M1M2_PR
NEW met2 ( 188830 2314380 ) M2M3_PR_M ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( chip_controller wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 2380 0 ) ( * 16830 )
NEW met1 ( 600530 16830 ) ( 605590 * )
NEW met3 ( 599380 2488460 0 ) ( 600530 * )
NEW met2 ( 600530 16830 ) ( * 2488460 )
NEW met1 ( 605590 16830 ) M1M2_PR
NEW met1 ( 600530 16830 ) M1M2_PR
NEW met2 ( 600530 2488460 ) M2M3_PR_M ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( chip_controller wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 406410 2300100 0 ) ( 406870 * )
NEW met2 ( 406870 51510 ) ( * 2300100 )
NEW met1 ( 406870 51510 ) ( 623530 * )
NEW met2 ( 623530 2380 0 ) ( * 51510 )
NEW met1 ( 406870 51510 ) M1M2_PR
NEW met1 ( 623530 51510 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( chip_controller wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 2380 0 ) ( * 17510 )
NEW met1 ( 121210 17510 ) ( 127650 * )
NEW met2 ( 127650 17510 ) ( * 2290410 )
NEW met2 ( 247250 2290410 ) ( * 2300100 0 )
NEW met1 ( 127650 2290410 ) ( 247250 * )
NEW met1 ( 121210 17510 ) M1M2_PR
NEW met1 ( 127650 17510 ) M1M2_PR
NEW met1 ( 127650 2290410 ) M1M2_PR
NEW met1 ( 247250 2290410 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( chip_controller wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 189750 2333930 ) ( * 2335460 )
NEW met3 ( 189750 2335460 ) ( 200100 * )
NEW met3 ( 200100 2334780 0 ) ( * 2335460 )
NEW met2 ( 144670 2380 0 ) ( * 17340 )
NEW met2 ( 144670 17340 ) ( 145130 * )
NEW met1 ( 146510 2333930 ) ( 189750 * )
NEW met2 ( 145130 17340 ) ( * 34500 )
NEW met2 ( 145130 34500 ) ( 146510 * )
NEW met2 ( 146510 34500 ) ( * 2333930 )
NEW met1 ( 189750 2333930 ) M1M2_PR
NEW met2 ( 189750 2335460 ) M2M3_PR_M
NEW met1 ( 146510 2333930 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( chip_controller wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 162150 2380 0 ) ( * 15470 )
NEW met1 ( 162150 15470 ) ( 164910 * )
NEW met2 ( 164910 15470 ) ( * 2289390 )
NEW met2 ( 264270 2289390 ) ( * 2300100 0 )
NEW met1 ( 164910 2289390 ) ( 264270 * )
NEW met1 ( 162150 15470 ) M1M2_PR
NEW met1 ( 164910 15470 ) M1M2_PR
NEW met1 ( 164910 2289390 ) M1M2_PR
NEW met1 ( 264270 2289390 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( chip_controller wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 2380 0 ) ( * 17510 )
NEW met2 ( 117070 17850 ) ( * 2713710 )
NEW met1 ( 117070 17850 ) ( 131100 * )
NEW met1 ( 131100 17510 ) ( * 17850 )
NEW met1 ( 131100 17510 ) ( 180090 * )
NEW met2 ( 232530 2699940 ) ( 233910 * 0 )
NEW met2 ( 232530 2699940 ) ( * 2713710 )
NEW met1 ( 117070 2713710 ) ( 232530 * )
NEW met1 ( 117070 17850 ) M1M2_PR
NEW met1 ( 117070 2713710 ) M1M2_PR
NEW met1 ( 180090 17510 ) M1M2_PR
NEW met1 ( 232530 2713710 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( chip_controller wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 2351780 0 ) ( 605130 * )
NEW met2 ( 198030 2380 0 ) ( * 34500 )
NEW met2 ( 198030 34500 ) ( 199870 * )
NEW met2 ( 199870 34500 ) ( * 493170 )
NEW met2 ( 605130 493170 ) ( * 2351780 )
NEW met1 ( 199870 493170 ) ( 605130 * )
NEW met1 ( 199870 493170 ) M1M2_PR
NEW met1 ( 605130 493170 ) M1M2_PR
NEW met2 ( 605130 2351780 ) M2M3_PR_M ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( chip_controller wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 215510 2380 0 ) ( * 17510 )
NEW met1 ( 215510 17510 ) ( 220110 * )
NEW met2 ( 220110 17510 ) ( * 1709350 )
NEW met3 ( 599380 2361300 0 ) ( 602370 * )
NEW met2 ( 602370 1709350 ) ( * 2361300 )
NEW met1 ( 220110 1709350 ) ( 602370 * )
NEW met1 ( 215510 17510 ) M1M2_PR
NEW met1 ( 220110 17510 ) M1M2_PR
NEW met1 ( 220110 1709350 ) M1M2_PR
NEW met1 ( 602370 1709350 ) M1M2_PR
NEW met2 ( 602370 2361300 ) M2M3_PR_M ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( chip_controller wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 183310 2299930 ) ( * 2714730 )
NEW met2 ( 233450 2380 0 ) ( * 17510 )
NEW met1 ( 227930 17510 ) ( 233450 * )
NEW met2 ( 251850 2699260 0 ) ( 252310 * )
NEW met2 ( 252310 2699260 ) ( * 2714730 )
NEW met1 ( 183310 2714730 ) ( 252310 * )
NEW met1 ( 183310 2299930 ) ( 227930 * )
NEW met2 ( 227930 17510 ) ( * 2299930 )
NEW met1 ( 183310 2714730 ) M1M2_PR
NEW met1 ( 183310 2299930 ) M1M2_PR
NEW met1 ( 233450 17510 ) M1M2_PR
NEW met1 ( 227930 17510 ) M1M2_PR
NEW met1 ( 252310 2714730 ) M1M2_PR
NEW met1 ( 227930 2299930 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) + USE SIGNAL ;
- we_to_sram ( custom_sram we ) ( chip_controller we_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 599380 2300780 0 ) ( 607430 * )
NEW met2 ( 607430 2299590 ) ( * 2300780 )
NEW met1 ( 607430 2299590 ) ( 652510 * )
NEW met2 ( 652510 1883430 ) ( * 2299590 )
NEW met2 ( 1490170 1882580 ) ( * 1883430 )
NEW met3 ( 1490170 1882580 ) ( 1500060 * 0 )
NEW met1 ( 652510 1883430 ) ( 1490170 * )
NEW met2 ( 607430 2300780 ) M2M3_PR_M
NEW met1 ( 607430 2299590 ) M1M2_PR
NEW met1 ( 652510 1883430 ) M1M2_PR
NEW met1 ( 652510 2299590 ) M1M2_PR
NEW met1 ( 1490170 1883430 ) M1M2_PR
NEW met2 ( 1490170 1882580 ) M2M3_PR_M ;
END NETS
END DESIGN