blob: 1aeef5c39ec9e2ca5e00102e730688a169803054 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 2 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 3 ;
- chip_controller chip_controller + FIXED ( 200000 1500000 ) N ;
- core0 core + FIXED ( 1300000 100000 ) N ;
- custom_sram custom_sram + FIXED ( 700000 1800000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 -149840 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 -149840 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 -149840 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 -149840 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 -149840 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 1550160 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 1550160 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 1550160 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 1550160 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 1550160 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 150160 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 150160 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 150160 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -1081550 -149840 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -149840 ) ( -1258450 30160 )
+ LAYER met4 ( -1441550 -149840 ) ( -1438450 30160 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 30160 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 30160 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 30160 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 30160 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 -269840 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 -269840 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 -269840 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 -1669840 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 -1669840 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 -1669840 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 -1669840 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 -1669840 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 -1669840 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 -1669840 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 -1669840 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 -149840 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 -149840 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 -149840 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 -149840 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 -149840 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 1550160 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 1550160 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 1550160 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 1550160 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 1550160 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 1550160 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 1550160 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 150160 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 150160 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 150160 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -1081550 -149840 ) ( -1078450 30160 )
+ LAYER met4 ( -1261550 -149840 ) ( -1258450 30160 )
+ LAYER met4 ( -1441550 -149840 ) ( -1438450 30160 )
+ LAYER met4 ( -1621550 -149840 ) ( -1618450 30160 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 30160 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 30160 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 30160 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 -269840 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 -269840 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 -269840 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 -1669840 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 -1669840 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 -1669840 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 -1669840 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 -1669840 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 -1669840 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 -1669840 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 -1669840 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 -1669840 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -969175 ) ( 1550 969175 )
+ LAYER met4 ( -181550 -969175 ) ( -178450 969175 )
+ LAYER met4 ( -361550 -969175 ) ( -358450 969175 )
+ LAYER met4 ( -541550 -969175 ) ( -538450 969175 )
+ LAYER met4 ( -721550 -969175 ) ( -718450 969175 )
+ LAYER met4 ( -901550 730825 ) ( -898450 969175 )
+ LAYER met4 ( -1081550 730825 ) ( -1078450 969175 )
+ LAYER met4 ( -1261550 730825 ) ( -1258450 969175 )
+ LAYER met4 ( -1441550 730825 ) ( -1438450 969175 )
+ LAYER met4 ( -1621550 730825 ) ( -1618450 969175 )
+ LAYER met4 ( -1801550 730825 ) ( -1798450 969175 )
+ LAYER met4 ( -1981550 730825 ) ( -1978450 969175 )
+ LAYER met4 ( -2161550 -669175 ) ( -2158450 969175 )
+ LAYER met4 ( -2341550 -669175 ) ( -2338450 969175 )
+ LAYER met4 ( -2521550 -669175 ) ( -2518450 969175 )
+ LAYER met4 ( -2701550 -2607845 ) ( -2698450 969175 )
+ LAYER met4 ( 198030 -2603045 ) ( 201130 964375 )
+ LAYER met4 ( -2776950 -2603045 ) ( -2773850 964375 )
+ LAYER met4 ( -901550 -969175 ) ( -898450 -789175 )
+ LAYER met4 ( -1081550 -969175 ) ( -1078450 -789175 )
+ LAYER met4 ( -1261550 -969175 ) ( -1258450 -789175 )
+ LAYER met4 ( -1441550 -969175 ) ( -1438450 -789175 )
+ LAYER met4 ( -1621550 -2607845 ) ( -1618450 -789175 )
+ LAYER met4 ( -1801550 -2607845 ) ( -1798450 -789175 )
+ LAYER met4 ( -1981550 -2607845 ) ( -1978450 -789175 )
+ LAYER met4 ( -2161550 -2607845 ) ( -2158450 -1089175 )
+ LAYER met4 ( -2341550 -2607845 ) ( -2338450 -1089175 )
+ LAYER met4 ( -2521550 -2607845 ) ( -2518450 -1089175 )
+ LAYER met4 ( -1550 -2607845 ) ( 1550 -2489175 )
+ LAYER met4 ( -181550 -2607845 ) ( -178450 -2489175 )
+ LAYER met4 ( -361550 -2607845 ) ( -358450 -2489175 )
+ LAYER met4 ( -541550 -2607845 ) ( -538450 -2489175 )
+ LAYER met4 ( -721550 -2607845 ) ( -718450 -2489175 )
+ LAYER met4 ( -901550 -2607845 ) ( -898450 -2489175 )
+ LAYER met4 ( -1081550 -2607845 ) ( -1078450 -2489175 )
+ LAYER met4 ( -1261550 -2607845 ) ( -1258450 -2489175 )
+ LAYER met4 ( -1441550 -2607845 ) ( -1438450 -2489175 )
+ LAYER met5 ( -2776950 961275 ) ( 201130 964375 )
+ LAYER met5 ( -2781750 892355 ) ( 205930 895455 )
+ LAYER met5 ( -2781750 712355 ) ( 205930 715455 )
+ LAYER met5 ( -2781750 532355 ) ( 205930 535455 )
+ LAYER met5 ( -2781750 352355 ) ( 205930 355455 )
+ LAYER met5 ( -2781750 172355 ) ( 205930 175455 )
+ LAYER met5 ( -2781750 -7645 ) ( 205930 -4545 )
+ LAYER met5 ( -2781750 -187645 ) ( 205930 -184545 )
+ LAYER met5 ( -2781750 -367645 ) ( 205930 -364545 )
+ LAYER met5 ( -2781750 -547645 ) ( 205930 -544545 )
+ LAYER met5 ( -2781750 -727645 ) ( 205930 -724545 )
+ LAYER met5 ( -2781750 -907645 ) ( 205930 -904545 )
+ LAYER met5 ( -2781750 -1087645 ) ( 205930 -1084545 )
+ LAYER met5 ( -2781750 -1267645 ) ( 205930 -1264545 )
+ LAYER met5 ( -2781750 -1447645 ) ( 205930 -1444545 )
+ LAYER met5 ( -2781750 -1627645 ) ( 205930 -1624545 )
+ LAYER met5 ( -2781750 -1807645 ) ( 205930 -1804545 )
+ LAYER met5 ( -2781750 -1987645 ) ( 205930 -1984545 )
+ LAYER met5 ( -2781750 -2167645 ) ( 205930 -2164545 )
+ LAYER met5 ( -2781750 -2347645 ) ( 205930 -2344545 )
+ LAYER met5 ( -2781750 -2527645 ) ( 205930 -2524545 )
+ LAYER met5 ( -2776950 -2603045 ) ( 201130 -2599945 )
+ FIXED ( 2747720 2579175 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -973975 ) ( 1550 973975 )
+ LAYER met4 ( -181550 -973975 ) ( -178450 973975 )
+ LAYER met4 ( -361550 -973975 ) ( -358450 973975 )
+ LAYER met4 ( -541550 -973975 ) ( -538450 973975 )
+ LAYER met4 ( -721550 -973975 ) ( -718450 973975 )
+ LAYER met4 ( -901550 726025 ) ( -898450 973975 )
+ LAYER met4 ( -1081550 726025 ) ( -1078450 973975 )
+ LAYER met4 ( -1261550 726025 ) ( -1258450 973975 )
+ LAYER met4 ( -1441550 726025 ) ( -1438450 973975 )
+ LAYER met4 ( -1621550 726025 ) ( -1618450 973975 )
+ LAYER met4 ( -1801550 726025 ) ( -1798450 973975 )
+ LAYER met4 ( -1981550 726025 ) ( -1978450 973975 )
+ LAYER met4 ( -2161550 -673975 ) ( -2158450 973975 )
+ LAYER met4 ( -2341550 -673975 ) ( -2338450 973975 )
+ LAYER met4 ( -2521550 -673975 ) ( -2518450 973975 )
+ LAYER met4 ( -2701550 -2622245 ) ( -2698450 973975 )
+ LAYER met4 ( 189030 -2617445 ) ( 192130 969175 )
+ LAYER met4 ( -2805150 -2617445 ) ( -2802050 969175 )
+ LAYER met4 ( -901550 -973975 ) ( -898450 -793975 )
+ LAYER met4 ( -1081550 -973975 ) ( -1078450 -793975 )
+ LAYER met4 ( -1261550 -973975 ) ( -1258450 -793975 )
+ LAYER met4 ( -1441550 -973975 ) ( -1438450 -793975 )
+ LAYER met4 ( -1621550 -2622245 ) ( -1618450 -793975 )
+ LAYER met4 ( -1801550 -2622245 ) ( -1798450 -793975 )
+ LAYER met4 ( -1981550 -2622245 ) ( -1978450 -793975 )
+ LAYER met4 ( -2161550 -2622245 ) ( -2158450 -1093975 )
+ LAYER met4 ( -2341550 -2622245 ) ( -2338450 -1093975 )
+ LAYER met4 ( -2521550 -2622245 ) ( -2518450 -1093975 )
+ LAYER met4 ( -1550 -2622245 ) ( 1550 -2493975 )
+ LAYER met4 ( -181550 -2622245 ) ( -178450 -2493975 )
+ LAYER met4 ( -361550 -2622245 ) ( -358450 -2493975 )
+ LAYER met4 ( -541550 -2622245 ) ( -538450 -2493975 )
+ LAYER met4 ( -721550 -2622245 ) ( -718450 -2493975 )
+ LAYER met4 ( -901550 -2622245 ) ( -898450 -2493975 )
+ LAYER met4 ( -1081550 -2622245 ) ( -1078450 -2493975 )
+ LAYER met4 ( -1261550 -2622245 ) ( -1258450 -2493975 )
+ LAYER met4 ( -1441550 -2622245 ) ( -1438450 -2493975 )
+ LAYER met5 ( -2805150 966075 ) ( 192130 969175 )
+ LAYER met5 ( -2809950 906155 ) ( 196930 909255 )
+ LAYER met5 ( -2809950 726155 ) ( 196930 729255 )
+ LAYER met5 ( -2809950 546155 ) ( 196930 549255 )
+ LAYER met5 ( -2809950 366155 ) ( 196930 369255 )
+ LAYER met5 ( -2809950 186155 ) ( 196930 189255 )
+ LAYER met5 ( -2809950 6155 ) ( 196930 9255 )
+ LAYER met5 ( -2809950 -173845 ) ( 196930 -170745 )
+ LAYER met5 ( -2809950 -353845 ) ( 196930 -350745 )
+ LAYER met5 ( -2809950 -533845 ) ( 196930 -530745 )
+ LAYER met5 ( -2809950 -713845 ) ( 196930 -710745 )
+ LAYER met5 ( -2809950 -893845 ) ( 196930 -890745 )
+ LAYER met5 ( -2809950 -1073845 ) ( 196930 -1070745 )
+ LAYER met5 ( -2809950 -1253845 ) ( 196930 -1250745 )
+ LAYER met5 ( -2809950 -1433845 ) ( 196930 -1430745 )
+ LAYER met5 ( -2809950 -1613845 ) ( 196930 -1610745 )
+ LAYER met5 ( -2809950 -1793845 ) ( 196930 -1790745 )
+ LAYER met5 ( -2809950 -1973845 ) ( 196930 -1970745 )
+ LAYER met5 ( -2809950 -2153845 ) ( 196930 -2150745 )
+ LAYER met5 ( -2809950 -2333845 ) ( 196930 -2330745 )
+ LAYER met5 ( -2809950 -2513845 ) ( 196930 -2510745 )
+ LAYER met5 ( -2805150 -2617445 ) ( 192130 -2614345 )
+ FIXED ( 2766320 2583975 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 -149840 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 -149840 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 -149840 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 -149840 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 -149840 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 1550160 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 1550160 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 1550160 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 1550160 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 1550160 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 1550160 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 150160 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 150160 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -1195930 -149840 ) ( -1192830 30160 )
+ LAYER met4 ( -1375930 -149840 ) ( -1372830 30160 )
+ LAYER met4 ( -1555930 -149840 ) ( -1552830 30160 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 30160 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 30160 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 30160 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 -269840 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 -269840 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 -1669840 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 -1669840 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 -1669840 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 -1669840 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 -1669840 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 -1669840 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 -1669840 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 -1669840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 -149840 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 -149840 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 -149840 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 -149840 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 -149840 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 1550160 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 1550160 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 1550160 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 1550160 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 1550160 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 1550160 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 1550160 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 150160 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 150160 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -1186930 -149840 ) ( -1183830 30160 )
+ LAYER met4 ( -1366930 -149840 ) ( -1363830 30160 )
+ LAYER met4 ( -1546930 -149840 ) ( -1543830 30160 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 30160 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 30160 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 30160 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 30160 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 -269840 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 -269840 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 -1669840 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 -1669840 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 -1669840 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 -1669840 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 -1669840 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 -1669840 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 -1669840 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 -1669840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -149840 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 -149840 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 -149840 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 -149840 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 -149840 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 1550160 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 1550160 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 1550160 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 1550160 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 1550160 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 1550160 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 1550160 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 150160 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 150160 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -1033930 -149840 ) ( -1030830 30160 )
+ LAYER met4 ( -1213930 -149840 ) ( -1210830 30160 )
+ LAYER met4 ( -1393930 -149840 ) ( -1390830 30160 )
+ LAYER met4 ( -1573930 -149840 ) ( -1570830 30160 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 30160 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 30160 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 30160 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 -269840 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 -269840 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 -1669840 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 -1669840 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 -1669840 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 -1669840 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 -1669840 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 -1669840 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 -1669840 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 -1669840 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 -1669840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 -149840 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 -149840 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 -149840 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 -149840 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 -149840 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 1550160 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 1550160 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 1550160 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 1550160 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 1550160 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 1550160 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 150160 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 150160 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -1204930 -149840 ) ( -1201830 30160 )
+ LAYER met4 ( -1384930 -149840 ) ( -1381830 30160 )
+ LAYER met4 ( -1564930 -149840 ) ( -1561830 30160 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 30160 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 30160 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 30160 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 -269840 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 -269840 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 -1669840 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 -1669840 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 -1669840 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 -1669840 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 -1669840 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 -1669840 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 -1669840 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 -1669840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 1797040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1797040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1643440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1489840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1336240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1182640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1029040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 875440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 721840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2704240 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2550640 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2397040 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2243440 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 195880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 529040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 375440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 221840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 1610000 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 1610000 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 1610000 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 1610000 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 1610000 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 3310000 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 3310000 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 3310000 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 3310000 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 3310000 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 3310000 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 3310000 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 1910000 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 1910000 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 1910000 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 1610000 ) ( 1810520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 1610000 ) ( 1630520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 1610000 ) ( 1450520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 90000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 1610000 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 1610000 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 1610000 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 1610000 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 1610000 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 3310000 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 3310000 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 3310000 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 3310000 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 3310000 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 3310000 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 3310000 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 1910000 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 1910000 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 1910000 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 1610000 ) ( 1829120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 1610000 ) ( 1649120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 1610000 ) ( 1469120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 1610000 ) ( 1289120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 90000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 1610000 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 1610000 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 1610000 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 1610000 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 1610000 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 3310000 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 3310000 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 3310000 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 3310000 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 3310000 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 3310000 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 3310000 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 1910000 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 1910000 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 1910000 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 1610000 ) ( 1847720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 1610000 ) ( 1667720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 1610000 ) ( 1487720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 1610000 ) ( 1307720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 90000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 1610000 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 1610000 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 1610000 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 1610000 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 1610000 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 3310000 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 3310000 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 3310000 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 3310000 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 3310000 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 3310000 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 3310000 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 1910000 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 1910000 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 1910000 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 1610000 ) ( 1866320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 1610000 ) ( 1686320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 1610000 ) ( 1506320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 1610000 ) ( 1326320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 90000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 1610000 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 1610000 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 1610000 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 1610000 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 1610000 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 3310000 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 3310000 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 3310000 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 3310000 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 3310000 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 3310000 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 1910000 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 1910000 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 1610000 ) ( 1757720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 1610000 ) ( 1577720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 1610000 ) ( 1397720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 90000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 1610000 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 1610000 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 1610000 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 1610000 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 1610000 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 3310000 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 3310000 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 3310000 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 3310000 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 3310000 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 3310000 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 3310000 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 1910000 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 1910000 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 1610000 ) ( 1776320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 1610000 ) ( 1596320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 1610000 ) ( 1416320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 90000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 1873840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1873840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1720240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1566640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1413040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1259440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1105840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 952240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 798640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2781040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2627440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2473840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2320240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2166640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 452240 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 298640 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 452240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 298640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 1610000 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 1610000 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 1610000 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 1610000 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 1610000 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 3310000 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 3310000 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 3310000 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 3310000 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 3310000 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 3310000 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 3310000 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 1910000 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 1910000 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 1610000 ) ( 1900520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 1610000 ) ( 1720520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 1610000 ) ( 1540520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 1610000 ) ( 1360520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 90000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 1610000 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 1610000 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 1610000 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 1610000 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 1610000 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 3310000 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 3310000 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 3310000 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 3310000 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 3310000 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 3310000 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 1910000 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 1910000 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 1610000 ) ( 1739120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 1610000 ) ( 1559120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 1610000 ) ( 1379120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 1790000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 90000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 90000 ) ;
END SPECIALNETS
NETS 1161 ;
- addr0_to_sram\[0\] ( custom_sram a[0] ) ( chip_controller addr0_to_sram[0] ) + USE SIGNAL
+ ROUTED li1 ( 721510 3291370 ) ( * 3296470 )
NEW met2 ( 721510 3296300 ) ( * 3296470 )
NEW met2 ( 721510 3296300 ) ( 723350 * 0 )
NEW met1 ( 647910 3291370 ) ( 721510 * )
NEW met2 ( 647910 1501950 ) ( * 3291370 )
NEW met3 ( 203780 1499740 ) ( * 1501100 0 )
NEW met3 ( 203780 1499740 ) ( 204470 * )
NEW met2 ( 204470 1499740 ) ( * 1500250 )
NEW li1 ( 204470 1500250 ) ( * 1501950 )
NEW met1 ( 204470 1501950 ) ( 647910 * )
NEW met1 ( 647910 3291370 ) M1M2_PR
NEW li1 ( 721510 3291370 ) L1M1_PR_MR
NEW li1 ( 721510 3296470 ) L1M1_PR_MR
NEW met1 ( 721510 3296470 ) M1M2_PR
NEW met1 ( 647910 1501950 ) M1M2_PR
NEW met2 ( 204470 1499740 ) M2M3_PR_M
NEW li1 ( 204470 1500250 ) L1M1_PR_MR
NEW met1 ( 204470 1500250 ) M1M2_PR
NEW li1 ( 204470 1501950 ) L1M1_PR_MR
NEW met1 ( 721510 3296470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 204470 1500250 ) RECT ( -355 -70 0 70 ) ;
- addr0_to_sram\[10\] ( custom_sram a[10] ) ( chip_controller addr0_to_sram[10] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1579810 ) ( * 1579980 )
NEW met3 ( 189750 1579980 ) ( 201020 * 0 )
NEW li1 ( 1090430 3292390 ) ( * 3296470 )
NEW met2 ( 1090430 3296300 ) ( * 3296470 )
NEW met2 ( 1090430 3296300 ) ( 1092270 * 0 )
NEW met1 ( 178250 1579810 ) ( 189750 * )
NEW met1 ( 178250 3292390 ) ( 1090430 * )
NEW met2 ( 178250 1579810 ) ( * 3292390 )
NEW met1 ( 189750 1579810 ) M1M2_PR
NEW met2 ( 189750 1579980 ) M2M3_PR_M
NEW li1 ( 1090430 3292390 ) L1M1_PR_MR
NEW li1 ( 1090430 3296470 ) L1M1_PR_MR
NEW met1 ( 1090430 3296470 ) M1M2_PR
NEW met1 ( 178250 1579810 ) M1M2_PR
NEW met1 ( 178250 3292390 ) M1M2_PR
NEW met1 ( 1090430 3296470 ) RECT ( -355 -70 0 70 ) ;
- addr0_to_sram\[11\] ( custom_sram a[11] ) ( chip_controller addr0_to_sram[11] ) + USE SIGNAL
+ ROUTED met3 ( 188370 1584740 ) ( 201020 * 0 )
NEW met2 ( 1301110 1503990 ) ( * 1797070 )
NEW met1 ( 1301110 1797070 ) ( 1915210 * )
NEW met3 ( 1899340 2470780 0 ) ( 1915210 * )
NEW met2 ( 1915210 1797070 ) ( * 2470780 )
NEW met2 ( 188370 1503990 ) ( * 1584740 )
NEW met1 ( 188370 1503990 ) ( 1301110 * )
NEW met2 ( 188370 1584740 ) M2M3_PR_M
NEW met1 ( 1301110 1503990 ) M1M2_PR
NEW met1 ( 1301110 1797070 ) M1M2_PR
NEW met1 ( 1915210 1797070 ) M1M2_PR
NEW met2 ( 1915210 2470780 ) M2M3_PR_M
NEW met1 ( 188370 1503990 ) M1M2_PR ;
- addr0_to_sram\[12\] ( custom_sram a[12] ) ( chip_controller addr0_to_sram[12] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2435930 ) ( * 2437460 )
NEW met3 ( 683330 2437460 ) ( 700580 * 0 )
NEW met1 ( 275770 2435930 ) ( 683330 * )
NEW met2 ( 271630 1899580 0 ) ( * 1911310 )
NEW met1 ( 271630 1911310 ) ( 275770 * )
NEW met2 ( 275770 1911310 ) ( * 2435930 )
NEW met1 ( 683330 2435930 ) M1M2_PR
NEW met2 ( 683330 2437460 ) M2M3_PR_M
NEW met1 ( 275770 2435930 ) M1M2_PR
NEW met1 ( 271630 1911310 ) M1M2_PR
NEW met1 ( 275770 1911310 ) M1M2_PR ;
- addr0_to_sram\[13\] ( custom_sram a[13] ) ( chip_controller addr0_to_sram[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1586780 0 ) ( 608350 * )
NEW met2 ( 608350 1586270 ) ( * 1586780 )
NEW met2 ( 1456130 1707990 ) ( * 1773300 )
NEW met2 ( 1456130 1773300 ) ( 1456590 * )
NEW met2 ( 1456590 1773300 ) ( * 1800300 0 )
NEW met1 ( 608350 1586270 ) ( 1224750 * )
NEW met2 ( 1224750 1586270 ) ( * 1707990 )
NEW met1 ( 1224750 1707990 ) ( 1456130 * )
NEW met2 ( 608350 1586780 ) M2M3_PR_M
NEW met1 ( 608350 1586270 ) M1M2_PR
NEW met1 ( 1456130 1707990 ) M1M2_PR
NEW met1 ( 1224750 1586270 ) M1M2_PR
NEW met1 ( 1224750 1707990 ) M1M2_PR ;
- addr0_to_sram\[14\] ( custom_sram a[14] ) ( chip_controller addr0_to_sram[14] ) + USE SIGNAL
+ ROUTED met2 ( 287730 1899580 0 ) ( 288650 * )
NEW met2 ( 288650 1899580 ) ( * 1937150 )
NEW met1 ( 692530 1800810 ) ( 1914750 * )
NEW met3 ( 1899340 2549660 0 ) ( 1914750 * )
NEW met2 ( 1914750 1800810 ) ( * 2549660 )
NEW met1 ( 288650 1937150 ) ( 692530 * )
NEW met2 ( 692530 1800810 ) ( * 1937150 )
NEW met1 ( 288650 1937150 ) M1M2_PR
NEW met1 ( 692530 1800810 ) M1M2_PR
NEW met1 ( 1914750 1800810 ) M1M2_PR
NEW met2 ( 1914750 2549660 ) M2M3_PR_M
NEW met1 ( 692530 1937150 ) M1M2_PR ;
- addr0_to_sram\[15\] ( custom_sram a[15] ) ( chip_controller addr0_to_sram[15] ) + USE SIGNAL
+ ROUTED met1 ( 186070 1648830 ) ( 189290 * )
NEW met2 ( 189290 1635060 ) ( * 1648830 )
NEW met2 ( 189290 1635060 ) ( 189750 * )
NEW met3 ( 189750 1628260 ) ( 192510 * )
NEW met2 ( 192510 1609220 ) ( * 1628260 )
NEW met3 ( 192510 1609220 ) ( 201020 * 0 )
NEW met2 ( 189750 1628260 ) ( * 1635060 )
NEW met2 ( 185150 1918200 ) ( 186070 * )
NEW met2 ( 186070 1918200 ) ( * 2656590 )
NEW met2 ( 683330 2656590 ) ( * 2662540 )
NEW met3 ( 683330 2662540 ) ( 700580 * 0 )
NEW met1 ( 186070 2656590 ) ( 683330 * )
NEW met2 ( 185150 1897500 ) ( * 1918200 )
NEW met2 ( 185150 1897500 ) ( 186070 * )
NEW met2 ( 186070 1648830 ) ( * 1897500 )
NEW met1 ( 186070 1648830 ) M1M2_PR
NEW met1 ( 189290 1648830 ) M1M2_PR
NEW met2 ( 189750 1628260 ) M2M3_PR_M
NEW met2 ( 192510 1628260 ) M2M3_PR_M
NEW met2 ( 192510 1609220 ) M2M3_PR_M
NEW met1 ( 186070 2656590 ) M1M2_PR
NEW met1 ( 683330 2656590 ) M1M2_PR
NEW met2 ( 683330 2662540 ) M2M3_PR_M ;
- addr0_to_sram\[16\] ( custom_sram a[16] ) ( chip_controller addr0_to_sram[16] ) + USE SIGNAL
+ ROUTED met1 ( 664930 2732410 ) ( 683330 * )
NEW met2 ( 683330 2732410 ) ( * 2737340 )
NEW met3 ( 683330 2737340 ) ( 700580 * 0 )
NEW met2 ( 318550 1499230 ) ( * 1500420 0 )
NEW met2 ( 664930 1499230 ) ( * 2732410 )
NEW met1 ( 318550 1499230 ) ( 664930 * )
NEW met1 ( 664930 2732410 ) M1M2_PR
NEW met1 ( 683330 2732410 ) M1M2_PR
NEW met2 ( 683330 2737340 ) M2M3_PR_M
NEW met1 ( 318550 1499230 ) M1M2_PR
NEW met1 ( 664930 1499230 ) M1M2_PR ;
- addr0_to_sram\[17\] ( custom_sram a[17] ) ( chip_controller addr0_to_sram[17] ) + USE SIGNAL
+ ROUTED met2 ( 1369190 3299700 0 ) ( * 3308030 )
NEW met1 ( 647450 3308030 ) ( 1369190 * )
NEW met2 ( 330510 1499910 ) ( * 1500420 )
NEW met2 ( 329590 1500420 0 ) ( 330510 * )
NEW met1 ( 330510 1499910 ) ( 647450 * )
NEW met2 ( 647450 1499910 ) ( * 3308030 )
NEW met1 ( 1369190 3308030 ) M1M2_PR
NEW met1 ( 647450 3308030 ) M1M2_PR
NEW met1 ( 330510 1499910 ) M1M2_PR
NEW met1 ( 647450 1499910 ) M1M2_PR ;
- addr0_to_sram\[18\] ( custom_sram a[18] ) ( chip_controller addr0_to_sram[18] ) + USE SIGNAL
+ ROUTED met2 ( 1460270 3298850 ) ( * 3299020 )
NEW met2 ( 1460270 3299020 ) ( 1461650 * 0 )
NEW met2 ( 189750 1623500 ) ( * 1627750 )
NEW met3 ( 189750 1623500 ) ( 201020 * 0 )
NEW met1 ( 169970 3298850 ) ( 1460270 * )
NEW met1 ( 169970 1627750 ) ( 189750 * )
NEW met2 ( 169970 1627750 ) ( * 3298850 )
NEW met1 ( 1460270 3298850 ) M1M2_PR
NEW met1 ( 189750 1627750 ) M1M2_PR
NEW met2 ( 189750 1623500 ) M2M3_PR_M
NEW met1 ( 169970 3298850 ) M1M2_PR
NEW met1 ( 169970 1627750 ) M1M2_PR ;
- addr0_to_sram\[19\] ( custom_sram a[19] ) ( chip_controller addr0_to_sram[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1628940 0 ) ( 608810 * )
NEW met2 ( 608810 1628940 ) ( * 1635230 )
NEW met1 ( 608810 1635230 ) ( 1894510 * )
NEW met3 ( 1896580 2627860 ) ( 1896810 * )
NEW met3 ( 1896580 2627860 ) ( * 2629220 0 )
NEW met2 ( 1894510 1635230 ) ( * 2546100 )
NEW met2 ( 1894510 2546100 ) ( 1896810 * )
NEW met2 ( 1896810 2546100 ) ( * 2627860 )
NEW met2 ( 608810 1628940 ) M2M3_PR_M
NEW met1 ( 608810 1635230 ) M1M2_PR
NEW met1 ( 1894510 1635230 ) M1M2_PR
NEW met2 ( 1896810 2627860 ) M2M3_PR_M ;
- addr0_to_sram\[1\] ( custom_sram a[1] ) ( chip_controller addr0_to_sram[1] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2132310 ) ( * 2137580 )
NEW met3 ( 683330 2137580 ) ( 700580 * 0 )
NEW met2 ( 127190 1517930 ) ( * 2132310 )
NEW met2 ( 190210 1511300 ) ( * 1517930 )
NEW met3 ( 190210 1511300 ) ( 201020 * 0 )
NEW met1 ( 127190 2132310 ) ( 683330 * )
NEW met1 ( 127190 1517930 ) ( 190210 * )
NEW met1 ( 127190 2132310 ) M1M2_PR
NEW met1 ( 683330 2132310 ) M1M2_PR
NEW met2 ( 683330 2137580 ) M2M3_PR_M
NEW met1 ( 127190 1517930 ) M1M2_PR
NEW met1 ( 190210 1517930 ) M1M2_PR
NEW met2 ( 190210 1511300 ) M2M3_PR_M ;
- addr0_to_sram\[2\] ( custom_sram a[2] ) ( chip_controller addr0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 216890 1899580 0 ) ( * 1911310 )
NEW met1 ( 216890 1911310 ) ( 220110 * )
NEW met2 ( 220110 1911310 ) ( * 3300210 )
NEW met2 ( 814430 3299700 ) ( 815350 * 0 )
NEW met2 ( 814430 3299700 ) ( * 3300210 )
NEW met1 ( 220110 3300210 ) ( 814430 * )
NEW met1 ( 220110 3300210 ) M1M2_PR
NEW met1 ( 216890 1911310 ) M1M2_PR
NEW met1 ( 220110 1911310 ) M1M2_PR
NEW met1 ( 814430 3300210 ) M1M2_PR ;
- addr0_to_sram\[3\] ( custom_sram a[3] ) ( chip_controller addr0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 861810 3299700 0 ) ( * 3309050 )
NEW met1 ( 604210 3309050 ) ( 861810 * )
NEW met2 ( 237590 1497020 ) ( * 1500420 0 )
NEW met3 ( 237590 1497020 ) ( 604210 * )
NEW met2 ( 604210 1497020 ) ( * 3309050 )
NEW met1 ( 604210 3309050 ) M1M2_PR
NEW met1 ( 861810 3309050 ) M1M2_PR
NEW met2 ( 604210 1497020 ) M2M3_PR_M
NEW met2 ( 237590 1497020 ) M2M3_PR_M ;
- addr0_to_sram\[4\] ( custom_sram a[4] ) ( chip_controller addr0_to_sram[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1526260 0 ) ( 607430 * )
NEW met2 ( 607430 1526260 ) ( * 1531190 )
NEW met2 ( 762450 1531190 ) ( * 1770890 )
NEW met1 ( 762450 1770890 ) ( 931730 * )
NEW met1 ( 607430 1531190 ) ( 762450 * )
NEW met2 ( 931730 1800300 ) ( 934490 * 0 )
NEW met2 ( 931730 1770890 ) ( * 1800300 )
NEW met1 ( 762450 1770890 ) M1M2_PR
NEW met2 ( 607430 1526260 ) M2M3_PR_M
NEW met1 ( 607430 1531190 ) M1M2_PR
NEW met1 ( 762450 1531190 ) M1M2_PR
NEW met1 ( 931730 1770890 ) M1M2_PR ;
- addr0_to_sram\[5\] ( custom_sram a[5] ) ( chip_controller addr0_to_sram[5] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1792990 ) ( * 1800300 0 )
NEW met2 ( 237590 1899580 0 ) ( * 1906210 )
NEW met1 ( 237590 1906210 ) ( 600990 * )
NEW met1 ( 600990 1792990 ) ( 986930 * )
NEW met2 ( 600990 1792990 ) ( * 1906210 )
NEW met1 ( 986930 1792990 ) M1M2_PR
NEW met1 ( 237590 1906210 ) M1M2_PR
NEW met1 ( 600990 1906210 ) M1M2_PR
NEW met1 ( 600990 1792990 ) M1M2_PR ;
- addr0_to_sram\[6\] ( custom_sram a[6] ) ( chip_controller addr0_to_sram[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1537140 0 ) ( * 1537820 )
NEW met2 ( 613870 1539180 ) ( * 1595620 )
NEW met2 ( 614330 1537820 ) ( * 1539180 )
NEW met3 ( 599380 1537820 ) ( 614330 * )
NEW met2 ( 613870 1539180 ) ( 614330 * )
NEW met2 ( 614330 1595620 ) ( * 1604630 )
NEW met2 ( 613870 1595620 ) ( 614330 * )
NEW met1 ( 614330 1604630 ) ( 1905550 * )
NEW met3 ( 1899340 1997500 0 ) ( 1905550 * )
NEW met2 ( 1905550 1604630 ) ( * 1997500 )
NEW met2 ( 614330 1537820 ) M2M3_PR_M
NEW met1 ( 614330 1604630 ) M1M2_PR
NEW met1 ( 1905550 1604630 ) M1M2_PR
NEW met2 ( 1905550 1997500 ) M2M3_PR_M ;
- addr0_to_sram\[7\] ( custom_sram a[7] ) ( chip_controller addr0_to_sram[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1543260 0 ) ( 609730 * )
NEW met2 ( 609730 1543260 ) ( * 1566380 )
NEW met3 ( 609500 1566380 ) ( 609730 * )
NEW met4 ( 609500 1566380 ) ( * 1579300 )
NEW met3 ( 609500 1579300 ) ( 610650 * )
NEW met2 ( 610650 1579300 ) ( * 1604290 )
NEW met3 ( 1899340 2155260 0 ) ( 1905090 * )
NEW met1 ( 610650 1604290 ) ( 1905090 * )
NEW met2 ( 1905090 1604290 ) ( * 2155260 )
NEW met2 ( 609730 1543260 ) M2M3_PR_M
NEW met2 ( 609730 1566380 ) M2M3_PR_M
NEW met3 ( 609500 1566380 ) M3M4_PR_M
NEW met3 ( 609500 1579300 ) M3M4_PR_M
NEW met2 ( 610650 1579300 ) M2M3_PR_M
NEW met1 ( 610650 1604290 ) M1M2_PR
NEW met2 ( 1905090 2155260 ) M2M3_PR_M
NEW met1 ( 1905090 1604290 ) M1M2_PR
NEW met3 ( 609730 1566380 ) RECT ( 0 -150 390 150 ) ;
- addr0_to_sram\[8\] ( custom_sram a[8] ) ( chip_controller addr0_to_sram[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1546660 0 ) ( 608810 * )
NEW met2 ( 608810 1546660 ) ( * 1552270 )
NEW met2 ( 1000270 3299700 0 ) ( * 3300550 )
NEW met1 ( 608810 1552270 ) ( 703570 * )
NEW met1 ( 703570 3300550 ) ( 1000270 * )
NEW met2 ( 703570 1552270 ) ( * 3300550 )
NEW met2 ( 608810 1546660 ) M2M3_PR_M
NEW met1 ( 608810 1552270 ) M1M2_PR
NEW met1 ( 703570 1552270 ) M1M2_PR
NEW met1 ( 703570 3300550 ) M1M2_PR
NEW met1 ( 1000270 3300550 ) M1M2_PR ;
- addr0_to_sram\[9\] ( custom_sram a[9] ) ( chip_controller addr0_to_sram[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1557540 0 ) ( 610190 * )
NEW met2 ( 610190 1557540 ) ( * 1579130 )
NEW met1 ( 607890 1579130 ) ( 610190 * )
NEW met1 ( 607890 1579130 ) ( * 1579810 )
NEW met1 ( 607890 1579810 ) ( 608810 * )
NEW li1 ( 608810 1580830 ) ( * 1587290 )
NEW met2 ( 608810 1587290 ) ( * 1595620 )
NEW met2 ( 608810 1595620 ) ( 610190 * )
NEW met2 ( 610190 1595620 ) ( * 1604970 )
NEW met2 ( 608810 1579810 ) ( * 1580830 )
NEW met3 ( 1897500 2311660 ) ( 1897730 * )
NEW met3 ( 1897500 2311660 ) ( * 2313020 0 )
NEW met1 ( 610190 1604970 ) ( 1897730 * )
NEW met2 ( 1897730 1604970 ) ( * 2311660 )
NEW met2 ( 610190 1557540 ) M2M3_PR_M
NEW met1 ( 610190 1579130 ) M1M2_PR
NEW met1 ( 608810 1579810 ) M1M2_PR
NEW li1 ( 608810 1580830 ) L1M1_PR_MR
NEW met1 ( 608810 1580830 ) M1M2_PR
NEW li1 ( 608810 1587290 ) L1M1_PR_MR
NEW met1 ( 608810 1587290 ) M1M2_PR
NEW met1 ( 610190 1604970 ) M1M2_PR
NEW met2 ( 1897730 2311660 ) M2M3_PR_M
NEW met1 ( 1897730 1604970 ) M1M2_PR
NEW met1 ( 608810 1580830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 608810 1587290 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem\[0\] ( chip_controller addr_to_core_mem[0] ) + USE SIGNAL ;
- addr_to_core_mem\[10\] ( chip_controller addr_to_core_mem[10] ) + USE SIGNAL ;
- addr_to_core_mem\[11\] ( chip_controller addr_to_core_mem[11] ) + USE SIGNAL ;
- addr_to_core_mem\[12\] ( chip_controller addr_to_core_mem[12] ) + USE SIGNAL ;
- addr_to_core_mem\[13\] ( chip_controller addr_to_core_mem[13] ) + USE SIGNAL ;
- addr_to_core_mem\[14\] ( chip_controller addr_to_core_mem[14] ) + USE SIGNAL ;
- addr_to_core_mem\[15\] ( chip_controller addr_to_core_mem[15] ) + USE SIGNAL ;
- addr_to_core_mem\[16\] ( chip_controller addr_to_core_mem[16] ) + USE SIGNAL ;
- addr_to_core_mem\[17\] ( chip_controller addr_to_core_mem[17] ) + USE SIGNAL ;
- addr_to_core_mem\[18\] ( chip_controller addr_to_core_mem[18] ) + USE SIGNAL ;
- addr_to_core_mem\[19\] ( chip_controller addr_to_core_mem[19] ) + USE SIGNAL ;
- addr_to_core_mem\[1\] ( chip_controller addr_to_core_mem[1] ) + USE SIGNAL ;
- addr_to_core_mem\[2\] ( chip_controller addr_to_core_mem[2] ) + USE SIGNAL ;
- addr_to_core_mem\[3\] ( chip_controller addr_to_core_mem[3] ) + USE SIGNAL ;
- addr_to_core_mem\[4\] ( chip_controller addr_to_core_mem[4] ) + USE SIGNAL ;
- addr_to_core_mem\[5\] ( chip_controller addr_to_core_mem[5] ) + USE SIGNAL ;
- addr_to_core_mem\[6\] ( chip_controller addr_to_core_mem[6] ) + USE SIGNAL ;
- addr_to_core_mem\[7\] ( chip_controller addr_to_core_mem[7] ) + USE SIGNAL ;
- addr_to_core_mem\[8\] ( chip_controller addr_to_core_mem[8] ) + USE SIGNAL ;
- addr_to_core_mem\[9\] ( chip_controller addr_to_core_mem[9] ) + USE SIGNAL ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- clk ( custom_sram clk ) ( core0 clk ) ( chip_controller clk ) + USE SIGNAL
+ ROUTED met2 ( 683790 1620950 ) ( * 1837700 )
NEW met2 ( 1306630 1599700 0 ) ( * 1620950 )
NEW met1 ( 683790 1620950 ) ( 1306630 * )
NEW met2 ( 204010 1469990 ) ( * 1500420 0 )
NEW met1 ( 204010 1469990 ) ( 605590 * )
NEW met1 ( 605590 1835830 ) ( 683790 * )
NEW met3 ( 683790 1837700 ) ( 700580 * 0 )
NEW met2 ( 605590 1469990 ) ( * 1835830 )
NEW met1 ( 605590 1469990 ) M1M2_PR
NEW met2 ( 683790 1837700 ) M2M3_PR_M
NEW met1 ( 683790 1835830 ) M1M2_PR
NEW met1 ( 683790 1620950 ) M1M2_PR
NEW met1 ( 1306630 1620950 ) M1M2_PR
NEW met1 ( 204010 1469990 ) M1M2_PR
NEW met1 ( 605590 1835830 ) M1M2_PR
NEW met2 ( 683790 1835830 ) RECT ( -70 -485 70 0 ) ;
- core0_data_print\[0\] ( core0 hex_out[0] ) ( chip_controller core0_data_print[0] ) + USE SIGNAL
+ ROUTED met2 ( 224710 1488690 ) ( * 1500420 0 )
NEW met2 ( 444590 1488690 ) ( * 1493790 )
NEW met1 ( 224710 1488690 ) ( 444590 * )
NEW met1 ( 444590 1493790 ) ( 1300190 * )
NEW met2 ( 1300190 1493790 ) ( * 1623670 )
NEW met2 ( 1430370 1599700 0 ) ( * 1623670 )
NEW met1 ( 1300190 1623670 ) ( 1430370 * )
NEW met1 ( 224710 1488690 ) M1M2_PR
NEW met1 ( 444590 1488690 ) M1M2_PR
NEW met1 ( 444590 1493790 ) M1M2_PR
NEW met1 ( 1300190 1493790 ) M1M2_PR
NEW met1 ( 1300190 1623670 ) M1M2_PR
NEW met1 ( 1430370 1623670 ) M1M2_PR ;
- core0_data_print\[10\] ( core0 hex_out[10] ) ( chip_controller core0_data_print[10] ) + USE SIGNAL
+ ROUTED met2 ( 276230 1475430 ) ( * 1500420 0 )
NEW met1 ( 276230 1475430 ) ( 1301570 * )
NEW met2 ( 1301570 1475430 ) ( * 1622990 )
NEW met2 ( 1609310 1599700 0 ) ( * 1622990 )
NEW met1 ( 1301570 1622990 ) ( 1609310 * )
NEW met1 ( 276230 1475430 ) M1M2_PR
NEW met1 ( 1301570 1475430 ) M1M2_PR
NEW met1 ( 1301570 1622990 ) M1M2_PR
NEW met1 ( 1609310 1622990 ) M1M2_PR ;
- core0_data_print\[11\] ( core0 hex_out[11] ) ( chip_controller core0_data_print[11] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 485180 0 ) ( 2803010 * )
NEW met3 ( 189060 1586780 ) ( 201020 * 0 )
NEW met2 ( 1303410 1476450 ) ( * 1601740 )
NEW met3 ( 189060 1498380 ) ( 191590 * )
NEW met2 ( 191590 1476450 ) ( * 1498380 )
NEW met4 ( 189060 1498380 ) ( * 1586780 )
NEW met1 ( 191590 1476450 ) ( 1303410 * )
NEW met3 ( 1303410 1601740 ) ( 2803010 * )
NEW met2 ( 2803010 485180 ) ( * 1601740 )
NEW met2 ( 2803010 485180 ) M2M3_PR_M
NEW met3 ( 189060 1586780 ) M3M4_PR_M
NEW met1 ( 1303410 1476450 ) M1M2_PR
NEW met2 ( 1303410 1601740 ) M2M3_PR_M
NEW met3 ( 189060 1498380 ) M3M4_PR_M
NEW met2 ( 191590 1498380 ) M2M3_PR_M
NEW met1 ( 191590 1476450 ) M1M2_PR
NEW met2 ( 2803010 1601740 ) M2M3_PR_M ;
- core0_data_print\[12\] ( core0 hex_out[12] ) ( chip_controller core0_data_print[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1581340 0 ) ( 608810 * )
NEW met2 ( 608810 1581340 ) ( * 1586610 )
NEW met2 ( 1664050 1599700 0 ) ( * 1605990 )
NEW met2 ( 1228430 1586610 ) ( * 1605990 )
NEW met1 ( 608810 1586610 ) ( 1228430 * )
NEW met1 ( 1228430 1605990 ) ( 1664050 * )
NEW met2 ( 608810 1581340 ) M2M3_PR_M
NEW met1 ( 608810 1586610 ) M1M2_PR
NEW met1 ( 1664050 1605990 ) M1M2_PR
NEW met1 ( 1228430 1586610 ) M1M2_PR
NEW met1 ( 1228430 1605990 ) M1M2_PR ;
- core0_data_print\[13\] ( core0 hex_out[13] ) ( chip_controller core0_data_print[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1590860 0 ) ( 608350 * )
NEW met2 ( 608350 1587630 ) ( * 1590860 )
NEW met2 ( 1283630 352580 ) ( * 358530 )
NEW met1 ( 608350 1587630 ) ( 811210 * )
NEW met2 ( 811210 358530 ) ( * 1587630 )
NEW met1 ( 811210 358530 ) ( 1283630 * )
NEW met3 ( 1283630 352580 ) ( 1300420 * 0 )
NEW met2 ( 608350 1590860 ) M2M3_PR_M
NEW met1 ( 608350 1587630 ) M1M2_PR
NEW met1 ( 1283630 358530 ) M1M2_PR
NEW met2 ( 1283630 352580 ) M2M3_PR_M
NEW met1 ( 811210 358530 ) M1M2_PR
NEW met1 ( 811210 1587630 ) M1M2_PR ;
- core0_data_print\[14\] ( core0 hex_out[14] ) ( chip_controller core0_data_print[14] ) + USE SIGNAL
+ ROUTED met2 ( 1561010 99110 ) ( * 100300 0 )
NEW met3 ( 599380 1597660 0 ) ( 608350 * )
NEW met2 ( 608350 1596810 ) ( * 1597660 )
NEW met1 ( 608350 1596810 ) ( 1231650 * )
NEW met2 ( 1231650 99110 ) ( * 1596810 )
NEW met1 ( 1231650 99110 ) ( 1561010 * )
NEW met1 ( 1561010 99110 ) M1M2_PR
NEW met2 ( 608350 1597660 ) M2M3_PR_M
NEW met1 ( 608350 1596810 ) M1M2_PR
NEW met1 ( 1231650 99110 ) M1M2_PR
NEW met1 ( 1231650 1596810 ) M1M2_PR ;
- core0_data_print\[15\] ( core0 hex_out[15] ) ( chip_controller core0_data_print[15] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1601740 0 ) ( 609730 * )
NEW met2 ( 609730 1601740 ) ( * 1607010 )
NEW met3 ( 2799100 547740 0 ) ( 2803470 * )
NEW met1 ( 609730 1607010 ) ( 2803470 * )
NEW met2 ( 2803470 547740 ) ( * 1607010 )
NEW met2 ( 609730 1601740 ) M2M3_PR_M
NEW met1 ( 609730 1607010 ) M1M2_PR
NEW met2 ( 2803470 547740 ) M2M3_PR_M
NEW met1 ( 2803470 1607010 ) M1M2_PR ;
- core0_data_print\[16\] ( core0 hex_out[16] ) ( chip_controller core0_data_print[16] ) + USE SIGNAL
+ ROUTED met3 ( 186530 1616700 ) ( 201020 * 0 )
NEW met2 ( 1760650 1599700 0 ) ( * 1622650 )
NEW met2 ( 1299730 1503650 ) ( * 1622650 )
NEW met1 ( 1299730 1622650 ) ( 1760650 * )
NEW met2 ( 186530 1509940 ) ( 186990 * )
NEW met2 ( 186990 1503650 ) ( * 1509940 )
NEW met2 ( 186530 1509940 ) ( * 1616700 )
NEW met1 ( 186990 1503650 ) ( 1299730 * )
NEW met2 ( 186530 1616700 ) M2M3_PR_M
NEW met1 ( 1760650 1622650 ) M1M2_PR
NEW met1 ( 1299730 1503650 ) M1M2_PR
NEW met1 ( 1299730 1622650 ) M1M2_PR
NEW met1 ( 186990 1503650 ) M1M2_PR ;
- core0_data_print\[17\] ( core0 hex_out[17] ) ( chip_controller core0_data_print[17] ) + USE SIGNAL
+ ROUTED met2 ( 1628630 98770 ) ( * 100300 0 )
NEW met3 ( 599380 1614660 0 ) ( 608810 * )
NEW met2 ( 608810 1614660 ) ( * 1615510 )
NEW met2 ( 1246370 98770 ) ( * 1615510 )
NEW met1 ( 608810 1615510 ) ( 1246370 * )
NEW met1 ( 1246370 98770 ) ( 1628630 * )
NEW met1 ( 1246370 98770 ) M1M2_PR
NEW met1 ( 1628630 98770 ) M1M2_PR
NEW met2 ( 608810 1614660 ) M2M3_PR_M
NEW met1 ( 608810 1615510 ) M1M2_PR
NEW met1 ( 1246370 1615510 ) M1M2_PR ;
- core0_data_print\[18\] ( core0 hex_out[18] ) ( chip_controller core0_data_print[18] ) + USE SIGNAL
+ ROUTED met2 ( 341090 1494470 ) ( * 1500420 0 )
NEW met1 ( 341090 1494470 ) ( 1303870 * )
NEW met2 ( 1303870 1494470 ) ( * 1622310 )
NEW met2 ( 1788250 1599700 0 ) ( * 1622310 )
NEW met1 ( 1303870 1622310 ) ( 1788250 * )
NEW met1 ( 341090 1494470 ) M1M2_PR
NEW met1 ( 1303870 1494470 ) M1M2_PR
NEW met1 ( 1303870 1622310 ) M1M2_PR
NEW met1 ( 1788250 1622310 ) M1M2_PR ;
- core0_data_print\[19\] ( core0 hex_out[19] ) ( chip_controller core0_data_print[19] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1630980 0 ) ( 608350 * )
NEW met2 ( 608350 1628430 ) ( * 1630980 )
NEW met3 ( 2797030 633420 ) ( 2797260 * )
NEW met3 ( 2797260 630700 0 ) ( * 633420 )
NEW met1 ( 608350 1628430 ) ( 2797030 * )
NEW met2 ( 2797030 633420 ) ( * 1628430 )
NEW met2 ( 608350 1630980 ) M2M3_PR_M
NEW met1 ( 608350 1628430 ) M1M2_PR
NEW met1 ( 2797030 1628430 ) M1M2_PR
NEW met2 ( 2797030 633420 ) M2M3_PR_M ;
- core0_data_print\[1\] ( core0 hex_out[1] ) ( chip_controller core0_data_print[1] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1511980 0 ) ( 608810 * )
NEW met2 ( 608810 1511980 ) ( * 1517930 )
NEW met2 ( 1457970 1599700 0 ) ( * 1600890 )
NEW met1 ( 608810 1517930 ) ( 1225670 * )
NEW met2 ( 1225670 1517930 ) ( * 1600890 )
NEW met1 ( 1225670 1600890 ) ( 1457970 * )
NEW met2 ( 608810 1511980 ) M2M3_PR_M
NEW met1 ( 608810 1517930 ) M1M2_PR
NEW met1 ( 1457970 1600890 ) M1M2_PR
NEW met1 ( 1225670 1517930 ) M1M2_PR
NEW met1 ( 1225670 1600890 ) M1M2_PR ;
- core0_data_print\[20\] ( core0 hex_out[20] ) ( chip_controller core0_data_print[20] ) + USE SIGNAL
+ ROUTED met2 ( 1693950 103020 ) ( 1695790 * 0 )
NEW met2 ( 1693950 102850 ) ( * 103020 )
NEW li1 ( 1693950 102850 ) ( * 104890 )
NEW met1 ( 350290 1489030 ) ( 362250 * )
NEW met2 ( 350290 1489030 ) ( * 1490900 )
NEW met2 ( 350290 1490900 ) ( 350750 * )
NEW met2 ( 350750 1490900 ) ( * 1500420 0 )
NEW met2 ( 362250 104890 ) ( * 1489030 )
NEW met1 ( 362250 104890 ) ( 1693950 * )
NEW met1 ( 362250 104890 ) M1M2_PR
NEW li1 ( 1693950 102850 ) L1M1_PR_MR
NEW met1 ( 1693950 102850 ) M1M2_PR
NEW li1 ( 1693950 104890 ) L1M1_PR_MR
NEW met1 ( 362250 1489030 ) M1M2_PR
NEW met1 ( 350290 1489030 ) M1M2_PR
NEW met1 ( 1693950 102850 ) RECT ( -355 -70 0 70 ) ;
- core0_data_print\[21\] ( core0 hex_out[21] ) ( chip_controller core0_data_print[21] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 693260 0 ) ( 2809910 * )
NEW met2 ( 2809450 1628400 ) ( 2809910 * )
NEW met2 ( 2809910 1628400 ) ( * 1769700 )
NEW met2 ( 332810 1899580 0 ) ( * 1917940 )
NEW met2 ( 2809450 1607700 ) ( * 1628400 )
NEW met2 ( 2809450 1607700 ) ( 2809910 * )
NEW met2 ( 2809910 693260 ) ( * 1607700 )
NEW met3 ( 332810 1917940 ) ( 603980 * )
NEW met3 ( 603980 1769700 ) ( 2809910 * )
NEW met4 ( 603980 1769700 ) ( * 1917940 )
NEW met2 ( 2809910 693260 ) M2M3_PR_M
NEW met2 ( 2809910 1769700 ) M2M3_PR_M
NEW met2 ( 332810 1917940 ) M2M3_PR_M
NEW met3 ( 603980 1917940 ) M3M4_PR_M
NEW met3 ( 603980 1769700 ) M3M4_PR_M ;
- core0_data_print\[22\] ( core0 hex_out[22] ) ( chip_controller core0_data_print[22] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1635570 ) ( * 1641180 )
NEW met3 ( 188830 1641180 ) ( 201020 * 0 )
NEW met2 ( 1284090 596020 ) ( * 617270 )
NEW met1 ( 158930 1635570 ) ( 188830 * )
NEW met3 ( 1284090 596020 ) ( 1300420 * 0 )
NEW met1 ( 158930 617270 ) ( 1284090 * )
NEW met2 ( 158930 617270 ) ( * 1635570 )
NEW met1 ( 188830 1635570 ) M1M2_PR
NEW met2 ( 188830 1641180 ) M2M3_PR_M
NEW met2 ( 1284090 596020 ) M2M3_PR_M
NEW met1 ( 1284090 617270 ) M1M2_PR
NEW met1 ( 158930 1635570 ) M1M2_PR
NEW met1 ( 158930 617270 ) M1M2_PR ;
- core0_data_print\[23\] ( core0 hex_out[23] ) ( chip_controller core0_data_print[23] ) + USE SIGNAL
+ ROUTED met2 ( 1727990 103020 ) ( 1729830 * 0 )
NEW met2 ( 1727990 102850 ) ( * 103020 )
NEW li1 ( 1727990 102850 ) ( * 105230 )
NEW met2 ( 382950 105230 ) ( * 1484950 )
NEW met2 ( 371450 1484950 ) ( * 1500420 0 )
NEW met1 ( 371450 1484950 ) ( 382950 * )
NEW met1 ( 382950 105230 ) ( 1727990 * )
NEW met1 ( 382950 105230 ) M1M2_PR
NEW li1 ( 1727990 102850 ) L1M1_PR_MR
NEW met1 ( 1727990 102850 ) M1M2_PR
NEW li1 ( 1727990 105230 ) L1M1_PR_MR
NEW met1 ( 382950 1484950 ) M1M2_PR
NEW met1 ( 371450 1484950 ) M1M2_PR
NEW met1 ( 1727990 102850 ) RECT ( -355 -70 0 70 ) ;
- core0_data_print\[24\] ( core0 hex_out[24] ) ( chip_controller core0_data_print[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1647300 0 ) ( 609270 * )
NEW met2 ( 609270 1643050 ) ( * 1647300 )
NEW met2 ( 1283630 652460 ) ( * 655350 )
NEW met1 ( 609270 1643050 ) ( 831910 * )
NEW met2 ( 831910 655350 ) ( * 1643050 )
NEW met1 ( 831910 655350 ) ( 1283630 * )
NEW met3 ( 1283630 652460 ) ( 1300420 * 0 )
NEW met2 ( 609270 1647300 ) M2M3_PR_M
NEW met1 ( 609270 1643050 ) M1M2_PR
NEW met1 ( 1283630 655350 ) M1M2_PR
NEW met2 ( 1283630 652460 ) M2M3_PR_M
NEW met1 ( 831910 1643050 ) M1M2_PR
NEW met1 ( 831910 655350 ) M1M2_PR ;
- core0_data_print\[25\] ( core0 hex_out[25] ) ( chip_controller core0_data_print[25] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1656820 0 ) ( 608350 * )
NEW met2 ( 608350 1656820 ) ( * 1657330 )
NEW met2 ( 1283630 689690 ) ( * 689860 )
NEW met1 ( 608350 1657330 ) ( 1031550 * )
NEW met1 ( 1031550 689690 ) ( 1283630 * )
NEW met3 ( 1283630 689860 ) ( 1300420 * 0 )
NEW met2 ( 1031550 689690 ) ( * 1657330 )
NEW met2 ( 608350 1656820 ) M2M3_PR_M
NEW met1 ( 608350 1657330 ) M1M2_PR
NEW met1 ( 1283630 689690 ) M1M2_PR
NEW met2 ( 1283630 689860 ) M2M3_PR_M
NEW met1 ( 1031550 689690 ) M1M2_PR
NEW met1 ( 1031550 1657330 ) M1M2_PR ;
- core0_data_print\[26\] ( core0 hex_out[26] ) ( chip_controller core0_data_print[26] ) + USE SIGNAL
+ ROUTED met3 ( 189980 1654780 ) ( 201020 * 0 )
NEW met3 ( 2799100 776900 0 ) ( 2804390 * )
NEW met2 ( 1302490 1476110 ) ( * 1603610 )
NEW met3 ( 189980 1497700 ) ( 190210 * )
NEW met2 ( 190210 1476110 ) ( * 1497700 )
NEW met4 ( 189980 1497700 ) ( * 1654780 )
NEW met1 ( 190210 1476110 ) ( 1302490 * )
NEW met1 ( 1302490 1603610 ) ( 2804390 * )
NEW met2 ( 2804390 776900 ) ( * 1603610 )
NEW met3 ( 189980 1654780 ) M3M4_PR_M
NEW met2 ( 2804390 776900 ) M2M3_PR_M
NEW met1 ( 1302490 1476110 ) M1M2_PR
NEW met1 ( 1302490 1603610 ) M1M2_PR
NEW met3 ( 189980 1497700 ) M3M4_PR_M
NEW met2 ( 190210 1497700 ) M2M3_PR_M
NEW met1 ( 190210 1476110 ) M1M2_PR
NEW met1 ( 2804390 1603610 ) M1M2_PR
NEW met3 ( 189980 1497700 ) RECT ( -390 -150 0 150 ) ;
- core0_data_print\[27\] ( core0 hex_out[27] ) ( chip_controller core0_data_print[27] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 797980 0 ) ( 2810830 * )
NEW met2 ( 365010 1899580 0 ) ( * 1916750 )
NEW met2 ( 2810830 797980 ) ( * 1769870 )
NEW met1 ( 365010 1916750 ) ( 603290 * )
NEW met1 ( 602370 1769870 ) ( 2810830 * )
NEW met2 ( 602370 1871700 ) ( 603290 * )
NEW met2 ( 602370 1769870 ) ( * 1871700 )
NEW met2 ( 603290 1871700 ) ( * 1916750 )
NEW met2 ( 2810830 797980 ) M2M3_PR_M
NEW met1 ( 2810830 1769870 ) M1M2_PR
NEW met1 ( 365010 1916750 ) M1M2_PR
NEW met1 ( 603290 1916750 ) M1M2_PR
NEW met1 ( 602370 1769870 ) M1M2_PR ;
- core0_data_print\[28\] ( core0 hex_out[28] ) ( chip_controller core0_data_print[28] ) + USE SIGNAL
+ ROUTED met3 ( 195270 1667700 ) ( 201020 * 0 )
NEW met2 ( 1796990 97410 ) ( * 100300 0 )
NEW met2 ( 195270 97410 ) ( * 1667700 )
NEW met1 ( 195270 97410 ) ( 1796990 * )
NEW met1 ( 195270 97410 ) M1M2_PR
NEW met2 ( 195270 1667700 ) M2M3_PR_M
NEW met1 ( 1796990 97410 ) M1M2_PR ;
- core0_data_print\[29\] ( core0 hex_out[29] ) ( chip_controller core0_data_print[29] ) + USE SIGNAL
+ ROUTED met1 ( 190670 1648830 ) ( 192510 * )
NEW met2 ( 192510 1648830 ) ( * 1672460 )
NEW met3 ( 192510 1672460 ) ( 201020 * 0 )
NEW met2 ( 1925790 1599700 0 ) ( * 1621970 )
NEW met2 ( 1298810 1505350 ) ( * 1621970 )
NEW met1 ( 1298810 1621970 ) ( 1925790 * )
NEW met2 ( 190670 1505350 ) ( * 1648830 )
NEW met1 ( 190670 1505350 ) ( 1298810 * )
NEW met1 ( 190670 1648830 ) M1M2_PR
NEW met1 ( 192510 1648830 ) M1M2_PR
NEW met2 ( 192510 1672460 ) M2M3_PR_M
NEW met1 ( 1925790 1621970 ) M1M2_PR
NEW met1 ( 1298810 1505350 ) M1M2_PR
NEW met1 ( 1298810 1621970 ) M1M2_PR
NEW met1 ( 190670 1505350 ) M1M2_PR ;
- core0_data_print\[2\] ( core0 hex_out[2] ) ( chip_controller core0_data_print[2] ) + USE SIGNAL
+ ROUTED met3 ( 201020 1515380 ) ( * 1516740 0 )
NEW met2 ( 1325030 100980 0 ) ( 1325490 * )
NEW met3 ( 1325260 100980 ) ( 1325490 * )
NEW met4 ( 1325260 100980 ) ( * 105740 )
NEW met3 ( 201020 1486820 ) ( 204700 * )
NEW met4 ( 201020 1486820 ) ( * 1515380 )
NEW met4 ( 204700 105740 ) ( * 1486820 )
NEW met3 ( 204700 105740 ) ( 1325260 * )
NEW met3 ( 204700 105740 ) M3M4_PR_M
NEW met3 ( 201020 1515380 ) M3M4_PR_M
NEW met2 ( 1325490 100980 ) M2M3_PR_M
NEW met3 ( 1325260 100980 ) M3M4_PR_M
NEW met3 ( 1325260 105740 ) M3M4_PR_M
NEW met3 ( 201020 1486820 ) M3M4_PR_M
NEW met3 ( 204700 1486820 ) M3M4_PR_M
NEW met3 ( 1325490 100980 ) RECT ( 0 -150 390 150 ) ;
- core0_data_print\[30\] ( core0 hex_out[30] ) ( chip_controller core0_data_print[30] ) + USE SIGNAL
+ ROUTED met1 ( 1283630 1533230 ) ( 1284550 * )
NEW met1 ( 190210 1530850 ) ( 191590 * )
NEW met2 ( 191590 1518780 ) ( * 1530850 )
NEW met3 ( 191590 1518780 ) ( 200100 * )
NEW met3 ( 190210 1679940 ) ( 201020 * 0 )
NEW met2 ( 190210 1530850 ) ( * 1679940 )
NEW met2 ( 1283630 1499060 ) ( * 1533230 )
NEW met1 ( 1283170 1588310 ) ( 1284550 * )
NEW met2 ( 1283170 1588310 ) ( * 1593580 )
NEW met2 ( 1283170 1593580 ) ( 1283630 * )
NEW met2 ( 1283630 1593580 ) ( * 1620270 )
NEW met2 ( 1284550 1533230 ) ( * 1588310 )
NEW met3 ( 227700 1498380 ) ( * 1499060 )
NEW met3 ( 227700 1499060 ) ( 1283630 * )
NEW met2 ( 1967190 1599700 0 ) ( * 1620270 )
NEW met1 ( 1283630 1620270 ) ( 1967190 * )
NEW met4 ( 200100 1498380 ) ( * 1518780 )
NEW met3 ( 200100 1498380 ) ( 227700 * )
NEW met1 ( 1283630 1533230 ) M1M2_PR
NEW met1 ( 1284550 1533230 ) M1M2_PR
NEW met1 ( 190210 1530850 ) M1M2_PR
NEW met1 ( 191590 1530850 ) M1M2_PR
NEW met2 ( 191590 1518780 ) M2M3_PR_M
NEW met3 ( 200100 1518780 ) M3M4_PR_M
NEW met2 ( 190210 1679940 ) M2M3_PR_M
NEW met2 ( 1283630 1499060 ) M2M3_PR_M
NEW met1 ( 1284550 1588310 ) M1M2_PR
NEW met1 ( 1283170 1588310 ) M1M2_PR
NEW met1 ( 1283630 1620270 ) M1M2_PR
NEW met1 ( 1967190 1620270 ) M1M2_PR
NEW met3 ( 200100 1498380 ) M3M4_PR_M ;
- core0_data_print\[31\] ( core0 hex_out[31] ) ( chip_controller core0_data_print[31] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1694900 0 ) ( 608350 * )
NEW met2 ( 608350 1690990 ) ( * 1694900 )
NEW met1 ( 608350 1690990 ) ( 942770 * )
NEW met2 ( 942770 1626730 ) ( * 1690990 )
NEW met2 ( 1994330 1599700 0 ) ( * 1626730 )
NEW met1 ( 942770 1626730 ) ( 1994330 * )
NEW met2 ( 608350 1694900 ) M2M3_PR_M
NEW met1 ( 608350 1690990 ) M1M2_PR
NEW met1 ( 942770 1626730 ) M1M2_PR
NEW met1 ( 942770 1690990 ) M1M2_PR
NEW met1 ( 1994330 1626730 ) M1M2_PR ;
- core0_data_print\[3\] ( core0 hex_out[3] ) ( chip_controller core0_data_print[3] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1522860 0 ) ( 607430 * )
NEW met2 ( 607430 1522860 ) ( * 1524730 )
NEW met2 ( 1257410 1524730 ) ( * 1601230 )
NEW met1 ( 607430 1524730 ) ( 1257410 * )
NEW met2 ( 1485110 1599700 0 ) ( * 1601230 )
NEW met1 ( 1257410 1601230 ) ( 1485110 * )
NEW met2 ( 607430 1522860 ) M2M3_PR_M
NEW met1 ( 607430 1524730 ) M1M2_PR
NEW met1 ( 1257410 1524730 ) M1M2_PR
NEW met1 ( 1257410 1601230 ) M1M2_PR
NEW met1 ( 1485110 1601230 ) M1M2_PR ;
- core0_data_print\[4\] ( core0 hex_out[4] ) ( chip_controller core0_data_print[4] ) + USE SIGNAL
+ ROUTED met2 ( 1373790 102340 ) ( 1375630 * 0 )
NEW met2 ( 1373790 102340 ) ( * 102510 )
NEW li1 ( 1373790 102510 ) ( * 104210 )
NEW li1 ( 203550 1911650 ) ( * 1914370 )
NEW met1 ( 159850 1914370 ) ( 203550 * )
NEW met2 ( 227930 1899580 0 ) ( * 1911650 )
NEW met1 ( 203550 1911650 ) ( 227930 * )
NEW met2 ( 159850 104210 ) ( * 1914370 )
NEW met1 ( 159850 104210 ) ( 1373790 * )
NEW li1 ( 1373790 102510 ) L1M1_PR_MR
NEW met1 ( 1373790 102510 ) M1M2_PR
NEW li1 ( 1373790 104210 ) L1M1_PR_MR
NEW li1 ( 203550 1914370 ) L1M1_PR_MR
NEW li1 ( 203550 1911650 ) L1M1_PR_MR
NEW met1 ( 159850 104210 ) M1M2_PR
NEW met1 ( 159850 1914370 ) M1M2_PR
NEW met1 ( 227930 1911650 ) M1M2_PR
NEW met1 ( 1373790 102510 ) RECT ( -355 -70 0 70 ) ;
- core0_data_print\[5\] ( core0 hex_out[5] ) ( chip_controller core0_data_print[5] ) + USE SIGNAL
+ ROUTED met2 ( 103270 103190 ) ( * 1912500 )
NEW met2 ( 2810370 179400 ) ( 2810830 * )
NEW met2 ( 2810830 103190 ) ( * 179400 )
NEW met3 ( 2799100 234940 0 ) ( 2810370 * )
NEW met2 ( 2810370 179400 ) ( * 234940 )
NEW met2 ( 239430 1899580 0 ) ( * 1912500 )
NEW met3 ( 103270 1912500 ) ( 239430 * )
NEW met1 ( 103270 103190 ) ( 2810830 * )
NEW met1 ( 103270 103190 ) M1M2_PR
NEW met1 ( 2810830 103190 ) M1M2_PR
NEW met2 ( 103270 1912500 ) M2M3_PR_M
NEW met2 ( 2810370 234940 ) M2M3_PR_M
NEW met2 ( 239430 1912500 ) M2M3_PR_M ;
- core0_data_print\[6\] ( core0 hex_out[6] ) ( chip_controller core0_data_print[6] ) + USE SIGNAL
+ ROUTED met1 ( 2795190 282710 ) ( 2797030 * )
NEW met2 ( 2797030 279820 ) ( * 282710 )
NEW met3 ( 2797030 279820 ) ( 2797260 * )
NEW met3 ( 2797260 277100 0 ) ( * 279820 )
NEW met1 ( 253690 1460470 ) ( 1302030 * )
NEW met2 ( 253690 1460470 ) ( * 1500420 0 )
NEW met2 ( 1302030 1460470 ) ( * 1595620 )
NEW met2 ( 2795650 1591540 ) ( * 1595620 )
NEW met2 ( 2795190 1591540 ) ( 2795650 * )
NEW met3 ( 1302030 1595620 ) ( 2795650 * )
NEW met2 ( 2795190 282710 ) ( * 1591540 )
NEW met1 ( 2795190 282710 ) M1M2_PR
NEW met1 ( 2797030 282710 ) M1M2_PR
NEW met2 ( 2797030 279820 ) M2M3_PR_M
NEW met1 ( 253690 1460470 ) M1M2_PR
NEW met1 ( 1302030 1460470 ) M1M2_PR
NEW met2 ( 1302030 1595620 ) M2M3_PR_M
NEW met2 ( 2795650 1595620 ) M2M3_PR_M ;
- core0_data_print\[7\] ( core0 hex_out[7] ) ( chip_controller core0_data_print[7] ) + USE SIGNAL
+ ROUTED met2 ( 1422090 100300 ) ( 1426230 * 0 )
NEW met2 ( 247250 1899580 0 ) ( * 1915220 )
NEW met3 ( 174110 1915220 ) ( 247250 * )
NEW met2 ( 1422090 78030 ) ( * 100300 )
NEW met2 ( 174110 78030 ) ( * 1915220 )
NEW met1 ( 174110 78030 ) ( 1422090 * )
NEW met1 ( 174110 78030 ) M1M2_PR
NEW met2 ( 174110 1915220 ) M2M3_PR_M
NEW met2 ( 247250 1915220 ) M2M3_PR_M
NEW met1 ( 1422090 78030 ) M1M2_PR ;
- core0_data_print\[8\] ( core0 hex_out[8] ) ( chip_controller core0_data_print[8] ) + USE SIGNAL
+ ROUTED met3 ( 195500 1565700 ) ( 201020 * 0 )
NEW met2 ( 1285010 1475260 ) ( * 1594940 )
NEW met3 ( 2799100 360060 0 ) ( 2809220 * )
NEW met4 ( 195500 1475260 ) ( * 1565700 )
NEW met3 ( 195500 1475260 ) ( 1285010 * )
NEW met3 ( 1285010 1594940 ) ( 2809220 * )
NEW met4 ( 2809220 360060 ) ( * 1594940 )
NEW met3 ( 195500 1565700 ) M3M4_PR_M
NEW met2 ( 1285010 1475260 ) M2M3_PR_M
NEW met2 ( 1285010 1594940 ) M2M3_PR_M
NEW met3 ( 2809220 360060 ) M3M4_PR_M
NEW met3 ( 195500 1475260 ) M3M4_PR_M
NEW met3 ( 2809220 1594940 ) M3M4_PR_M ;
- core0_data_print\[9\] ( core0 hex_out[9] ) ( chip_controller core0_data_print[9] ) + USE SIGNAL
+ ROUTED met2 ( 1442330 102340 ) ( 1443250 * 0 )
NEW met2 ( 1442330 102340 ) ( * 102510 )
NEW li1 ( 1442330 102510 ) ( * 103870 )
NEW met2 ( 287270 103870 ) ( * 1483930 )
NEW met2 ( 271630 1483930 ) ( * 1500420 0 )
NEW met1 ( 271630 1483930 ) ( 287270 * )
NEW met1 ( 287270 103870 ) ( 1442330 * )
NEW met1 ( 287270 103870 ) M1M2_PR
NEW li1 ( 1442330 102510 ) L1M1_PR_MR
NEW met1 ( 1442330 102510 ) M1M2_PR
NEW li1 ( 1442330 103870 ) L1M1_PR_MR
NEW met1 ( 287270 1483930 ) M1M2_PR
NEW met1 ( 271630 1483930 ) M1M2_PR
NEW met1 ( 1442330 102510 ) RECT ( -355 -70 0 70 ) ;
- core0_is_mem_we ( core0 is_memory_we ) ( chip_controller we ) + USE SIGNAL
+ ROUTED met2 ( 218270 1489710 ) ( * 1500420 0 )
NEW met2 ( 1284090 1493110 ) ( * 1594090 )
NEW li1 ( 266570 1489030 ) ( * 1489710 )
NEW met1 ( 266570 1489030 ) ( 276000 * )
NEW met1 ( 276000 1489030 ) ( * 1489710 )
NEW met1 ( 218270 1489710 ) ( 266570 * )
NEW met2 ( 523250 1489710 ) ( * 1493110 )
NEW met1 ( 276000 1489710 ) ( 523250 * )
NEW met1 ( 523250 1493110 ) ( 1284090 * )
NEW li1 ( 1415190 1594090 ) ( * 1596810 )
NEW met2 ( 1415190 1596810 ) ( * 1596980 )
NEW met2 ( 1415190 1596980 ) ( 1416570 * 0 )
NEW met1 ( 1284090 1594090 ) ( 1415190 * )
NEW met1 ( 218270 1489710 ) M1M2_PR
NEW met1 ( 1284090 1493110 ) M1M2_PR
NEW met1 ( 1284090 1594090 ) M1M2_PR
NEW li1 ( 266570 1489710 ) L1M1_PR_MR
NEW li1 ( 266570 1489030 ) L1M1_PR_MR
NEW met1 ( 523250 1489710 ) M1M2_PR
NEW met1 ( 523250 1493110 ) M1M2_PR
NEW li1 ( 1415190 1594090 ) L1M1_PR_MR
NEW li1 ( 1415190 1596810 ) L1M1_PR_MR
NEW met1 ( 1415190 1596810 ) M1M2_PR
NEW met1 ( 1415190 1596810 ) RECT ( -355 -70 0 70 ) ;
- core0_need_reset_mem_req ( core0 is_mem_req_reset ) ( chip_controller reset_mem_req ) + USE SIGNAL
+ ROUTED met1 ( 221490 1490390 ) ( 227010 * )
NEW met2 ( 221490 1490390 ) ( * 1500420 0 )
NEW met2 ( 227010 110330 ) ( * 1490390 )
NEW met2 ( 1283630 109140 ) ( * 110330 )
NEW met3 ( 1283630 109140 ) ( 1300420 * 0 )
NEW met1 ( 227010 110330 ) ( 1283630 * )
NEW met1 ( 227010 110330 ) M1M2_PR
NEW met1 ( 227010 1490390 ) M1M2_PR
NEW met1 ( 221490 1490390 ) M1M2_PR
NEW met1 ( 1283630 110330 ) M1M2_PR
NEW met2 ( 1283630 109140 ) M2M3_PR_M ;
- core0_to_mem_address\[0\] ( core0 mem_addr_out[0] ) ( chip_controller addr_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 2797030 102340 ) ( * 107780 )
NEW met3 ( 2797030 107780 ) ( 2797260 * )
NEW met3 ( 2797260 107780 ) ( * 110500 0 )
NEW met2 ( 200790 1899580 0 ) ( * 1911310 )
NEW met2 ( 135930 102340 ) ( * 1911310 )
NEW met1 ( 135930 1911310 ) ( 200790 * )
NEW met3 ( 135930 102340 ) ( 2797030 * )
NEW met2 ( 2797030 102340 ) M2M3_PR_M
NEW met2 ( 2797030 107780 ) M2M3_PR_M
NEW met1 ( 200790 1911310 ) M1M2_PR
NEW met2 ( 135930 102340 ) M2M3_PR_M
NEW met1 ( 135930 1911310 ) M1M2_PR ;
- core0_to_mem_address\[10\] ( core0 mem_addr_out[10] ) ( chip_controller addr_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 1285470 1468630 ) ( * 1599870 )
NEW met1 ( 274850 1468630 ) ( 1285470 * )
NEW met2 ( 274850 1468630 ) ( * 1500420 0 )
NEW met2 ( 1621730 1599700 ) ( 1623110 * 0 )
NEW met2 ( 1621730 1599700 ) ( * 1599870 )
NEW met1 ( 1285470 1599870 ) ( 1621730 * )
NEW met1 ( 1285470 1468630 ) M1M2_PR
NEW met1 ( 1285470 1599870 ) M1M2_PR
NEW met1 ( 274850 1468630 ) M1M2_PR
NEW met1 ( 1621730 1599870 ) M1M2_PR ;
- core0_to_mem_address\[11\] ( core0 mem_addr_out[11] ) ( chip_controller addr_in[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1572500 0 ) ( 608810 * )
NEW met2 ( 608810 1567230 ) ( * 1572500 )
NEW met2 ( 1283630 315180 ) ( * 317390 )
NEW met2 ( 894010 317390 ) ( * 1567230 )
NEW met1 ( 608810 1567230 ) ( 894010 * )
NEW met1 ( 894010 317390 ) ( 1283630 * )
NEW met3 ( 1283630 315180 ) ( 1300420 * 0 )
NEW met2 ( 608810 1572500 ) M2M3_PR_M
NEW met1 ( 608810 1567230 ) M1M2_PR
NEW met1 ( 894010 317390 ) M1M2_PR
NEW met1 ( 894010 1567230 ) M1M2_PR
NEW met1 ( 1283630 317390 ) M1M2_PR
NEW met2 ( 1283630 315180 ) M2M3_PR_M ;
- core0_to_mem_address\[12\] ( core0 mem_addr_out[12] ) ( chip_controller addr_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 1510410 84830 ) ( * 100300 0 )
NEW met2 ( 273010 1899580 0 ) ( * 1904170 )
NEW met1 ( 273010 1904170 ) ( 704490 * )
NEW met1 ( 704950 84830 ) ( 1510410 * )
NEW li1 ( 704490 1871190 ) ( * 1875610 )
NEW met1 ( 704490 1871190 ) ( 704950 * )
NEW met2 ( 704490 1875610 ) ( * 1904170 )
NEW met2 ( 704950 84830 ) ( * 1871190 )
NEW met1 ( 704950 84830 ) M1M2_PR
NEW met1 ( 1510410 84830 ) M1M2_PR
NEW met1 ( 273010 1904170 ) M1M2_PR
NEW met1 ( 704490 1904170 ) M1M2_PR
NEW li1 ( 704490 1875610 ) L1M1_PR_MR
NEW met1 ( 704490 1875610 ) M1M2_PR
NEW li1 ( 704490 1871190 ) L1M1_PR_MR
NEW met1 ( 704950 1871190 ) M1M2_PR
NEW met1 ( 704490 1875610 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_address\[13\] ( core0 mem_addr_out[13] ) ( chip_controller addr_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 297390 1468290 ) ( * 1500420 0 )
NEW met2 ( 1286390 1468290 ) ( * 1606330 )
NEW met1 ( 297390 1468290 ) ( 1286390 * )
NEW met2 ( 1691650 1599700 0 ) ( * 1606330 )
NEW met1 ( 1286390 1606330 ) ( 1691650 * )
NEW met1 ( 297390 1468290 ) M1M2_PR
NEW met1 ( 1286390 1468290 ) M1M2_PR
NEW met1 ( 1286390 1606330 ) M1M2_PR
NEW met1 ( 1691650 1606330 ) M1M2_PR ;
- core0_to_mem_address\[14\] ( core0 mem_addr_out[14] ) ( chip_controller addr_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 1576190 103020 ) ( 1578030 * 0 )
NEW met2 ( 1576190 102850 ) ( * 103020 )
NEW li1 ( 1576190 102850 ) ( * 105570 )
NEW met2 ( 94990 105570 ) ( * 1594090 )
NEW met2 ( 188830 1594090 ) ( * 1599020 )
NEW met3 ( 188830 1599020 ) ( 201020 * 0 )
NEW met1 ( 94990 1594090 ) ( 188830 * )
NEW met1 ( 94990 105570 ) ( 1576190 * )
NEW met1 ( 94990 105570 ) M1M2_PR
NEW li1 ( 1576190 102850 ) L1M1_PR_MR
NEW met1 ( 1576190 102850 ) M1M2_PR
NEW li1 ( 1576190 105570 ) L1M1_PR_MR
NEW met1 ( 94990 1594090 ) M1M2_PR
NEW met1 ( 188830 1594090 ) M1M2_PR
NEW met2 ( 188830 1599020 ) M2M3_PR_M
NEW met1 ( 1576190 102850 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_address\[15\] ( core0 mem_addr_out[15] ) ( chip_controller addr_in[15] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 568820 0 ) ( 2808300 * )
NEW met2 ( 292330 1899580 0 ) ( * 1915220 )
NEW met4 ( 2808300 568820 ) ( * 1804380 )
NEW met3 ( 292330 1915220 ) ( 602140 * )
NEW met3 ( 602140 1804380 ) ( 2808300 * )
NEW met4 ( 602140 1804380 ) ( * 1915220 )
NEW met3 ( 2808300 568820 ) M3M4_PR_M
NEW met2 ( 292330 1915220 ) M2M3_PR_M
NEW met3 ( 2808300 1804380 ) M3M4_PR_M
NEW met3 ( 602140 1804380 ) M3M4_PR_M
NEW met3 ( 602140 1915220 ) M3M4_PR_M ;
- core0_to_mem_address\[16\] ( core0 mem_addr_out[16] ) ( chip_controller addr_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 389980 ) ( * 393210 )
NEW met1 ( 319930 1490390 ) ( 323610 * )
NEW met2 ( 319930 1490390 ) ( * 1500420 0 )
NEW met2 ( 323610 393210 ) ( * 1490390 )
NEW met1 ( 323610 393210 ) ( 1283630 * )
NEW met3 ( 1283630 389980 ) ( 1300420 * 0 )
NEW met1 ( 323610 393210 ) M1M2_PR
NEW met1 ( 1283630 393210 ) M1M2_PR
NEW met2 ( 1283630 389980 ) M2M3_PR_M
NEW met1 ( 323610 1490390 ) M1M2_PR
NEW met1 ( 319930 1490390 ) M1M2_PR ;
- core0_to_mem_address\[17\] ( core0 mem_addr_out[17] ) ( chip_controller addr_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 464780 ) ( * 469030 )
NEW met1 ( 331430 1490390 ) ( 336950 * )
NEW met2 ( 331430 1490390 ) ( * 1500420 0 )
NEW met2 ( 336950 469030 ) ( * 1490390 )
NEW met1 ( 336950 469030 ) ( 1283630 * )
NEW met3 ( 1283630 464780 ) ( 1300420 * 0 )
NEW met1 ( 1283630 469030 ) M1M2_PR
NEW met2 ( 1283630 464780 ) M2M3_PR_M
NEW met1 ( 336950 469030 ) M1M2_PR
NEW met1 ( 336950 1490390 ) M1M2_PR
NEW met1 ( 331430 1490390 ) M1M2_PR ;
- core0_to_mem_address\[18\] ( core0 mem_addr_out[18] ) ( chip_controller addr_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 502860 ) ( * 503370 )
NEW met2 ( 307050 1899580 0 ) ( * 1905870 )
NEW met2 ( 682410 503370 ) ( * 1905870 )
NEW met1 ( 682410 503370 ) ( 1283630 * )
NEW met3 ( 1283630 502860 ) ( 1300420 * 0 )
NEW met1 ( 307050 1905870 ) ( 682410 * )
NEW met1 ( 682410 503370 ) M1M2_PR
NEW met1 ( 1283630 503370 ) M1M2_PR
NEW met2 ( 1283630 502860 ) M2M3_PR_M
NEW met1 ( 307050 1905870 ) M1M2_PR
NEW met1 ( 682410 1905870 ) M1M2_PR ;
- core0_to_mem_address\[19\] ( core0 mem_addr_out[19] ) ( chip_controller addr_in[19] ) + USE SIGNAL
+ ROUTED met2 ( 315790 1899410 ) ( * 1899580 )
NEW met2 ( 315330 1899580 0 ) ( 315790 * )
NEW met2 ( 1283630 540260 ) ( * 544850 )
NEW met1 ( 315790 1899410 ) ( 324300 * )
NEW met1 ( 324300 1899410 ) ( * 1899750 )
NEW met1 ( 324300 1899750 ) ( 372600 * )
NEW met1 ( 372600 1898730 ) ( * 1899750 )
NEW met1 ( 641470 544850 ) ( 1283630 * )
NEW met3 ( 1283630 540260 ) ( 1300420 * 0 )
NEW li1 ( 613870 1898730 ) ( * 1900090 )
NEW met1 ( 613870 1900090 ) ( 616630 * )
NEW met1 ( 616630 1900090 ) ( * 1900430 )
NEW met1 ( 616630 1900430 ) ( 641470 * )
NEW met1 ( 372600 1898730 ) ( 613870 * )
NEW met2 ( 641470 544850 ) ( * 1900430 )
NEW met1 ( 315790 1899410 ) M1M2_PR
NEW met1 ( 1283630 544850 ) M1M2_PR
NEW met2 ( 1283630 540260 ) M2M3_PR_M
NEW met1 ( 641470 544850 ) M1M2_PR
NEW li1 ( 613870 1898730 ) L1M1_PR_MR
NEW li1 ( 613870 1900090 ) L1M1_PR_MR
NEW met1 ( 641470 1900430 ) M1M2_PR ;
- core0_to_mem_address\[1\] ( core0 mem_addr_out[1] ) ( chip_controller addr_in[1] ) + USE SIGNAL
+ ROUTED met3 ( 191590 1513340 ) ( 201020 * 0 )
NEW met2 ( 355810 83470 ) ( * 1500250 )
NEW met1 ( 191590 1499910 ) ( 204930 * )
NEW met1 ( 204930 1499910 ) ( * 1500250 )
NEW met2 ( 191590 1499910 ) ( * 1513340 )
NEW met1 ( 204930 1500250 ) ( 355810 * )
NEW met1 ( 355810 83470 ) ( 1269600 * )
NEW met1 ( 1269600 83130 ) ( * 83470 )
NEW met1 ( 1269600 83130 ) ( 1308470 * )
NEW met2 ( 1308470 83130 ) ( * 100300 0 )
NEW met2 ( 191590 1513340 ) M2M3_PR_M
NEW met1 ( 355810 83470 ) M1M2_PR
NEW met1 ( 355810 1500250 ) M1M2_PR
NEW met1 ( 191590 1499910 ) M1M2_PR
NEW met1 ( 1308470 83130 ) M1M2_PR ;
- core0_to_mem_address\[2\] ( core0 mem_addr_out[2] ) ( chip_controller addr_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 1340670 102340 ) ( * 102510 )
NEW met2 ( 1340670 102340 ) ( 1342050 * 0 )
NEW met3 ( 599380 1515380 0 ) ( 607430 * )
NEW met2 ( 607430 1511130 ) ( * 1515380 )
NEW met2 ( 1086750 99450 ) ( * 1511130 )
NEW met1 ( 607430 1511130 ) ( 1086750 * )
NEW li1 ( 1293750 99450 ) ( * 102510 )
NEW met1 ( 1086750 99450 ) ( 1293750 * )
NEW met1 ( 1293750 102510 ) ( 1340670 * )
NEW met1 ( 1086750 99450 ) M1M2_PR
NEW met1 ( 1340670 102510 ) M1M2_PR
NEW met2 ( 607430 1515380 ) M2M3_PR_M
NEW met1 ( 607430 1511130 ) M1M2_PR
NEW met1 ( 1086750 1511130 ) M1M2_PR
NEW li1 ( 1293750 99450 ) L1M1_PR_MR
NEW li1 ( 1293750 102510 ) L1M1_PR_MR ;
- core0_to_mem_address\[3\] ( core0 mem_addr_out[3] ) ( chip_controller addr_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 2810370 101660 ) ( * 129370 )
NEW met1 ( 2808990 129370 ) ( 2810370 * )
NEW met3 ( 2799100 214540 0 ) ( 2808990 * )
NEW met3 ( 201940 1524220 ) ( * 1525580 0 )
NEW met2 ( 2808990 129370 ) ( * 214540 )
NEW met3 ( 203780 101660 ) ( 2810370 * )
NEW met3 ( 201940 1473220 ) ( 203780 * )
NEW met4 ( 201940 1473220 ) ( * 1524220 )
NEW met4 ( 203780 101660 ) ( * 1473220 )
NEW met3 ( 203780 101660 ) M3M4_PR_M
NEW met2 ( 2810370 101660 ) M2M3_PR_M
NEW met1 ( 2810370 129370 ) M1M2_PR
NEW met1 ( 2808990 129370 ) M1M2_PR
NEW met2 ( 2808990 214540 ) M2M3_PR_M
NEW met3 ( 201940 1524220 ) M3M4_PR_M
NEW met3 ( 201940 1473220 ) M3M4_PR_M
NEW met3 ( 203780 1473220 ) M3M4_PR_M ;
- core0_to_mem_address\[4\] ( core0 mem_addr_out[4] ) ( chip_controller addr_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 183940 ) ( * 186150 )
NEW met2 ( 226550 1899580 0 ) ( * 1910630 )
NEW met1 ( 619850 186150 ) ( 1283630 * )
NEW met3 ( 1283630 183940 ) ( 1300420 * 0 )
NEW met1 ( 226550 1910630 ) ( 619850 * )
NEW met2 ( 619850 186150 ) ( * 1910630 )
NEW met1 ( 1283630 186150 ) M1M2_PR
NEW met2 ( 1283630 183940 ) M2M3_PR_M
NEW met1 ( 226550 1910630 ) M1M2_PR
NEW met1 ( 619850 186150 ) M1M2_PR
NEW met1 ( 619850 1910630 ) M1M2_PR ;
- core0_to_mem_address\[5\] ( core0 mem_addr_out[5] ) ( chip_controller addr_in[5] ) + USE SIGNAL
+ ROUTED met3 ( 193430 1537820 ) ( 201020 * 0 )
NEW met2 ( 1285930 1475770 ) ( * 1606670 )
NEW met2 ( 1554110 1599700 0 ) ( * 1606670 )
NEW met1 ( 1285930 1606670 ) ( 1554110 * )
NEW met2 ( 193430 1475770 ) ( * 1537820 )
NEW met1 ( 193430 1475770 ) ( 1285930 * )
NEW met2 ( 193430 1537820 ) M2M3_PR_M
NEW met1 ( 1285930 1475770 ) M1M2_PR
NEW met1 ( 1285930 1606670 ) M1M2_PR
NEW met1 ( 1554110 1606670 ) M1M2_PR
NEW met1 ( 193430 1475770 ) M1M2_PR ;
- core0_to_mem_address\[6\] ( core0 mem_addr_out[6] ) ( chip_controller addr_in[6] ) + USE SIGNAL
+ ROUTED met3 ( 192970 1553460 ) ( 201020 * 0 )
NEW met2 ( 1567910 1599700 0 ) ( * 1623330 )
NEW met2 ( 1299270 1503310 ) ( * 1623330 )
NEW met1 ( 1299270 1623330 ) ( 1567910 * )
NEW met2 ( 192970 1503310 ) ( * 1553460 )
NEW met1 ( 192970 1503310 ) ( 1299270 * )
NEW met2 ( 192970 1553460 ) M2M3_PR_M
NEW met1 ( 1567910 1623330 ) M1M2_PR
NEW met1 ( 1299270 1503310 ) M1M2_PR
NEW met1 ( 1299270 1623330 ) M1M2_PR
NEW met1 ( 192970 1503310 ) M1M2_PR ;
- core0_to_mem_address\[7\] ( core0 mem_addr_out[7] ) ( chip_controller addr_in[7] ) + USE SIGNAL
+ ROUTED met3 ( 191590 1556860 ) ( 201020 * 0 )
NEW met2 ( 1283630 277780 ) ( * 282710 )
NEW met1 ( 187450 1531530 ) ( 191590 * )
NEW met1 ( 187450 1530510 ) ( * 1531530 )
NEW met2 ( 191590 1531530 ) ( * 1556860 )
NEW met1 ( 258750 282710 ) ( 1283630 * )
NEW met3 ( 1283630 277780 ) ( 1300420 * 0 )
NEW met2 ( 258750 282710 ) ( * 1483500 )
NEW met2 ( 258290 1483500 ) ( * 1494470 )
NEW met2 ( 258290 1483500 ) ( 258750 * )
NEW met2 ( 187450 1494470 ) ( * 1530510 )
NEW met1 ( 187450 1494470 ) ( 258290 * )
NEW met2 ( 191590 1556860 ) M2M3_PR_M
NEW met1 ( 1283630 282710 ) M1M2_PR
NEW met2 ( 1283630 277780 ) M2M3_PR_M
NEW met1 ( 191590 1531530 ) M1M2_PR
NEW met1 ( 187450 1530510 ) M1M2_PR
NEW met1 ( 258750 282710 ) M1M2_PR
NEW met1 ( 258290 1494470 ) M1M2_PR
NEW met1 ( 187450 1494470 ) M1M2_PR ;
- core0_to_mem_address\[8\] ( core0 mem_addr_out[8] ) ( chip_controller addr_in[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1548700 0 ) ( 609270 * )
NEW met2 ( 609270 1548700 ) ( * 1565870 )
NEW met3 ( 2799100 381140 0 ) ( 2809910 * )
NEW met2 ( 2809910 381140 ) ( * 381310 )
NEW met1 ( 2809910 381310 ) ( 2827850 * )
NEW met1 ( 609270 1580490 ) ( 609730 * )
NEW met2 ( 609730 1580490 ) ( * 1594770 )
NEW li1 ( 609270 1565870 ) ( * 1580490 )
NEW met2 ( 2827850 381310 ) ( * 1594770 )
NEW met1 ( 609730 1594770 ) ( 2827850 * )
NEW met2 ( 609270 1548700 ) M2M3_PR_M
NEW li1 ( 609270 1565870 ) L1M1_PR_MR
NEW met1 ( 609270 1565870 ) M1M2_PR
NEW met2 ( 2809910 381140 ) M2M3_PR_M
NEW met1 ( 2809910 381310 ) M1M2_PR
NEW met1 ( 2827850 381310 ) M1M2_PR
NEW li1 ( 609270 1580490 ) L1M1_PR_MR
NEW met1 ( 609730 1580490 ) M1M2_PR
NEW met1 ( 609730 1594770 ) M1M2_PR
NEW met1 ( 2827850 1594770 ) M1M2_PR
NEW met1 ( 609270 1565870 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_address\[9\] ( core0 mem_addr_out[9] ) ( chip_controller addr_in[9] ) + USE SIGNAL
+ ROUTED met3 ( 196420 1569100 ) ( 201020 * 0 )
NEW met1 ( 1283630 1559750 ) ( 1286850 * )
NEW met2 ( 1286850 1532550 ) ( * 1559750 )
NEW met1 ( 1284550 1532550 ) ( 1286850 * )
NEW met3 ( 207460 1499060 ) ( * 1499740 )
NEW met2 ( 1284550 1499740 ) ( * 1532550 )
NEW met1 ( 1283630 1588990 ) ( 1284550 * )
NEW met2 ( 1284550 1588990 ) ( * 1601060 )
NEW met2 ( 1283630 1559750 ) ( * 1588990 )
NEW met3 ( 2799100 443700 0 ) ( 2802550 * )
NEW met3 ( 207460 1499740 ) ( 1284550 * )
NEW met4 ( 196420 1499060 ) ( * 1569100 )
NEW met3 ( 196420 1499060 ) ( 207460 * )
NEW met3 ( 1284550 1601060 ) ( 2802550 * )
NEW met2 ( 2802550 443700 ) ( * 1601060 )
NEW met3 ( 196420 1569100 ) M3M4_PR_M
NEW met1 ( 1283630 1559750 ) M1M2_PR
NEW met1 ( 1286850 1559750 ) M1M2_PR
NEW met1 ( 1286850 1532550 ) M1M2_PR
NEW met1 ( 1284550 1532550 ) M1M2_PR
NEW met2 ( 1284550 1499740 ) M2M3_PR_M
NEW met1 ( 1283630 1588990 ) M1M2_PR
NEW met1 ( 1284550 1588990 ) M1M2_PR
NEW met2 ( 1284550 1601060 ) M2M3_PR_M
NEW met2 ( 2802550 443700 ) M2M3_PR_M
NEW met3 ( 196420 1499060 ) M3M4_PR_M
NEW met2 ( 2802550 1601060 ) M2M3_PR_M ;
- core0_to_mem_data\[0\] ( core0 mem_data_out[0] ) ( chip_controller wr_data[0] ) + USE SIGNAL
+ ROUTED met2 ( 205390 1899580 0 ) ( * 1903490 )
NEW met2 ( 1283630 146540 ) ( * 151470 )
NEW met1 ( 630430 151470 ) ( 1283630 * )
NEW met3 ( 1283630 146540 ) ( 1300420 * 0 )
NEW met1 ( 607430 1902810 ) ( * 1903490 )
NEW met1 ( 607430 1902810 ) ( 630430 * )
NEW met1 ( 205390 1903490 ) ( 607430 * )
NEW met2 ( 630430 151470 ) ( * 1902810 )
NEW met1 ( 205390 1903490 ) M1M2_PR
NEW met1 ( 1283630 151470 ) M1M2_PR
NEW met2 ( 1283630 146540 ) M2M3_PR_M
NEW met1 ( 630430 151470 ) M1M2_PR
NEW met1 ( 630430 1902810 ) M1M2_PR ;
- core0_to_mem_data\[100\] ( core0 mem_data_out[100] ) ( chip_controller wr_data[100] ) + USE SIGNAL
+ ROUTED met2 ( 192050 1835490 ) ( * 1841100 )
NEW met3 ( 192050 1841100 ) ( 201020 * 0 )
NEW met2 ( 2532530 100300 ) ( 2538510 * 0 )
NEW met2 ( 88550 82620 ) ( * 1835490 )
NEW met2 ( 2532530 82620 ) ( * 100300 )
NEW met1 ( 88550 1835490 ) ( 192050 * )
NEW met3 ( 88550 82620 ) ( 2532530 * )
NEW met1 ( 88550 1835490 ) M1M2_PR
NEW met1 ( 192050 1835490 ) M1M2_PR
NEW met2 ( 192050 1841100 ) M2M3_PR_M
NEW met2 ( 88550 82620 ) M2M3_PR_M
NEW met2 ( 2532530 82620 ) M2M3_PR_M ;
- core0_to_mem_data\[101\] ( core0 mem_data_out[101] ) ( chip_controller wr_data[101] ) + USE SIGNAL
+ ROUTED met2 ( 2553230 100300 ) ( 2555530 * 0 )
NEW met1 ( 545790 1489370 ) ( 551310 * )
NEW met2 ( 545790 1489370 ) ( * 1500420 0 )
NEW met2 ( 551310 82790 ) ( * 1489370 )
NEW met2 ( 2553230 82790 ) ( * 100300 )
NEW met1 ( 551310 82790 ) ( 2553230 * )
NEW met1 ( 551310 82790 ) M1M2_PR
NEW met1 ( 551310 1489370 ) M1M2_PR
NEW met1 ( 545790 1489370 ) M1M2_PR
NEW met1 ( 2553230 82790 ) M1M2_PR ;
- core0_to_mem_data\[102\] ( core0 mem_data_out[102] ) ( chip_controller wr_data[102] ) + USE SIGNAL
+ ROUTED met3 ( 192510 1847900 ) ( 201020 * 0 )
NEW met2 ( 2627750 1599700 0 ) ( * 1611940 )
NEW met3 ( 636410 1611940 ) ( 2627750 * )
NEW met1 ( 189750 1873230 ) ( 192510 * )
NEW met2 ( 189750 1873230 ) ( * 1902810 )
NEW met2 ( 192510 1847900 ) ( * 1873230 )
NEW li1 ( 606050 1902810 ) ( * 1903830 )
NEW li1 ( 606050 1903830 ) ( 608350 * )
NEW met1 ( 608350 1903830 ) ( 616630 * )
NEW li1 ( 616630 1903830 ) ( * 1904170 )
NEW li1 ( 616630 1904170 ) ( 617550 * )
NEW li1 ( 617550 1902470 ) ( * 1904170 )
NEW li1 ( 617550 1902470 ) ( 618470 * )
NEW li1 ( 618470 1901790 ) ( * 1902470 )
NEW met1 ( 618470 1901790 ) ( 636410 * )
NEW met1 ( 189750 1902810 ) ( 606050 * )
NEW met2 ( 636410 1611940 ) ( * 1901790 )
NEW met2 ( 192510 1847900 ) M2M3_PR_M
NEW met1 ( 189750 1902810 ) M1M2_PR
NEW met2 ( 2627750 1611940 ) M2M3_PR_M
NEW met2 ( 636410 1611940 ) M2M3_PR_M
NEW met1 ( 189750 1873230 ) M1M2_PR
NEW met1 ( 192510 1873230 ) M1M2_PR
NEW li1 ( 606050 1902810 ) L1M1_PR_MR
NEW li1 ( 608350 1903830 ) L1M1_PR_MR
NEW li1 ( 616630 1903830 ) L1M1_PR_MR
NEW li1 ( 618470 1901790 ) L1M1_PR_MR
NEW met1 ( 636410 1901790 ) M1M2_PR ;
- core0_to_mem_data\[103\] ( core0 mem_data_out[103] ) ( chip_controller wr_data[103] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1402500 ) ( * 1407430 )
NEW met2 ( 550390 1500420 ) ( 550850 * 0 )
NEW met2 ( 550390 1407430 ) ( * 1500420 )
NEW met1 ( 550390 1407430 ) ( 1283630 * )
NEW met3 ( 1283630 1402500 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1407430 ) M1M2_PR
NEW met2 ( 1283630 1402500 ) M2M3_PR_M
NEW met1 ( 550390 1407430 ) M1M2_PR ;
- core0_to_mem_data\[104\] ( core0 mem_data_out[104] ) ( chip_controller wr_data[104] ) + USE SIGNAL
+ ROUTED met2 ( 2572550 88230 ) ( * 100300 0 )
NEW li1 ( 1114350 1803190 ) ( * 1804890 )
NEW met2 ( 1114350 88230 ) ( * 1803190 )
NEW met3 ( 599380 1845860 0 ) ( 608350 * )
NEW met2 ( 608350 1834300 ) ( * 1845860 )
NEW met2 ( 608350 1834300 ) ( 608810 * )
NEW met2 ( 608810 1824270 ) ( * 1834300 )
NEW met1 ( 608810 1824270 ) ( 610650 * )
NEW met2 ( 610650 1807610 ) ( * 1824270 )
NEW met1 ( 610650 1807610 ) ( 613410 * )
NEW met2 ( 613410 1804890 ) ( * 1807610 )
NEW met1 ( 613410 1804890 ) ( 1114350 * )
NEW met1 ( 1114350 88230 ) ( 2572550 * )
NEW met1 ( 1114350 88230 ) M1M2_PR
NEW met1 ( 2572550 88230 ) M1M2_PR
NEW li1 ( 1114350 1804890 ) L1M1_PR_MR
NEW li1 ( 1114350 1803190 ) L1M1_PR_MR
NEW met1 ( 1114350 1803190 ) M1M2_PR
NEW met2 ( 608350 1845860 ) M2M3_PR_M
NEW met1 ( 608810 1824270 ) M1M2_PR
NEW met1 ( 610650 1824270 ) M1M2_PR
NEW met1 ( 610650 1807610 ) M1M2_PR
NEW met1 ( 613410 1807610 ) M1M2_PR
NEW met1 ( 613410 1804890 ) M1M2_PR
NEW met1 ( 1114350 1803190 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[105\] ( core0 mem_data_out[105] ) ( chip_controller wr_data[105] ) + USE SIGNAL
+ ROUTED met2 ( 685170 1428170 ) ( * 1842630 )
NEW met2 ( 1283630 1421540 ) ( * 1428170 )
NEW met1 ( 685170 1428170 ) ( 1283630 * )
NEW met3 ( 1283630 1421540 ) ( 1300420 * 0 )
NEW met3 ( 599380 1847220 0 ) ( 609270 * )
NEW met2 ( 609270 1842630 ) ( * 1847220 )
NEW met1 ( 609270 1842630 ) ( 685170 * )
NEW met1 ( 685170 1842630 ) M1M2_PR
NEW met1 ( 685170 1428170 ) M1M2_PR
NEW met1 ( 1283630 1428170 ) M1M2_PR
NEW met2 ( 1283630 1421540 ) M2M3_PR_M
NEW met2 ( 609270 1847220 ) M2M3_PR_M
NEW met1 ( 609270 1842630 ) M1M2_PR ;
- core0_to_mem_data\[106\] ( core0 mem_data_out[106] ) ( chip_controller wr_data[106] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1439900 ) ( * 1441770 )
NEW met1 ( 661710 1441770 ) ( 1283630 * )
NEW met3 ( 1283630 1439900 ) ( 1300420 * 0 )
NEW met2 ( 661710 1441770 ) ( * 1849430 )
NEW met3 ( 599380 1854700 0 ) ( 612490 * )
NEW met2 ( 612490 1849430 ) ( * 1854700 )
NEW met1 ( 612490 1849430 ) ( 661710 * )
NEW met1 ( 1283630 1441770 ) M1M2_PR
NEW met2 ( 1283630 1439900 ) M2M3_PR_M
NEW met1 ( 661710 1441770 ) M1M2_PR
NEW met1 ( 661710 1849430 ) M1M2_PR
NEW met2 ( 612490 1854700 ) M2M3_PR_M
NEW met1 ( 612490 1849430 ) M1M2_PR ;
- core0_to_mem_data\[107\] ( core0 mem_data_out[107] ) ( chip_controller wr_data[107] ) + USE SIGNAL
+ ROUTED met2 ( 2606130 89420 ) ( * 100300 0 )
NEW met2 ( 577530 1901620 ) ( * 1903660 )
NEW met3 ( 577530 1901620 ) ( 589260 * )
NEW met4 ( 589260 1901620 ) ( * 1902300 )
NEW met4 ( 589260 1902300 ) ( 591100 * )
NEW met4 ( 591100 1902300 ) ( * 1902980 )
NEW met2 ( 554070 1899580 0 ) ( * 1903660 )
NEW met3 ( 554070 1903660 ) ( 577530 * )
NEW met3 ( 591100 1902980 ) ( 603060 * )
NEW met3 ( 603060 89420 ) ( 2606130 * )
NEW met4 ( 603060 89420 ) ( * 1902980 )
NEW met3 ( 603060 89420 ) M3M4_PR_M
NEW met2 ( 2606130 89420 ) M2M3_PR_M
NEW met2 ( 577530 1903660 ) M2M3_PR_M
NEW met2 ( 577530 1901620 ) M2M3_PR_M
NEW met3 ( 589260 1901620 ) M3M4_PR_M
NEW met3 ( 591100 1902980 ) M3M4_PR_M
NEW met2 ( 554070 1903660 ) M2M3_PR_M
NEW met3 ( 603060 1902980 ) M3M4_PR_M ;
- core0_to_mem_data\[108\] ( core0 mem_data_out[108] ) ( chip_controller wr_data[108] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1360340 0 ) ( 2812670 * )
NEW li1 ( 557750 1899070 ) ( * 1900090 )
NEW met2 ( 557750 1899580 ) ( * 1900090 )
NEW met2 ( 557290 1899580 0 ) ( 557750 * )
NEW met2 ( 657570 1624690 ) ( * 1899070 )
NEW met1 ( 657570 1624690 ) ( 2812670 * )
NEW met2 ( 2812670 1360340 ) ( * 1624690 )
NEW met1 ( 557750 1899070 ) ( 657570 * )
NEW met2 ( 2812670 1360340 ) M2M3_PR_M
NEW met1 ( 2812670 1624690 ) M1M2_PR
NEW li1 ( 557750 1899070 ) L1M1_PR_MR
NEW li1 ( 557750 1900090 ) L1M1_PR_MR
NEW met1 ( 557750 1900090 ) M1M2_PR
NEW met1 ( 657570 1624690 ) M1M2_PR
NEW met1 ( 657570 1899070 ) M1M2_PR
NEW met1 ( 557750 1900090 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[109\] ( core0 mem_data_out[109] ) ( chip_controller wr_data[109] ) + USE SIGNAL
+ ROUTED met2 ( 2636030 100300 ) ( 2639710 * 0 )
NEW met2 ( 123510 75820 ) ( * 1856570 )
NEW met2 ( 2636030 75820 ) ( * 100300 )
NEW met2 ( 189290 1856570 ) ( * 1858780 )
NEW met3 ( 189290 1858780 ) ( 201020 * 0 )
NEW met1 ( 123510 1856570 ) ( 189290 * )
NEW met3 ( 123510 75820 ) ( 2636030 * )
NEW met1 ( 123510 1856570 ) M1M2_PR
NEW met2 ( 123510 75820 ) M2M3_PR_M
NEW met2 ( 2636030 75820 ) M2M3_PR_M
NEW met1 ( 189290 1856570 ) M1M2_PR
NEW met2 ( 189290 1858780 ) M2M3_PR_M ;
- core0_to_mem_data\[10\] ( core0 mem_data_out[10] ) ( chip_controller wr_data[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1570460 0 ) ( 609270 * )
NEW met2 ( 609270 1566550 ) ( * 1570460 )
NEW met2 ( 1476830 96390 ) ( * 100300 0 )
NEW met1 ( 609270 1566550 ) ( 1294210 * )
NEW met2 ( 1294210 96390 ) ( * 1566550 )
NEW met1 ( 1294210 96390 ) ( 1476830 * )
NEW met2 ( 609270 1570460 ) M2M3_PR_M
NEW met1 ( 609270 1566550 ) M1M2_PR
NEW met1 ( 1476830 96390 ) M1M2_PR
NEW met1 ( 1294210 1566550 ) M1M2_PR
NEW met1 ( 1294210 96390 ) M1M2_PR ;
- core0_to_mem_data\[110\] ( core0 mem_data_out[110] ) ( chip_controller wr_data[110] ) + USE SIGNAL
+ ROUTED met2 ( 681950 137870 ) ( * 1856230 )
NEW met2 ( 1304790 137700 ) ( * 137870 )
NEW met2 ( 1304790 137700 ) ( 1305250 * )
NEW met1 ( 681950 137870 ) ( 1304790 * )
NEW met2 ( 2656730 78370 ) ( * 100300 0 )
NEW met2 ( 1305250 78370 ) ( * 137700 )
NEW met1 ( 1305250 78370 ) ( 2656730 * )
NEW met3 ( 599380 1860140 0 ) ( 609270 * )
NEW met2 ( 609270 1856230 ) ( * 1860140 )
NEW met1 ( 609270 1856230 ) ( 681950 * )
NEW met1 ( 681950 1856230 ) M1M2_PR
NEW met1 ( 681950 137870 ) M1M2_PR
NEW met1 ( 1304790 137870 ) M1M2_PR
NEW met1 ( 2656730 78370 ) M1M2_PR
NEW met1 ( 1305250 78370 ) M1M2_PR
NEW met2 ( 609270 1860140 ) M2M3_PR_M
NEW met1 ( 609270 1856230 ) M1M2_PR ;
- core0_to_mem_data\[111\] ( core0 mem_data_out[111] ) ( chip_controller wr_data[111] ) + USE SIGNAL
+ ROUTED met2 ( 2614870 89590 ) ( * 92990 )
NEW met1 ( 573390 1490390 ) ( 578910 * )
NEW met2 ( 573390 1490390 ) ( * 1500420 0 )
NEW met2 ( 578910 92990 ) ( * 1490390 )
NEW met2 ( 2673290 89590 ) ( * 100300 0 )
NEW met1 ( 2614870 89590 ) ( 2673290 * )
NEW met1 ( 578910 92990 ) ( 2614870 * )
NEW met1 ( 578910 92990 ) M1M2_PR
NEW met1 ( 2614870 92990 ) M1M2_PR
NEW met1 ( 2614870 89590 ) M1M2_PR
NEW met1 ( 578910 1490390 ) M1M2_PR
NEW met1 ( 573390 1490390 ) M1M2_PR
NEW met1 ( 2673290 89590 ) M1M2_PR ;
- core0_to_mem_data\[112\] ( core0 mem_data_out[112] ) ( chip_controller wr_data[112] ) + USE SIGNAL
+ ROUTED met2 ( 577990 1500420 0 ) ( 578450 * )
NEW met2 ( 578450 96050 ) ( * 1500420 )
NEW met2 ( 2690310 96050 ) ( * 100300 0 )
NEW met1 ( 578450 96050 ) ( 2690310 * )
NEW met1 ( 578450 96050 ) M1M2_PR
NEW met1 ( 2690310 96050 ) M1M2_PR ;
- core0_to_mem_data\[113\] ( core0 mem_data_out[113] ) ( chip_controller wr_data[113] ) + USE SIGNAL
+ ROUTED met2 ( 2682490 1599700 0 ) ( * 1612620 )
NEW met3 ( 692070 1612620 ) ( 2682490 * )
NEW met3 ( 192050 1871020 ) ( 201020 * 0 )
NEW met2 ( 192050 1871020 ) ( * 1896690 )
NEW met1 ( 192050 1896690 ) ( 692070 * )
NEW met2 ( 692070 1612620 ) ( * 1896690 )
NEW met2 ( 692070 1612620 ) M2M3_PR_M
NEW met2 ( 2682490 1612620 ) M2M3_PR_M
NEW met2 ( 192050 1871020 ) M2M3_PR_M
NEW met1 ( 192050 1896690 ) M1M2_PR
NEW met1 ( 692070 1896690 ) M1M2_PR ;
- core0_to_mem_data\[114\] ( core0 mem_data_out[114] ) ( chip_controller wr_data[114] ) + USE SIGNAL
+ ROUTED met2 ( 2694450 1599700 ) ( 2696290 * 0 )
NEW met2 ( 2691230 1725000 ) ( 2694450 * )
NEW met2 ( 2694450 1599700 ) ( * 1725000 )
NEW met2 ( 2691230 1725000 ) ( * 1798770 )
NEW met2 ( 642390 1798770 ) ( * 1864050 )
NEW met1 ( 642390 1798770 ) ( 2691230 * )
NEW met3 ( 599380 1869660 0 ) ( 610190 * )
NEW met2 ( 610190 1864050 ) ( * 1869660 )
NEW met1 ( 610190 1864050 ) ( 642390 * )
NEW met1 ( 2691230 1798770 ) M1M2_PR
NEW met1 ( 642390 1864050 ) M1M2_PR
NEW met1 ( 642390 1798770 ) M1M2_PR
NEW met2 ( 610190 1869660 ) M2M3_PR_M
NEW met1 ( 610190 1864050 ) M1M2_PR ;
- core0_to_mem_data\[115\] ( core0 mem_data_out[115] ) ( chip_controller wr_data[115] ) + USE SIGNAL
+ ROUTED met2 ( 669530 1799110 ) ( * 1870510 )
NEW met2 ( 2708250 1599700 ) ( 2710090 * 0 )
NEW met2 ( 2705030 1725000 ) ( 2708250 * )
NEW met2 ( 2708250 1599700 ) ( * 1725000 )
NEW met2 ( 2705030 1725000 ) ( * 1799110 )
NEW met1 ( 669530 1799110 ) ( 2705030 * )
NEW met3 ( 599380 1875100 0 ) ( 610190 * )
NEW met2 ( 610190 1870510 ) ( * 1875100 )
NEW met1 ( 610190 1870510 ) ( 669530 * )
NEW met1 ( 669530 1799110 ) M1M2_PR
NEW met1 ( 669530 1870510 ) M1M2_PR
NEW met1 ( 2705030 1799110 ) M1M2_PR
NEW met2 ( 610190 1875100 ) M2M3_PR_M
NEW met1 ( 610190 1870510 ) M1M2_PR ;
- core0_to_mem_data\[116\] ( core0 mem_data_out[116] ) ( chip_controller wr_data[116] ) + USE SIGNAL
+ ROUTED li1 ( 569250 1897030 ) ( * 1900090 )
NEW met2 ( 569250 1899580 ) ( * 1900090 )
NEW met2 ( 568330 1899580 0 ) ( 569250 * )
NEW met3 ( 2799100 1485460 0 ) ( 2814050 * )
NEW met2 ( 657110 1625030 ) ( * 1897030 )
NEW met1 ( 657110 1625030 ) ( 2814050 * )
NEW met2 ( 2814050 1485460 ) ( * 1625030 )
NEW met1 ( 569250 1897030 ) ( 657110 * )
NEW li1 ( 569250 1897030 ) L1M1_PR_MR
NEW li1 ( 569250 1900090 ) L1M1_PR_MR
NEW met1 ( 569250 1900090 ) M1M2_PR
NEW met2 ( 2814050 1485460 ) M2M3_PR_M
NEW met1 ( 2814050 1625030 ) M1M2_PR
NEW met1 ( 657110 1625030 ) M1M2_PR
NEW met1 ( 657110 1897030 ) M1M2_PR
NEW met1 ( 569250 1900090 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[117\] ( core0 mem_data_out[117] ) ( chip_controller wr_data[117] ) + USE SIGNAL
+ ROUTED met2 ( 586270 1498890 ) ( * 1500420 0 )
NEW met2 ( 1272130 1498550 ) ( * 1616870 )
NEW met2 ( 2737690 1599700 0 ) ( * 1616870 )
NEW li1 ( 621230 1498550 ) ( * 1498890 )
NEW li1 ( 621230 1498550 ) ( 622150 * )
NEW met1 ( 586270 1498890 ) ( 621230 * )
NEW met1 ( 622150 1498550 ) ( 1272130 * )
NEW met1 ( 1272130 1616870 ) ( 2737690 * )
NEW met1 ( 586270 1498890 ) M1M2_PR
NEW met1 ( 1272130 1498550 ) M1M2_PR
NEW met1 ( 1272130 1616870 ) M1M2_PR
NEW met1 ( 2737690 1616870 ) M1M2_PR
NEW li1 ( 621230 1498890 ) L1M1_PR_MR
NEW li1 ( 622150 1498550 ) L1M1_PR_MR ;
- core0_to_mem_data\[118\] ( core0 mem_data_out[118] ) ( chip_controller wr_data[118] ) + USE SIGNAL
+ ROUTED met2 ( 1290070 1496340 ) ( * 1784150 )
NEW met1 ( 697590 1784150 ) ( 1290070 * )
NEW met3 ( 1290070 1496340 ) ( 1300420 * 0 )
NEW met3 ( 599380 1882580 0 ) ( 612490 * )
NEW met2 ( 612490 1876970 ) ( * 1882580 )
NEW met1 ( 612490 1876970 ) ( 697590 * )
NEW met2 ( 697590 1784150 ) ( * 1876970 )
NEW met1 ( 697590 1784150 ) M1M2_PR
NEW met2 ( 1290070 1496340 ) M2M3_PR_M
NEW met1 ( 1290070 1784150 ) M1M2_PR
NEW met2 ( 612490 1882580 ) M2M3_PR_M
NEW met1 ( 612490 1876970 ) M1M2_PR
NEW met1 ( 697590 1876970 ) M1M2_PR ;
- core0_to_mem_data\[119\] ( core0 mem_data_out[119] ) ( chip_controller wr_data[119] ) + USE SIGNAL
+ ROUTED met2 ( 2740910 89590 ) ( * 100300 0 )
NEW met1 ( 2740910 89590 ) ( 2796110 * )
NEW met2 ( 2796110 89590 ) ( * 1762900 )
NEW met3 ( 641700 1762900 ) ( 2796110 * )
NEW met3 ( 609500 1763580 ) ( 641700 * )
NEW met3 ( 641700 1762900 ) ( * 1763580 )
NEW met3 ( 599380 1883940 0 ) ( 609500 * )
NEW met4 ( 609500 1763580 ) ( * 1883940 )
NEW met1 ( 2796110 89590 ) M1M2_PR
NEW met2 ( 2796110 1762900 ) M2M3_PR_M
NEW met1 ( 2740910 89590 ) M1M2_PR
NEW met3 ( 609500 1763580 ) M3M4_PR_M
NEW met3 ( 609500 1883940 ) M3M4_PR_M ;
- core0_to_mem_data\[11\] ( core0 mem_data_out[11] ) ( chip_controller wr_data[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1579300 0 ) ( 608810 * )
NEW met2 ( 608810 1573350 ) ( * 1579300 )
NEW met2 ( 1073410 90270 ) ( * 1573350 )
NEW met1 ( 608810 1573350 ) ( 1073410 * )
NEW met2 ( 1493850 90270 ) ( * 100300 0 )
NEW met1 ( 1073410 90270 ) ( 1493850 * )
NEW met2 ( 608810 1579300 ) M2M3_PR_M
NEW met1 ( 608810 1573350 ) M1M2_PR
NEW met1 ( 1073410 90270 ) M1M2_PR
NEW met1 ( 1073410 1573350 ) M1M2_PR
NEW met1 ( 1493850 90270 ) M1M2_PR ;
- core0_to_mem_data\[120\] ( core0 mem_data_out[120] ) ( chip_controller wr_data[120] ) + USE SIGNAL
+ ROUTED met3 ( 662400 1809820 ) ( * 1813900 )
NEW met3 ( 1300420 1511300 ) ( * 1515380 0 )
NEW met3 ( 727260 1511300 ) ( 1300420 * )
NEW met3 ( 641700 1813900 ) ( 662400 * )
NEW met2 ( 608810 1840420 ) ( 609730 * )
NEW met2 ( 609730 1825970 ) ( * 1840420 )
NEW met1 ( 609730 1824950 ) ( * 1825970 )
NEW met1 ( 609730 1824950 ) ( 610190 * )
NEW met1 ( 610190 1824610 ) ( * 1824950 )
NEW met2 ( 610190 1815260 ) ( * 1824610 )
NEW met3 ( 610190 1815260 ) ( 611340 * )
NEW met3 ( 611340 1814580 ) ( * 1815260 )
NEW met3 ( 611340 1814580 ) ( 641700 * )
NEW met3 ( 641700 1813900 ) ( * 1814580 )
NEW met3 ( 662400 1809820 ) ( 727260 * )
NEW met4 ( 727260 1511300 ) ( * 1809820 )
NEW met3 ( 599380 1889380 0 ) ( 608810 * )
NEW met2 ( 608810 1840420 ) ( * 1889380 )
NEW met3 ( 727260 1511300 ) M3M4_PR_M
NEW met1 ( 609730 1825970 ) M1M2_PR
NEW met1 ( 610190 1824610 ) M1M2_PR
NEW met2 ( 610190 1815260 ) M2M3_PR_M
NEW met3 ( 727260 1809820 ) M3M4_PR_M
NEW met2 ( 608810 1889380 ) M2M3_PR_M ;
- core0_to_mem_data\[121\] ( core0 mem_data_out[121] ) ( chip_controller wr_data[121] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1548020 0 ) ( 2808990 * )
NEW met2 ( 2808990 1548020 ) ( * 1555330 )
NEW met1 ( 2808990 1555330 ) ( 2810830 * )
NEW met1 ( 2810830 1555330 ) ( * 1556690 )
NEW met1 ( 2810370 1556690 ) ( 2810830 * )
NEW met2 ( 583050 1899580 0 ) ( * 1911650 )
NEW met3 ( 2808990 1583380 ) ( 2810370 * )
NEW met2 ( 2808990 1583380 ) ( * 1797750 )
NEW met2 ( 2810370 1556690 ) ( * 1583380 )
NEW met1 ( 583050 1911650 ) ( 631810 * )
NEW met1 ( 628130 1797750 ) ( 2808990 * )
NEW met1 ( 628130 1873910 ) ( 631810 * )
NEW met2 ( 628130 1797750 ) ( * 1873910 )
NEW met2 ( 631810 1873910 ) ( * 1911650 )
NEW met2 ( 2808990 1548020 ) M2M3_PR_M
NEW met1 ( 2808990 1555330 ) M1M2_PR
NEW met1 ( 2810370 1556690 ) M1M2_PR
NEW met1 ( 583050 1911650 ) M1M2_PR
NEW met1 ( 2808990 1797750 ) M1M2_PR
NEW met2 ( 2808990 1583380 ) M2M3_PR_M
NEW met2 ( 2810370 1583380 ) M2M3_PR_M
NEW met1 ( 631810 1911650 ) M1M2_PR
NEW met1 ( 628130 1797750 ) M1M2_PR
NEW met1 ( 628130 1873910 ) M1M2_PR
NEW met1 ( 631810 1873910 ) M1M2_PR ;
- core0_to_mem_data\[122\] ( core0 mem_data_out[122] ) ( chip_controller wr_data[122] ) + USE SIGNAL
+ ROUTED met2 ( 670910 1610580 ) ( * 1902470 )
NEW met2 ( 2765290 1599700 0 ) ( * 1610580 )
NEW met3 ( 670910 1610580 ) ( 2765290 * )
NEW met3 ( 192970 1884620 ) ( 201020 * 0 )
NEW met2 ( 192970 1884620 ) ( * 1902470 )
NEW met1 ( 192970 1902470 ) ( 670910 * )
NEW met1 ( 192970 1902470 ) M1M2_PR
NEW met2 ( 670910 1610580 ) M2M3_PR_M
NEW met1 ( 670910 1902470 ) M1M2_PR
NEW met2 ( 2765290 1610580 ) M2M3_PR_M
NEW met2 ( 192970 1884620 ) M2M3_PR_M ;
- core0_to_mem_data\[123\] ( core0 mem_data_out[123] ) ( chip_controller wr_data[123] ) + USE SIGNAL
+ ROUTED met3 ( 201020 1888020 0 ) ( * 1888700 )
NEW met3 ( 197570 1888700 ) ( 201020 * )
NEW met2 ( 197570 1888700 ) ( * 1894310 )
NEW met2 ( 2795190 1592220 ) ( * 1593750 )
NEW met3 ( 2795190 1592220 ) ( 2797260 * )
NEW met3 ( 2797260 1589500 0 ) ( * 1592220 )
NEW met1 ( 629510 1593750 ) ( 2795190 * )
NEW met2 ( 628130 1874590 ) ( * 1894310 )
NEW met1 ( 628130 1874590 ) ( 629510 * )
NEW li1 ( 629510 1872550 ) ( * 1874590 )
NEW met1 ( 197570 1894310 ) ( 628130 * )
NEW met2 ( 629510 1593750 ) ( * 1872550 )
NEW met1 ( 629510 1593750 ) M1M2_PR
NEW met2 ( 197570 1888700 ) M2M3_PR_M
NEW met1 ( 197570 1894310 ) M1M2_PR
NEW met1 ( 2795190 1593750 ) M1M2_PR
NEW met2 ( 2795190 1592220 ) M2M3_PR_M
NEW met1 ( 628130 1894310 ) M1M2_PR
NEW met1 ( 628130 1874590 ) M1M2_PR
NEW li1 ( 629510 1874590 ) L1M1_PR_MR
NEW li1 ( 629510 1872550 ) L1M1_PR_MR
NEW met1 ( 629510 1872550 ) M1M2_PR
NEW met1 ( 629510 1872550 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[124\] ( core0 mem_data_out[124] ) ( chip_controller wr_data[124] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1552780 ) ( * 1559070 )
NEW met2 ( 664010 1559070 ) ( * 1893290 )
NEW met1 ( 664010 1559070 ) ( 1283630 * )
NEW met3 ( 1283630 1552780 ) ( 1300420 * 0 )
NEW met3 ( 199410 1890060 ) ( 201020 * 0 )
NEW met2 ( 199410 1890060 ) ( * 1892950 )
NEW met1 ( 199410 1892950 ) ( * 1893290 )
NEW met1 ( 199410 1893290 ) ( 664010 * )
NEW met1 ( 664010 1559070 ) M1M2_PR
NEW met1 ( 1283630 1559070 ) M1M2_PR
NEW met2 ( 1283630 1552780 ) M2M3_PR_M
NEW met1 ( 664010 1893290 ) M1M2_PR
NEW met2 ( 199410 1890060 ) M2M3_PR_M
NEW met1 ( 199410 1892950 ) M1M2_PR ;
- core0_to_mem_data\[125\] ( core0 mem_data_out[125] ) ( chip_controller wr_data[125] ) + USE SIGNAL
+ ROUTED met2 ( 2774030 1725000 ) ( * 1770210 )
NEW met2 ( 2774030 1725000 ) ( 2777250 * )
NEW met2 ( 2777250 1599700 ) ( 2779090 * 0 )
NEW met2 ( 2777250 1599700 ) ( * 1725000 )
NEW met1 ( 601910 1770210 ) ( 2774030 * )
NEW met1 ( 600530 1873230 ) ( 601910 * )
NEW met2 ( 601910 1770210 ) ( * 1873230 )
NEW met3 ( 598230 1900940 ) ( 600530 * )
NEW met2 ( 596850 1900940 ) ( 598230 * )
NEW met2 ( 596850 1899580 ) ( * 1900940 )
NEW met2 ( 595930 1899580 0 ) ( 596850 * )
NEW met2 ( 600530 1873230 ) ( * 1900940 )
NEW met1 ( 2774030 1770210 ) M1M2_PR
NEW met1 ( 601910 1770210 ) M1M2_PR
NEW met1 ( 600530 1873230 ) M1M2_PR
NEW met1 ( 601910 1873230 ) M1M2_PR
NEW met2 ( 600530 1900940 ) M2M3_PR_M
NEW met2 ( 598230 1900940 ) M2M3_PR_M ;
- core0_to_mem_data\[126\] ( core0 mem_data_out[126] ) ( chip_controller wr_data[126] ) + USE SIGNAL
+ ROUTED met2 ( 671370 1593070 ) ( * 1890910 )
NEW met2 ( 1283630 1590180 ) ( * 1593070 )
NEW met1 ( 671370 1593070 ) ( 1283630 * )
NEW met3 ( 1283630 1590180 ) ( 1300420 * 0 )
NEW met1 ( 600070 1890910 ) ( * 1891250 )
NEW met2 ( 600070 1891250 ) ( * 1896860 )
NEW met2 ( 599150 1896860 0 ) ( 600070 * )
NEW met1 ( 600070 1890910 ) ( 671370 * )
NEW met1 ( 671370 1593070 ) M1M2_PR
NEW met1 ( 671370 1890910 ) M1M2_PR
NEW met1 ( 1283630 1593070 ) M1M2_PR
NEW met2 ( 1283630 1590180 ) M2M3_PR_M
NEW met1 ( 600070 1891250 ) M1M2_PR ;
- core0_to_mem_data\[127\] ( core0 mem_data_out[127] ) ( chip_controller wr_data[127] ) + USE SIGNAL
+ ROUTED met2 ( 2791510 89930 ) ( * 100300 0 )
NEW met2 ( 115690 89930 ) ( * 1898050 )
NEW met2 ( 190210 1898050 ) ( * 1898900 )
NEW met3 ( 190210 1898900 ) ( 201020 * 0 )
NEW met1 ( 115690 1898050 ) ( 190210 * )
NEW met1 ( 115690 89930 ) ( 2791510 * )
NEW met1 ( 115690 89930 ) M1M2_PR
NEW met1 ( 2791510 89930 ) M1M2_PR
NEW met1 ( 115690 1898050 ) M1M2_PR
NEW met1 ( 190210 1898050 ) M1M2_PR
NEW met2 ( 190210 1898900 ) M2M3_PR_M ;
- core0_to_mem_data\[12\] ( core0 mem_data_out[12] ) ( chip_controller wr_data[12] ) + USE SIGNAL
+ ROUTED met2 ( 295550 1500420 0 ) ( 296010 * )
NEW met2 ( 296010 91970 ) ( * 1500420 )
NEW met2 ( 1527430 91970 ) ( * 100300 0 )
NEW met1 ( 296010 91970 ) ( 1527430 * )
NEW met1 ( 296010 91970 ) M1M2_PR
NEW met1 ( 1527430 91970 ) M1M2_PR ;
- core0_to_mem_data\[13\] ( core0 mem_data_out[13] ) ( chip_controller wr_data[13] ) + USE SIGNAL
+ ROUTED met2 ( 303830 1484610 ) ( * 1500420 0 )
NEW met1 ( 325450 1483930 ) ( * 1484610 )
NEW met1 ( 303830 1484610 ) ( 325450 * )
NEW met1 ( 325450 1483930 ) ( 1024650 * )
NEW met2 ( 1024650 1483930 ) ( * 1605310 )
NEW met2 ( 1705450 1599700 0 ) ( * 1605310 )
NEW met1 ( 1024650 1605310 ) ( 1705450 * )
NEW met1 ( 303830 1484610 ) M1M2_PR
NEW met1 ( 1024650 1483930 ) M1M2_PR
NEW met1 ( 1024650 1605310 ) M1M2_PR
NEW met1 ( 1705450 1605310 ) M1M2_PR ;
- core0_to_mem_data\[14\] ( core0 mem_data_out[14] ) ( chip_controller wr_data[14] ) + USE SIGNAL
+ ROUTED met3 ( 194350 1607860 ) ( 201020 * 0 )
NEW met2 ( 1594590 92310 ) ( * 100300 0 )
NEW met2 ( 194350 92310 ) ( * 1607860 )
NEW met1 ( 194350 92310 ) ( 1594590 * )
NEW met1 ( 194350 92310 ) M1M2_PR
NEW met2 ( 194350 1607860 ) M2M3_PR_M
NEW met1 ( 1594590 92310 ) M1M2_PR ;
- core0_to_mem_data\[15\] ( core0 mem_data_out[15] ) ( chip_controller wr_data[15] ) + USE SIGNAL
+ ROUTED met2 ( 297390 1899580 0 ) ( * 1905530 )
NEW met2 ( 678270 1612450 ) ( * 1905530 )
NEW met2 ( 1746850 1599700 0 ) ( * 1612450 )
NEW met1 ( 678270 1612450 ) ( 1746850 * )
NEW met1 ( 297390 1905530 ) ( 678270 * )
NEW met1 ( 297390 1905530 ) M1M2_PR
NEW met1 ( 678270 1612450 ) M1M2_PR
NEW met1 ( 678270 1905530 ) M1M2_PR
NEW met1 ( 1746850 1612450 ) M1M2_PR ;
- core0_to_mem_data\[16\] ( core0 mem_data_out[16] ) ( chip_controller wr_data[16] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 409020 ) ( * 413950 )
NEW met3 ( 599380 1612620 0 ) ( 609270 * )
NEW met2 ( 609270 1605310 ) ( * 1612620 )
NEW met1 ( 824550 413950 ) ( 1283630 * )
NEW met3 ( 1283630 409020 ) ( 1300420 * 0 )
NEW met1 ( 609270 1605310 ) ( 824550 * )
NEW met2 ( 824550 413950 ) ( * 1605310 )
NEW met1 ( 1283630 413950 ) M1M2_PR
NEW met2 ( 1283630 409020 ) M2M3_PR_M
NEW met2 ( 609270 1612620 ) M2M3_PR_M
NEW met1 ( 609270 1605310 ) M1M2_PR
NEW met1 ( 824550 413950 ) M1M2_PR
NEW met1 ( 824550 1605310 ) M1M2_PR ;
- core0_to_mem_data\[17\] ( core0 mem_data_out[17] ) ( chip_controller wr_data[17] ) + USE SIGNAL
+ ROUTED met2 ( 338790 1485290 ) ( * 1490900 )
NEW met2 ( 337870 1490900 ) ( 338790 * )
NEW met2 ( 337870 1490900 ) ( * 1500420 0 )
NEW met1 ( 338790 1485290 ) ( 1238550 * )
NEW met2 ( 1238550 1485290 ) ( * 1605650 )
NEW met2 ( 1774450 1599700 0 ) ( * 1605650 )
NEW met1 ( 1238550 1605650 ) ( 1774450 * )
NEW met1 ( 338790 1485290 ) M1M2_PR
NEW met1 ( 1238550 1485290 ) M1M2_PR
NEW met1 ( 1238550 1605650 ) M1M2_PR
NEW met1 ( 1774450 1605650 ) M1M2_PR ;
- core0_to_mem_data\[18\] ( core0 mem_data_out[18] ) ( chip_controller wr_data[18] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 610300 0 ) ( 2803930 * )
NEW met2 ( 313490 1899580 0 ) ( * 1911990 )
NEW met2 ( 507150 1911990 ) ( * 1939700 )
NEW met2 ( 663550 1625370 ) ( * 1939700 )
NEW met1 ( 313490 1911990 ) ( 507150 * )
NEW met1 ( 663550 1625370 ) ( 2803930 * )
NEW met2 ( 2803930 610300 ) ( * 1625370 )
NEW met3 ( 507150 1939700 ) ( 663550 * )
NEW met2 ( 507150 1939700 ) M2M3_PR_M
NEW met2 ( 663550 1939700 ) M2M3_PR_M
NEW met2 ( 2803930 610300 ) M2M3_PR_M
NEW met1 ( 313490 1911990 ) M1M2_PR
NEW met1 ( 507150 1911990 ) M1M2_PR
NEW met1 ( 663550 1625370 ) M1M2_PR
NEW met1 ( 2803930 1625370 ) M1M2_PR ;
- core0_to_mem_data\[19\] ( core0 mem_data_out[19] ) ( chip_controller wr_data[19] ) + USE SIGNAL
+ ROUTED met2 ( 321770 1899580 0 ) ( * 1915390 )
NEW met2 ( 507610 1915390 ) ( * 1935790 )
NEW met3 ( 2799100 651780 0 ) ( 2808990 * )
NEW met2 ( 2808990 651780 ) ( * 655350 )
NEW met1 ( 2808990 655350 ) ( 2823710 * )
NEW met2 ( 2823710 655350 ) ( * 1625710 )
NEW met1 ( 321770 1915390 ) ( 507610 * )
NEW met1 ( 696210 1625710 ) ( 2823710 * )
NEW met1 ( 507610 1935790 ) ( 696210 * )
NEW met2 ( 696210 1625710 ) ( * 1935790 )
NEW met1 ( 507610 1935790 ) M1M2_PR
NEW met1 ( 321770 1915390 ) M1M2_PR
NEW met1 ( 507610 1915390 ) M1M2_PR
NEW met1 ( 696210 1625710 ) M1M2_PR
NEW met2 ( 2808990 651780 ) M2M3_PR_M
NEW met1 ( 2808990 655350 ) M1M2_PR
NEW met1 ( 2823710 655350 ) M1M2_PR
NEW met1 ( 2823710 1625710 ) M1M2_PR
NEW met1 ( 696210 1935790 ) M1M2_PR ;
- core0_to_mem_data\[1\] ( core0 mem_data_out[1] ) ( chip_controller wr_data[1] ) + USE SIGNAL
+ ROUTED met2 ( 2808990 107100 ) ( * 128860 )
NEW met2 ( 2808530 128860 ) ( 2808990 * )
NEW met3 ( 2799100 151980 0 ) ( 2808530 * )
NEW met2 ( 2808530 128860 ) ( * 151980 )
NEW met3 ( 2739300 107100 ) ( * 107780 )
NEW met3 ( 2739300 107100 ) ( 2808990 * )
NEW met1 ( 273470 1489370 ) ( * 1490050 )
NEW met1 ( 234370 1489370 ) ( 273470 * )
NEW met2 ( 234370 1489370 ) ( * 1500420 0 )
NEW met1 ( 273470 1490050 ) ( 327750 * )
NEW met2 ( 327750 107780 ) ( * 1490050 )
NEW met3 ( 327750 107780 ) ( 2739300 * )
NEW met2 ( 2808990 107100 ) M2M3_PR_M
NEW met2 ( 2808530 151980 ) M2M3_PR_M
NEW met2 ( 327750 107780 ) M2M3_PR_M
NEW met1 ( 234370 1489370 ) M1M2_PR
NEW met1 ( 327750 1490050 ) M1M2_PR ;
- core0_to_mem_data\[20\] ( core0 mem_data_out[20] ) ( chip_controller wr_data[20] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 558620 ) ( * 558790 )
NEW met2 ( 331430 1899580 0 ) ( * 1908250 )
NEW met1 ( 616630 558790 ) ( 1283630 * )
NEW met3 ( 1283630 558620 ) ( 1300420 * 0 )
NEW met1 ( 331430 1908250 ) ( 616630 * )
NEW met2 ( 616630 558790 ) ( * 1908250 )
NEW met1 ( 1283630 558790 ) M1M2_PR
NEW met2 ( 1283630 558620 ) M2M3_PR_M
NEW met1 ( 331430 1908250 ) M1M2_PR
NEW met1 ( 616630 558790 ) M1M2_PR
NEW met1 ( 616630 1908250 ) M1M2_PR ;
- core0_to_mem_data\[21\] ( core0 mem_data_out[21] ) ( chip_controller wr_data[21] ) + USE SIGNAL
+ ROUTED met2 ( 588570 1909270 ) ( * 1909950 )
NEW met2 ( 1712810 85850 ) ( * 100300 0 )
NEW met2 ( 337870 1899580 0 ) ( * 1909270 )
NEW met1 ( 337870 1909270 ) ( 588570 * )
NEW met1 ( 588570 1909950 ) ( 611570 * )
NEW met1 ( 611570 85850 ) ( 1712810 * )
NEW met2 ( 611570 85850 ) ( * 1909950 )
NEW met1 ( 611570 85850 ) M1M2_PR
NEW met1 ( 588570 1909270 ) M1M2_PR
NEW met1 ( 588570 1909950 ) M1M2_PR
NEW met1 ( 1712810 85850 ) M1M2_PR
NEW met1 ( 337870 1909270 ) M1M2_PR
NEW met1 ( 611570 1909950 ) M1M2_PR ;
- core0_to_mem_data\[22\] ( core0 mem_data_out[22] ) ( chip_controller wr_data[22] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 755820 0 ) ( 2811750 * )
NEW met2 ( 370070 1489540 ) ( * 1500420 0 )
NEW met3 ( 370070 1489540 ) ( 1225210 * )
NEW met2 ( 1225210 1489540 ) ( * 1596470 )
NEW met2 ( 2813130 1567230 ) ( * 1596470 )
NEW met1 ( 2811750 1567230 ) ( 2813130 * )
NEW met1 ( 1225210 1596470 ) ( 2813130 * )
NEW met2 ( 2811750 755820 ) ( * 1567230 )
NEW met2 ( 2811750 755820 ) M2M3_PR_M
NEW met2 ( 370070 1489540 ) M2M3_PR_M
NEW met2 ( 1225210 1489540 ) M2M3_PR_M
NEW met1 ( 1225210 1596470 ) M1M2_PR
NEW met1 ( 2813130 1596470 ) M1M2_PR
NEW met1 ( 2813130 1567230 ) M1M2_PR
NEW met1 ( 2811750 1567230 ) M1M2_PR ;
- core0_to_mem_data\[23\] ( core0 mem_data_out[23] ) ( chip_controller wr_data[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1645940 0 ) ( 608810 * )
NEW met2 ( 608810 1642710 ) ( * 1645940 )
NEW met2 ( 1283630 615060 ) ( * 620670 )
NEW met1 ( 608810 1642710 ) ( 1010850 * )
NEW met2 ( 1010850 620670 ) ( * 1642710 )
NEW met1 ( 1010850 620670 ) ( 1283630 * )
NEW met3 ( 1283630 615060 ) ( 1300420 * 0 )
NEW met2 ( 608810 1645940 ) M2M3_PR_M
NEW met1 ( 608810 1642710 ) M1M2_PR
NEW met1 ( 1283630 620670 ) M1M2_PR
NEW met2 ( 1283630 615060 ) M2M3_PR_M
NEW met1 ( 1010850 1642710 ) M1M2_PR
NEW met1 ( 1010850 620670 ) M1M2_PR ;
- core0_to_mem_data\[24\] ( core0 mem_data_out[24] ) ( chip_controller wr_data[24] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 671500 ) ( * 676090 )
NEW met2 ( 377890 1500420 0 ) ( 378810 * )
NEW met2 ( 378810 676090 ) ( * 1500420 )
NEW met1 ( 378810 676090 ) ( 1283630 * )
NEW met3 ( 1283630 671500 ) ( 1300420 * 0 )
NEW met1 ( 378810 676090 ) M1M2_PR
NEW met1 ( 1283630 676090 ) M1M2_PR
NEW met2 ( 1283630 671500 ) M2M3_PR_M ;
- core0_to_mem_data\[25\] ( core0 mem_data_out[25] ) ( chip_controller wr_data[25] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 708900 ) ( * 710430 )
NEW li1 ( 588110 1909950 ) ( 589030 * )
NEW li1 ( 589030 1909270 ) ( * 1909950 )
NEW met1 ( 612950 710430 ) ( 1283630 * )
NEW met3 ( 1283630 708900 ) ( 1300420 * 0 )
NEW met2 ( 361790 1899580 0 ) ( * 1909950 )
NEW met1 ( 361790 1909950 ) ( 588110 * )
NEW met1 ( 589030 1909270 ) ( 612950 * )
NEW met2 ( 612950 710430 ) ( * 1909270 )
NEW met1 ( 612950 710430 ) M1M2_PR
NEW met1 ( 1283630 710430 ) M1M2_PR
NEW met2 ( 1283630 708900 ) M2M3_PR_M
NEW li1 ( 588110 1909950 ) L1M1_PR_MR
NEW li1 ( 589030 1909270 ) L1M1_PR_MR
NEW met1 ( 361790 1909950 ) M1M2_PR
NEW met1 ( 612950 1909270 ) M1M2_PR ;
- core0_to_mem_data\[26\] ( core0 mem_data_out[26] ) ( chip_controller wr_data[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1669740 0 ) ( 608810 * )
NEW met2 ( 608810 1663110 ) ( * 1669740 )
NEW met1 ( 608810 1663110 ) ( 1280410 * )
NEW met2 ( 1779970 90610 ) ( * 100300 0 )
NEW met2 ( 1280410 90610 ) ( * 1663110 )
NEW met1 ( 1280410 90610 ) ( 1779970 * )
NEW met2 ( 608810 1669740 ) M2M3_PR_M
NEW met1 ( 608810 1663110 ) M1M2_PR
NEW met1 ( 1280410 1663110 ) M1M2_PR
NEW met1 ( 1779970 90610 ) M1M2_PR
NEW met1 ( 1280410 90610 ) M1M2_PR ;
- core0_to_mem_data\[27\] ( core0 mem_data_out[27] ) ( chip_controller wr_data[27] ) + USE SIGNAL
+ ROUTED li1 ( 586730 1903150 ) ( * 1903490 )
NEW li1 ( 586730 1903490 ) ( 590870 * )
NEW li1 ( 590870 1903150 ) ( * 1903490 )
NEW met2 ( 370070 1899580 0 ) ( * 1903150 )
NEW met1 ( 370070 1903150 ) ( 586730 * )
NEW met2 ( 1898190 1599700 0 ) ( * 1611090 )
NEW met1 ( 606510 1611090 ) ( 1898190 * )
NEW met1 ( 590870 1903150 ) ( 606510 * )
NEW met2 ( 606510 1611090 ) ( * 1903150 )
NEW met1 ( 606510 1611090 ) M1M2_PR
NEW li1 ( 586730 1903150 ) L1M1_PR_MR
NEW li1 ( 590870 1903150 ) L1M1_PR_MR
NEW met1 ( 370070 1903150 ) M1M2_PR
NEW met1 ( 1898190 1611090 ) M1M2_PR
NEW met1 ( 606510 1903150 ) M1M2_PR ;
- core0_to_mem_data\[28\] ( core0 mem_data_out[28] ) ( chip_controller wr_data[28] ) + USE SIGNAL
+ ROUTED met3 ( 186300 1649340 ) ( 189060 * )
NEW met4 ( 189060 1649340 ) ( * 1671100 )
NEW met3 ( 189060 1671100 ) ( 201020 * 0 )
NEW met3 ( 2799100 818380 0 ) ( 2804850 * )
NEW met2 ( 1295130 1475940 ) ( * 1603270 )
NEW met4 ( 186300 1475940 ) ( * 1649340 )
NEW met3 ( 186300 1475940 ) ( 1295130 * )
NEW met1 ( 1295130 1603270 ) ( 2804850 * )
NEW met2 ( 2804850 818380 ) ( * 1603270 )
NEW met3 ( 186300 1649340 ) M3M4_PR_M
NEW met3 ( 189060 1649340 ) M3M4_PR_M
NEW met3 ( 189060 1671100 ) M3M4_PR_M
NEW met2 ( 2804850 818380 ) M2M3_PR_M
NEW met2 ( 1295130 1475940 ) M2M3_PR_M
NEW met1 ( 1295130 1603270 ) M1M2_PR
NEW met3 ( 186300 1475940 ) M3M4_PR_M
NEW met1 ( 2804850 1603270 ) M1M2_PR ;
- core0_to_mem_data\[29\] ( core0 mem_data_out[29] ) ( chip_controller wr_data[29] ) + USE SIGNAL
+ ROUTED met2 ( 1830570 95370 ) ( * 100300 0 )
NEW met2 ( 102810 95370 ) ( * 1676710 )
NEW met2 ( 189750 1676710 ) ( * 1677900 )
NEW met3 ( 189750 1677900 ) ( 201020 * 0 )
NEW met1 ( 102810 1676710 ) ( 189750 * )
NEW met1 ( 102810 95370 ) ( 1830570 * )
NEW met1 ( 102810 95370 ) M1M2_PR
NEW met1 ( 1830570 95370 ) M1M2_PR
NEW met1 ( 102810 1676710 ) M1M2_PR
NEW met1 ( 189750 1676710 ) M1M2_PR
NEW met2 ( 189750 1677900 ) M2M3_PR_M ;
- core0_to_mem_data\[2\] ( core0 mem_data_out[2] ) ( chip_controller wr_data[2] ) + USE SIGNAL
+ ROUTED met2 ( 1358610 91630 ) ( * 100300 0 )
NEW met2 ( 221490 1899580 0 ) ( * 1917430 )
NEW met1 ( 167210 1917430 ) ( 221490 * )
NEW met2 ( 167210 91630 ) ( * 1917430 )
NEW met1 ( 167210 91630 ) ( 1358610 * )
NEW met1 ( 1358610 91630 ) M1M2_PR
NEW met1 ( 221490 1917430 ) M1M2_PR
NEW met1 ( 167210 91630 ) M1M2_PR
NEW met1 ( 167210 1917430 ) M1M2_PR ;
- core0_to_mem_data\[30\] ( core0 mem_data_out[30] ) ( chip_controller wr_data[30] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 783700 ) ( * 786590 )
NEW met3 ( 599380 1693540 0 ) ( 607430 * )
NEW met2 ( 607430 1690650 ) ( * 1693540 )
NEW met2 ( 955650 786590 ) ( * 1690650 )
NEW met1 ( 955650 786590 ) ( 1283630 * )
NEW met3 ( 1283630 783700 ) ( 1300420 * 0 )
NEW met1 ( 607430 1690650 ) ( 955650 * )
NEW met1 ( 955650 786590 ) M1M2_PR
NEW met1 ( 1283630 786590 ) M1M2_PR
NEW met2 ( 1283630 783700 ) M2M3_PR_M
NEW met2 ( 607430 1693540 ) M2M3_PR_M
NEW met1 ( 607430 1690650 ) M1M2_PR
NEW met1 ( 955650 1690650 ) M1M2_PR ;
- core0_to_mem_data\[31\] ( core0 mem_data_out[31] ) ( chip_controller wr_data[31] ) + USE SIGNAL
+ ROUTED met2 ( 381110 1899580 0 ) ( * 1911310 )
NEW met1 ( 381110 1911310 ) ( 385710 * )
NEW met2 ( 385710 1911310 ) ( * 1945650 )
NEW met3 ( 2799100 839460 0 ) ( 2808990 * )
NEW met2 ( 2808990 839460 ) ( * 840990 )
NEW met1 ( 2808990 840990 ) ( 2824630 * )
NEW met2 ( 2824630 840990 ) ( * 1641010 )
NEW met1 ( 699430 1641010 ) ( 2824630 * )
NEW met2 ( 697590 1899750 ) ( * 1945650 )
NEW met1 ( 697590 1899750 ) ( 699430 * )
NEW met1 ( 385710 1945650 ) ( 697590 * )
NEW met2 ( 699430 1641010 ) ( * 1899750 )
NEW met1 ( 385710 1945650 ) M1M2_PR
NEW met1 ( 699430 1641010 ) M1M2_PR
NEW met1 ( 2824630 1641010 ) M1M2_PR
NEW met1 ( 381110 1911310 ) M1M2_PR
NEW met1 ( 385710 1911310 ) M1M2_PR
NEW met2 ( 2808990 839460 ) M2M3_PR_M
NEW met1 ( 2808990 840990 ) M1M2_PR
NEW met1 ( 2824630 840990 ) M1M2_PR
NEW met1 ( 697590 1945650 ) M1M2_PR
NEW met1 ( 697590 1899750 ) M1M2_PR
NEW met1 ( 699430 1899750 ) M1M2_PR ;
- core0_to_mem_data\[32\] ( core0 mem_data_out[32] ) ( chip_controller wr_data[32] ) + USE SIGNAL
+ ROUTED met2 ( 410550 1487330 ) ( * 1500420 0 )
NEW met2 ( 783150 1487330 ) ( * 1603950 )
NEW met1 ( 410550 1487330 ) ( 783150 * )
NEW met2 ( 2008130 1599700 0 ) ( * 1603950 )
NEW met1 ( 783150 1603950 ) ( 2008130 * )
NEW met1 ( 410550 1487330 ) M1M2_PR
NEW met1 ( 783150 1487330 ) M1M2_PR
NEW met1 ( 783150 1603950 ) M1M2_PR
NEW met1 ( 2008130 1603950 ) M1M2_PR ;
- core0_to_mem_data\[33\] ( core0 mem_data_out[33] ) ( chip_controller wr_data[33] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 902020 0 ) ( 2812210 * )
NEW met2 ( 2812210 902020 ) ( * 902870 )
NEW met1 ( 2812210 902870 ) ( 2825550 * )
NEW met2 ( 389390 1899580 0 ) ( * 1916410 )
NEW met2 ( 508530 1916410 ) ( * 1932730 )
NEW met2 ( 678730 1640670 ) ( * 1932730 )
NEW met2 ( 2825550 902870 ) ( * 1640670 )
NEW met1 ( 678730 1640670 ) ( 2825550 * )
NEW met1 ( 389390 1916410 ) ( 508530 * )
NEW met1 ( 508530 1932730 ) ( 678730 * )
NEW met1 ( 508530 1932730 ) M1M2_PR
NEW met1 ( 678730 1640670 ) M1M2_PR
NEW met1 ( 678730 1932730 ) M1M2_PR
NEW met2 ( 2812210 902020 ) M2M3_PR_M
NEW met1 ( 2812210 902870 ) M1M2_PR
NEW met1 ( 2825550 902870 ) M1M2_PR
NEW met1 ( 2825550 1640670 ) M1M2_PR
NEW met1 ( 389390 1916410 ) M1M2_PR
NEW met1 ( 508530 1916410 ) M1M2_PR ;
- core0_to_mem_data\[34\] ( core0 mem_data_out[34] ) ( chip_controller wr_data[34] ) + USE SIGNAL
+ ROUTED met2 ( 393990 1899580 0 ) ( * 1906890 )
NEW met2 ( 2035730 1599700 0 ) ( * 1607860 )
NEW met3 ( 692990 1607860 ) ( 2035730 * )
NEW met1 ( 393990 1906890 ) ( 692990 * )
NEW met2 ( 692990 1607860 ) ( * 1906890 )
NEW met1 ( 393990 1906890 ) M1M2_PR
NEW met2 ( 692990 1607860 ) M2M3_PR_M
NEW met2 ( 2035730 1607860 ) M2M3_PR_M
NEW met1 ( 692990 1906890 ) M1M2_PR ;
- core0_to_mem_data\[35\] ( core0 mem_data_out[35] ) ( chip_controller wr_data[35] ) + USE SIGNAL
+ ROUTED met1 ( 415150 1490390 ) ( 420210 * )
NEW met2 ( 415150 1490390 ) ( * 1500420 0 )
NEW met2 ( 420210 93670 ) ( * 1490390 )
NEW met2 ( 1881170 93670 ) ( * 100300 0 )
NEW met1 ( 420210 93670 ) ( 1881170 * )
NEW met1 ( 420210 93670 ) M1M2_PR
NEW met1 ( 420210 1490390 ) M1M2_PR
NEW met1 ( 415150 1490390 ) M1M2_PR
NEW met1 ( 1881170 93670 ) M1M2_PR ;
- core0_to_mem_data\[36\] ( core0 mem_data_out[36] ) ( chip_controller wr_data[36] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1707820 0 ) ( 608810 * )
NEW met2 ( 608810 1704590 ) ( * 1707820 )
NEW met2 ( 1266610 77010 ) ( * 1704590 )
NEW met2 ( 1897730 100300 ) ( 1898190 * 0 )
NEW met1 ( 608810 1704590 ) ( 1266610 * )
NEW met2 ( 1897730 77010 ) ( * 100300 )
NEW met1 ( 1266610 77010 ) ( 1897730 * )
NEW met2 ( 608810 1707820 ) M2M3_PR_M
NEW met1 ( 608810 1704590 ) M1M2_PR
NEW met1 ( 1266610 77010 ) M1M2_PR
NEW met1 ( 1266610 1704590 ) M1M2_PR
NEW met1 ( 1897730 77010 ) M1M2_PR ;
- core0_to_mem_data\[37\] ( core0 mem_data_out[37] ) ( chip_controller wr_data[37] ) + USE SIGNAL
+ ROUTED met2 ( 1925330 100300 ) ( 1931770 * 0 )
NEW met3 ( 599380 1709860 0 ) ( 608350 * )
NEW met2 ( 608350 1705270 ) ( * 1709860 )
NEW met2 ( 1190710 69870 ) ( * 1705270 )
NEW met2 ( 1925330 69870 ) ( * 100300 )
NEW met1 ( 608350 1705270 ) ( 1190710 * )
NEW met1 ( 1190710 69870 ) ( 1925330 * )
NEW met2 ( 608350 1709860 ) M2M3_PR_M
NEW met1 ( 608350 1705270 ) M1M2_PR
NEW met1 ( 1190710 69870 ) M1M2_PR
NEW met1 ( 1190710 1705270 ) M1M2_PR
NEW met1 ( 1925330 69870 ) M1M2_PR ;
- core0_to_mem_data\[38\] ( core0 mem_data_out[38] ) ( chip_controller wr_data[38] ) + USE SIGNAL
+ ROUTED met2 ( 420670 1488350 ) ( * 1500420 )
NEW met2 ( 420210 1500420 0 ) ( 420670 * )
NEW met2 ( 887110 1488350 ) ( * 1618570 )
NEW met1 ( 420670 1488350 ) ( 887110 * )
NEW met2 ( 2063330 1599700 0 ) ( * 1618570 )
NEW met1 ( 887110 1618570 ) ( 2063330 * )
NEW met1 ( 420670 1488350 ) M1M2_PR
NEW met1 ( 887110 1488350 ) M1M2_PR
NEW met1 ( 887110 1618570 ) M1M2_PR
NEW met1 ( 2063330 1618570 ) M1M2_PR ;
- core0_to_mem_data\[39\] ( core0 mem_data_out[39] ) ( chip_controller wr_data[39] ) + USE SIGNAL
+ ROUTED met2 ( 126730 1483250 ) ( * 1712750 )
NEW met2 ( 189750 1711220 ) ( * 1712750 )
NEW met3 ( 189750 1711220 ) ( 201020 * 0 )
NEW met2 ( 1276270 1483250 ) ( * 1619930 )
NEW met1 ( 126730 1712750 ) ( 189750 * )
NEW met2 ( 2090930 1599700 0 ) ( * 1619930 )
NEW met1 ( 1276270 1619930 ) ( 2090930 * )
NEW met1 ( 126730 1483250 ) ( 1276270 * )
NEW met1 ( 126730 1483250 ) M1M2_PR
NEW met1 ( 1276270 1483250 ) M1M2_PR
NEW met1 ( 126730 1712750 ) M1M2_PR
NEW met1 ( 189750 1712750 ) M1M2_PR
NEW met2 ( 189750 1711220 ) M2M3_PR_M
NEW met1 ( 1276270 1619930 ) M1M2_PR
NEW met1 ( 2090930 1619930 ) M1M2_PR ;
- core0_to_mem_data\[3\] ( core0 mem_data_out[3] ) ( chip_controller wr_data[3] ) + USE SIGNAL
+ ROUTED met1 ( 300150 1484270 ) ( * 1484950 )
NEW met2 ( 976810 1484270 ) ( * 1598170 )
NEW met2 ( 242650 1484950 ) ( * 1500420 0 )
NEW met1 ( 242650 1484950 ) ( 300150 * )
NEW li1 ( 324530 1484270 ) ( * 1484950 )
NEW met1 ( 324530 1484950 ) ( 326370 * )
NEW met1 ( 326370 1484270 ) ( * 1484950 )
NEW met1 ( 300150 1484270 ) ( 324530 * )
NEW met1 ( 326370 1484270 ) ( 976810 * )
NEW met2 ( 1497530 1598170 ) ( * 1598340 )
NEW met2 ( 1497530 1598340 ) ( 1498910 * 0 )
NEW met1 ( 976810 1598170 ) ( 1497530 * )
NEW met1 ( 976810 1484270 ) M1M2_PR
NEW met1 ( 976810 1598170 ) M1M2_PR
NEW met1 ( 242650 1484950 ) M1M2_PR
NEW li1 ( 324530 1484270 ) L1M1_PR_MR
NEW li1 ( 324530 1484950 ) L1M1_PR_MR
NEW met1 ( 1497530 1598170 ) M1M2_PR ;
- core0_to_mem_data\[40\] ( core0 mem_data_out[40] ) ( chip_controller wr_data[40] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1711730 ) ( * 1714620 )
NEW met3 ( 188370 1714620 ) ( 201020 * 0 )
NEW met2 ( 1275350 1462510 ) ( * 1597490 )
NEW met3 ( 2799100 922420 0 ) ( 2808530 * )
NEW met2 ( 2808530 922420 ) ( * 922590 )
NEW met1 ( 2808530 922590 ) ( 2817730 * )
NEW met2 ( 2817730 922590 ) ( * 1597490 )
NEW met1 ( 134090 1462510 ) ( 1275350 * )
NEW met2 ( 134090 1462510 ) ( * 1711730 )
NEW met1 ( 134090 1711730 ) ( 188370 * )
NEW met1 ( 1275350 1597490 ) ( 2817730 * )
NEW met1 ( 1275350 1462510 ) M1M2_PR
NEW met1 ( 188370 1711730 ) M1M2_PR
NEW met2 ( 188370 1714620 ) M2M3_PR_M
NEW met1 ( 1275350 1597490 ) M1M2_PR
NEW met2 ( 2808530 922420 ) M2M3_PR_M
NEW met1 ( 2808530 922590 ) M1M2_PR
NEW met1 ( 2817730 922590 ) M1M2_PR
NEW met1 ( 2817730 1597490 ) M1M2_PR
NEW met1 ( 134090 1462510 ) M1M2_PR
NEW met1 ( 134090 1711730 ) M1M2_PR ;
- core0_to_mem_data\[41\] ( core0 mem_data_out[41] ) ( chip_controller wr_data[41] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 840140 ) ( * 841670 )
NEW met2 ( 426190 1492260 ) ( 426650 * )
NEW met2 ( 426190 1492260 ) ( * 1500420 )
NEW met2 ( 424810 1500420 0 ) ( 426190 * )
NEW met2 ( 426650 841670 ) ( * 1492260 )
NEW met1 ( 426650 841670 ) ( 1283630 * )
NEW met3 ( 1283630 840140 ) ( 1300420 * 0 )
NEW met1 ( 1283630 841670 ) M1M2_PR
NEW met2 ( 1283630 840140 ) M2M3_PR_M
NEW met1 ( 426650 841670 ) M1M2_PR ;
- core0_to_mem_data\[42\] ( core0 mem_data_out[42] ) ( chip_controller wr_data[42] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 859180 ) ( * 862410 )
NEW met3 ( 191130 1721420 ) ( 201020 * 0 )
NEW met1 ( 203550 862410 ) ( 1283630 * )
NEW met3 ( 1283630 859180 ) ( 1300420 * 0 )
NEW met1 ( 191130 1497530 ) ( 203550 * )
NEW met2 ( 191130 1497530 ) ( * 1721420 )
NEW met2 ( 203550 862410 ) ( * 1497530 )
NEW met1 ( 203550 862410 ) M1M2_PR
NEW met1 ( 1283630 862410 ) M1M2_PR
NEW met2 ( 1283630 859180 ) M2M3_PR_M
NEW met2 ( 191130 1721420 ) M2M3_PR_M
NEW met1 ( 191130 1497530 ) M1M2_PR
NEW met1 ( 203550 1497530 ) M1M2_PR ;
- core0_to_mem_data\[43\] ( core0 mem_data_out[43] ) ( chip_controller wr_data[43] ) + USE SIGNAL
+ ROUTED met3 ( 188140 1726860 ) ( 201020 * 0 )
NEW met2 ( 1999390 94860 ) ( * 100300 0 )
NEW met4 ( 188140 94860 ) ( * 1726860 )
NEW met3 ( 188140 94860 ) ( 1999390 * )
NEW met3 ( 188140 94860 ) M3M4_PR_M
NEW met3 ( 188140 1726860 ) M3M4_PR_M
NEW met2 ( 1999390 94860 ) M2M3_PR_M ;
- core0_to_mem_data\[44\] ( core0 mem_data_out[44] ) ( chip_controller wr_data[44] ) + USE SIGNAL
+ ROUTED met2 ( 2015950 90950 ) ( * 100300 0 )
NEW met3 ( 599380 1718700 0 ) ( 607430 * )
NEW met2 ( 607430 1718700 ) ( * 1718870 )
NEW met2 ( 1066510 90950 ) ( * 1718870 )
NEW met1 ( 607430 1718870 ) ( 1066510 * )
NEW met1 ( 1066510 90950 ) ( 2015950 * )
NEW met1 ( 1066510 90950 ) M1M2_PR
NEW met1 ( 2015950 90950 ) M1M2_PR
NEW met2 ( 607430 1718700 ) M2M3_PR_M
NEW met1 ( 607430 1718870 ) M1M2_PR
NEW met1 ( 1066510 1718870 ) M1M2_PR ;
- core0_to_mem_data\[45\] ( core0 mem_data_out[45] ) ( chip_controller wr_data[45] ) + USE SIGNAL
+ ROUTED met2 ( 420210 1899580 0 ) ( * 1913690 )
NEW met2 ( 2132330 1599700 0 ) ( * 1762730 )
NEW met1 ( 420210 1913690 ) ( 602830 * )
NEW met1 ( 602830 1762730 ) ( 2132330 * )
NEW met1 ( 602830 1871190 ) ( * 1872210 )
NEW met2 ( 602830 1762730 ) ( * 1871190 )
NEW met2 ( 602830 1872210 ) ( * 1913690 )
NEW met1 ( 2132330 1762730 ) M1M2_PR
NEW met1 ( 420210 1913690 ) M1M2_PR
NEW met1 ( 602830 1913690 ) M1M2_PR
NEW met1 ( 602830 1762730 ) M1M2_PR
NEW met1 ( 602830 1871190 ) M1M2_PR
NEW met1 ( 602830 1872210 ) M1M2_PR ;
- core0_to_mem_data\[46\] ( core0 mem_data_out[46] ) ( chip_controller wr_data[46] ) + USE SIGNAL
+ ROUTED met3 ( 187220 1730260 ) ( 201020 * 0 )
NEW met2 ( 2032970 95540 ) ( * 100300 0 )
NEW met4 ( 187220 95540 ) ( * 1730260 )
NEW met3 ( 187220 95540 ) ( 2032970 * )
NEW met3 ( 187220 95540 ) M3M4_PR_M
NEW met3 ( 187220 1730260 ) M3M4_PR_M
NEW met2 ( 2032970 95540 ) M2M3_PR_M ;
- core0_to_mem_data\[47\] ( core0 mem_data_out[47] ) ( chip_controller wr_data[47] ) + USE SIGNAL
+ ROUTED met2 ( 2049990 87550 ) ( * 100300 0 )
NEW met2 ( 428030 1899580 0 ) ( * 1928820 )
NEW met3 ( 428030 1928820 ) ( 607890 * )
NEW met1 ( 607890 87550 ) ( 2049990 * )
NEW met2 ( 607890 1798770 ) ( 608350 * )
NEW met2 ( 608350 1798770 ) ( * 1800900 )
NEW met2 ( 607890 1800900 ) ( 608350 * )
NEW met2 ( 607890 87550 ) ( * 1798770 )
NEW met2 ( 607890 1800900 ) ( * 1928820 )
NEW met1 ( 607890 87550 ) M1M2_PR
NEW met1 ( 2049990 87550 ) M1M2_PR
NEW met2 ( 428030 1928820 ) M2M3_PR_M
NEW met2 ( 607890 1928820 ) M2M3_PR_M ;
- core0_to_mem_data\[48\] ( core0 mem_data_out[48] ) ( chip_controller wr_data[48] ) + USE SIGNAL
+ ROUTED li1 ( 589950 1900090 ) ( * 1902130 )
NEW met2 ( 2066550 87890 ) ( * 100300 0 )
NEW met2 ( 433090 1899580 0 ) ( * 1902130 )
NEW met1 ( 433090 1902130 ) ( 589950 * )
NEW met1 ( 606050 1900090 ) ( * 1900430 )
NEW met1 ( 606050 1900430 ) ( 616170 * )
NEW met2 ( 616170 1898900 ) ( * 1900430 )
NEW met3 ( 616170 1898900 ) ( 618470 * )
NEW met1 ( 589950 1900090 ) ( 606050 * )
NEW met1 ( 618470 87890 ) ( 2066550 * )
NEW met2 ( 618470 87890 ) ( * 1898900 )
NEW li1 ( 589950 1902130 ) L1M1_PR_MR
NEW li1 ( 589950 1900090 ) L1M1_PR_MR
NEW met1 ( 618470 87890 ) M1M2_PR
NEW met1 ( 2066550 87890 ) M1M2_PR
NEW met1 ( 433090 1902130 ) M1M2_PR
NEW met1 ( 616170 1900430 ) M1M2_PR
NEW met2 ( 616170 1898900 ) M2M3_PR_M
NEW met2 ( 618470 1898900 ) M2M3_PR_M ;
- core0_to_mem_data\[49\] ( core0 mem_data_out[49] ) ( chip_controller wr_data[49] ) + USE SIGNAL
+ ROUTED met3 ( 190900 1735700 ) ( 201020 * 0 )
NEW met2 ( 2082190 93330 ) ( * 100300 )
NEW met2 ( 2082190 100300 ) ( 2083570 * 0 )
NEW met3 ( 190900 1497700 ) ( 192970 * )
NEW met4 ( 190900 1497700 ) ( * 1735700 )
NEW met2 ( 192970 93330 ) ( * 1497700 )
NEW met1 ( 192970 93330 ) ( 2082190 * )
NEW met1 ( 192970 93330 ) M1M2_PR
NEW met3 ( 190900 1735700 ) M3M4_PR_M
NEW met1 ( 2082190 93330 ) M1M2_PR
NEW met3 ( 190900 1497700 ) M3M4_PR_M
NEW met2 ( 192970 1497700 ) M2M3_PR_M ;
- core0_to_mem_data\[4\] ( core0 mem_data_out[4] ) ( chip_controller wr_data[4] ) + USE SIGNAL
+ ROUTED met2 ( 1540310 1599700 0 ) ( * 1612790 )
NEW met2 ( 236210 1899580 0 ) ( * 1910290 )
NEW met1 ( 698970 1612790 ) ( 1540310 * )
NEW met1 ( 236210 1910290 ) ( 698970 * )
NEW met2 ( 698970 1612790 ) ( * 1910290 )
NEW met1 ( 698970 1612790 ) M1M2_PR
NEW met1 ( 1540310 1612790 ) M1M2_PR
NEW met1 ( 236210 1910290 ) M1M2_PR
NEW met1 ( 698970 1910290 ) M1M2_PR ;
- core0_to_mem_data\[50\] ( core0 mem_data_out[50] ) ( chip_controller wr_data[50] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1731620 0 ) ( 609270 * )
NEW met2 ( 609270 1726010 ) ( * 1731620 )
NEW met2 ( 952430 1693710 ) ( * 1726010 )
NEW met2 ( 2157170 1599700 ) ( 2159470 * 0 )
NEW met2 ( 2157170 1599700 ) ( * 1693710 )
NEW met1 ( 609270 1726010 ) ( 952430 * )
NEW met1 ( 952430 1693710 ) ( 2157170 * )
NEW met2 ( 609270 1731620 ) M2M3_PR_M
NEW met1 ( 609270 1726010 ) M1M2_PR
NEW met1 ( 952430 1726010 ) M1M2_PR
NEW met1 ( 952430 1693710 ) M1M2_PR
NEW met1 ( 2157170 1693710 ) M1M2_PR ;
- core0_to_mem_data\[51\] ( core0 mem_data_out[51] ) ( chip_controller wr_data[51] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1735700 0 ) ( 608350 * )
NEW met2 ( 608350 1732810 ) ( * 1735700 )
NEW met2 ( 976350 1645430 ) ( * 1732810 )
NEW met1 ( 608350 1732810 ) ( 976350 * )
NEW met1 ( 976350 1645430 ) ( 2184770 * )
NEW met2 ( 2184770 1599700 ) ( 2187070 * 0 )
NEW met2 ( 2184770 1599700 ) ( * 1645430 )
NEW met2 ( 608350 1735700 ) M2M3_PR_M
NEW met1 ( 608350 1732810 ) M1M2_PR
NEW met1 ( 976350 1645430 ) M1M2_PR
NEW met1 ( 976350 1732810 ) M1M2_PR
NEW met1 ( 2184770 1645430 ) M1M2_PR ;
- core0_to_mem_data\[52\] ( core0 mem_data_out[52] ) ( chip_controller wr_data[52] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1737060 0 ) ( 608810 * )
NEW met2 ( 608810 1732130 ) ( * 1737060 )
NEW met3 ( 2799100 1006060 0 ) ( 2813130 * )
NEW met2 ( 2813130 1006060 ) ( * 1041930 )
NEW met1 ( 2813130 1041930 ) ( 2829690 * )
NEW met2 ( 2829690 1041930 ) ( * 1732130 )
NEW met1 ( 608810 1732130 ) ( 2829690 * )
NEW met2 ( 608810 1737060 ) M2M3_PR_M
NEW met1 ( 608810 1732130 ) M1M2_PR
NEW met1 ( 2829690 1732130 ) M1M2_PR
NEW met2 ( 2813130 1006060 ) M2M3_PR_M
NEW met1 ( 2813130 1041930 ) M1M2_PR
NEW met1 ( 2829690 1041930 ) M1M2_PR ;
- core0_to_mem_data\[53\] ( core0 mem_data_out[53] ) ( chip_controller wr_data[53] ) + USE SIGNAL
+ ROUTED met2 ( 667690 87210 ) ( * 1928140 )
NEW met2 ( 2100590 87210 ) ( * 100300 0 )
NEW met2 ( 444130 1899580 0 ) ( * 1928140 )
NEW met3 ( 444130 1928140 ) ( 667690 * )
NEW met1 ( 667690 87210 ) ( 2100590 * )
NEW met1 ( 667690 87210 ) M1M2_PR
NEW met2 ( 667690 1928140 ) M2M3_PR_M
NEW met2 ( 444130 1928140 ) M2M3_PR_M
NEW met1 ( 2100590 87210 ) M1M2_PR ;
- core0_to_mem_data\[54\] ( core0 mem_data_out[54] ) ( chip_controller wr_data[54] ) + USE SIGNAL
+ ROUTED met2 ( 2117150 88910 ) ( * 100300 0 )
NEW met2 ( 445970 1899580 0 ) ( * 1919980 )
NEW met3 ( 445970 1919980 ) ( 624910 * )
NEW met1 ( 624910 88910 ) ( 2117150 * )
NEW met2 ( 624910 88910 ) ( * 1919980 )
NEW met1 ( 2117150 88910 ) M1M2_PR
NEW met2 ( 445970 1919980 ) M2M3_PR_M
NEW met1 ( 624910 88910 ) M1M2_PR
NEW met2 ( 624910 1919980 ) M2M3_PR_M ;
- core0_to_mem_data\[55\] ( core0 mem_data_out[55] ) ( chip_controller wr_data[55] ) + USE SIGNAL
+ ROUTED met2 ( 187450 1746750 ) ( * 1747940 )
NEW met3 ( 187450 1747940 ) ( 201020 * 0 )
NEW met2 ( 2132330 100300 ) ( 2134170 * 0 )
NEW met2 ( 2132330 68510 ) ( * 100300 )
NEW met1 ( 146970 1746750 ) ( 187450 * )
NEW met2 ( 146970 68510 ) ( * 1746750 )
NEW met1 ( 146970 68510 ) ( 2132330 * )
NEW met1 ( 187450 1746750 ) M1M2_PR
NEW met2 ( 187450 1747940 ) M2M3_PR_M
NEW met1 ( 2132330 68510 ) M1M2_PR
NEW met1 ( 146970 1746750 ) M1M2_PR
NEW met1 ( 146970 68510 ) M1M2_PR ;
- core0_to_mem_data\[56\] ( core0 mem_data_out[56] ) ( chip_controller wr_data[56] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 952340 ) ( * 958970 )
NEW met1 ( 644690 958970 ) ( 1283630 * )
NEW met3 ( 1283630 952340 ) ( 1300420 * 0 )
NEW met2 ( 453790 1899580 0 ) ( * 1901790 )
NEW met2 ( 644690 958970 ) ( * 1899750 )
NEW li1 ( 618010 1899750 ) ( * 1901790 )
NEW li1 ( 618010 1899750 ) ( 618930 * )
NEW met1 ( 453790 1901790 ) ( 618010 * )
NEW met1 ( 618930 1899750 ) ( 644690 * )
NEW met1 ( 1283630 958970 ) M1M2_PR
NEW met2 ( 1283630 952340 ) M2M3_PR_M
NEW met1 ( 644690 958970 ) M1M2_PR
NEW met1 ( 453790 1901790 ) M1M2_PR
NEW met1 ( 644690 1899750 ) M1M2_PR
NEW li1 ( 618010 1901790 ) L1M1_PR_MR
NEW li1 ( 618930 1899750 ) L1M1_PR_MR ;
- core0_to_mem_data\[57\] ( core0 mem_data_out[57] ) ( chip_controller wr_data[57] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1748620 0 ) ( 607430 * )
NEW met2 ( 607430 1746410 ) ( * 1748620 )
NEW met2 ( 1283630 990420 ) ( * 993310 )
NEW met1 ( 607430 1746410 ) ( 942310 * )
NEW met1 ( 942310 993310 ) ( 1283630 * )
NEW met3 ( 1283630 990420 ) ( 1300420 * 0 )
NEW met2 ( 942310 993310 ) ( * 1746410 )
NEW met2 ( 607430 1748620 ) M2M3_PR_M
NEW met1 ( 607430 1746410 ) M1M2_PR
NEW met1 ( 1283630 993310 ) M1M2_PR
NEW met2 ( 1283630 990420 ) M2M3_PR_M
NEW met1 ( 942310 993310 ) M1M2_PR
NEW met1 ( 942310 1746410 ) M1M2_PR ;
- core0_to_mem_data\[58\] ( core0 mem_data_out[58] ) ( chip_controller wr_data[58] ) + USE SIGNAL
+ ROUTED met2 ( 686090 1014050 ) ( * 1905190 )
NEW met2 ( 1283630 1008780 ) ( * 1014050 )
NEW met2 ( 458850 1899580 0 ) ( * 1905190 )
NEW met1 ( 686090 1014050 ) ( 1283630 * )
NEW met3 ( 1283630 1008780 ) ( 1300420 * 0 )
NEW met1 ( 458850 1905190 ) ( 686090 * )
NEW met1 ( 686090 1014050 ) M1M2_PR
NEW met1 ( 686090 1905190 ) M1M2_PR
NEW met1 ( 1283630 1014050 ) M1M2_PR
NEW met2 ( 1283630 1008780 ) M2M3_PR_M
NEW met1 ( 458850 1905190 ) M1M2_PR ;
- core0_to_mem_data\[59\] ( core0 mem_data_out[59] ) ( chip_controller wr_data[59] ) + USE SIGNAL
+ ROUTED met2 ( 2184770 96730 ) ( * 100300 0 )
NEW met1 ( 453790 1490390 ) ( 455630 * )
NEW met2 ( 455630 1490390 ) ( * 1500420 0 )
NEW met2 ( 453790 96730 ) ( * 1490390 )
NEW met1 ( 453790 96730 ) ( 2184770 * )
NEW met1 ( 453790 96730 ) M1M2_PR
NEW met1 ( 2184770 96730 ) M1M2_PR
NEW met1 ( 453790 1490390 ) M1M2_PR
NEW met1 ( 455630 1490390 ) M1M2_PR ;
- core0_to_mem_data\[5\] ( core0 mem_data_out[5] ) ( chip_controller wr_data[5] ) + USE SIGNAL
+ ROUTED met3 ( 202860 1551420 0 ) ( * 1552100 )
NEW met2 ( 1392650 94180 ) ( * 100300 0 )
NEW met3 ( 202860 94180 ) ( 1392650 * )
NEW met4 ( 202860 1497300 ) ( * 1552100 )
NEW met4 ( 202860 1473900 ) ( 203780 * )
NEW met4 ( 203780 1473900 ) ( * 1497300 )
NEW met4 ( 202860 1497300 ) ( 203780 * )
NEW met4 ( 202860 94180 ) ( * 1473900 )
NEW met3 ( 202860 94180 ) M3M4_PR_M
NEW met3 ( 202860 1552100 ) M3M4_PR_M
NEW met2 ( 1392650 94180 ) M2M3_PR_M ;
- core0_to_mem_data\[60\] ( core0 mem_data_out[60] ) ( chip_controller wr_data[60] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1027820 ) ( * 1027990 )
NEW met2 ( 460230 1500420 0 ) ( 461610 * )
NEW met2 ( 461610 1027990 ) ( * 1500420 )
NEW met1 ( 461610 1027990 ) ( 1283630 * )
NEW met3 ( 1283630 1027820 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1027990 ) M1M2_PR
NEW met2 ( 1283630 1027820 ) M2M3_PR_M
NEW met1 ( 461610 1027990 ) M1M2_PR ;
- core0_to_mem_data\[61\] ( core0 mem_data_out[61] ) ( chip_controller wr_data[61] ) + USE SIGNAL
+ ROUTED met2 ( 2201330 89250 ) ( * 100300 0 )
NEW met2 ( 468510 1899580 0 ) ( * 1926780 )
NEW met2 ( 618010 1900260 ) ( * 1926780 )
NEW met2 ( 617550 1900260 ) ( 618010 * )
NEW met3 ( 468510 1926780 ) ( 618010 * )
NEW met1 ( 617550 89250 ) ( 2201330 * )
NEW met2 ( 617550 89250 ) ( * 1900260 )
NEW met2 ( 468510 1926780 ) M2M3_PR_M
NEW met1 ( 617550 89250 ) M1M2_PR
NEW met1 ( 2201330 89250 ) M1M2_PR
NEW met2 ( 618010 1926780 ) M2M3_PR_M ;
- core0_to_mem_data\[62\] ( core0 mem_data_out[62] ) ( chip_controller wr_data[62] ) + USE SIGNAL
+ ROUTED met2 ( 983710 1048730 ) ( * 1753550 )
NEW met2 ( 1283630 1046180 ) ( * 1048730 )
NEW met1 ( 983710 1048730 ) ( 1283630 * )
NEW met3 ( 1283630 1046180 ) ( 1300420 * 0 )
NEW met3 ( 599380 1754060 0 ) ( 613870 * )
NEW met2 ( 613870 1753550 ) ( * 1754060 )
NEW met1 ( 613870 1753550 ) ( 983710 * )
NEW met1 ( 983710 1753550 ) M1M2_PR
NEW met1 ( 983710 1048730 ) M1M2_PR
NEW met1 ( 1283630 1048730 ) M1M2_PR
NEW met2 ( 1283630 1046180 ) M2M3_PR_M
NEW met2 ( 613870 1754060 ) M2M3_PR_M
NEW met1 ( 613870 1753550 ) M1M2_PR ;
- core0_to_mem_data\[63\] ( core0 mem_data_out[63] ) ( chip_controller wr_data[63] ) + USE SIGNAL
+ ROUTED met3 ( 188830 1760180 ) ( 201020 * 0 )
NEW met2 ( 187910 1805060 ) ( 188370 * )
NEW met2 ( 188370 1773780 ) ( * 1805060 )
NEW met2 ( 188370 1773780 ) ( 188830 * )
NEW met2 ( 188830 1760180 ) ( * 1773780 )
NEW met1 ( 658030 1637950 ) ( 2269870 * )
NEW met2 ( 658030 1637950 ) ( * 1936810 )
NEW met2 ( 2269870 1599700 0 ) ( * 1637950 )
NEW met2 ( 187910 1805060 ) ( * 1936810 )
NEW met1 ( 187910 1936810 ) ( 658030 * )
NEW met2 ( 188830 1760180 ) M2M3_PR_M
NEW met1 ( 187910 1936810 ) M1M2_PR
NEW met1 ( 658030 1637950 ) M1M2_PR
NEW met1 ( 658030 1936810 ) M1M2_PR
NEW met1 ( 2269870 1637950 ) M1M2_PR ;
- core0_to_mem_data\[64\] ( core0 mem_data_out[64] ) ( chip_controller wr_data[64] ) + USE SIGNAL
+ ROUTED met2 ( 2235370 94010 ) ( * 100300 0 )
NEW met2 ( 471730 1899580 0 ) ( * 1914710 )
NEW met1 ( 471730 1914710 ) ( 517500 * )
NEW met1 ( 517500 1914370 ) ( * 1914710 )
NEW met1 ( 517500 1914370 ) ( 611110 * )
NEW met1 ( 611110 94010 ) ( 2235370 * )
NEW met2 ( 611110 94010 ) ( * 1914370 )
NEW met1 ( 611110 94010 ) M1M2_PR
NEW met1 ( 2235370 94010 ) M1M2_PR
NEW met1 ( 471730 1914710 ) M1M2_PR
NEW met1 ( 611110 1914370 ) M1M2_PR ;
- core0_to_mem_data\[65\] ( core0 mem_data_out[65] ) ( chip_controller wr_data[65] ) + USE SIGNAL
+ ROUTED met2 ( 2251930 94350 ) ( * 100300 0 )
NEW met2 ( 473110 1899580 0 ) ( * 1914370 )
NEW met1 ( 473110 1914370 ) ( 504850 * )
NEW met1 ( 504850 1914030 ) ( * 1914370 )
NEW met2 ( 618930 1899580 ) ( * 1914030 )
NEW met2 ( 618010 1899580 ) ( 618930 * )
NEW met1 ( 504850 1914030 ) ( 618930 * )
NEW met1 ( 618010 94350 ) ( 2251930 * )
NEW met2 ( 618010 94350 ) ( * 1899580 )
NEW met1 ( 2251930 94350 ) M1M2_PR
NEW met1 ( 473110 1914370 ) M1M2_PR
NEW met1 ( 618010 94350 ) M1M2_PR
NEW met1 ( 618930 1914030 ) M1M2_PR ;
- core0_to_mem_data\[66\] ( core0 mem_data_out[66] ) ( chip_controller wr_data[66] ) + USE SIGNAL
+ ROUTED met2 ( 2284590 100300 ) ( 2285970 * 0 )
NEW met2 ( 2284590 77350 ) ( * 100300 )
NEW met2 ( 1273050 77350 ) ( * 1760350 )
NEW met1 ( 1273050 77350 ) ( 2284590 * )
NEW met3 ( 599380 1764940 0 ) ( 609730 * )
NEW met2 ( 609730 1760350 ) ( * 1764940 )
NEW met1 ( 609730 1760350 ) ( 1273050 * )
NEW met1 ( 1273050 1760350 ) M1M2_PR
NEW met1 ( 2284590 77350 ) M1M2_PR
NEW met1 ( 1273050 77350 ) M1M2_PR
NEW met2 ( 609730 1764940 ) M2M3_PR_M
NEW met1 ( 609730 1760350 ) M1M2_PR ;
- core0_to_mem_data\[67\] ( core0 mem_data_out[67] ) ( chip_controller wr_data[67] ) + USE SIGNAL
+ ROUTED met3 ( 188140 1769020 ) ( 201020 * 0 )
NEW met2 ( 662630 1639990 ) ( * 1932220 )
NEW met3 ( 2799100 1047540 0 ) ( 2812210 * )
NEW met2 ( 2812210 1047540 ) ( * 1048050 )
NEW met1 ( 2812210 1048050 ) ( 2826470 * )
NEW met2 ( 2826470 1048050 ) ( * 1639990 )
NEW met1 ( 662630 1639990 ) ( 2826470 * )
NEW met4 ( 188140 1769020 ) ( * 1932220 )
NEW met3 ( 188140 1932220 ) ( 662630 * )
NEW met3 ( 188140 1769020 ) M3M4_PR_M
NEW met3 ( 188140 1932220 ) M3M4_PR_M
NEW met1 ( 662630 1639990 ) M1M2_PR
NEW met2 ( 662630 1932220 ) M2M3_PR_M
NEW met1 ( 2826470 1639990 ) M1M2_PR
NEW met2 ( 2812210 1047540 ) M2M3_PR_M
NEW met1 ( 2812210 1048050 ) M1M2_PR
NEW met1 ( 2826470 1048050 ) M1M2_PR ;
- core0_to_mem_data\[68\] ( core0 mem_data_out[68] ) ( chip_controller wr_data[68] ) + USE SIGNAL
+ ROUTED li1 ( 191130 1808630 ) ( * 1819170 )
NEW met2 ( 191130 1800470 ) ( * 1808630 )
NEW met1 ( 191130 1800470 ) ( 192510 * )
NEW met2 ( 192510 1774460 ) ( * 1800470 )
NEW met3 ( 192510 1774460 ) ( 201020 * 0 )
NEW met2 ( 679190 1638290 ) ( * 1945310 )
NEW met2 ( 2324610 1599700 0 ) ( * 1638290 )
NEW met1 ( 679190 1638290 ) ( 2324610 * )
NEW met2 ( 191130 1819170 ) ( * 1945310 )
NEW met1 ( 191130 1945310 ) ( 679190 * )
NEW met1 ( 191130 1945310 ) M1M2_PR
NEW met1 ( 679190 1638290 ) M1M2_PR
NEW met1 ( 679190 1945310 ) M1M2_PR
NEW met1 ( 2324610 1638290 ) M1M2_PR
NEW li1 ( 191130 1819170 ) L1M1_PR_MR
NEW met1 ( 191130 1819170 ) M1M2_PR
NEW li1 ( 191130 1808630 ) L1M1_PR_MR
NEW met1 ( 191130 1808630 ) M1M2_PR
NEW met1 ( 191130 1800470 ) M1M2_PR
NEW met1 ( 192510 1800470 ) M1M2_PR
NEW met2 ( 192510 1774460 ) M2M3_PR_M
NEW met1 ( 191130 1819170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 191130 1808630 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[69\] ( core0 mem_data_out[69] ) ( chip_controller wr_data[69] ) + USE SIGNAL
+ ROUTED met2 ( 2352210 1599700 0 ) ( * 1609730 )
NEW met1 ( 2342550 1609730 ) ( 2352210 * )
NEW met2 ( 2342550 1609730 ) ( * 1766470 )
NEW met3 ( 599380 1768340 0 ) ( 612490 * )
NEW met2 ( 612490 1766470 ) ( * 1768340 )
NEW met1 ( 612490 1766470 ) ( 2342550 * )
NEW met1 ( 2342550 1766470 ) M1M2_PR
NEW met1 ( 2352210 1609730 ) M1M2_PR
NEW met1 ( 2342550 1609730 ) M1M2_PR
NEW met2 ( 612490 1768340 ) M2M3_PR_M
NEW met1 ( 612490 1766470 ) M1M2_PR ;
- core0_to_mem_data\[6\] ( core0 mem_data_out[6] ) ( chip_controller wr_data[6] ) + USE SIGNAL
+ ROUTED met2 ( 245870 1899580 0 ) ( * 1917430 )
NEW met2 ( 1580330 1599700 ) ( 1581710 * 0 )
NEW met2 ( 1580330 1599700 ) ( * 1799790 )
NEW met1 ( 245870 1917430 ) ( 601450 * )
NEW met1 ( 601450 1799790 ) ( 1580330 * )
NEW met2 ( 601450 1897500 ) ( * 1917430 )
NEW met2 ( 601450 1891420 ) ( 601910 * )
NEW met2 ( 601910 1891420 ) ( * 1897500 )
NEW met2 ( 601450 1897500 ) ( 601910 * )
NEW met2 ( 601450 1799790 ) ( * 1891420 )
NEW met1 ( 245870 1917430 ) M1M2_PR
NEW met1 ( 1580330 1799790 ) M1M2_PR
NEW met1 ( 601450 1917430 ) M1M2_PR
NEW met1 ( 601450 1799790 ) M1M2_PR ;
- core0_to_mem_data\[70\] ( core0 mem_data_out[70] ) ( chip_controller wr_data[70] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1065220 ) ( * 1069470 )
NEW met2 ( 485990 1899580 0 ) ( * 1901450 )
NEW met2 ( 682870 1069470 ) ( * 1901450 )
NEW met1 ( 682870 1069470 ) ( 1283630 * )
NEW met3 ( 1283630 1065220 ) ( 1300420 * 0 )
NEW met1 ( 485990 1901450 ) ( 682870 * )
NEW met1 ( 682870 1069470 ) M1M2_PR
NEW met1 ( 1283630 1069470 ) M1M2_PR
NEW met2 ( 1283630 1065220 ) M2M3_PR_M
NEW met1 ( 485990 1901450 ) M1M2_PR
NEW met1 ( 682870 1901450 ) M1M2_PR ;
- core0_to_mem_data\[71\] ( core0 mem_data_out[71] ) ( chip_controller wr_data[71] ) + USE SIGNAL
+ ROUTED met1 ( 476330 1489370 ) ( 482770 * )
NEW met2 ( 476330 1489370 ) ( * 1500420 0 )
NEW met2 ( 482770 1103810 ) ( * 1489370 )
NEW met2 ( 1283630 1102620 ) ( * 1103810 )
NEW met1 ( 482770 1103810 ) ( 1283630 * )
NEW met3 ( 1283630 1102620 ) ( 1300420 * 0 )
NEW met1 ( 482770 1103810 ) M1M2_PR
NEW met1 ( 482770 1489370 ) M1M2_PR
NEW met1 ( 476330 1489370 ) M1M2_PR
NEW met1 ( 1283630 1103810 ) M1M2_PR
NEW met2 ( 1283630 1102620 ) M2M3_PR_M ;
- core0_to_mem_data\[72\] ( core0 mem_data_out[72] ) ( chip_controller wr_data[72] ) + USE SIGNAL
+ ROUTED met2 ( 2319550 95030 ) ( * 100300 0 )
NEW met2 ( 491050 1899580 0 ) ( * 1916070 )
NEW li1 ( 541650 1914710 ) ( * 1916070 )
NEW met1 ( 491050 1916070 ) ( 541650 * )
NEW met1 ( 541650 1914710 ) ( 603750 * )
NEW met1 ( 603750 95030 ) ( 2319550 * )
NEW met2 ( 603750 95030 ) ( * 1914710 )
NEW met1 ( 603750 95030 ) M1M2_PR
NEW met1 ( 2319550 95030 ) M1M2_PR
NEW met1 ( 491050 1916070 ) M1M2_PR
NEW li1 ( 541650 1916070 ) L1M1_PR_MR
NEW li1 ( 541650 1914710 ) L1M1_PR_MR
NEW met1 ( 603750 1914710 ) M1M2_PR ;
- core0_to_mem_data\[73\] ( core0 mem_data_out[73] ) ( chip_controller wr_data[73] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1769870 ) ( * 1773300 )
NEW met2 ( 187450 1773300 ) ( 188370 * )
NEW met2 ( 187450 1773300 ) ( * 1786700 )
NEW met3 ( 187450 1786700 ) ( 201020 * 0 )
NEW met2 ( 1283630 1140020 ) ( * 1145290 )
NEW met1 ( 140990 1769870 ) ( 188370 * )
NEW met2 ( 140990 1145290 ) ( * 1769870 )
NEW met1 ( 140990 1145290 ) ( 1283630 * )
NEW met3 ( 1283630 1140020 ) ( 1300420 * 0 )
NEW met1 ( 188370 1769870 ) M1M2_PR
NEW met2 ( 187450 1786700 ) M2M3_PR_M
NEW met1 ( 1283630 1145290 ) M1M2_PR
NEW met2 ( 1283630 1140020 ) M2M3_PR_M
NEW met1 ( 140990 1769870 ) M1M2_PR
NEW met1 ( 140990 1145290 ) M1M2_PR ;
- core0_to_mem_data\[74\] ( core0 mem_data_out[74] ) ( chip_controller wr_data[74] ) + USE SIGNAL
+ ROUTED li1 ( 188370 1816110 ) ( * 1821210 )
NEW met1 ( 187450 1816110 ) ( 188370 * )
NEW met2 ( 187450 1788060 ) ( * 1816110 )
NEW met3 ( 187450 1788060 ) ( 201020 * 0 )
NEW met2 ( 684710 1642030 ) ( * 1944290 )
NEW met1 ( 684710 1642030 ) ( 2366010 * )
NEW met2 ( 2366010 1599700 0 ) ( * 1642030 )
NEW met2 ( 188370 1821210 ) ( * 1944290 )
NEW met1 ( 188370 1944290 ) ( 684710 * )
NEW met1 ( 188370 1944290 ) M1M2_PR
NEW met1 ( 684710 1642030 ) M1M2_PR
NEW met1 ( 684710 1944290 ) M1M2_PR
NEW li1 ( 188370 1821210 ) L1M1_PR_MR
NEW met1 ( 188370 1821210 ) M1M2_PR
NEW li1 ( 188370 1816110 ) L1M1_PR_MR
NEW met1 ( 187450 1816110 ) M1M2_PR
NEW met2 ( 187450 1788060 ) M2M3_PR_M
NEW met1 ( 2366010 1642030 ) M1M2_PR
NEW met1 ( 188370 1821210 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[75\] ( core0 mem_data_out[75] ) ( chip_controller wr_data[75] ) + USE SIGNAL
+ ROUTED li1 ( 501170 1488010 ) ( * 1488690 )
NEW met1 ( 484610 1488690 ) ( 501170 * )
NEW met2 ( 484610 1488690 ) ( * 1500420 0 )
NEW met1 ( 501170 1488010 ) ( 921610 * )
NEW met2 ( 921610 1488010 ) ( * 1617210 )
NEW met2 ( 2379810 1599700 0 ) ( * 1617210 )
NEW met1 ( 921610 1617210 ) ( 2379810 * )
NEW li1 ( 501170 1488010 ) L1M1_PR_MR
NEW li1 ( 501170 1488690 ) L1M1_PR_MR
NEW met1 ( 484610 1488690 ) M1M2_PR
NEW met1 ( 921610 1488010 ) M1M2_PR
NEW met1 ( 921610 1617210 ) M1M2_PR
NEW met1 ( 2379810 1617210 ) M1M2_PR ;
- core0_to_mem_data\[76\] ( core0 mem_data_out[76] ) ( chip_controller wr_data[76] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1177420 ) ( * 1179630 )
NEW met2 ( 487830 1500420 0 ) ( 488750 * )
NEW met2 ( 488750 1179630 ) ( * 1500420 )
NEW met1 ( 488750 1179630 ) ( 1283630 * )
NEW met3 ( 1283630 1177420 ) ( 1300420 * 0 )
NEW met1 ( 488750 1179630 ) M1M2_PR
NEW met1 ( 1283630 1179630 ) M1M2_PR
NEW met2 ( 1283630 1177420 ) M2M3_PR_M ;
- core0_to_mem_data\[77\] ( core0 mem_data_out[77] ) ( chip_controller wr_data[77] ) + USE SIGNAL
+ ROUTED met2 ( 797410 1617890 ) ( * 1781430 )
NEW met2 ( 2393610 1599700 0 ) ( * 1617890 )
NEW met1 ( 797410 1617890 ) ( 2393610 * )
NEW met3 ( 599380 1785340 0 ) ( 610650 * )
NEW met2 ( 610650 1781430 ) ( * 1785340 )
NEW met1 ( 610650 1781430 ) ( 797410 * )
NEW met1 ( 797410 1617890 ) M1M2_PR
NEW met1 ( 797410 1781430 ) M1M2_PR
NEW met1 ( 2393610 1617890 ) M1M2_PR
NEW met2 ( 610650 1785340 ) M2M3_PR_M
NEW met1 ( 610650 1781430 ) M1M2_PR ;
- core0_to_mem_data\[78\] ( core0 mem_data_out[78] ) ( chip_controller wr_data[78] ) + USE SIGNAL
+ ROUTED met2 ( 505770 1899580 0 ) ( * 1912670 )
NEW met2 ( 2386710 95710 ) ( * 100300 0 )
NEW met1 ( 505770 1912670 ) ( 612030 * )
NEW met1 ( 612030 95710 ) ( 2386710 * )
NEW met2 ( 612030 95710 ) ( * 1912670 )
NEW met1 ( 612030 95710 ) M1M2_PR
NEW met1 ( 505770 1912670 ) M1M2_PR
NEW met1 ( 2386710 95710 ) M1M2_PR
NEW met1 ( 612030 1912670 ) M1M2_PR ;
- core0_to_mem_data\[79\] ( core0 mem_data_out[79] ) ( chip_controller wr_data[79] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1131180 0 ) ( 2813130 * )
NEW met2 ( 2813130 1131180 ) ( * 1131350 )
NEW met1 ( 2813130 1131350 ) ( 2830150 * )
NEW met2 ( 2830150 1131350 ) ( * 1783470 )
NEW met3 ( 599380 1792140 0 ) ( 608810 * )
NEW met2 ( 608810 1783470 ) ( * 1792140 )
NEW met1 ( 608810 1783470 ) ( 2830150 * )
NEW met2 ( 2813130 1131180 ) M2M3_PR_M
NEW met1 ( 2813130 1131350 ) M1M2_PR
NEW met1 ( 2830150 1131350 ) M1M2_PR
NEW met1 ( 2830150 1783470 ) M1M2_PR
NEW met2 ( 608810 1792140 ) M2M3_PR_M
NEW met1 ( 608810 1783470 ) M1M2_PR ;
- core0_to_mem_data\[7\] ( core0 mem_data_out[7] ) ( chip_controller wr_data[7] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 297500 0 ) ( 2814970 * )
NEW met2 ( 797410 1488860 ) ( * 1594260 )
NEW met2 ( 269790 1488860 ) ( * 1500420 0 )
NEW met3 ( 269790 1488860 ) ( 797410 * )
NEW met3 ( 797410 1594260 ) ( 2814970 * )
NEW met2 ( 2814970 297500 ) ( * 1594260 )
NEW met2 ( 2814970 297500 ) M2M3_PR_M
NEW met2 ( 797410 1488860 ) M2M3_PR_M
NEW met2 ( 797410 1594260 ) M2M3_PR_M
NEW met2 ( 269790 1488860 ) M2M3_PR_M
NEW met2 ( 2814970 1594260 ) M2M3_PR_M ;
- core0_to_mem_data\[80\] ( core0 mem_data_out[80] ) ( chip_controller wr_data[80] ) + USE SIGNAL
+ ROUTED met2 ( 510370 1899580 0 ) ( * 1915730 )
NEW met2 ( 2419370 1599700 ) ( 2421210 * 0 )
NEW met2 ( 2415230 1725000 ) ( 2419370 * )
NEW met2 ( 2419370 1599700 ) ( * 1725000 )
NEW met2 ( 2415230 1725000 ) ( * 1804550 )
NEW li1 ( 527850 1915050 ) ( * 1915730 )
NEW li1 ( 527850 1915050 ) ( 528770 * )
NEW met1 ( 510370 1915730 ) ( 527850 * )
NEW met2 ( 599610 1805060 ) ( 600530 * )
NEW met2 ( 600530 1804890 ) ( * 1805060 )
NEW met1 ( 600530 1804890 ) ( 610190 * )
NEW met1 ( 610190 1804550 ) ( * 1804890 )
NEW met1 ( 610190 1804550 ) ( 2415230 * )
NEW li1 ( 599610 1891590 ) ( * 1915050 )
NEW met1 ( 528770 1915050 ) ( 599610 * )
NEW met2 ( 599610 1805060 ) ( * 1891590 )
NEW met1 ( 510370 1915730 ) M1M2_PR
NEW met1 ( 2415230 1804550 ) M1M2_PR
NEW li1 ( 527850 1915730 ) L1M1_PR_MR
NEW li1 ( 528770 1915050 ) L1M1_PR_MR
NEW met1 ( 600530 1804890 ) M1M2_PR
NEW li1 ( 599610 1915050 ) L1M1_PR_MR
NEW li1 ( 599610 1891590 ) L1M1_PR_MR
NEW met1 ( 599610 1891590 ) M1M2_PR
NEW met1 ( 599610 1891590 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[81\] ( core0 mem_data_out[81] ) ( chip_controller wr_data[81] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1172660 0 ) ( 2808530 * )
NEW met2 ( 2808530 1172660 ) ( * 1172830 )
NEW met1 ( 2808530 1172830 ) ( 2819110 * )
NEW met2 ( 512210 1899580 0 ) ( * 1911310 )
NEW met1 ( 512210 1911310 ) ( 517270 * )
NEW met2 ( 517270 1911310 ) ( * 1940210 )
NEW met2 ( 663090 1640330 ) ( * 1940210 )
NEW met2 ( 2819110 1172830 ) ( * 1640330 )
NEW met1 ( 663090 1640330 ) ( 2819110 * )
NEW met1 ( 517270 1940210 ) ( 663090 * )
NEW met1 ( 517270 1940210 ) M1M2_PR
NEW met1 ( 663090 1640330 ) M1M2_PR
NEW met1 ( 663090 1940210 ) M1M2_PR
NEW met2 ( 2808530 1172660 ) M2M3_PR_M
NEW met1 ( 2808530 1172830 ) M1M2_PR
NEW met1 ( 2819110 1172830 ) M1M2_PR
NEW met1 ( 2819110 1640330 ) M1M2_PR
NEW met1 ( 512210 1911310 ) M1M2_PR
NEW met1 ( 517270 1911310 ) M1M2_PR ;
- core0_to_mem_data\[82\] ( core0 mem_data_out[82] ) ( chip_controller wr_data[82] ) + USE SIGNAL
+ ROUTED met2 ( 515430 1899580 0 ) ( * 1915390 )
NEW met2 ( 2433170 1599700 ) ( 2435010 * 0 )
NEW met2 ( 2429030 1725000 ) ( 2433170 * )
NEW met2 ( 2433170 1599700 ) ( * 1725000 )
NEW met2 ( 2429030 1725000 ) ( * 1799450 )
NEW met1 ( 515430 1915390 ) ( 517500 * )
NEW met1 ( 517500 1915050 ) ( * 1915390 )
NEW met1 ( 517500 1915050 ) ( 518190 * )
NEW met1 ( 518190 1914710 ) ( * 1915050 )
NEW met1 ( 518190 1914710 ) ( 528310 * )
NEW met1 ( 528310 1914710 ) ( * 1915390 )
NEW met2 ( 595930 1911310 ) ( * 1915390 )
NEW met1 ( 595930 1911310 ) ( 600070 * )
NEW met1 ( 528310 1915390 ) ( 595930 * )
NEW li1 ( 600070 1799450 ) ( * 1805570 )
NEW met1 ( 600070 1799450 ) ( 2429030 * )
NEW met2 ( 600070 1805570 ) ( * 1890570 )
NEW li1 ( 600070 1890570 ) ( * 1911310 )
NEW met1 ( 515430 1915390 ) M1M2_PR
NEW met1 ( 2429030 1799450 ) M1M2_PR
NEW li1 ( 600070 1805570 ) L1M1_PR_MR
NEW met1 ( 600070 1805570 ) M1M2_PR
NEW met1 ( 595930 1915390 ) M1M2_PR
NEW met1 ( 595930 1911310 ) M1M2_PR
NEW li1 ( 600070 1911310 ) L1M1_PR_MR
NEW li1 ( 600070 1799450 ) L1M1_PR_MR
NEW li1 ( 600070 1890570 ) L1M1_PR_MR
NEW met1 ( 600070 1890570 ) M1M2_PR
NEW met1 ( 600070 1805570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 600070 1890570 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[83\] ( core0 mem_data_out[83] ) ( chip_controller wr_data[83] ) + USE SIGNAL
+ ROUTED met2 ( 188370 1820700 ) ( 188830 * )
NEW met2 ( 188370 1805740 ) ( * 1820700 )
NEW met3 ( 188370 1805740 ) ( 201020 * 0 )
NEW met2 ( 2448810 1599700 0 ) ( * 1641690 )
NEW met1 ( 644230 1641690 ) ( 2448810 * )
NEW met2 ( 644230 1641690 ) ( * 1937490 )
NEW met2 ( 188830 1820700 ) ( * 1937490 )
NEW met1 ( 188830 1937490 ) ( 644230 * )
NEW met1 ( 188830 1937490 ) M1M2_PR
NEW met1 ( 2448810 1641690 ) M1M2_PR
NEW met2 ( 188370 1805740 ) M2M3_PR_M
NEW met1 ( 644230 1641690 ) M1M2_PR
NEW met1 ( 644230 1937490 ) M1M2_PR ;
- core0_to_mem_data\[84\] ( core0 mem_data_out[84] ) ( chip_controller wr_data[84] ) + USE SIGNAL
+ ROUTED met2 ( 502090 1235050 ) ( * 1483500 )
NEW met2 ( 500250 1483500 ) ( 502090 * )
NEW met2 ( 500250 1483500 ) ( * 1500420 )
NEW met2 ( 498870 1500420 0 ) ( 500250 * )
NEW met2 ( 1283630 1233860 ) ( * 1235050 )
NEW met1 ( 502090 1235050 ) ( 1283630 * )
NEW met3 ( 1283630 1233860 ) ( 1300420 * 0 )
NEW met1 ( 502090 1235050 ) M1M2_PR
NEW met1 ( 1283630 1235050 ) M1M2_PR
NEW met2 ( 1283630 1233860 ) M2M3_PR_M ;
- core0_to_mem_data\[85\] ( core0 mem_data_out[85] ) ( chip_controller wr_data[85] ) + USE SIGNAL
+ ROUTED met2 ( 2401430 100300 ) ( 2403730 * 0 )
NEW met2 ( 129030 75650 ) ( * 1808290 )
NEW met2 ( 189290 1808290 ) ( * 1814580 )
NEW met3 ( 189290 1814580 ) ( 201020 * 0 )
NEW met2 ( 2401430 75650 ) ( * 100300 )
NEW met1 ( 129030 1808290 ) ( 189290 * )
NEW met1 ( 129030 75650 ) ( 2401430 * )
NEW met1 ( 129030 75650 ) M1M2_PR
NEW met1 ( 129030 1808290 ) M1M2_PR
NEW met1 ( 189290 1808290 ) M1M2_PR
NEW met2 ( 189290 1814580 ) M2M3_PR_M
NEW met1 ( 2401430 75650 ) M1M2_PR ;
- core0_to_mem_data\[86\] ( core0 mem_data_out[86] ) ( chip_controller wr_data[86] ) + USE SIGNAL
+ ROUTED met3 ( 187450 1816620 ) ( 201020 * 0 )
NEW met1 ( 629050 1641350 ) ( 2489750 * )
NEW met2 ( 2489750 1599700 0 ) ( * 1641350 )
NEW met2 ( 187450 1816620 ) ( * 1939870 )
NEW met1 ( 187450 1939870 ) ( 629050 * )
NEW met2 ( 629050 1641350 ) ( * 1939870 )
NEW met1 ( 187450 1939870 ) M1M2_PR
NEW met2 ( 187450 1816620 ) M2M3_PR_M
NEW met1 ( 629050 1641350 ) M1M2_PR
NEW met1 ( 2489750 1641350 ) M1M2_PR
NEW met1 ( 629050 1939870 ) M1M2_PR ;
- core0_to_mem_data\[87\] ( core0 mem_data_out[87] ) ( chip_controller wr_data[87] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1235220 0 ) ( 2808530 * )
NEW met1 ( 2799330 1318010 ) ( 2808530 * )
NEW met2 ( 2808530 1235220 ) ( * 1318010 )
NEW met2 ( 2799330 1318010 ) ( * 1801150 )
NEW met3 ( 599380 1805060 0 ) ( 610650 * )
NEW met2 ( 610650 1801150 ) ( * 1805060 )
NEW met1 ( 610650 1801150 ) ( 2799330 * )
NEW met2 ( 2808530 1235220 ) M2M3_PR_M
NEW met1 ( 2799330 1318010 ) M1M2_PR
NEW met1 ( 2808530 1318010 ) M1M2_PR
NEW met1 ( 2799330 1801150 ) M1M2_PR
NEW met2 ( 610650 1805060 ) M2M3_PR_M
NEW met1 ( 610650 1801150 ) M1M2_PR ;
- core0_to_mem_data\[88\] ( core0 mem_data_out[88] ) ( chip_controller wr_data[88] ) + USE SIGNAL
+ ROUTED met2 ( 2437310 91290 ) ( * 100300 0 )
NEW met2 ( 528310 1899580 0 ) ( * 1915730 )
NEW met1 ( 528310 1915730 ) ( 531070 * )
NEW met2 ( 531070 1915730 ) ( * 1941060 )
NEW met1 ( 633650 135830 ) ( 1299730 * )
NEW met3 ( 531070 1941060 ) ( 633650 * )
NEW met2 ( 1299730 91290 ) ( * 135830 )
NEW met1 ( 1299730 91290 ) ( 2437310 * )
NEW met2 ( 633650 135830 ) ( * 1941060 )
NEW met1 ( 2437310 91290 ) M1M2_PR
NEW met2 ( 531070 1941060 ) M2M3_PR_M
NEW met1 ( 528310 1915730 ) M1M2_PR
NEW met1 ( 531070 1915730 ) M1M2_PR
NEW met1 ( 633650 135830 ) M1M2_PR
NEW met1 ( 1299730 135830 ) M1M2_PR
NEW met2 ( 633650 1941060 ) M2M3_PR_M
NEW met1 ( 1299730 91290 ) M1M2_PR ;
- core0_to_mem_data\[89\] ( core0 mem_data_out[89] ) ( chip_controller wr_data[89] ) + USE SIGNAL
+ ROUTED met2 ( 513590 1485970 ) ( * 1500420 0 )
NEW met2 ( 2503550 1599700 0 ) ( * 1602250 )
NEW met1 ( 513590 1485970 ) ( 721970 * )
NEW met2 ( 721970 1485970 ) ( * 1602250 )
NEW met1 ( 721970 1602250 ) ( 2503550 * )
NEW met1 ( 513590 1485970 ) M1M2_PR
NEW met1 ( 2503550 1602250 ) M1M2_PR
NEW met1 ( 721970 1485970 ) M1M2_PR
NEW met1 ( 721970 1602250 ) M1M2_PR ;
- core0_to_mem_data\[8\] ( core0 mem_data_out[8] ) ( chip_controller wr_data[8] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 401540 0 ) ( 2815430 * )
NEW met2 ( 2815430 401540 ) ( * 1638460 )
NEW met3 ( 616860 1638460 ) ( 2815430 * )
NEW met2 ( 261970 1899580 0 ) ( * 1932900 )
NEW met3 ( 261970 1932900 ) ( 616860 * )
NEW met4 ( 616860 1638460 ) ( * 1932900 )
NEW met2 ( 2815430 401540 ) M2M3_PR_M
NEW met2 ( 2815430 1638460 ) M2M3_PR_M
NEW met2 ( 261970 1932900 ) M2M3_PR_M
NEW met3 ( 616860 1638460 ) M3M4_PR_M
NEW met3 ( 616860 1932900 ) M3M4_PR_M ;
- core0_to_mem_data\[90\] ( core0 mem_data_out[90] ) ( chip_controller wr_data[90] ) + USE SIGNAL
+ ROUTED met2 ( 1087210 1489030 ) ( * 1617550 )
NEW met2 ( 2531150 1599700 0 ) ( * 1617550 )
NEW li1 ( 565570 1486650 ) ( * 1489030 )
NEW li1 ( 565110 1486650 ) ( 565570 * )
NEW met1 ( 518650 1486650 ) ( 565110 * )
NEW met2 ( 518650 1486650 ) ( * 1500420 0 )
NEW met1 ( 565570 1489030 ) ( 1087210 * )
NEW met1 ( 1087210 1617550 ) ( 2531150 * )
NEW met1 ( 1087210 1489030 ) M1M2_PR
NEW met1 ( 1087210 1617550 ) M1M2_PR
NEW met1 ( 2531150 1617550 ) M1M2_PR
NEW li1 ( 565570 1489030 ) L1M1_PR_MR
NEW li1 ( 565110 1486650 ) L1M1_PR_MR
NEW met1 ( 518650 1486650 ) M1M2_PR ;
- core0_to_mem_data\[91\] ( core0 mem_data_out[91] ) ( chip_controller wr_data[91] ) + USE SIGNAL
+ ROUTED li1 ( 2543110 1596130 ) ( * 1597830 )
NEW met2 ( 2543110 1597660 ) ( * 1597830 )
NEW met2 ( 2543110 1597660 ) ( 2544950 * 0 )
NEW li1 ( 560970 1486310 ) ( * 1488690 )
NEW met1 ( 520030 1486310 ) ( 560970 * )
NEW met2 ( 520030 1486310 ) ( * 1500420 0 )
NEW met1 ( 560970 1488690 ) ( 1011310 * )
NEW met2 ( 1011310 1488690 ) ( * 1596130 )
NEW met1 ( 1011310 1596130 ) ( 2543110 * )
NEW li1 ( 2543110 1596130 ) L1M1_PR_MR
NEW li1 ( 2543110 1597830 ) L1M1_PR_MR
NEW met1 ( 2543110 1597830 ) M1M2_PR
NEW li1 ( 560970 1488690 ) L1M1_PR_MR
NEW li1 ( 560970 1486310 ) L1M1_PR_MR
NEW met1 ( 520030 1486310 ) M1M2_PR
NEW met1 ( 1011310 1488690 ) M1M2_PR
NEW met1 ( 1011310 1596130 ) M1M2_PR
NEW met1 ( 2543110 1597830 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[92\] ( core0 mem_data_out[92] ) ( chip_controller wr_data[92] ) + USE SIGNAL
+ ROUTED met2 ( 1940050 50150 ) ( * 85850 )
NEW met2 ( 2487910 85850 ) ( * 100300 0 )
NEW met1 ( 1940050 85850 ) ( 2487910 * )
NEW met1 ( 525090 1489370 ) ( 530610 * )
NEW met2 ( 525090 1489370 ) ( * 1500420 0 )
NEW met2 ( 530610 50150 ) ( * 1489370 )
NEW met1 ( 530610 50150 ) ( 1940050 * )
NEW met1 ( 1940050 85850 ) M1M2_PR
NEW met1 ( 1940050 50150 ) M1M2_PR
NEW met1 ( 2487910 85850 ) M1M2_PR
NEW met1 ( 530610 50150 ) M1M2_PR
NEW met1 ( 530610 1489370 ) M1M2_PR
NEW met1 ( 525090 1489370 ) M1M2_PR ;
- core0_to_mem_data\[93\] ( core0 mem_data_out[93] ) ( chip_controller wr_data[93] ) + USE SIGNAL
+ ROUTED met2 ( 762910 1486650 ) ( * 1601910 )
NEW met1 ( 565570 1486310 ) ( * 1486650 )
NEW li1 ( 565570 1483590 ) ( * 1486310 )
NEW met1 ( 528310 1483590 ) ( 565570 * )
NEW met2 ( 528310 1483590 ) ( * 1500420 0 )
NEW met1 ( 565570 1486650 ) ( 762910 * )
NEW met2 ( 2572550 1599700 0 ) ( * 1601910 )
NEW met1 ( 762910 1601910 ) ( 2572550 * )
NEW met1 ( 762910 1486650 ) M1M2_PR
NEW met1 ( 762910 1601910 ) M1M2_PR
NEW li1 ( 565570 1486310 ) L1M1_PR_MR
NEW li1 ( 565570 1483590 ) L1M1_PR_MR
NEW met1 ( 528310 1483590 ) M1M2_PR
NEW met1 ( 2572550 1601910 ) M1M2_PR ;
- core0_to_mem_data\[94\] ( core0 mem_data_out[94] ) ( chip_controller wr_data[94] ) + USE SIGNAL
+ ROUTED met3 ( 1283860 1289620 ) ( * 1290300 )
NEW met2 ( 1283630 1290300 ) ( * 1296930 )
NEW met3 ( 1283630 1290300 ) ( 1283860 * )
NEW met3 ( 1283860 1289620 ) ( 1297660 * )
NEW met2 ( 539350 1899580 0 ) ( * 1904850 )
NEW met1 ( 637790 1296930 ) ( 1283630 * )
NEW met3 ( 1297660 1289620 ) ( * 1290300 )
NEW met3 ( 1297660 1290300 ) ( 1300420 * 0 )
NEW met1 ( 539350 1904850 ) ( 637790 * )
NEW met2 ( 637790 1296930 ) ( * 1904850 )
NEW met1 ( 1283630 1296930 ) M1M2_PR
NEW met2 ( 1283630 1290300 ) M2M3_PR_M
NEW met1 ( 539350 1904850 ) M1M2_PR
NEW met1 ( 637790 1296930 ) M1M2_PR
NEW met1 ( 637790 1904850 ) M1M2_PR ;
- core0_to_mem_data\[95\] ( core0 mem_data_out[95] ) ( chip_controller wr_data[95] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1308660 ) ( * 1310870 )
NEW li1 ( 543490 1896350 ) ( * 1900090 )
NEW met2 ( 543490 1899580 ) ( * 1900090 )
NEW met2 ( 542570 1899580 0 ) ( 543490 * )
NEW met2 ( 651130 1310870 ) ( * 1896350 )
NEW met1 ( 651130 1310870 ) ( 1283630 * )
NEW met3 ( 1283630 1308660 ) ( 1300420 * 0 )
NEW met1 ( 543490 1896350 ) ( 651130 * )
NEW met1 ( 1283630 1310870 ) M1M2_PR
NEW met2 ( 1283630 1308660 ) M2M3_PR_M
NEW li1 ( 543490 1896350 ) L1M1_PR_MR
NEW li1 ( 543490 1900090 ) L1M1_PR_MR
NEW met1 ( 543490 1900090 ) M1M2_PR
NEW met1 ( 651130 1310870 ) M1M2_PR
NEW met1 ( 651130 1896350 ) M1M2_PR
NEW met1 ( 543490 1900090 ) RECT ( -355 -70 0 70 ) ;
- core0_to_mem_data\[96\] ( core0 mem_data_out[96] ) ( chip_controller wr_data[96] ) + USE SIGNAL
+ ROUTED met1 ( 567870 1489710 ) ( * 1490390 )
NEW met1 ( 567870 1489710 ) ( 589950 * )
NEW li1 ( 589950 1486310 ) ( * 1489710 )
NEW li1 ( 565110 1489030 ) ( * 1490390 )
NEW met1 ( 561430 1489030 ) ( 565110 * )
NEW li1 ( 560050 1489030 ) ( 561430 * )
NEW met1 ( 534750 1489030 ) ( 560050 * )
NEW met2 ( 534750 1489030 ) ( * 1500420 0 )
NEW met1 ( 565110 1490390 ) ( 567870 * )
NEW met1 ( 589950 1486310 ) ( 756010 * )
NEW met2 ( 756010 1486310 ) ( * 1601570 )
NEW met2 ( 2586350 1599700 0 ) ( * 1601570 )
NEW met1 ( 756010 1601570 ) ( 2586350 * )
NEW li1 ( 589950 1489710 ) L1M1_PR_MR
NEW li1 ( 589950 1486310 ) L1M1_PR_MR
NEW li1 ( 565110 1490390 ) L1M1_PR_MR
NEW li1 ( 565110 1489030 ) L1M1_PR_MR
NEW li1 ( 561430 1489030 ) L1M1_PR_MR
NEW li1 ( 560050 1489030 ) L1M1_PR_MR
NEW met1 ( 534750 1489030 ) M1M2_PR
NEW met1 ( 756010 1486310 ) M1M2_PR
NEW met1 ( 756010 1601570 ) M1M2_PR
NEW met1 ( 2586350 1601570 ) M1M2_PR ;
- core0_to_mem_data\[97\] ( core0 mem_data_out[97] ) ( chip_controller wr_data[97] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1276700 0 ) ( 2812210 * )
NEW met2 ( 2812210 1276700 ) ( * 1276870 )
NEW met1 ( 2812210 1276870 ) ( 2827390 * )
NEW met2 ( 1271210 1497870 ) ( * 1596810 )
NEW met2 ( 2827390 1276870 ) ( * 1596810 )
NEW met2 ( 539350 1497870 ) ( * 1500420 0 )
NEW met1 ( 539350 1497870 ) ( 1271210 * )
NEW li1 ( 1414270 1596810 ) ( * 1597150 )
NEW li1 ( 1414270 1597150 ) ( 1415650 * )
NEW li1 ( 1415650 1596810 ) ( * 1597150 )
NEW met1 ( 1271210 1596810 ) ( 1414270 * )
NEW met1 ( 1415650 1596810 ) ( 2827390 * )
NEW met2 ( 2812210 1276700 ) M2M3_PR_M
NEW met1 ( 2812210 1276870 ) M1M2_PR
NEW met1 ( 2827390 1276870 ) M1M2_PR
NEW met1 ( 1271210 1497870 ) M1M2_PR
NEW met1 ( 1271210 1596810 ) M1M2_PR
NEW met1 ( 2827390 1596810 ) M1M2_PR
NEW met1 ( 539350 1497870 ) M1M2_PR
NEW li1 ( 1414270 1596810 ) L1M1_PR_MR
NEW li1 ( 1415650 1596810 ) L1M1_PR_MR ;
- core0_to_mem_data\[98\] ( core0 mem_data_out[98] ) ( chip_controller wr_data[98] ) + USE SIGNAL
+ ROUTED met1 ( 2515050 89590 ) ( 2521950 * )
NEW met2 ( 2521950 89590 ) ( * 100300 0 )
NEW met2 ( 2515050 48110 ) ( * 89590 )
NEW met1 ( 542570 1490390 ) ( 544870 * )
NEW met2 ( 542570 1490390 ) ( * 1500420 0 )
NEW met2 ( 544870 48110 ) ( * 1490390 )
NEW met1 ( 544870 48110 ) ( 2515050 * )
NEW met1 ( 2515050 89590 ) M1M2_PR
NEW met1 ( 2521950 89590 ) M1M2_PR
NEW met1 ( 2515050 48110 ) M1M2_PR
NEW met1 ( 544870 48110 ) M1M2_PR
NEW met1 ( 544870 1490390 ) M1M2_PR
NEW met1 ( 542570 1490390 ) M1M2_PR ;
- core0_to_mem_data\[99\] ( core0 mem_data_out[99] ) ( chip_controller wr_data[99] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1318860 0 ) ( 2806230 * )
NEW met1 ( 606050 1639310 ) ( 2806230 * )
NEW met2 ( 544410 1899580 0 ) ( 544870 * )
NEW met2 ( 544870 1899580 ) ( * 1940550 )
NEW met2 ( 2806230 1318860 ) ( * 1639310 )
NEW met1 ( 544870 1940550 ) ( 606050 * )
NEW met2 ( 606050 1639310 ) ( * 1940550 )
NEW met1 ( 606050 1639310 ) M1M2_PR
NEW met1 ( 2806230 1639310 ) M1M2_PR
NEW met2 ( 2806230 1318860 ) M2M3_PR_M
NEW met1 ( 544870 1940550 ) M1M2_PR
NEW met1 ( 606050 1940550 ) M1M2_PR ;
- core0_to_mem_data\[9\] ( core0 mem_data_out[9] ) ( chip_controller wr_data[9] ) + USE SIGNAL
+ ROUTED met2 ( 1258330 1484100 ) ( * 1602590 )
NEW met3 ( 2799100 464100 0 ) ( 2809450 * )
NEW met2 ( 273010 1484100 ) ( * 1500420 0 )
NEW met3 ( 273010 1484100 ) ( 1258330 * )
NEW met2 ( 2810370 1583890 ) ( * 1602590 )
NEW met1 ( 2810370 1582190 ) ( * 1583890 )
NEW met1 ( 2809450 1582190 ) ( 2810370 * )
NEW met1 ( 1258330 1602590 ) ( 2810370 * )
NEW met2 ( 2809450 464100 ) ( * 1582190 )
NEW met2 ( 1258330 1484100 ) M2M3_PR_M
NEW met1 ( 1258330 1602590 ) M1M2_PR
NEW met2 ( 2809450 464100 ) M2M3_PR_M
NEW met2 ( 273010 1484100 ) M2M3_PR_M
NEW met1 ( 2810370 1602590 ) M1M2_PR
NEW met1 ( 2810370 1583890 ) M1M2_PR
NEW met1 ( 2809450 1582190 ) M1M2_PR ;
- csb0_to_sram ( custom_sram csb0_to_sram ) ( chip_controller csb0_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 599380 1502460 0 ) ( 607430 * )
NEW met2 ( 607430 1501610 ) ( * 1502460 )
NEW met1 ( 671830 1987470 ) ( 683330 * )
NEW met2 ( 683330 1987300 ) ( * 1987470 )
NEW met3 ( 683330 1987300 ) ( 700580 * 0 )
NEW met2 ( 671830 1501610 ) ( * 1987470 )
NEW met1 ( 607430 1501610 ) ( 671830 * )
NEW met2 ( 607430 1502460 ) M2M3_PR_M
NEW met1 ( 607430 1501610 ) M1M2_PR
NEW met1 ( 671830 1501610 ) M1M2_PR
NEW met1 ( 671830 1987470 ) M1M2_PR
NEW met1 ( 683330 1987470 ) M1M2_PR
NEW met2 ( 683330 1987300 ) M2M3_PR_M ;
- data_out_to_core\[0\] ( core0 read_interactive_value[0] ) ( chip_controller data_out_to_core[0] ) + USE SIGNAL
+ ROUTED met2 ( 2808530 103020 ) ( * 128180 )
NEW met3 ( 2799100 128180 ) ( 2808530 * )
NEW met3 ( 2799100 128180 ) ( * 130900 0 )
NEW met2 ( 202170 1899580 0 ) ( * 1911650 )
NEW met2 ( 136390 103020 ) ( * 1911650 )
NEW met1 ( 136390 1911650 ) ( 202170 * )
NEW met3 ( 136390 103020 ) ( 2808530 * )
NEW met2 ( 2808530 103020 ) M2M3_PR_M
NEW met2 ( 2808530 128180 ) M2M3_PR_M
NEW met1 ( 202170 1911650 ) M1M2_PR
NEW met2 ( 136390 103020 ) M2M3_PR_M
NEW met1 ( 136390 1911650 ) M1M2_PR ;
- data_out_to_core\[10\] ( core0 read_interactive_value[10] ) ( chip_controller data_out_to_core[10] ) + USE SIGNAL
+ ROUTED met2 ( 278070 1486990 ) ( * 1500420 0 )
NEW met2 ( 567410 1486990 ) ( * 1491580 )
NEW met3 ( 567410 1491580 ) ( 586730 * )
NEW met2 ( 586730 1490050 ) ( * 1491580 )
NEW met1 ( 586730 1490050 ) ( 590410 * )
NEW met1 ( 590410 1489710 ) ( * 1490050 )
NEW met1 ( 590410 1489710 ) ( 606970 * )
NEW met2 ( 606970 1489710 ) ( * 1619250 )
NEW met2 ( 1636910 1599700 0 ) ( * 1619250 )
NEW met1 ( 278070 1486990 ) ( 567410 * )
NEW met1 ( 606970 1619250 ) ( 1636910 * )
NEW met1 ( 278070 1486990 ) M1M2_PR
NEW met1 ( 567410 1486990 ) M1M2_PR
NEW met2 ( 567410 1491580 ) M2M3_PR_M
NEW met2 ( 586730 1491580 ) M2M3_PR_M
NEW met1 ( 586730 1490050 ) M1M2_PR
NEW met1 ( 606970 1489710 ) M1M2_PR
NEW met1 ( 606970 1619250 ) M1M2_PR
NEW met1 ( 1636910 1619250 ) M1M2_PR ;
- data_out_to_core\[11\] ( core0 read_interactive_value[11] ) ( chip_controller data_out_to_core[11] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 506260 0 ) ( 2815890 * )
NEW met3 ( 194580 1588140 ) ( 201020 * 0 )
NEW met2 ( 1275810 1462340 ) ( * 1602930 )
NEW met2 ( 2815890 506260 ) ( * 1602930 )
NEW met3 ( 194580 1462340 ) ( 1275810 * )
NEW met4 ( 194580 1462340 ) ( * 1588140 )
NEW met1 ( 1275810 1602930 ) ( 2815890 * )
NEW met3 ( 194580 1462340 ) M3M4_PR_M
NEW met2 ( 1275810 1462340 ) M2M3_PR_M
NEW met2 ( 2815890 506260 ) M2M3_PR_M
NEW met3 ( 194580 1588140 ) M3M4_PR_M
NEW met1 ( 1275810 1602930 ) M1M2_PR
NEW met1 ( 2815890 1602930 ) M1M2_PR ;
- data_out_to_core\[12\] ( core0 read_interactive_value[12] ) ( chip_controller data_out_to_core[12] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1583380 0 ) ( 609270 * )
NEW met2 ( 609270 1583380 ) ( * 1586950 )
NEW met2 ( 1276730 1586950 ) ( * 1599190 )
NEW met1 ( 609270 1586950 ) ( 1276730 * )
NEW met2 ( 1677390 1599020 ) ( * 1599190 )
NEW met2 ( 1677390 1599020 ) ( 1677850 * 0 )
NEW met1 ( 1276730 1599190 ) ( 1677390 * )
NEW met2 ( 609270 1583380 ) M2M3_PR_M
NEW met1 ( 609270 1586950 ) M1M2_PR
NEW met1 ( 1276730 1586950 ) M1M2_PR
NEW met1 ( 1276730 1599190 ) M1M2_PR
NEW met1 ( 1677390 1599190 ) M1M2_PR ;
- data_out_to_core\[13\] ( core0 read_interactive_value[13] ) ( chip_controller data_out_to_core[13] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1592220 0 ) ( 608350 * )
NEW met2 ( 608350 1592220 ) ( * 1593410 )
NEW met2 ( 1296970 1593410 ) ( * 1598850 )
NEW met1 ( 608350 1593410 ) ( 1296970 * )
NEW met2 ( 1718330 1598850 ) ( * 1599020 )
NEW met2 ( 1718330 1599020 ) ( 1719250 * 0 )
NEW met1 ( 1296970 1598850 ) ( 1718330 * )
NEW met2 ( 608350 1592220 ) M2M3_PR_M
NEW met1 ( 608350 1593410 ) M1M2_PR
NEW met1 ( 1296970 1593410 ) M1M2_PR
NEW met1 ( 1296970 1598850 ) M1M2_PR
NEW met1 ( 1718330 1598850 ) M1M2_PR ;
- data_out_to_core\[14\] ( core0 read_interactive_value[14] ) ( chip_controller data_out_to_core[14] ) + USE SIGNAL
+ ROUTED met2 ( 309810 372470 ) ( * 1483500 )
NEW met2 ( 308430 1483500 ) ( 309810 * )
NEW met2 ( 308430 1483500 ) ( * 1500420 )
NEW met2 ( 307050 1500420 0 ) ( 308430 * )
NEW met2 ( 1283630 371620 ) ( * 372470 )
NEW met1 ( 309810 372470 ) ( 1283630 * )
NEW met3 ( 1283630 371620 ) ( 1300420 * 0 )
NEW met1 ( 309810 372470 ) M1M2_PR
NEW met1 ( 1283630 372470 ) M1M2_PR
NEW met2 ( 1283630 371620 ) M2M3_PR_M ;
- data_out_to_core\[15\] ( core0 read_interactive_value[15] ) ( chip_controller data_out_to_core[15] ) + USE SIGNAL
+ ROUTED met2 ( 294170 1899580 0 ) ( * 1913180 )
NEW met2 ( 1610690 100980 ) ( 1611610 * 0 )
NEW met3 ( 1610460 100980 ) ( 1610690 * )
NEW met4 ( 1610460 100980 ) ( * 107100 )
NEW met3 ( 192740 1913180 ) ( 294170 * )
NEW met4 ( 192740 107100 ) ( * 1913180 )
NEW met3 ( 192740 107100 ) ( 1610460 * )
NEW met3 ( 192740 107100 ) M3M4_PR_M
NEW met3 ( 192740 1913180 ) M3M4_PR_M
NEW met2 ( 294170 1913180 ) M2M3_PR_M
NEW met2 ( 1610690 100980 ) M2M3_PR_M
NEW met3 ( 1610460 100980 ) M3M4_PR_M
NEW met3 ( 1610460 107100 ) M3M4_PR_M
NEW met3 ( 1610690 100980 ) RECT ( 0 -150 390 150 ) ;
- data_out_to_core\[16\] ( core0 read_interactive_value[16] ) ( chip_controller data_out_to_core[16] ) + USE SIGNAL
+ ROUTED met2 ( 298770 1899580 0 ) ( * 1919130 )
NEW met2 ( 1283630 427380 ) ( * 427550 )
NEW met1 ( 704030 427550 ) ( 1283630 * )
NEW met3 ( 1283630 427380 ) ( 1300420 * 0 )
NEW met1 ( 298770 1919130 ) ( 704030 * )
NEW met2 ( 704030 427550 ) ( * 1919130 )
NEW met1 ( 298770 1919130 ) M1M2_PR
NEW met1 ( 704030 427550 ) M1M2_PR
NEW met1 ( 1283630 427550 ) M1M2_PR
NEW met2 ( 1283630 427380 ) M2M3_PR_M
NEW met1 ( 704030 1919130 ) M1M2_PR ;
- data_out_to_core\[17\] ( core0 read_interactive_value[17] ) ( chip_controller data_out_to_core[17] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 483820 ) ( * 489770 )
NEW met3 ( 599380 1616020 0 ) ( 608350 * )
NEW met2 ( 608350 1615850 ) ( * 1616020 )
NEW met1 ( 907350 489770 ) ( 1283630 * )
NEW met3 ( 1283630 483820 ) ( 1300420 * 0 )
NEW met1 ( 608350 1615850 ) ( 907350 * )
NEW met2 ( 907350 489770 ) ( * 1615850 )
NEW met1 ( 1283630 489770 ) M1M2_PR
NEW met2 ( 1283630 483820 ) M2M3_PR_M
NEW met2 ( 608350 1616020 ) M2M3_PR_M
NEW met1 ( 608350 1615850 ) M1M2_PR
NEW met1 ( 907350 489770 ) M1M2_PR
NEW met1 ( 907350 1615850 ) M1M2_PR ;
- data_out_to_core\[18\] ( core0 read_interactive_value[18] ) ( chip_controller data_out_to_core[18] ) + USE SIGNAL
+ ROUTED met2 ( 308890 1899580 0 ) ( * 1924570 )
NEW met2 ( 1283630 521220 ) ( * 524110 )
NEW met2 ( 648370 524110 ) ( * 1924570 )
NEW met1 ( 648370 524110 ) ( 1283630 * )
NEW met3 ( 1283630 521220 ) ( 1300420 * 0 )
NEW met1 ( 308890 1924570 ) ( 648370 * )
NEW met1 ( 308890 1924570 ) M1M2_PR
NEW met1 ( 1283630 524110 ) M1M2_PR
NEW met2 ( 1283630 521220 ) M2M3_PR_M
NEW met1 ( 648370 1924570 ) M1M2_PR
NEW met1 ( 648370 524110 ) M1M2_PR ;
- data_out_to_core\[19\] ( core0 read_interactive_value[19] ) ( chip_controller data_out_to_core[19] ) + USE SIGNAL
+ ROUTED met2 ( 1662210 98090 ) ( * 100300 0 )
NEW met1 ( 347530 1490390 ) ( 351210 * )
NEW met2 ( 347530 1490390 ) ( * 1500420 0 )
NEW met2 ( 351210 98090 ) ( * 1490390 )
NEW met1 ( 351210 98090 ) ( 1662210 * )
NEW met1 ( 1662210 98090 ) M1M2_PR
NEW met1 ( 351210 98090 ) M1M2_PR
NEW met1 ( 351210 1490390 ) M1M2_PR
NEW met1 ( 347530 1490390 ) M1M2_PR ;
- data_out_to_core\[1\] ( core0 read_interactive_value[1] ) ( chip_controller data_out_to_core[1] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 172380 0 ) ( 2809910 * )
NEW met2 ( 2809910 106590 ) ( * 172380 )
NEW met1 ( 229770 1490050 ) ( 272550 * )
NEW met2 ( 229770 1490050 ) ( * 1500420 0 )
NEW met2 ( 272550 106590 ) ( * 1490050 )
NEW met1 ( 272550 106590 ) ( 2809910 * )
NEW met1 ( 2809910 106590 ) M1M2_PR
NEW met2 ( 2809910 172380 ) M2M3_PR_M
NEW met1 ( 272550 106590 ) M1M2_PR
NEW met1 ( 272550 1490050 ) M1M2_PR
NEW met1 ( 229770 1490050 ) M1M2_PR ;
- data_out_to_core\[20\] ( core0 read_interactive_value[20] ) ( chip_controller data_out_to_core[20] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 672860 0 ) ( 2808530 * )
NEW met2 ( 2808530 672860 ) ( * 675750 )
NEW met1 ( 2808530 675750 ) ( 2816810 * )
NEW met2 ( 323150 1899580 0 ) ( * 1912330 )
NEW met2 ( 508990 1912330 ) ( * 1933750 )
NEW met2 ( 2816810 675750 ) ( * 1638970 )
NEW met1 ( 616170 1638970 ) ( 2816810 * )
NEW met1 ( 323150 1912330 ) ( 508990 * )
NEW met2 ( 614330 1902980 ) ( * 1933750 )
NEW met3 ( 614330 1902980 ) ( 615940 * )
NEW met4 ( 615940 1897540 ) ( * 1902980 )
NEW met3 ( 615940 1897540 ) ( 616170 * )
NEW met1 ( 508990 1933750 ) ( 614330 * )
NEW met2 ( 616170 1638970 ) ( * 1897540 )
NEW met1 ( 508990 1933750 ) M1M2_PR
NEW met2 ( 2808530 672860 ) M2M3_PR_M
NEW met1 ( 2808530 675750 ) M1M2_PR
NEW met1 ( 2816810 675750 ) M1M2_PR
NEW met1 ( 2816810 1638970 ) M1M2_PR
NEW met1 ( 323150 1912330 ) M1M2_PR
NEW met1 ( 508990 1912330 ) M1M2_PR
NEW met1 ( 616170 1638970 ) M1M2_PR
NEW met1 ( 614330 1933750 ) M1M2_PR
NEW met2 ( 614330 1902980 ) M2M3_PR_M
NEW met3 ( 615940 1902980 ) M3M4_PR_M
NEW met3 ( 615940 1897540 ) M3M4_PR_M
NEW met2 ( 616170 1897540 ) M2M3_PR_M
NEW met3 ( 615940 1897540 ) RECT ( -390 -150 0 150 ) ;
- data_out_to_core\[21\] ( core0 read_interactive_value[21] ) ( chip_controller data_out_to_core[21] ) + USE SIGNAL
+ ROUTED met2 ( 589950 1485460 ) ( * 1490220 )
NEW met3 ( 2799100 714340 0 ) ( 2811290 * )
NEW met2 ( 353970 1485460 ) ( * 1500420 0 )
NEW met3 ( 353970 1485460 ) ( 589950 * )
NEW met3 ( 589950 1490220 ) ( 623990 * )
NEW met2 ( 623990 1490220 ) ( * 1595450 )
NEW met2 ( 2811750 1567740 ) ( * 1595450 )
NEW met2 ( 2811290 1567740 ) ( 2811750 * )
NEW met1 ( 623990 1595450 ) ( 2811750 * )
NEW met2 ( 2811290 714340 ) ( * 1567740 )
NEW met2 ( 589950 1485460 ) M2M3_PR_M
NEW met2 ( 589950 1490220 ) M2M3_PR_M
NEW met2 ( 2811290 714340 ) M2M3_PR_M
NEW met2 ( 353970 1485460 ) M2M3_PR_M
NEW met2 ( 623990 1490220 ) M2M3_PR_M
NEW met1 ( 623990 1595450 ) M1M2_PR
NEW met1 ( 2811750 1595450 ) M1M2_PR ;
- data_out_to_core\[22\] ( core0 read_interactive_value[22] ) ( chip_controller data_out_to_core[22] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1639820 0 ) ( 609270 * )
NEW met2 ( 609270 1635570 ) ( * 1639820 )
NEW met1 ( 609270 1635570 ) ( 1802970 * )
NEW met2 ( 1815390 1599700 0 ) ( * 1619250 )
NEW met1 ( 1802970 1619250 ) ( 1815390 * )
NEW met2 ( 1802970 1619250 ) ( * 1635570 )
NEW met2 ( 609270 1639820 ) M2M3_PR_M
NEW met1 ( 609270 1635570 ) M1M2_PR
NEW met1 ( 1802970 1635570 ) M1M2_PR
NEW met1 ( 1815390 1619250 ) M1M2_PR
NEW met1 ( 1802970 1619250 ) M1M2_PR ;
- data_out_to_core\[23\] ( core0 read_interactive_value[23] ) ( chip_controller data_out_to_core[23] ) + USE SIGNAL
+ ROUTED met2 ( 1842990 1599700 0 ) ( * 1611770 )
NEW met2 ( 344310 1899580 0 ) ( 344770 * )
NEW met2 ( 344770 1899580 ) ( * 1927630 )
NEW met2 ( 662170 1611770 ) ( * 1927630 )
NEW met1 ( 662170 1611770 ) ( 1842990 * )
NEW met1 ( 344770 1927630 ) ( 662170 * )
NEW met1 ( 1842990 1611770 ) M1M2_PR
NEW met1 ( 344770 1927630 ) M1M2_PR
NEW met1 ( 662170 1927630 ) M1M2_PR
NEW met1 ( 662170 1611770 ) M1M2_PR ;
- data_out_to_core\[24\] ( core0 read_interactive_value[24] ) ( chip_controller data_out_to_core[24] ) + USE SIGNAL
+ ROUTED met2 ( 373290 1487670 ) ( * 1500420 0 )
NEW met2 ( 1856790 1599700 0 ) ( * 1618910 )
NEW met1 ( 373290 1487670 ) ( 755550 * )
NEW met2 ( 755550 1487670 ) ( * 1618910 )
NEW met1 ( 755550 1618910 ) ( 1856790 * )
NEW met1 ( 373290 1487670 ) M1M2_PR
NEW met1 ( 1856790 1618910 ) M1M2_PR
NEW met1 ( 755550 1487670 ) M1M2_PR
NEW met1 ( 755550 1618910 ) M1M2_PR ;
- data_out_to_core\[25\] ( core0 read_interactive_value[25] ) ( chip_controller data_out_to_core[25] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1658180 0 ) ( 608810 * )
NEW met2 ( 608810 1656650 ) ( * 1658180 )
NEW met2 ( 1759730 100300 ) ( 1763410 * 0 )
NEW met2 ( 1259250 62390 ) ( * 1656650 )
NEW met2 ( 1759730 62390 ) ( * 100300 )
NEW met1 ( 608810 1656650 ) ( 1259250 * )
NEW met1 ( 1259250 62390 ) ( 1759730 * )
NEW met2 ( 608810 1658180 ) M2M3_PR_M
NEW met1 ( 608810 1656650 ) M1M2_PR
NEW met1 ( 1259250 1656650 ) M1M2_PR
NEW met1 ( 1259250 62390 ) M1M2_PR
NEW met1 ( 1759730 62390 ) M1M2_PR ;
- data_out_to_core\[26\] ( core0 read_interactive_value[26] ) ( chip_controller data_out_to_core[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1662260 0 ) ( 609730 * )
NEW met2 ( 609730 1656310 ) ( * 1662260 )
NEW met2 ( 1859550 1619250 ) ( * 1656310 )
NEW met1 ( 609730 1656310 ) ( 1859550 * )
NEW met2 ( 1870590 1599700 0 ) ( * 1619250 )
NEW met1 ( 1859550 1619250 ) ( 1870590 * )
NEW met2 ( 609730 1662260 ) M2M3_PR_M
NEW met1 ( 609730 1656310 ) M1M2_PR
NEW met1 ( 1859550 1656310 ) M1M2_PR
NEW met1 ( 1859550 1619250 ) M1M2_PR
NEW met1 ( 1870590 1619250 ) M1M2_PR ;
- data_out_to_core\[27\] ( core0 read_interactive_value[27] ) ( chip_controller data_out_to_core[27] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1656650 ) ( * 1662260 )
NEW met3 ( 190670 1662260 ) ( 201020 * 0 )
NEW met2 ( 1274430 1461830 ) ( * 1620610 )
NEW met1 ( 175030 1656650 ) ( 190670 * )
NEW met1 ( 175030 1461830 ) ( 1274430 * )
NEW met2 ( 1911530 1599700 ) ( 1911990 * 0 )
NEW met2 ( 1911530 1599700 ) ( * 1620610 )
NEW met1 ( 1274430 1620610 ) ( 1911530 * )
NEW met2 ( 175030 1461830 ) ( * 1656650 )
NEW met1 ( 190670 1656650 ) M1M2_PR
NEW met2 ( 190670 1662260 ) M2M3_PR_M
NEW met1 ( 1274430 1461830 ) M1M2_PR
NEW met1 ( 1274430 1620610 ) M1M2_PR
NEW met1 ( 175030 1461830 ) M1M2_PR
NEW met1 ( 175030 1656650 ) M1M2_PR
NEW met1 ( 1911530 1620610 ) M1M2_PR ;
- data_out_to_core\[28\] ( core0 read_interactive_value[28] ) ( chip_controller data_out_to_core[28] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1675180 0 ) ( 608810 * )
NEW met2 ( 608810 1670250 ) ( * 1675180 )
NEW met2 ( 1283630 765340 ) ( * 765850 )
NEW met2 ( 962550 765850 ) ( * 1670250 )
NEW met1 ( 608810 1670250 ) ( 962550 * )
NEW met1 ( 962550 765850 ) ( 1283630 * )
NEW met3 ( 1283630 765340 ) ( 1300420 * 0 )
NEW met2 ( 608810 1675180 ) M2M3_PR_M
NEW met1 ( 608810 1670250 ) M1M2_PR
NEW met1 ( 962550 765850 ) M1M2_PR
NEW met1 ( 962550 1670250 ) M1M2_PR
NEW met1 ( 1283630 765850 ) M1M2_PR
NEW met2 ( 1283630 765340 ) M2M3_PR_M ;
- data_out_to_core\[29\] ( core0 read_interactive_value[29] ) ( chip_controller data_out_to_core[29] ) + USE SIGNAL
+ ROUTED met2 ( 1939590 1599700 0 ) ( * 1611430 )
NEW met2 ( 371450 1899580 0 ) ( * 1920490 )
NEW met2 ( 650210 1611430 ) ( * 1920490 )
NEW met1 ( 650210 1611430 ) ( 1939590 * )
NEW met1 ( 371450 1920490 ) ( 650210 * )
NEW met1 ( 1939590 1611430 ) M1M2_PR
NEW met1 ( 371450 1920490 ) M1M2_PR
NEW met1 ( 650210 1920490 ) M1M2_PR
NEW met1 ( 650210 1611430 ) M1M2_PR ;
- data_out_to_core\[2\] ( core0 read_interactive_value[2] ) ( chip_controller data_out_to_core[2] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1517420 0 ) ( 607430 * )
NEW met2 ( 607430 1517420 ) ( * 1517590 )
NEW met2 ( 1176450 1517590 ) ( * 1600210 )
NEW met2 ( 1470390 1599700 ) ( 1471770 * 0 )
NEW met2 ( 1470390 1599700 ) ( * 1600210 )
NEW met1 ( 607430 1517590 ) ( 1176450 * )
NEW met1 ( 1176450 1600210 ) ( 1470390 * )
NEW met2 ( 607430 1517420 ) M2M3_PR_M
NEW met1 ( 607430 1517590 ) M1M2_PR
NEW met1 ( 1176450 1517590 ) M1M2_PR
NEW met1 ( 1176450 1600210 ) M1M2_PR
NEW met1 ( 1470390 1600210 ) M1M2_PR ;
- data_out_to_core\[30\] ( core0 read_interactive_value[30] ) ( chip_controller data_out_to_core[30] ) + USE SIGNAL
+ ROUTED met2 ( 402270 1482230 ) ( * 1500420 0 )
NEW met1 ( 402270 1482230 ) ( 1302950 * )
NEW met2 ( 1302950 1482230 ) ( * 1608710 )
NEW met2 ( 1980530 1599700 0 ) ( * 1608710 )
NEW met1 ( 1302950 1608710 ) ( 1980530 * )
NEW met1 ( 402270 1482230 ) M1M2_PR
NEW met1 ( 1302950 1482230 ) M1M2_PR
NEW met1 ( 1302950 1608710 ) M1M2_PR
NEW met1 ( 1980530 1608710 ) M1M2_PR ;
- data_out_to_core\[31\] ( core0 read_interactive_value[31] ) ( chip_controller data_out_to_core[31] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 860540 0 ) ( 2808990 * )
NEW met2 ( 2808990 860540 ) ( * 861390 )
NEW met1 ( 2808990 861390 ) ( 2825090 * )
NEW met2 ( 379730 1899580 0 ) ( * 1911650 )
NEW met1 ( 379730 1911650 ) ( 385250 * )
NEW met2 ( 385250 1911650 ) ( * 1942250 )
NEW met2 ( 2825090 861390 ) ( * 1638630 )
NEW met1 ( 623070 1638630 ) ( 2825090 * )
NEW met1 ( 385250 1942250 ) ( 623070 * )
NEW met2 ( 623070 1638630 ) ( * 1942250 )
NEW met1 ( 385250 1942250 ) M1M2_PR
NEW met2 ( 2808990 860540 ) M2M3_PR_M
NEW met1 ( 2808990 861390 ) M1M2_PR
NEW met1 ( 2825090 861390 ) M1M2_PR
NEW met1 ( 2825090 1638630 ) M1M2_PR
NEW met1 ( 379730 1911650 ) M1M2_PR
NEW met1 ( 385250 1911650 ) M1M2_PR
NEW met1 ( 623070 1638630 ) M1M2_PR
NEW met1 ( 623070 1942250 ) M1M2_PR ;
- data_out_to_core\[3\] ( core0 read_interactive_value[3] ) ( chip_controller data_out_to_core[3] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1524900 0 ) ( 608810 * )
NEW met2 ( 608810 1524900 ) ( * 1531530 )
NEW met2 ( 1170010 1531530 ) ( * 1599530 )
NEW met1 ( 608810 1531530 ) ( 1170010 * )
NEW met2 ( 1511330 1599530 ) ( * 1599700 )
NEW met2 ( 1511330 1599700 ) ( 1512710 * 0 )
NEW met1 ( 1170010 1599530 ) ( 1511330 * )
NEW met2 ( 608810 1524900 ) M2M3_PR_M
NEW met1 ( 608810 1531530 ) M1M2_PR
NEW met1 ( 1170010 1531530 ) M1M2_PR
NEW met1 ( 1170010 1599530 ) M1M2_PR
NEW met1 ( 1511330 1599530 ) M1M2_PR ;
- data_out_to_core\[4\] ( core0 read_interactive_value[4] ) ( chip_controller data_out_to_core[4] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1528300 0 ) ( 609270 * )
NEW met2 ( 609270 1521330 ) ( * 1528300 )
NEW met2 ( 1286850 202300 ) ( * 1521330 )
NEW met3 ( 1286850 202300 ) ( 1300420 * 0 )
NEW met1 ( 609270 1521330 ) ( 1286850 * )
NEW met2 ( 1286850 202300 ) M2M3_PR_M
NEW met2 ( 609270 1528300 ) M2M3_PR_M
NEW met1 ( 609270 1521330 ) M1M2_PR
NEW met1 ( 1286850 1521330 ) M1M2_PR ;
- data_out_to_core\[5\] ( core0 read_interactive_value[5] ) ( chip_controller data_out_to_core[5] ) + USE SIGNAL
+ ROUTED met2 ( 584430 1487500 ) ( * 1490900 )
NEW met3 ( 584430 1490900 ) ( 602830 * )
NEW met2 ( 602830 1490900 ) ( * 1589330 )
NEW met3 ( 2799100 256020 0 ) ( 2813590 * )
NEW met2 ( 250470 1487500 ) ( * 1500420 0 )
NEW met3 ( 250470 1487500 ) ( 584430 * )
NEW met1 ( 602830 1589330 ) ( 2813590 * )
NEW met2 ( 2813590 256020 ) ( * 1589330 )
NEW met2 ( 584430 1487500 ) M2M3_PR_M
NEW met2 ( 584430 1490900 ) M2M3_PR_M
NEW met2 ( 602830 1490900 ) M2M3_PR_M
NEW met1 ( 602830 1589330 ) M1M2_PR
NEW met2 ( 2813590 256020 ) M2M3_PR_M
NEW met2 ( 250470 1487500 ) M2M3_PR_M
NEW met1 ( 2813590 1589330 ) M1M2_PR ;
- data_out_to_core\[6\] ( core0 read_interactive_value[6] ) ( chip_controller data_out_to_core[6] ) + USE SIGNAL
+ ROUTED met3 ( 189750 1554820 ) ( 201020 * 0 )
NEW met1 ( 187910 1515890 ) ( 189750 * )
NEW met2 ( 189750 1515890 ) ( * 1554820 )
NEW met2 ( 1283630 258740 ) ( * 261970 )
NEW met2 ( 273470 261970 ) ( * 1499910 )
NEW met1 ( 273470 261970 ) ( 1283630 * )
NEW met3 ( 1283630 258740 ) ( 1300420 * 0 )
NEW met1 ( 187910 1499570 ) ( 205390 * )
NEW met1 ( 205390 1499570 ) ( * 1499910 )
NEW met2 ( 187910 1499570 ) ( * 1515890 )
NEW met1 ( 205390 1499910 ) ( 273470 * )
NEW met2 ( 189750 1554820 ) M2M3_PR_M
NEW met1 ( 189750 1515890 ) M1M2_PR
NEW met1 ( 187910 1515890 ) M1M2_PR
NEW met1 ( 1283630 261970 ) M1M2_PR
NEW met2 ( 1283630 258740 ) M2M3_PR_M
NEW met1 ( 273470 261970 ) M1M2_PR
NEW met1 ( 273470 1499910 ) M1M2_PR
NEW met1 ( 187910 1499570 ) M1M2_PR ;
- data_out_to_core\[7\] ( core0 read_interactive_value[7] ) ( chip_controller data_out_to_core[7] ) + USE SIGNAL
+ ROUTED met3 ( 193660 1558900 ) ( 201020 * 0 )
NEW met3 ( 2799100 318580 0 ) ( 2808990 * )
NEW met2 ( 2808990 318580 ) ( * 321130 )
NEW met1 ( 2808990 321130 ) ( 2822790 * )
NEW met2 ( 1274890 1461660 ) ( * 1616190 )
NEW met2 ( 2822790 321130 ) ( * 1616190 )
NEW met3 ( 193660 1461660 ) ( 1274890 * )
NEW met1 ( 1274890 1616190 ) ( 2822790 * )
NEW met4 ( 193660 1461660 ) ( * 1558900 )
NEW met3 ( 193660 1461660 ) M3M4_PR_M
NEW met3 ( 193660 1558900 ) M3M4_PR_M
NEW met2 ( 1274890 1461660 ) M2M3_PR_M
NEW met2 ( 2808990 318580 ) M2M3_PR_M
NEW met1 ( 2808990 321130 ) M1M2_PR
NEW met1 ( 2822790 321130 ) M1M2_PR
NEW met1 ( 1274890 1616190 ) M1M2_PR
NEW met1 ( 2822790 1616190 ) M1M2_PR ;
- data_out_to_core\[8\] ( core0 read_interactive_value[8] ) ( chip_controller data_out_to_core[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1550060 0 ) ( 608350 * )
NEW met2 ( 608350 1545810 ) ( * 1550060 )
NEW met2 ( 1283630 296140 ) ( * 296650 )
NEW met2 ( 900910 296650 ) ( * 1545810 )
NEW met1 ( 608350 1545810 ) ( 900910 * )
NEW met1 ( 900910 296650 ) ( 1283630 * )
NEW met3 ( 1283630 296140 ) ( 1300420 * 0 )
NEW met2 ( 608350 1550060 ) M2M3_PR_M
NEW met1 ( 608350 1545810 ) M1M2_PR
NEW met1 ( 900910 296650 ) M1M2_PR
NEW met1 ( 900910 1545810 ) M1M2_PR
NEW met1 ( 1283630 296650 ) M1M2_PR
NEW met2 ( 1283630 296140 ) M2M3_PR_M ;
- data_out_to_core\[9\] ( core0 read_interactive_value[9] ) ( chip_controller data_out_to_core[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1559580 0 ) ( 608350 * )
NEW met2 ( 608350 1559410 ) ( * 1559580 )
NEW met2 ( 1458430 102850 ) ( * 103020 )
NEW met2 ( 1458430 103020 ) ( 1459810 * 0 )
NEW met1 ( 608350 1559410 ) ( 1300650 * )
NEW met2 ( 1300650 102850 ) ( * 1559410 )
NEW met1 ( 1300650 102850 ) ( 1458430 * )
NEW met2 ( 608350 1559580 ) M2M3_PR_M
NEW met1 ( 608350 1559410 ) M1M2_PR
NEW met1 ( 1458430 102850 ) M1M2_PR
NEW met1 ( 1300650 1559410 ) M1M2_PR
NEW met1 ( 1300650 102850 ) M1M2_PR ;
- data_to_core_mem\[0\] ( chip_controller data_to_core_mem[0] ) + USE SIGNAL ;
- data_to_core_mem\[10\] ( chip_controller data_to_core_mem[10] ) + USE SIGNAL ;
- data_to_core_mem\[11\] ( chip_controller data_to_core_mem[11] ) + USE SIGNAL ;
- data_to_core_mem\[12\] ( chip_controller data_to_core_mem[12] ) + USE SIGNAL ;
- data_to_core_mem\[13\] ( chip_controller data_to_core_mem[13] ) + USE SIGNAL ;
- data_to_core_mem\[14\] ( chip_controller data_to_core_mem[14] ) + USE SIGNAL ;
- data_to_core_mem\[15\] ( chip_controller data_to_core_mem[15] ) + USE SIGNAL ;
- data_to_core_mem\[16\] ( chip_controller data_to_core_mem[16] ) + USE SIGNAL ;
- data_to_core_mem\[17\] ( chip_controller data_to_core_mem[17] ) + USE SIGNAL ;
- data_to_core_mem\[18\] ( chip_controller data_to_core_mem[18] ) + USE SIGNAL ;
- data_to_core_mem\[19\] ( chip_controller data_to_core_mem[19] ) + USE SIGNAL ;
- data_to_core_mem\[1\] ( chip_controller data_to_core_mem[1] ) + USE SIGNAL ;
- data_to_core_mem\[20\] ( chip_controller data_to_core_mem[20] ) + USE SIGNAL ;
- data_to_core_mem\[21\] ( chip_controller data_to_core_mem[21] ) + USE SIGNAL ;
- data_to_core_mem\[22\] ( chip_controller data_to_core_mem[22] ) + USE SIGNAL ;
- data_to_core_mem\[23\] ( chip_controller data_to_core_mem[23] ) + USE SIGNAL ;
- data_to_core_mem\[24\] ( chip_controller data_to_core_mem[24] ) + USE SIGNAL ;
- data_to_core_mem\[25\] ( chip_controller data_to_core_mem[25] ) + USE SIGNAL ;
- data_to_core_mem\[26\] ( chip_controller data_to_core_mem[26] ) + USE SIGNAL ;
- data_to_core_mem\[27\] ( chip_controller data_to_core_mem[27] ) + USE SIGNAL ;
- data_to_core_mem\[28\] ( chip_controller data_to_core_mem[28] ) + USE SIGNAL ;
- data_to_core_mem\[29\] ( chip_controller data_to_core_mem[29] ) + USE SIGNAL ;
- data_to_core_mem\[2\] ( chip_controller data_to_core_mem[2] ) + USE SIGNAL ;
- data_to_core_mem\[30\] ( chip_controller data_to_core_mem[30] ) + USE SIGNAL ;
- data_to_core_mem\[31\] ( chip_controller data_to_core_mem[31] ) + USE SIGNAL ;
- data_to_core_mem\[3\] ( chip_controller data_to_core_mem[3] ) + USE SIGNAL ;
- data_to_core_mem\[4\] ( chip_controller data_to_core_mem[4] ) + USE SIGNAL ;
- data_to_core_mem\[5\] ( chip_controller data_to_core_mem[5] ) + USE SIGNAL ;
- data_to_core_mem\[6\] ( chip_controller data_to_core_mem[6] ) + USE SIGNAL ;
- data_to_core_mem\[7\] ( chip_controller data_to_core_mem[7] ) + USE SIGNAL ;
- data_to_core_mem\[8\] ( chip_controller data_to_core_mem[8] ) + USE SIGNAL ;
- data_to_core_mem\[9\] ( chip_controller data_to_core_mem[9] ) + USE SIGNAL ;
- din0_to_sram\[0\] ( custom_sram d[0] ) ( chip_controller din0_to_sram[0] ) + USE SIGNAL
+ ROUTED met1 ( 721050 1787550 ) ( 726110 * )
NEW met2 ( 726110 1787550 ) ( * 1800300 0 )
NEW met2 ( 721050 1502290 ) ( * 1787550 )
NEW met3 ( 199410 1504500 ) ( 201020 * 0 )
NEW met2 ( 199410 1502290 ) ( * 1504500 )
NEW met1 ( 199410 1502290 ) ( 721050 * )
NEW met1 ( 721050 1502290 ) M1M2_PR
NEW met1 ( 721050 1787550 ) M1M2_PR
NEW met1 ( 726110 1787550 ) M1M2_PR
NEW met2 ( 199410 1504500 ) M2M3_PR_M
NEW met1 ( 199410 1502290 ) M1M2_PR ;
- din0_to_sram\[10\] ( custom_sram d[10] ) ( chip_controller din0_to_sram[10] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2360110 ) ( * 2362660 )
NEW met3 ( 683330 2362660 ) ( 700580 * 0 )
NEW met2 ( 266570 1899580 0 ) ( 267950 * )
NEW met2 ( 267950 1899580 ) ( * 1918200 )
NEW met2 ( 267950 1918200 ) ( 268870 * )
NEW met2 ( 268870 1918200 ) ( * 2360110 )
NEW met1 ( 268870 2360110 ) ( 683330 * )
NEW met1 ( 683330 2360110 ) M1M2_PR
NEW met2 ( 683330 2362660 ) M2M3_PR_M
NEW met1 ( 268870 2360110 ) M1M2_PR ;
- din0_to_sram\[11\] ( custom_sram d[11] ) ( chip_controller din0_to_sram[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1573860 0 ) ( 608350 * )
NEW met2 ( 608350 1573860 ) ( * 1579470 )
NEW met2 ( 797870 1579470 ) ( * 1627070 )
NEW met2 ( 1345730 1800300 ) ( 1352170 * 0 )
NEW met2 ( 1345730 1627070 ) ( * 1800300 )
NEW met1 ( 608350 1579470 ) ( 797870 * )
NEW met1 ( 797870 1627070 ) ( 1345730 * )
NEW met2 ( 608350 1573860 ) M2M3_PR_M
NEW met1 ( 608350 1579470 ) M1M2_PR
NEW met1 ( 797870 1579470 ) M1M2_PR
NEW met1 ( 797870 1627070 ) M1M2_PR
NEW met1 ( 1345730 1627070 ) M1M2_PR ;
- din0_to_sram\[12\] ( custom_sram d[12] ) ( chip_controller din0_to_sram[12] ) + USE SIGNAL
+ ROUTED met2 ( 276230 1899580 0 ) ( * 1911310 )
NEW met1 ( 276230 1911310 ) ( 293250 * )
NEW met2 ( 293250 1911310 ) ( * 3293070 )
NEW li1 ( 1137810 3293070 ) ( * 3296470 )
NEW met2 ( 1137810 3296300 ) ( * 3296470 )
NEW met2 ( 1137810 3296300 ) ( 1138730 * 0 )
NEW met1 ( 293250 3293070 ) ( 1137810 * )
NEW met1 ( 293250 3293070 ) M1M2_PR
NEW met1 ( 276230 1911310 ) M1M2_PR
NEW met1 ( 293250 1911310 ) M1M2_PR
NEW li1 ( 1137810 3293070 ) L1M1_PR_MR
NEW li1 ( 1137810 3296470 ) L1M1_PR_MR
NEW met1 ( 1137810 3296470 ) M1M2_PR
NEW met1 ( 1137810 3296470 ) RECT ( -355 -70 0 70 ) ;
- din0_to_sram\[13\] ( custom_sram d[13] ) ( chip_controller din0_to_sram[13] ) + USE SIGNAL
+ ROUTED met3 ( 192510 1595620 ) ( 201020 * 0 )
NEW met2 ( 1259710 1502970 ) ( * 1789930 )
NEW met2 ( 1508570 1789930 ) ( * 1800300 0 )
NEW met1 ( 1259710 1789930 ) ( 1508570 * )
NEW met2 ( 192510 1502970 ) ( * 1595620 )
NEW met1 ( 192510 1502970 ) ( 1259710 * )
NEW met2 ( 192510 1595620 ) M2M3_PR_M
NEW met1 ( 1259710 1502970 ) M1M2_PR
NEW met1 ( 1259710 1789930 ) M1M2_PR
NEW met1 ( 1508570 1789930 ) M1M2_PR
NEW met1 ( 192510 1502970 ) M1M2_PR ;
- din0_to_sram\[14\] ( custom_sram d[14] ) ( chip_controller din0_to_sram[14] ) + USE SIGNAL
+ ROUTED met3 ( 688850 2512260 ) ( 700580 * 0 )
NEW met2 ( 191590 1601060 ) ( * 1607690 )
NEW met3 ( 191590 1601060 ) ( 201020 * 0 )
NEW met2 ( 688850 1921510 ) ( * 2512260 )
NEW met1 ( 155250 1607690 ) ( 191590 * )
NEW met2 ( 155250 1607690 ) ( * 1921510 )
NEW met1 ( 155250 1921510 ) ( 688850 * )
NEW met1 ( 688850 1921510 ) M1M2_PR
NEW met2 ( 688850 2512260 ) M2M3_PR_M
NEW met1 ( 191590 1607690 ) M1M2_PR
NEW met2 ( 191590 1601060 ) M2M3_PR_M
NEW met1 ( 155250 1921510 ) M1M2_PR
NEW met1 ( 155250 1607690 ) M1M2_PR ;
- din0_to_sram\[15\] ( custom_sram d[15] ) ( chip_controller din0_to_sram[15] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1611260 ) ( * 1614490 )
NEW met3 ( 188830 1611260 ) ( 201020 * 0 )
NEW met2 ( 1229350 3299020 ) ( * 3299190 )
NEW met2 ( 1229350 3299020 ) ( 1230730 * 0 )
NEW met1 ( 162610 3299190 ) ( 1229350 * )
NEW met1 ( 162610 1614490 ) ( 188830 * )
NEW met2 ( 162610 1614490 ) ( * 3299190 )
NEW met1 ( 188830 1614490 ) M1M2_PR
NEW met2 ( 188830 1611260 ) M2M3_PR_M
NEW met1 ( 162610 3299190 ) M1M2_PR
NEW met1 ( 1229350 3299190 ) M1M2_PR
NEW met1 ( 162610 1614490 ) M1M2_PR ;
- din0_to_sram\[16\] ( custom_sram d[16] ) ( chip_controller din0_to_sram[16] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1609220 0 ) ( 608350 * )
NEW met2 ( 608350 1609220 ) ( * 1614490 )
NEW met2 ( 717370 1614490 ) ( * 1619590 )
NEW met1 ( 608350 1614490 ) ( 717370 * )
NEW met1 ( 717370 1619590 ) ( 1607930 * )
NEW met2 ( 1607930 1800300 ) ( 1612990 * 0 )
NEW met2 ( 1607930 1619590 ) ( * 1800300 )
NEW met2 ( 608350 1609220 ) M2M3_PR_M
NEW met1 ( 608350 1614490 ) M1M2_PR
NEW met1 ( 717370 1614490 ) M1M2_PR
NEW met1 ( 717370 1619590 ) M1M2_PR
NEW met1 ( 1607930 1619590 ) M1M2_PR ;
- din0_to_sram\[17\] ( custom_sram d[17] ) ( chip_controller din0_to_sram[17] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2808570 ) ( * 2812140 )
NEW met3 ( 683330 2812140 ) ( 700580 * 0 )
NEW met1 ( 184690 1626390 ) ( 186530 * )
NEW met2 ( 186530 1620100 ) ( * 1626390 )
NEW met3 ( 186530 1620100 ) ( 201020 * 0 )
NEW met1 ( 184690 2808570 ) ( 683330 * )
NEW met2 ( 184690 1626390 ) ( * 2808570 )
NEW met1 ( 184690 2808570 ) M1M2_PR
NEW met1 ( 683330 2808570 ) M1M2_PR
NEW met2 ( 683330 2812140 ) M2M3_PR_M
NEW met1 ( 184690 1626390 ) M1M2_PR
NEW met1 ( 186530 1626390 ) M1M2_PR
NEW met2 ( 186530 1620100 ) M2M3_PR_M ;
- din0_to_sram\[18\] ( custom_sram d[18] ) ( chip_controller din0_to_sram[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1622140 0 ) ( 609270 * )
NEW met2 ( 609270 1622140 ) ( * 1628090 )
NEW met2 ( 1664970 1791970 ) ( * 1800300 0 )
NEW met1 ( 609270 1628090 ) ( 755550 * )
NEW met2 ( 755550 1628090 ) ( * 1791970 )
NEW met1 ( 755550 1791970 ) ( 1664970 * )
NEW met2 ( 609270 1622140 ) M2M3_PR_M
NEW met1 ( 609270 1628090 ) M1M2_PR
NEW met1 ( 1664970 1791970 ) M1M2_PR
NEW met1 ( 755550 1628090 ) M1M2_PR
NEW met1 ( 755550 1791970 ) M1M2_PR ;
- din0_to_sram\[19\] ( custom_sram d[19] ) ( chip_controller din0_to_sram[19] ) + USE SIGNAL
+ ROUTED met3 ( 186530 1628940 ) ( 201020 * 0 )
NEW met2 ( 1717410 1793330 ) ( * 1800300 0 )
NEW met1 ( 690690 1793330 ) ( 1717410 * )
NEW met3 ( 185610 1849260 ) ( 186530 * )
NEW met2 ( 185610 1849260 ) ( * 1864050 )
NEW met1 ( 185610 1864050 ) ( 189750 * )
NEW met2 ( 189750 1864050 ) ( * 1868300 )
NEW met2 ( 189290 1868300 ) ( 189750 * )
NEW met2 ( 189290 1868300 ) ( * 1891930 )
NEW met1 ( 189290 1891930 ) ( 207000 * )
NEW met1 ( 207000 1891930 ) ( * 1892270 )
NEW met2 ( 186530 1628940 ) ( * 1849260 )
NEW met1 ( 207000 1892270 ) ( 690690 * )
NEW met2 ( 690690 1793330 ) ( * 1892270 )
NEW met2 ( 186530 1628940 ) M2M3_PR_M
NEW met1 ( 690690 1793330 ) M1M2_PR
NEW met1 ( 1717410 1793330 ) M1M2_PR
NEW met2 ( 186530 1849260 ) M2M3_PR_M
NEW met2 ( 185610 1849260 ) M2M3_PR_M
NEW met1 ( 185610 1864050 ) M1M2_PR
NEW met1 ( 189750 1864050 ) M1M2_PR
NEW met1 ( 189290 1891930 ) M1M2_PR
NEW met1 ( 690690 1892270 ) M1M2_PR ;
- din0_to_sram\[1\] ( custom_sram d[1] ) ( chip_controller din0_to_sram[1] ) + USE SIGNAL
+ ROUTED met2 ( 317630 1490390 ) ( * 1491410 )
NEW met2 ( 773490 1800300 ) ( 778090 * 0 )
NEW met2 ( 773490 1491410 ) ( * 1800300 )
NEW li1 ( 266110 1489030 ) ( * 1490390 )
NEW met1 ( 231150 1489030 ) ( 266110 * )
NEW met2 ( 231150 1489030 ) ( * 1500420 0 )
NEW met1 ( 266110 1490390 ) ( 317630 * )
NEW met1 ( 317630 1491410 ) ( 773490 * )
NEW met1 ( 317630 1490390 ) M1M2_PR
NEW met1 ( 317630 1491410 ) M1M2_PR
NEW met1 ( 773490 1491410 ) M1M2_PR
NEW li1 ( 266110 1490390 ) L1M1_PR_MR
NEW li1 ( 266110 1489030 ) L1M1_PR_MR
NEW met1 ( 231150 1489030 ) M1M2_PR ;
- din0_to_sram\[20\] ( custom_sram d[20] ) ( chip_controller din0_to_sram[20] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1635740 ) ( * 1639650 )
NEW met3 ( 189750 1635740 ) ( 201020 * 0 )
NEW li1 ( 1552730 3291710 ) ( * 3296470 )
NEW met2 ( 1552730 3296300 ) ( * 3296470 )
NEW met2 ( 1552730 3296300 ) ( 1554110 * 0 )
NEW met1 ( 179170 1639650 ) ( 189750 * )
NEW met1 ( 179170 3291710 ) ( 1552730 * )
NEW met2 ( 179170 1639650 ) ( * 3291710 )
NEW met1 ( 189750 1639650 ) M1M2_PR
NEW met2 ( 189750 1635740 ) M2M3_PR_M
NEW li1 ( 1552730 3291710 ) L1M1_PR_MR
NEW li1 ( 1552730 3296470 ) L1M1_PR_MR
NEW met1 ( 1552730 3296470 ) M1M2_PR
NEW met1 ( 179170 1639650 ) M1M2_PR
NEW met1 ( 179170 3291710 ) M1M2_PR
NEW met1 ( 1552730 3296470 ) RECT ( -355 -70 0 70 ) ;
- din0_to_sram\[21\] ( custom_sram d[21] ) ( chip_controller din0_to_sram[21] ) + USE SIGNAL
+ ROUTED met3 ( 1899340 2708100 0 ) ( 1914290 * )
NEW met2 ( 357190 1484610 ) ( * 1500420 0 )
NEW met1 ( 357190 1484610 ) ( 1211410 * )
NEW li1 ( 1211410 1803190 ) ( * 1804890 )
NEW met2 ( 1211410 1484610 ) ( * 1803190 )
NEW met1 ( 1211410 1804890 ) ( 1914290 * )
NEW met2 ( 1914290 1804890 ) ( * 2708100 )
NEW met2 ( 1914290 2708100 ) M2M3_PR_M
NEW met1 ( 357190 1484610 ) M1M2_PR
NEW met1 ( 1211410 1484610 ) M1M2_PR
NEW li1 ( 1211410 1803190 ) L1M1_PR_MR
NEW met1 ( 1211410 1803190 ) M1M2_PR
NEW li1 ( 1211410 1804890 ) L1M1_PR_MR
NEW met1 ( 1914290 1804890 ) M1M2_PR
NEW met1 ( 1211410 1803190 ) RECT ( -355 -70 0 70 ) ;
- din0_to_sram\[22\] ( custom_sram d[22] ) ( chip_controller din0_to_sram[22] ) + USE SIGNAL
+ ROUTED met2 ( 866410 1488180 ) ( * 1763070 )
NEW met1 ( 866410 1763070 ) ( 1913830 * )
NEW met2 ( 363630 1488180 ) ( * 1500420 0 )
NEW met3 ( 363630 1488180 ) ( 866410 * )
NEW met3 ( 1899340 2786980 0 ) ( 1913830 * )
NEW met2 ( 1913830 1763070 ) ( * 2786980 )
NEW met1 ( 866410 1763070 ) M1M2_PR
NEW met2 ( 866410 1488180 ) M2M3_PR_M
NEW met1 ( 1913830 1763070 ) M1M2_PR
NEW met2 ( 363630 1488180 ) M2M3_PR_M
NEW met2 ( 1913830 2786980 ) M2M3_PR_M ;
- din0_to_sram\[23\] ( custom_sram d[23] ) ( chip_controller din0_to_sram[23] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2960210 ) ( * 2962420 )
NEW met3 ( 683330 2962420 ) ( 700580 * 0 )
NEW met2 ( 345690 1899580 0 ) ( * 1911310 )
NEW met1 ( 345690 1911310 ) ( 351670 * )
NEW met2 ( 351670 1911310 ) ( * 2960210 )
NEW met1 ( 351670 2960210 ) ( 683330 * )
NEW met1 ( 683330 2960210 ) M1M2_PR
NEW met2 ( 683330 2962420 ) M2M3_PR_M
NEW met1 ( 345690 1911310 ) M1M2_PR
NEW met1 ( 351670 1911310 ) M1M2_PR
NEW met1 ( 351670 2960210 ) M1M2_PR ;
- din0_to_sram\[24\] ( custom_sram d[24] ) ( chip_controller din0_to_sram[24] ) + USE SIGNAL
+ ROUTED met2 ( 374670 1486820 ) ( * 1500420 0 )
NEW met3 ( 374670 1486820 ) ( 721510 * )
NEW met2 ( 721510 1486820 ) ( * 1626390 )
NEW met1 ( 721510 1626390 ) ( 1912450 * )
NEW met3 ( 1899340 2944740 0 ) ( 1912450 * )
NEW met2 ( 1912450 1626390 ) ( * 2944740 )
NEW met2 ( 374670 1486820 ) M2M3_PR_M
NEW met2 ( 721510 1486820 ) M2M3_PR_M
NEW met1 ( 721510 1626390 ) M1M2_PR
NEW met1 ( 1912450 1626390 ) M1M2_PR
NEW met2 ( 1912450 2944740 ) M2M3_PR_M ;
- din0_to_sram\[25\] ( custom_sram d[25] ) ( chip_controller din0_to_sram[25] ) + USE SIGNAL
+ ROUTED met2 ( 1738570 3299700 0 ) ( * 3305990 )
NEW met1 ( 358570 3305990 ) ( 1738570 * )
NEW met2 ( 358570 1899580 0 ) ( * 3305990 )
NEW met1 ( 1738570 3305990 ) M1M2_PR
NEW met1 ( 358570 3305990 ) M1M2_PR ;
- din0_to_sram\[26\] ( custom_sram d[26] ) ( chip_controller din0_to_sram[26] ) + USE SIGNAL
+ ROUTED met2 ( 383870 1484780 ) ( * 1500420 )
NEW met2 ( 382950 1500420 0 ) ( 383870 * )
NEW met3 ( 1899340 3102500 0 ) ( 1911990 * )
NEW met3 ( 383870 1484780 ) ( 636870 * )
NEW met2 ( 636870 1484780 ) ( * 1618230 )
NEW met1 ( 636870 1618230 ) ( 1911990 * )
NEW met2 ( 1911990 1618230 ) ( * 3102500 )
NEW met2 ( 383870 1484780 ) M2M3_PR_M
NEW met2 ( 1911990 3102500 ) M2M3_PR_M
NEW met2 ( 636870 1484780 ) M2M3_PR_M
NEW met1 ( 636870 1618230 ) M1M2_PR
NEW met1 ( 1911990 1618230 ) M1M2_PR ;
- din0_to_sram\[27\] ( custom_sram d[27] ) ( chip_controller din0_to_sram[27] ) + USE SIGNAL
+ ROUTED met2 ( 683330 3112190 ) ( * 3112700 )
NEW met3 ( 683330 3112700 ) ( 700580 * 0 )
NEW met1 ( 371910 3112190 ) ( 683330 * )
NEW met2 ( 366850 1899580 0 ) ( * 1911310 )
NEW met1 ( 366850 1911310 ) ( 371910 * )
NEW met2 ( 371910 1911310 ) ( * 3112190 )
NEW met1 ( 683330 3112190 ) M1M2_PR
NEW met2 ( 683330 3112700 ) M2M3_PR_M
NEW met1 ( 371910 3112190 ) M1M2_PR
NEW met1 ( 366850 1911310 ) M1M2_PR
NEW met1 ( 371910 1911310 ) M1M2_PR ;
- din0_to_sram\[28\] ( custom_sram d[28] ) ( chip_controller din0_to_sram[28] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1676540 0 ) ( 613870 * )
NEW met2 ( 613870 1676540 ) ( * 1683340 )
NEW met2 ( 613870 1690500 ) ( * 1739100 )
NEW met2 ( 683330 3257030 ) ( * 3262300 )
NEW met3 ( 683330 3262300 ) ( 700580 * 0 )
NEW met2 ( 614330 1739100 ) ( * 1746750 )
NEW met1 ( 614330 1746750 ) ( 655270 * )
NEW met2 ( 613870 1739100 ) ( 614330 * )
NEW met2 ( 614330 1683340 ) ( * 1690500 )
NEW met2 ( 613870 1683340 ) ( 614330 * )
NEW met2 ( 613870 1690500 ) ( 614330 * )
NEW met2 ( 655270 1746750 ) ( * 3257030 )
NEW met1 ( 655270 3257030 ) ( 683330 * )
NEW met2 ( 613870 1676540 ) M2M3_PR_M
NEW met1 ( 683330 3257030 ) M1M2_PR
NEW met2 ( 683330 3262300 ) M2M3_PR_M
NEW met1 ( 614330 1746750 ) M1M2_PR
NEW met1 ( 655270 1746750 ) M1M2_PR
NEW met1 ( 655270 3257030 ) M1M2_PR ;
- din0_to_sram\[29\] ( custom_sram d[29] ) ( chip_controller din0_to_sram[29] ) + USE SIGNAL
+ ROUTED met2 ( 399050 1490220 ) ( * 1500420 0 )
NEW met2 ( 585810 1487500 ) ( * 1490220 )
NEW met3 ( 585810 1487500 ) ( 606050 * )
NEW met2 ( 606050 1487500 ) ( * 1626050 )
NEW met3 ( 1899340 3181380 0 ) ( 1916130 * )
NEW met3 ( 399050 1490220 ) ( 585810 * )
NEW met1 ( 606050 1626050 ) ( 1916130 * )
NEW met2 ( 1916130 1626050 ) ( * 3181380 )
NEW met2 ( 399050 1490220 ) M2M3_PR_M
NEW met2 ( 585810 1490220 ) M2M3_PR_M
NEW met2 ( 585810 1487500 ) M2M3_PR_M
NEW met2 ( 606050 1487500 ) M2M3_PR_M
NEW met1 ( 606050 1626050 ) M1M2_PR
NEW met2 ( 1916130 3181380 ) M2M3_PR_M
NEW met1 ( 1916130 1626050 ) M1M2_PR ;
- din0_to_sram\[2\] ( custom_sram d[2] ) ( chip_controller din0_to_sram[2] ) + USE SIGNAL
+ ROUTED met2 ( 218270 1899580 0 ) ( * 1923550 )
NEW met2 ( 830070 1791630 ) ( * 1800300 0 )
NEW met1 ( 691610 1791630 ) ( 830070 * )
NEW met1 ( 218270 1923550 ) ( 691610 * )
NEW met2 ( 691610 1791630 ) ( * 1923550 )
NEW met1 ( 218270 1923550 ) M1M2_PR
NEW met1 ( 691610 1791630 ) M1M2_PR
NEW met1 ( 830070 1791630 ) M1M2_PR
NEW met1 ( 691610 1923550 ) M1M2_PR ;
- din0_to_sram\[30\] ( custom_sram d[30] ) ( chip_controller din0_to_sram[30] ) + USE SIGNAL
+ ROUTED met2 ( 374670 1899580 0 ) ( * 1921170 )
NEW met2 ( 1821830 1793670 ) ( * 1800300 0 )
NEW met1 ( 614790 1824270 ) ( * 1825290 )
NEW met1 ( 614330 1824270 ) ( 614790 * )
NEW met2 ( 614330 1813220 ) ( * 1824270 )
NEW met2 ( 614330 1813220 ) ( 615250 * )
NEW met2 ( 615250 1793670 ) ( * 1813220 )
NEW met1 ( 615250 1793670 ) ( 1821830 * )
NEW li1 ( 600530 1891250 ) ( * 1921170 )
NEW met1 ( 600530 1891250 ) ( 614790 * )
NEW met1 ( 374670 1921170 ) ( 600530 * )
NEW met2 ( 614790 1825290 ) ( * 1891250 )
NEW met1 ( 374670 1921170 ) M1M2_PR
NEW met1 ( 1821830 1793670 ) M1M2_PR
NEW met1 ( 614790 1825290 ) M1M2_PR
NEW met1 ( 614330 1824270 ) M1M2_PR
NEW met1 ( 615250 1793670 ) M1M2_PR
NEW li1 ( 600530 1921170 ) L1M1_PR_MR
NEW li1 ( 600530 1891250 ) L1M1_PR_MR
NEW met1 ( 614790 1891250 ) M1M2_PR ;
- din0_to_sram\[31\] ( custom_sram d[31] ) ( chip_controller din0_to_sram[31] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1696940 0 ) ( 607430 * )
NEW met2 ( 607430 1696940 ) ( * 1697110 )
NEW met2 ( 797870 1697110 ) ( * 1791290 )
NEW met1 ( 607430 1697110 ) ( 797870 * )
NEW met2 ( 1873810 1791290 ) ( * 1800300 0 )
NEW met1 ( 797870 1791290 ) ( 1873810 * )
NEW met2 ( 607430 1696940 ) M2M3_PR_M
NEW met1 ( 607430 1697110 ) M1M2_PR
NEW met1 ( 797870 1697110 ) M1M2_PR
NEW met1 ( 797870 1791290 ) M1M2_PR
NEW met1 ( 1873810 1791290 ) M1M2_PR ;
- din0_to_sram\[3\] ( custom_sram d[3] ) ( chip_controller din0_to_sram[3] ) + USE SIGNAL
+ ROUTED met3 ( 689310 2212380 ) ( 700580 * 0 )
NEW met3 ( 196650 1528980 ) ( 201020 * 0 )
NEW met2 ( 689310 1921850 ) ( * 2212380 )
NEW met2 ( 196650 1528980 ) ( * 1921850 )
NEW met1 ( 196650 1921850 ) ( 689310 * )
NEW met1 ( 196650 1921850 ) M1M2_PR
NEW met1 ( 689310 1921850 ) M1M2_PR
NEW met2 ( 689310 2212380 ) M2M3_PR_M
NEW met2 ( 196650 1528980 ) M2M3_PR_M ;
- din0_to_sram\[4\] ( custom_sram d[4] ) ( chip_controller din0_to_sram[4] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2283950 ) ( * 2287180 )
NEW met3 ( 683330 2287180 ) ( 700580 * 0 )
NEW met2 ( 231150 1899580 0 ) ( 232530 * )
NEW met2 ( 232530 1899580 ) ( * 1918200 )
NEW met2 ( 232530 1918200 ) ( 234370 * )
NEW met2 ( 234370 1918200 ) ( * 2283950 )
NEW met1 ( 234370 2283950 ) ( 683330 * )
NEW met1 ( 683330 2283950 ) M1M2_PR
NEW met2 ( 683330 2287180 ) M2M3_PR_M
NEW met1 ( 234370 2283950 ) M1M2_PR ;
- din0_to_sram\[5\] ( custom_sram d[5] ) ( chip_controller din0_to_sram[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1533740 0 ) ( 608810 * )
NEW met2 ( 608810 1533740 ) ( * 1538330 )
NEW met2 ( 804310 1538330 ) ( * 1792310 )
NEW met1 ( 608810 1538330 ) ( 804310 * )
NEW met2 ( 1038910 1792310 ) ( * 1800300 0 )
NEW met1 ( 804310 1792310 ) ( 1038910 * )
NEW met2 ( 608810 1533740 ) M2M3_PR_M
NEW met1 ( 608810 1538330 ) M1M2_PR
NEW met1 ( 804310 1538330 ) M1M2_PR
NEW met1 ( 804310 1792310 ) M1M2_PR
NEW met1 ( 1038910 1792310 ) M1M2_PR ;
- din0_to_sram\[6\] ( custom_sram d[6] ) ( chip_controller din0_to_sram[6] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1541220 0 ) ( 608810 * )
NEW met2 ( 608810 1541220 ) ( * 1545470 )
NEW met2 ( 1080310 1545470 ) ( * 1792310 )
NEW met1 ( 608810 1545470 ) ( 1080310 * )
NEW met1 ( 1080310 1792310 ) ( 1915670 * )
NEW met3 ( 1899340 2076380 0 ) ( 1915670 * )
NEW met2 ( 1915670 1792310 ) ( * 2076380 )
NEW met2 ( 608810 1541220 ) M2M3_PR_M
NEW met1 ( 608810 1545470 ) M1M2_PR
NEW met1 ( 1080310 1545470 ) M1M2_PR
NEW met1 ( 1080310 1792310 ) M1M2_PR
NEW met1 ( 1915670 1792310 ) M1M2_PR
NEW met2 ( 1915670 2076380 ) M2M3_PR_M ;
- din0_to_sram\[7\] ( custom_sram d[7] ) ( chip_controller din0_to_sram[7] ) + USE SIGNAL
+ ROUTED met2 ( 953810 3299700 0 ) ( * 3308370 )
NEW met1 ( 255070 3308370 ) ( 953810 * )
NEW met2 ( 249090 1899580 0 ) ( * 1911310 )
NEW met1 ( 249090 1911310 ) ( 255070 * )
NEW met2 ( 255070 1911310 ) ( * 3308370 )
NEW met1 ( 953810 3308370 ) M1M2_PR
NEW met1 ( 255070 3308370 ) M1M2_PR
NEW met1 ( 249090 1911310 ) M1M2_PR
NEW met1 ( 255070 1911310 ) M1M2_PR ;
- din0_to_sram\[8\] ( custom_sram d[8] ) ( chip_controller din0_to_sram[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1552100 0 ) ( 610650 * )
NEW met2 ( 610650 1552100 ) ( * 1578790 )
NEW met1 ( 608350 1578790 ) ( 610650 * )
NEW li1 ( 608350 1578790 ) ( * 1597830 )
NEW met3 ( 1899340 2234140 0 ) ( 1904630 * )
NEW met1 ( 608350 1597830 ) ( 1904630 * )
NEW met2 ( 1904630 1597830 ) ( * 2234140 )
NEW met2 ( 610650 1552100 ) M2M3_PR_M
NEW met1 ( 610650 1578790 ) M1M2_PR
NEW li1 ( 608350 1578790 ) L1M1_PR_MR
NEW li1 ( 608350 1597830 ) L1M1_PR_MR
NEW met2 ( 1904630 2234140 ) M2M3_PR_M
NEW met1 ( 1904630 1597830 ) M1M2_PR ;
- din0_to_sram\[9\] ( custom_sram d[9] ) ( chip_controller din0_to_sram[9] ) + USE SIGNAL
+ ROUTED met3 ( 193430 1571140 ) ( 201020 * 0 )
NEW li1 ( 1044430 3292730 ) ( * 3296470 )
NEW met2 ( 1044430 3296300 ) ( * 3296470 )
NEW met2 ( 1044430 3296300 ) ( 1046270 * 0 )
NEW met1 ( 193430 3292730 ) ( 1044430 * )
NEW met2 ( 193430 1571140 ) ( * 3292730 )
NEW met2 ( 193430 1571140 ) M2M3_PR_M
NEW met1 ( 193430 3292730 ) M1M2_PR
NEW li1 ( 1044430 3292730 ) L1M1_PR_MR
NEW li1 ( 1044430 3296470 ) L1M1_PR_MR
NEW met1 ( 1044430 3296470 ) M1M2_PR
NEW met1 ( 1044430 3296470 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[0\] ( custom_sram q[0] ) ( chip_controller dout0_to_sram[0] ) + USE SIGNAL
+ ROUTED met2 ( 767510 3299700 ) ( 769350 * 0 )
NEW met2 ( 767510 3299700 ) ( * 3299870 )
NEW met1 ( 150190 3299870 ) ( 767510 * )
NEW met2 ( 150190 1510790 ) ( * 3299870 )
NEW met1 ( 150190 1510790 ) ( 158700 * )
NEW met1 ( 158700 1509430 ) ( * 1510790 )
NEW met1 ( 158700 1509430 ) ( 189750 * )
NEW met2 ( 189750 1505860 ) ( * 1509430 )
NEW met3 ( 189750 1505860 ) ( 201020 * 0 )
NEW met1 ( 767510 3299870 ) M1M2_PR
NEW met1 ( 150190 3299870 ) M1M2_PR
NEW met1 ( 150190 1510790 ) M1M2_PR
NEW met1 ( 189750 1509430 ) M1M2_PR
NEW met2 ( 189750 1505860 ) M2M3_PR_M ;
- dout0_to_sram\[10\] ( custom_sram q[10] ) ( chip_controller dout0_to_sram[10] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1568420 0 ) ( 608350 * )
NEW met2 ( 608350 1568420 ) ( * 1572670 )
NEW met1 ( 608350 1572670 ) ( 921150 * )
NEW met2 ( 921150 1572670 ) ( * 1789590 )
NEW met2 ( 1299730 1789590 ) ( * 1800300 0 )
NEW met1 ( 921150 1789590 ) ( 1299730 * )
NEW met2 ( 608350 1568420 ) M2M3_PR_M
NEW met1 ( 608350 1572670 ) M1M2_PR
NEW met1 ( 921150 1572670 ) M1M2_PR
NEW met1 ( 921150 1789590 ) M1M2_PR
NEW met1 ( 1299730 1789590 ) M1M2_PR ;
- dout0_to_sram\[11\] ( custom_sram q[11] ) ( chip_controller dout0_to_sram[11] ) + USE SIGNAL
+ ROUTED met2 ( 289110 1492770 ) ( * 1500420 0 )
NEW met1 ( 289110 1492770 ) ( 1045810 * )
NEW met2 ( 1045810 1492770 ) ( * 1789250 )
NEW met2 ( 1404150 1789250 ) ( * 1800300 0 )
NEW met1 ( 1045810 1789250 ) ( 1404150 * )
NEW met1 ( 289110 1492770 ) M1M2_PR
NEW met1 ( 1045810 1492770 ) M1M2_PR
NEW met1 ( 1045810 1789250 ) M1M2_PR
NEW met1 ( 1404150 1789250 ) M1M2_PR ;
- dout0_to_sram\[12\] ( custom_sram q[12] ) ( chip_controller dout0_to_sram[12] ) + USE SIGNAL
+ ROUTED li1 ( 1183350 3292050 ) ( * 3296470 )
NEW met2 ( 1183350 3296300 ) ( * 3296470 )
NEW met2 ( 1183350 3296300 ) ( 1184730 * 0 )
NEW met3 ( 193890 1592220 ) ( 201020 * 0 )
NEW met1 ( 193890 3292050 ) ( 1183350 * )
NEW met2 ( 193890 1592220 ) ( * 3292050 )
NEW met1 ( 193890 3292050 ) M1M2_PR
NEW li1 ( 1183350 3292050 ) L1M1_PR_MR
NEW li1 ( 1183350 3296470 ) L1M1_PR_MR
NEW met1 ( 1183350 3296470 ) M1M2_PR
NEW met2 ( 193890 1592220 ) M2M3_PR_M
NEW met1 ( 1183350 3296470 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[13\] ( custom_sram q[13] ) ( chip_controller dout0_to_sram[13] ) + USE SIGNAL
+ ROUTED met2 ( 298770 1479510 ) ( * 1500420 0 )
NEW met2 ( 1560550 1792990 ) ( * 1800300 0 )
NEW met1 ( 298770 1479510 ) ( 1121710 * )
NEW met2 ( 1121710 1479510 ) ( * 1792990 )
NEW met1 ( 1121710 1792990 ) ( 1560550 * )
NEW met1 ( 298770 1479510 ) M1M2_PR
NEW met1 ( 1560550 1792990 ) M1M2_PR
NEW met1 ( 1121710 1479510 ) M1M2_PR
NEW met1 ( 1121710 1792990 ) M1M2_PR ;
- dout0_to_sram\[14\] ( custom_sram q[14] ) ( chip_controller dout0_to_sram[14] ) + USE SIGNAL
+ ROUTED met1 ( 196190 1646790 ) ( 197110 * )
NEW met1 ( 196190 1607690 ) ( 197110 * )
NEW met2 ( 197110 1602420 ) ( * 1607690 )
NEW met3 ( 197110 1602420 ) ( 201020 * 0 )
NEW met2 ( 196190 1607690 ) ( * 1646790 )
NEW met3 ( 688390 2587740 ) ( 700580 * 0 )
NEW met2 ( 688390 1922190 ) ( * 2587740 )
NEW met1 ( 195730 1873570 ) ( 197110 * )
NEW met2 ( 195730 1873570 ) ( * 1922190 )
NEW met2 ( 197110 1646790 ) ( * 1873570 )
NEW met1 ( 195730 1922190 ) ( 688390 * )
NEW met1 ( 196190 1646790 ) M1M2_PR
NEW met1 ( 197110 1646790 ) M1M2_PR
NEW met1 ( 195730 1922190 ) M1M2_PR
NEW met1 ( 688390 1922190 ) M1M2_PR
NEW met1 ( 196190 1607690 ) M1M2_PR
NEW met1 ( 197110 1607690 ) M1M2_PR
NEW met2 ( 197110 1602420 ) M2M3_PR_M
NEW met2 ( 688390 2587740 ) M2M3_PR_M
NEW met1 ( 195730 1873570 ) M1M2_PR
NEW met1 ( 197110 1873570 ) M1M2_PR ;
- dout0_to_sram\[15\] ( custom_sram q[15] ) ( chip_controller dout0_to_sram[15] ) + USE SIGNAL
+ ROUTED met2 ( 1276270 3299530 ) ( * 3299700 )
NEW met2 ( 1276270 3299700 ) ( 1277190 * 0 )
NEW met3 ( 599380 1603780 0 ) ( 608350 * )
NEW met2 ( 608350 1603780 ) ( * 1603950 )
NEW met1 ( 620770 3299530 ) ( 1276270 * )
NEW met1 ( 608350 1603950 ) ( 620770 * )
NEW met2 ( 620770 1603950 ) ( * 3299530 )
NEW met1 ( 1276270 3299530 ) M1M2_PR
NEW met2 ( 608350 1603780 ) M2M3_PR_M
NEW met1 ( 608350 1603950 ) M1M2_PR
NEW met1 ( 620770 3299530 ) M1M2_PR
NEW met1 ( 620770 1603950 ) M1M2_PR ;
- dout0_to_sram\[16\] ( custom_sram q[16] ) ( chip_controller dout0_to_sram[16] ) + USE SIGNAL
+ ROUTED met2 ( 300610 1899580 0 ) ( 301990 * )
NEW met2 ( 301990 1899580 ) ( * 1966500 )
NEW met2 ( 301990 1966500 ) ( 302910 * )
NEW met2 ( 302910 1966500 ) ( * 3307690 )
NEW met2 ( 1323190 3299700 0 ) ( * 3307690 )
NEW met1 ( 302910 3307690 ) ( 1323190 * )
NEW met1 ( 302910 3307690 ) M1M2_PR
NEW met1 ( 1323190 3307690 ) M1M2_PR ;
- dout0_to_sram\[17\] ( custom_sram q[17] ) ( chip_controller dout0_to_sram[17] ) + USE SIGNAL
+ ROUTED met2 ( 303830 1899580 0 ) ( * 1911310 )
NEW met1 ( 303830 1911310 ) ( 309810 * )
NEW met2 ( 309810 1911310 ) ( * 3307010 )
NEW met2 ( 1415650 3299700 0 ) ( * 3307010 )
NEW met1 ( 309810 3307010 ) ( 1415650 * )
NEW met1 ( 309810 3307010 ) M1M2_PR
NEW met1 ( 303830 1911310 ) M1M2_PR
NEW met1 ( 309810 1911310 ) M1M2_PR
NEW met1 ( 1415650 3307010 ) M1M2_PR ;
- dout0_to_sram\[18\] ( custom_sram q[18] ) ( chip_controller dout0_to_sram[18] ) + USE SIGNAL
+ ROUTED met2 ( 309350 1899580 ) ( 310270 * 0 )
NEW met2 ( 309350 1899580 ) ( * 3306670 )
NEW met2 ( 1507650 3299700 0 ) ( * 3306670 )
NEW met1 ( 309350 3306670 ) ( 1507650 * )
NEW met1 ( 309350 3306670 ) M1M2_PR
NEW met1 ( 1507650 3306670 ) M1M2_PR ;
- dout0_to_sram\[19\] ( custom_sram q[19] ) ( chip_controller dout0_to_sram[19] ) + USE SIGNAL
+ ROUTED met2 ( 683330 2884390 ) ( * 2887620 )
NEW met3 ( 683330 2887620 ) ( 700580 * 0 )
NEW met2 ( 316710 1899580 0 ) ( 317170 * )
NEW met2 ( 317170 1899580 ) ( * 2884390 )
NEW met1 ( 317170 2884390 ) ( 683330 * )
NEW met1 ( 317170 2884390 ) M1M2_PR
NEW met1 ( 683330 2884390 ) M1M2_PR
NEW met2 ( 683330 2887620 ) M2M3_PR_M ;
- dout0_to_sram\[1\] ( custom_sram q[1] ) ( chip_controller dout0_to_sram[1] ) + USE SIGNAL
+ ROUTED met2 ( 208610 1899580 0 ) ( * 1917090 )
NEW met3 ( 1899340 1839740 0 ) ( 1916590 * )
NEW met2 ( 1916590 1807610 ) ( * 1839740 )
NEW met2 ( 614330 1824780 ) ( 614790 * )
NEW met2 ( 614790 1815090 ) ( * 1824780 )
NEW li1 ( 614790 1807610 ) ( * 1815090 )
NEW met1 ( 614790 1807610 ) ( 1916590 * )
NEW met2 ( 614790 1902130 ) ( * 1917090 )
NEW met2 ( 614330 1902130 ) ( 614790 * )
NEW met1 ( 208610 1917090 ) ( 614790 * )
NEW met2 ( 614330 1824780 ) ( * 1902130 )
NEW met1 ( 208610 1917090 ) M1M2_PR
NEW met2 ( 1916590 1839740 ) M2M3_PR_M
NEW met1 ( 1916590 1807610 ) M1M2_PR
NEW li1 ( 614790 1815090 ) L1M1_PR_MR
NEW met1 ( 614790 1815090 ) M1M2_PR
NEW li1 ( 614790 1807610 ) L1M1_PR_MR
NEW met1 ( 614790 1917090 ) M1M2_PR
NEW met1 ( 614790 1815090 ) RECT ( -355 -70 0 70 ) ;
- dout0_to_sram\[20\] ( custom_sram q[20] ) ( chip_controller dout0_to_sram[20] ) + USE SIGNAL
+ ROUTED met3 ( 194350 1637780 ) ( 201020 * 0 )
NEW met1 ( 194350 3293410 ) ( 1580100 * )
NEW met1 ( 1580100 3293410 ) ( * 3296470 )
NEW met1 ( 1580100 3296470 ) ( 1598270 * )
NEW met2 ( 1598270 3296300 ) ( * 3296470 )
NEW met2 ( 1598270 3296300 ) ( 1600110 * 0 )
NEW met2 ( 194350 1637780 ) ( * 3293410 )
NEW met2 ( 194350 1637780 ) M2M3_PR_M
NEW met1 ( 194350 3293410 ) M1M2_PR
NEW met1 ( 1598270 3296470 ) M1M2_PR ;
- dout0_to_sram\[21\] ( custom_sram q[21] ) ( chip_controller dout0_to_sram[21] ) + USE SIGNAL
+ ROUTED met2 ( 1646110 3299700 0 ) ( * 3306330 )
NEW met1 ( 337870 3306330 ) ( 1646110 * )
NEW met2 ( 334650 1899580 0 ) ( * 1911310 )
NEW met1 ( 334650 1911310 ) ( 337870 * )
NEW met2 ( 337870 1911310 ) ( * 3306330 )
NEW met1 ( 1646110 3306330 ) M1M2_PR
NEW met1 ( 337870 3306330 ) M1M2_PR
NEW met1 ( 334650 1911310 ) M1M2_PR
NEW met1 ( 337870 1911310 ) M1M2_PR ;
- dout0_to_sram\[22\] ( custom_sram q[22] ) ( chip_controller dout0_to_sram[22] ) + USE SIGNAL
+ ROUTED met2 ( 508070 1915730 ) ( * 1932390 )
NEW met2 ( 339250 1899580 0 ) ( * 1915730 )
NEW met1 ( 339250 1915730 ) ( 508070 * )
NEW met3 ( 1899340 2865860 0 ) ( 1913370 * )
NEW met2 ( 1913370 1807270 ) ( * 2865860 )
NEW met1 ( 691150 1807270 ) ( 1913370 * )
NEW met1 ( 508070 1932390 ) ( 691150 * )
NEW met2 ( 691150 1807270 ) ( * 1932390 )
NEW met1 ( 508070 1932390 ) M1M2_PR
NEW met1 ( 508070 1915730 ) M1M2_PR
NEW met1 ( 339250 1915730 ) M1M2_PR
NEW met1 ( 1913370 1807270 ) M1M2_PR
NEW met2 ( 1913370 2865860 ) M2M3_PR_M
NEW met1 ( 691150 1807270 ) M1M2_PR
NEW met1 ( 691150 1932390 ) M1M2_PR ;
- dout0_to_sram\[23\] ( custom_sram q[23] ) ( chip_controller dout0_to_sram[23] ) + USE SIGNAL
+ ROUTED met3 ( 194810 1646620 ) ( 201020 * 0 )
NEW met2 ( 1691190 3298340 ) ( * 3298510 )
NEW met2 ( 1691190 3298340 ) ( 1692570 * 0 )
NEW met1 ( 194810 3298510 ) ( 1691190 * )
NEW met2 ( 194810 1646620 ) ( * 3298510 )
NEW met2 ( 194810 1646620 ) M2M3_PR_M
NEW met1 ( 194810 3298510 ) M1M2_PR
NEW met1 ( 1691190 3298510 ) M1M2_PR ;
- dout0_to_sram\[24\] ( custom_sram q[24] ) ( chip_controller dout0_to_sram[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1651380 0 ) ( 608810 * )
NEW met2 ( 608810 1651380 ) ( * 1655970 )
NEW met1 ( 675970 3036030 ) ( 688390 * )
NEW met2 ( 688390 3036030 ) ( * 3037220 )
NEW met3 ( 688390 3037220 ) ( 700580 * 0 )
NEW met2 ( 675970 1655970 ) ( * 3036030 )
NEW met1 ( 608810 1655970 ) ( 675970 * )
NEW met2 ( 608810 1651380 ) M2M3_PR_M
NEW met1 ( 608810 1655970 ) M1M2_PR
NEW met1 ( 675970 1655970 ) M1M2_PR
NEW met1 ( 675970 3036030 ) M1M2_PR
NEW met1 ( 688390 3036030 ) M1M2_PR
NEW met2 ( 688390 3037220 ) M2M3_PR_M ;
- dout0_to_sram\[25\] ( custom_sram q[25] ) ( chip_controller dout0_to_sram[25] ) + USE SIGNAL
+ ROUTED met3 ( 186300 1650020 ) ( 201020 * 0 )
NEW met3 ( 1899340 3023620 0 ) ( 1912910 * )
NEW met2 ( 1912910 1806930 ) ( * 3023620 )
NEW met4 ( 186300 1650020 ) ( * 1939020 )
NEW met1 ( 697130 1828010 ) ( 698050 * )
NEW met2 ( 697130 1806930 ) ( * 1828010 )
NEW met1 ( 697130 1806930 ) ( 1912910 * )
NEW met3 ( 186300 1939020 ) ( 698050 * )
NEW met2 ( 698050 1828010 ) ( * 1939020 )
NEW met3 ( 186300 1650020 ) M3M4_PR_M
NEW met3 ( 186300 1939020 ) M3M4_PR_M
NEW met2 ( 1912910 3023620 ) M2M3_PR_M
NEW met1 ( 1912910 1806930 ) M1M2_PR
NEW met1 ( 698050 1828010 ) M1M2_PR
NEW met1 ( 697130 1828010 ) M1M2_PR
NEW met1 ( 697130 1806930 ) M1M2_PR
NEW met2 ( 698050 1939020 ) M2M3_PR_M ;
- dout0_to_sram\[26\] ( custom_sram q[26] ) ( chip_controller dout0_to_sram[26] ) + USE SIGNAL
+ ROUTED met2 ( 384330 1499570 ) ( * 1500420 0 )
NEW met2 ( 1784570 3299700 0 ) ( * 3307350 )
NEW met1 ( 703110 3307350 ) ( 1784570 * )
NEW met1 ( 384330 1499570 ) ( 703110 * )
NEW met2 ( 703110 1499570 ) ( * 3307350 )
NEW met1 ( 703110 3307350 ) M1M2_PR
NEW met1 ( 384330 1499570 ) M1M2_PR
NEW met1 ( 703110 1499570 ) M1M2_PR
NEW met1 ( 1784570 3307350 ) M1M2_PR ;
- dout0_to_sram\[27\] ( custom_sram q[27] ) ( chip_controller dout0_to_sram[27] ) + USE SIGNAL
+ ROUTED met3 ( 689770 3187500 ) ( 700580 * 0 )
NEW met2 ( 385710 1490730 ) ( * 1500420 )
NEW met2 ( 385710 1500420 ) ( 386170 * 0 )
NEW met2 ( 689770 1490730 ) ( * 3187500 )
NEW met1 ( 385710 1490730 ) ( 689770 * )
NEW met2 ( 689770 3187500 ) M2M3_PR_M
NEW met1 ( 385710 1490730 ) M1M2_PR
NEW met1 ( 689770 1490730 ) M1M2_PR ;
- dout0_to_sram\[28\] ( custom_sram q[28] ) ( chip_controller dout0_to_sram[28] ) + USE SIGNAL
+ ROUTED met2 ( 392610 1491750 ) ( * 1500420 0 )
NEW met2 ( 1769390 1791630 ) ( * 1800300 0 )
NEW met1 ( 392610 1491750 ) ( 845710 * )
NEW met2 ( 845710 1491750 ) ( * 1791630 )
NEW met1 ( 845710 1791630 ) ( 1769390 * )
NEW met1 ( 392610 1491750 ) M1M2_PR
NEW met1 ( 1769390 1791630 ) M1M2_PR
NEW met1 ( 845710 1491750 ) M1M2_PR
NEW met1 ( 845710 1791630 ) M1M2_PR ;
- dout0_to_sram\[29\] ( custom_sram q[29] ) ( chip_controller dout0_to_sram[29] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1684020 0 ) ( 608810 * )
NEW met2 ( 608810 1684020 ) ( * 1690310 )
NEW met1 ( 608810 1690310 ) ( 1894050 * )
NEW met2 ( 1894050 1690310 ) ( * 3222300 )
NEW met2 ( 1894050 3222300 ) ( 1896810 * )
NEW met2 ( 1896810 3222300 ) ( * 3257540 )
NEW met3 ( 1896810 3257540 ) ( 1897500 * )
NEW met3 ( 1897500 3257540 ) ( * 3260260 0 )
NEW met2 ( 608810 1684020 ) M2M3_PR_M
NEW met1 ( 608810 1690310 ) M1M2_PR
NEW met1 ( 1894050 1690310 ) M1M2_PR
NEW met2 ( 1896810 3257540 ) M2M3_PR_M ;
- dout0_to_sram\[2\] ( custom_sram q[2] ) ( chip_controller dout0_to_sram[2] ) + USE SIGNAL
+ ROUTED met3 ( 196650 1520140 ) ( 201020 * 0 )
NEW met2 ( 876990 1800300 ) ( 882510 * 0 )
NEW met2 ( 876990 1502630 ) ( * 1800300 )
NEW met2 ( 196650 1502630 ) ( * 1520140 )
NEW met1 ( 196650 1502630 ) ( 876990 * )
NEW met2 ( 196650 1520140 ) M2M3_PR_M
NEW met1 ( 876990 1502630 ) M1M2_PR
NEW met1 ( 196650 1502630 ) M1M2_PR ;
- dout0_to_sram\[30\] ( custom_sram q[30] ) ( chip_controller dout0_to_sram[30] ) + USE SIGNAL
+ ROUTED met2 ( 1831030 3299700 0 ) ( * 3305650 )
NEW met2 ( 376510 1899580 0 ) ( 377430 * )
NEW met2 ( 377430 1899580 ) ( * 1966500 )
NEW met2 ( 377430 1966500 ) ( 378350 * )
NEW met2 ( 378350 1966500 ) ( * 3305650 )
NEW met1 ( 378350 3305650 ) ( 1831030 * )
NEW met1 ( 378350 3305650 ) M1M2_PR
NEW met1 ( 1831030 3305650 ) M1M2_PR ;
- dout0_to_sram\[31\] ( custom_sram q[31] ) ( chip_controller dout0_to_sram[31] ) + USE SIGNAL
+ ROUTED met3 ( 195270 1684700 ) ( 201020 * 0 )
NEW met2 ( 1877030 3299700 0 ) ( * 3305310 )
NEW met1 ( 195270 3305310 ) ( 1877030 * )
NEW met2 ( 195270 1684700 ) ( * 3305310 )
NEW met1 ( 195270 3305310 ) M1M2_PR
NEW met2 ( 195270 1684700 ) M2M3_PR_M
NEW met1 ( 1877030 3305310 ) M1M2_PR ;
- dout0_to_sram\[3\] ( custom_sram q[3] ) ( chip_controller dout0_to_sram[3] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1526770 ) ( * 1530340 )
NEW met3 ( 190210 1530340 ) ( 201020 * 0 )
NEW met2 ( 1273510 1461490 ) ( * 1800130 )
NEW met1 ( 177790 1461490 ) ( 1273510 * )
NEW met3 ( 1899340 1918620 0 ) ( 1911530 * )
NEW met1 ( 177790 1526770 ) ( 190210 * )
NEW met1 ( 1273510 1800130 ) ( 1911530 * )
NEW met2 ( 1911530 1800130 ) ( * 1918620 )
NEW met2 ( 177790 1461490 ) ( * 1526770 )
NEW met1 ( 1273510 1461490 ) M1M2_PR
NEW met1 ( 190210 1526770 ) M1M2_PR
NEW met2 ( 190210 1530340 ) M2M3_PR_M
NEW met1 ( 1273510 1800130 ) M1M2_PR
NEW met1 ( 177790 1461490 ) M1M2_PR
NEW met2 ( 1911530 1918620 ) M2M3_PR_M
NEW met1 ( 177790 1526770 ) M1M2_PR
NEW met1 ( 1911530 1800130 ) M1M2_PR ;
- dout0_to_sram\[4\] ( custom_sram q[4] ) ( chip_controller dout0_to_sram[4] ) + USE SIGNAL
+ ROUTED met1 ( 245870 1476790 ) ( 632270 * )
NEW met2 ( 907810 3299700 0 ) ( * 3308710 )
NEW met1 ( 632270 3308710 ) ( 907810 * )
NEW met2 ( 245870 1476790 ) ( * 1500420 0 )
NEW met2 ( 632270 1476790 ) ( * 3308710 )
NEW met1 ( 245870 1476790 ) M1M2_PR
NEW met1 ( 632270 1476790 ) M1M2_PR
NEW met1 ( 632270 3308710 ) M1M2_PR
NEW met1 ( 907810 3308710 ) M1M2_PR ;
- dout0_to_sram\[5\] ( custom_sram q[5] ) ( chip_controller dout0_to_sram[5] ) + USE SIGNAL
+ ROUTED met3 ( 193890 1542580 ) ( 201020 * 0 )
NEW met2 ( 1090430 1800300 ) ( 1091350 * 0 )
NEW met2 ( 1090430 1494130 ) ( * 1800300 )
NEW met2 ( 193890 1494130 ) ( * 1542580 )
NEW met1 ( 193890 1494130 ) ( 1090430 * )
NEW met2 ( 193890 1542580 ) M2M3_PR_M
NEW met1 ( 1090430 1494130 ) M1M2_PR
NEW met1 ( 193890 1494130 ) M1M2_PR ;
- dout0_to_sram\[6\] ( custom_sram q[6] ) ( chip_controller dout0_to_sram[6] ) + USE SIGNAL
+ ROUTED met2 ( 313950 1481550 ) ( * 1486310 )
NEW met1 ( 313950 1481550 ) ( 1138730 * )
NEW met1 ( 276000 1486310 ) ( 313950 * )
NEW met1 ( 276000 1486310 ) ( * 1486650 )
NEW met1 ( 256910 1486650 ) ( 276000 * )
NEW met2 ( 256910 1486650 ) ( * 1500420 0 )
NEW met2 ( 1138730 1800300 ) ( 1143330 * 0 )
NEW met2 ( 1138730 1481550 ) ( * 1800300 )
NEW met1 ( 313950 1481550 ) M1M2_PR
NEW met1 ( 313950 1486310 ) M1M2_PR
NEW met1 ( 1138730 1481550 ) M1M2_PR
NEW met1 ( 256910 1486650 ) M1M2_PR ;
- dout0_to_sram\[7\] ( custom_sram q[7] ) ( chip_controller dout0_to_sram[7] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1544620 0 ) ( 608350 * )
NEW met2 ( 608350 1544620 ) ( * 1545130 )
NEW met1 ( 608350 1545130 ) ( 935410 * )
NEW met2 ( 935410 1545130 ) ( * 1788910 )
NEW met2 ( 1195310 1788910 ) ( * 1800300 0 )
NEW met1 ( 935410 1788910 ) ( 1195310 * )
NEW met2 ( 608350 1544620 ) M2M3_PR_M
NEW met1 ( 608350 1545130 ) M1M2_PR
NEW met1 ( 935410 1545130 ) M1M2_PR
NEW met1 ( 935410 1788910 ) M1M2_PR
NEW met1 ( 1195310 1788910 ) M1M2_PR ;
- dout0_to_sram\[8\] ( custom_sram q[8] ) ( chip_controller dout0_to_sram[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1554140 0 ) ( 608810 * )
NEW met2 ( 608810 1554140 ) ( * 1558730 )
NEW met2 ( 1247750 1789930 ) ( * 1800300 0 )
NEW met1 ( 608810 1558730 ) ( 852610 * )
NEW met2 ( 852610 1558730 ) ( * 1789930 )
NEW met1 ( 852610 1789930 ) ( 1247750 * )
NEW met2 ( 608810 1554140 ) M2M3_PR_M
NEW met1 ( 608810 1558730 ) M1M2_PR
NEW met1 ( 1247750 1789930 ) M1M2_PR
NEW met1 ( 852610 1558730 ) M1M2_PR
NEW met1 ( 852610 1789930 ) M1M2_PR ;
- dout0_to_sram\[9\] ( custom_sram q[9] ) ( chip_controller dout0_to_sram[9] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1566550 ) ( * 1572500 )
NEW met3 ( 187910 1572500 ) ( 201020 * 0 )
NEW met2 ( 1273970 1461150 ) ( * 1800470 )
NEW met1 ( 179170 1566550 ) ( 187910 * )
NEW met1 ( 179170 1461150 ) ( 1273970 * )
NEW met1 ( 1273970 1800470 ) ( 1898190 * )
NEW met3 ( 1898190 2389180 ) ( 1898420 * )
NEW met3 ( 1898420 2389180 ) ( * 2391900 0 )
NEW met2 ( 1898190 1800470 ) ( * 2389180 )
NEW met2 ( 179170 1461150 ) ( * 1566550 )
NEW met1 ( 187910 1566550 ) M1M2_PR
NEW met2 ( 187910 1572500 ) M2M3_PR_M
NEW met1 ( 1273970 1461150 ) M1M2_PR
NEW met1 ( 1273970 1800470 ) M1M2_PR
NEW met1 ( 179170 1461150 ) M1M2_PR
NEW met1 ( 179170 1566550 ) M1M2_PR
NEW met1 ( 1898190 1800470 ) M1M2_PR
NEW met2 ( 1898190 2389180 ) M2M3_PR_M ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) + USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) + USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) + USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) + USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) + USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) + USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) + USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) + USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) + USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) + USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) + USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) + USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) + USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) + USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) + USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) + USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) + USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) + USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) + USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) + USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) + USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) + USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) + USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) + USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) + USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) + USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) + USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) + USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) + USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) + USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) + USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) + USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) + USE SIGNAL ;
- is_loading_memory_into_core ( chip_controller is_loading_memory_into_core ) + USE SIGNAL ;
- is_mem_ready ( core0 is_mem_ready ) ( chip_controller ready ) + USE SIGNAL
+ ROUTED met2 ( 223330 1496510 ) ( * 1500420 0 )
NEW met1 ( 223330 1496510 ) ( 1298350 * )
NEW met2 ( 1298350 1496510 ) ( * 1610410 )
NEW met2 ( 1402770 1599700 0 ) ( * 1610410 )
NEW met1 ( 1298350 1610410 ) ( 1402770 * )
NEW met1 ( 223330 1496510 ) M1M2_PR
NEW met1 ( 1298350 1496510 ) M1M2_PR
NEW met1 ( 1298350 1610410 ) M1M2_PR
NEW met1 ( 1402770 1610410 ) M1M2_PR ;
- is_mem_req ( core0 is_mem_req ) ( chip_controller requested ) + USE SIGNAL
+ ROUTED met2 ( 220110 1496850 ) ( * 1500420 0 )
NEW met1 ( 220110 1496850 ) ( 1296050 * )
NEW met2 ( 1296050 1496850 ) ( * 1608370 )
NEW met2 ( 1388970 1599700 0 ) ( * 1608370 )
NEW met1 ( 1296050 1608370 ) ( 1388970 * )
NEW met1 ( 220110 1496850 ) M1M2_PR
NEW met1 ( 1296050 1496850 ) M1M2_PR
NEW met1 ( 1296050 1608370 ) M1M2_PR
NEW met1 ( 1388970 1608370 ) M1M2_PR ;
- is_ready_dataout_core0 ( core0 read_interactive_ready ) ( chip_controller is_ready_dataout_core0 ) + USE SIGNAL
+ ROUTED met2 ( 215050 1497190 ) ( * 1500420 0 )
NEW met1 ( 215050 1497190 ) ( 1295590 * )
NEW met1 ( 1295590 1608030 ) ( 1333770 * )
NEW met2 ( 1333770 1599700 0 ) ( * 1608030 )
NEW met2 ( 1295590 1497190 ) ( * 1608030 )
NEW met1 ( 215050 1497190 ) M1M2_PR
NEW met1 ( 1295590 1497190 ) M1M2_PR
NEW met1 ( 1295590 1608030 ) M1M2_PR
NEW met1 ( 1333770 1608030 ) M1M2_PR ;
- is_ready_print_core0 ( core0 is_print_done ) ( chip_controller is_ready_print_core0 ) + USE SIGNAL
+ ROUTED met2 ( 211830 1496170 ) ( * 1500420 0 )
NEW met2 ( 1281330 1496170 ) ( * 1614150 )
NEW met2 ( 1375170 1599700 0 ) ( * 1614150 )
NEW met1 ( 211830 1496170 ) ( 1281330 * )
NEW met1 ( 1281330 1614150 ) ( 1375170 * )
NEW met1 ( 211830 1496170 ) M1M2_PR
NEW met1 ( 1281330 1496170 ) M1M2_PR
NEW met1 ( 1281330 1614150 ) M1M2_PR
NEW met1 ( 1375170 1614150 ) M1M2_PR ;
- la_data_in[0] ( PIN la_data_in[0] ) ( chip_controller la_data_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 628130 82800 ) ( 629510 * )
NEW met2 ( 629510 1700 0 ) ( * 82800 )
NEW met2 ( 628130 82800 ) ( * 1491070 )
NEW met3 ( 199410 1507900 ) ( 201020 * 0 )
NEW met2 ( 199410 1507900 ) ( 199870 * )
NEW met2 ( 199870 1501950 ) ( * 1507900 )
NEW li1 ( 199870 1500250 ) ( * 1501950 )
NEW met2 ( 199870 1491070 ) ( * 1500250 )
NEW met1 ( 199870 1491070 ) ( 628130 * )
NEW met1 ( 628130 1491070 ) M1M2_PR
NEW met2 ( 199410 1507900 ) M2M3_PR_M
NEW li1 ( 199870 1501950 ) L1M1_PR_MR
NEW met1 ( 199870 1501950 ) M1M2_PR
NEW li1 ( 199870 1500250 ) L1M1_PR_MR
NEW met1 ( 199870 1500250 ) M1M2_PR
NEW met1 ( 199870 1491070 ) M1M2_PR
NEW met1 ( 199870 1501950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 199870 1500250 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[100] ( PIN la_data_in[100] ) ( chip_controller la_data_in[100] ) + USE SIGNAL
+ ROUTED met2 ( 2401430 1190 ) ( * 3060 )
NEW met2 ( 2401430 3060 ) ( 2402810 * )
NEW met2 ( 2402810 1700 0 ) ( * 3060 )
NEW met1 ( 701730 1190 ) ( 2401430 * )
NEW met2 ( 545790 1899580 0 ) ( * 1909100 )
NEW met3 ( 545790 1909100 ) ( 701730 * )
NEW met2 ( 701730 1190 ) ( * 1909100 )
NEW met1 ( 701730 1190 ) M1M2_PR
NEW met1 ( 2401430 1190 ) M1M2_PR
NEW met2 ( 545790 1909100 ) M2M3_PR_M
NEW met2 ( 701730 1909100 ) M2M3_PR_M ;
- la_data_in[101] ( PIN la_data_in[101] ) ( chip_controller la_data_in[101] ) + USE SIGNAL
+ ROUTED met2 ( 2420290 1700 0 ) ( * 17170 )
NEW met2 ( 1155750 17170 ) ( * 1770550 )
NEW met1 ( 1155750 17170 ) ( 2420290 * )
NEW met3 ( 599380 1836340 0 ) ( 608810 * )
NEW met1 ( 607430 1770550 ) ( 1155750 * )
NEW li1 ( 607430 1805910 ) ( * 1834810 )
NEW met1 ( 607430 1834810 ) ( 608810 * )
NEW met2 ( 607430 1770550 ) ( * 1805910 )
NEW met2 ( 608810 1834810 ) ( * 1836340 )
NEW met1 ( 1155750 17170 ) M1M2_PR
NEW met1 ( 1155750 1770550 ) M1M2_PR
NEW met1 ( 2420290 17170 ) M1M2_PR
NEW met2 ( 608810 1836340 ) M2M3_PR_M
NEW met1 ( 607430 1770550 ) M1M2_PR
NEW li1 ( 607430 1805910 ) L1M1_PR_MR
NEW met1 ( 607430 1805910 ) M1M2_PR
NEW li1 ( 607430 1834810 ) L1M1_PR_MR
NEW met1 ( 608810 1834810 ) M1M2_PR
NEW met1 ( 607430 1805910 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[102] ( PIN la_data_in[102] ) ( chip_controller la_data_in[102] ) + USE SIGNAL
+ ROUTED met2 ( 2438230 1700 0 ) ( * 14110 )
NEW met2 ( 2394530 6630 ) ( * 14110 )
NEW met1 ( 551770 6630 ) ( 2394530 * )
NEW met1 ( 2394530 14110 ) ( 2438230 * )
NEW met1 ( 547630 1489710 ) ( 551770 * )
NEW met2 ( 547630 1489710 ) ( * 1500420 0 )
NEW met2 ( 551770 6630 ) ( * 1489710 )
NEW met1 ( 2438230 14110 ) M1M2_PR
NEW met1 ( 551770 6630 ) M1M2_PR
NEW met1 ( 2394530 6630 ) M1M2_PR
NEW met1 ( 2394530 14110 ) M1M2_PR
NEW met1 ( 551770 1489710 ) M1M2_PR
NEW met1 ( 547630 1489710 ) M1M2_PR ;
- la_data_in[103] ( PIN la_data_in[103] ) ( chip_controller la_data_in[103] ) + USE SIGNAL
+ ROUTED li1 ( 2450650 102850 ) ( * 105910 )
NEW met1 ( 549010 1490390 ) ( 550850 * )
NEW met2 ( 549010 1490390 ) ( * 1500420 0 )
NEW met2 ( 550850 105910 ) ( * 1490390 )
NEW met2 ( 2450650 82800 ) ( * 102850 )
NEW met2 ( 2450650 82800 ) ( 2455710 * )
NEW met2 ( 2455710 1700 0 ) ( * 82800 )
NEW met1 ( 550850 105910 ) ( 2450650 * )
NEW met1 ( 550850 105910 ) M1M2_PR
NEW li1 ( 2450650 105910 ) L1M1_PR_MR
NEW li1 ( 2450650 102850 ) L1M1_PR_MR
NEW met1 ( 2450650 102850 ) M1M2_PR
NEW met1 ( 550850 1490390 ) M1M2_PR
NEW met1 ( 549010 1490390 ) M1M2_PR
NEW met1 ( 2450650 102850 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[104] ( PIN la_data_in[104] ) ( chip_controller la_data_in[104] ) + USE SIGNAL
+ ROUTED met2 ( 589950 1901620 ) ( * 1902980 )
NEW met1 ( 684710 1902130 ) ( * 1902470 )
NEW met4 ( 2470660 98940 ) ( * 106420 )
NEW met3 ( 2470660 98940 ) ( 2470890 * )
NEW met2 ( 550850 1899580 0 ) ( * 1902980 )
NEW met3 ( 550850 1902980 ) ( 589950 * )
NEW met2 ( 2470890 82800 ) ( * 98940 )
NEW met2 ( 2470890 82800 ) ( 2473650 * )
NEW met2 ( 2473650 1700 0 ) ( * 82800 )
NEW met2 ( 621230 1901620 ) ( * 1902130 )
NEW met3 ( 589950 1901620 ) ( 621230 * )
NEW met1 ( 621230 1902130 ) ( 684710 * )
NEW met2 ( 702650 1902300 ) ( * 1902470 )
NEW met3 ( 702650 1902300 ) ( 703340 * )
NEW met4 ( 703340 1902300 ) ( 704260 * )
NEW met1 ( 684710 1902470 ) ( 702650 * )
NEW met3 ( 707940 106420 ) ( 2470660 * )
NEW met4 ( 707940 106420 ) ( * 1849200 )
NEW met4 ( 704260 1849200 ) ( 707940 * )
NEW met4 ( 704260 1849200 ) ( * 1902300 )
NEW met3 ( 707940 106420 ) M3M4_PR_M
NEW met2 ( 589950 1902980 ) M2M3_PR_M
NEW met2 ( 589950 1901620 ) M2M3_PR_M
NEW met3 ( 2470660 106420 ) M3M4_PR_M
NEW met3 ( 2470660 98940 ) M3M4_PR_M
NEW met2 ( 2470890 98940 ) M2M3_PR_M
NEW met2 ( 550850 1902980 ) M2M3_PR_M
NEW met2 ( 621230 1901620 ) M2M3_PR_M
NEW met1 ( 621230 1902130 ) M1M2_PR
NEW met1 ( 702650 1902470 ) M1M2_PR
NEW met2 ( 702650 1902300 ) M2M3_PR_M
NEW met3 ( 703340 1902300 ) M3M4_PR_M
NEW met3 ( 2470660 98940 ) RECT ( -390 -150 0 150 ) ;
- la_data_in[105] ( PIN la_data_in[105] ) ( chip_controller la_data_in[105] ) + USE SIGNAL
+ ROUTED met2 ( 2252850 19210 ) ( * 101150 )
NEW met2 ( 2491130 1700 0 ) ( * 19210 )
NEW met1 ( 2252850 19210 ) ( 2491130 * )
NEW met2 ( 557290 101150 ) ( * 1483500 )
NEW met2 ( 556830 1483500 ) ( 557290 * )
NEW met2 ( 556830 1483500 ) ( * 1500420 )
NEW met2 ( 555450 1500420 0 ) ( 556830 * )
NEW met1 ( 557290 101150 ) ( 2252850 * )
NEW met1 ( 2252850 19210 ) M1M2_PR
NEW met1 ( 2252850 101150 ) M1M2_PR
NEW met1 ( 557290 101150 ) M1M2_PR
NEW met1 ( 2491130 19210 ) M1M2_PR ;
- la_data_in[106] ( PIN la_data_in[106] ) ( chip_controller la_data_in[106] ) + USE SIGNAL
+ ROUTED met2 ( 2508150 510 ) ( * 3060 )
NEW met2 ( 2508150 3060 ) ( 2509070 * )
NEW met2 ( 2509070 1700 0 ) ( * 3060 )
NEW met2 ( 116610 510 ) ( * 1849430 )
NEW met1 ( 116610 510 ) ( 2508150 * )
NEW met2 ( 189290 1849430 ) ( * 1853340 )
NEW met3 ( 189290 1853340 ) ( 201020 * 0 )
NEW met1 ( 116610 1849430 ) ( 189290 * )
NEW met1 ( 116610 510 ) M1M2_PR
NEW met1 ( 116610 1849430 ) M1M2_PR
NEW met1 ( 2508150 510 ) M1M2_PR
NEW met1 ( 189290 1849430 ) M1M2_PR
NEW met2 ( 189290 1853340 ) M2M3_PR_M ;
- la_data_in[107] ( PIN la_data_in[107] ) ( chip_controller la_data_in[107] ) + USE SIGNAL
+ ROUTED met2 ( 2527010 1700 0 ) ( * 66810 )
NEW met1 ( 634110 66810 ) ( 2527010 * )
NEW met3 ( 599380 1856740 0 ) ( 613410 * )
NEW met2 ( 613410 1856570 ) ( * 1856740 )
NEW met1 ( 613410 1856570 ) ( 634110 * )
NEW met2 ( 634110 66810 ) ( * 1856570 )
NEW met1 ( 2527010 66810 ) M1M2_PR
NEW met1 ( 634110 66810 ) M1M2_PR
NEW met2 ( 613410 1856740 ) M2M3_PR_M
NEW met1 ( 613410 1856570 ) M1M2_PR
NEW met1 ( 634110 1856570 ) M1M2_PR ;
- la_data_in[108] ( PIN la_data_in[108] ) ( chip_controller la_data_in[108] ) + USE SIGNAL
+ ROUTED met2 ( 2539430 82800 ) ( * 99790 )
NEW met2 ( 2539430 82800 ) ( 2544490 * )
NEW met2 ( 2544490 1700 0 ) ( * 82800 )
NEW met2 ( 564190 99790 ) ( * 1483500 )
NEW met2 ( 563270 1483500 ) ( 564190 * )
NEW met2 ( 563270 1483500 ) ( * 1500420 )
NEW met2 ( 561890 1500420 0 ) ( 563270 * )
NEW met1 ( 564190 99790 ) ( 2539430 * )
NEW met1 ( 2539430 99790 ) M1M2_PR
NEW met1 ( 564190 99790 ) M1M2_PR ;
- la_data_in[109] ( PIN la_data_in[109] ) ( chip_controller la_data_in[109] ) + USE SIGNAL
+ ROUTED met4 ( 685860 123420 ) ( * 1926100 )
NEW met3 ( 685860 123420 ) ( 1301340 * )
NEW met2 ( 2562430 1700 0 ) ( * 11220 )
NEW met3 ( 1301340 11220 ) ( 2562430 * )
NEW met2 ( 558670 1899580 0 ) ( * 1926100 )
NEW met3 ( 558670 1926100 ) ( 685860 * )
NEW met4 ( 1301340 11220 ) ( * 123420 )
NEW met3 ( 685860 123420 ) M3M4_PR_M
NEW met3 ( 685860 1926100 ) M3M4_PR_M
NEW met2 ( 558670 1926100 ) M2M3_PR_M
NEW met3 ( 1301340 11220 ) M3M4_PR_M
NEW met3 ( 1301340 123420 ) M3M4_PR_M
NEW met2 ( 2562430 11220 ) M2M3_PR_M ;
- la_data_in[10] ( PIN la_data_in[10] ) ( chip_controller la_data_in[10] ) + USE SIGNAL
+ ROUTED met3 ( 185150 1583380 ) ( 201020 * 0 )
NEW met2 ( 801090 82800 ) ( 806610 * )
NEW met2 ( 806610 1700 0 ) ( * 82800 )
NEW met2 ( 801090 82800 ) ( * 1478150 )
NEW met2 ( 185150 1478150 ) ( * 1583380 )
NEW met1 ( 185150 1478150 ) ( 801090 * )
NEW met1 ( 801090 1478150 ) M1M2_PR
NEW met2 ( 185150 1583380 ) M2M3_PR_M
NEW met1 ( 185150 1478150 ) M1M2_PR ;
- la_data_in[110] ( PIN la_data_in[110] ) ( chip_controller la_data_in[110] ) + USE SIGNAL
+ ROUTED met2 ( 117070 170 ) ( * 1856230 )
NEW met2 ( 2578990 170 ) ( * 3060 )
NEW met2 ( 2578990 3060 ) ( 2579910 * )
NEW met2 ( 2579910 1700 0 ) ( * 3060 )
NEW met1 ( 117070 170 ) ( 2578990 * )
NEW met2 ( 189750 1856230 ) ( * 1860140 )
NEW met3 ( 189750 1860140 ) ( 201020 * 0 )
NEW met1 ( 117070 1856230 ) ( 189750 * )
NEW met1 ( 117070 170 ) M1M2_PR
NEW met1 ( 117070 1856230 ) M1M2_PR
NEW met1 ( 2578990 170 ) M1M2_PR
NEW met1 ( 189750 1856230 ) M1M2_PR
NEW met2 ( 189750 1860140 ) M2M3_PR_M ;
- la_data_in[111] ( PIN la_data_in[111] ) ( chip_controller la_data_in[111] ) + USE SIGNAL
+ ROUTED met2 ( 2597850 1700 0 ) ( * 20060 )
NEW met3 ( 1300420 20060 ) ( 2597850 * )
NEW met4 ( 1300420 20060 ) ( * 1796900 )
NEW met3 ( 608580 1796900 ) ( 1300420 * )
NEW met3 ( 599380 1862180 0 ) ( 608580 * )
NEW met4 ( 608580 1796900 ) ( * 1862180 )
NEW met2 ( 2597850 20060 ) M2M3_PR_M
NEW met3 ( 1300420 20060 ) M3M4_PR_M
NEW met3 ( 1300420 1796900 ) M3M4_PR_M
NEW met3 ( 608580 1796900 ) M3M4_PR_M
NEW met3 ( 608580 1862180 ) M3M4_PR_M ;
- la_data_in[112] ( PIN la_data_in[112] ) ( chip_controller la_data_in[112] ) + USE SIGNAL
+ ROUTED met2 ( 2615330 1700 0 ) ( * 65450 )
NEW met1 ( 173650 1863030 ) ( 189750 * )
NEW met2 ( 189750 1863030 ) ( * 1863540 )
NEW met3 ( 189750 1863540 ) ( 201020 * 0 )
NEW met2 ( 173650 65450 ) ( * 1863030 )
NEW met1 ( 173650 65450 ) ( 2615330 * )
NEW met1 ( 2615330 65450 ) M1M2_PR
NEW met1 ( 173650 65450 ) M1M2_PR
NEW met1 ( 173650 1863030 ) M1M2_PR
NEW met1 ( 189750 1863030 ) M1M2_PR
NEW met2 ( 189750 1863540 ) M2M3_PR_M ;
- la_data_in[113] ( PIN la_data_in[113] ) ( chip_controller la_data_in[113] ) + USE SIGNAL
+ ROUTED met2 ( 665390 80410 ) ( * 1863370 )
NEW met2 ( 2633270 1700 0 ) ( * 80410 )
NEW met1 ( 665390 80410 ) ( 2633270 * )
NEW met3 ( 599380 1865580 0 ) ( 612490 * )
NEW met2 ( 612490 1863370 ) ( * 1865580 )
NEW met1 ( 612490 1863370 ) ( 665390 * )
NEW met1 ( 665390 1863370 ) M1M2_PR
NEW met1 ( 665390 80410 ) M1M2_PR
NEW met1 ( 2633270 80410 ) M1M2_PR
NEW met2 ( 612490 1865580 ) M2M3_PR_M
NEW met1 ( 612490 1863370 ) M1M2_PR ;
- la_data_in[114] ( PIN la_data_in[114] ) ( chip_controller la_data_in[114] ) + USE SIGNAL
+ ROUTED met1 ( 581210 1484950 ) ( 585810 * )
NEW met2 ( 581210 1484950 ) ( * 1500420 0 )
NEW met2 ( 585810 24650 ) ( * 1484950 )
NEW met2 ( 2650750 1700 0 ) ( * 24650 )
NEW met1 ( 585810 24650 ) ( 2650750 * )
NEW met1 ( 585810 24650 ) M1M2_PR
NEW met1 ( 585810 1484950 ) M1M2_PR
NEW met1 ( 581210 1484950 ) M1M2_PR
NEW met1 ( 2650750 24650 ) M1M2_PR ;
- la_data_in[115] ( PIN la_data_in[115] ) ( chip_controller la_data_in[115] ) + USE SIGNAL
+ ROUTED met2 ( 675510 80070 ) ( * 1870170 )
NEW met2 ( 2668690 1700 0 ) ( * 80070 )
NEW met1 ( 675510 80070 ) ( 2668690 * )
NEW met3 ( 599380 1871020 0 ) ( 612490 * )
NEW met2 ( 612490 1870170 ) ( * 1871020 )
NEW met1 ( 612490 1870170 ) ( 675510 * )
NEW met1 ( 675510 80070 ) M1M2_PR
NEW met1 ( 675510 1870170 ) M1M2_PR
NEW met1 ( 2668690 80070 ) M1M2_PR
NEW met2 ( 612490 1871020 ) M2M3_PR_M
NEW met1 ( 612490 1870170 ) M1M2_PR ;
- la_data_in[116] ( PIN la_data_in[116] ) ( chip_controller la_data_in[116] ) + USE SIGNAL
+ ROUTED met1 ( 583050 1490050 ) ( 586270 * )
NEW met2 ( 583050 1490050 ) ( * 1500420 0 )
NEW met2 ( 586270 24310 ) ( * 1490050 )
NEW met2 ( 2686170 1700 0 ) ( * 24310 )
NEW met1 ( 586270 24310 ) ( 2686170 * )
NEW met1 ( 586270 24310 ) M1M2_PR
NEW met1 ( 586270 1490050 ) M1M2_PR
NEW met1 ( 583050 1490050 ) M1M2_PR
NEW met1 ( 2686170 24310 ) M1M2_PR ;
- la_data_in[117] ( PIN la_data_in[117] ) ( chip_controller la_data_in[117] ) + USE SIGNAL
+ ROUTED met2 ( 2704110 1700 0 ) ( * 19380 )
NEW met2 ( 570170 1899580 0 ) ( * 1905700 )
NEW met4 ( 672060 122740 ) ( * 1905700 )
NEW met3 ( 672060 122740 ) ( 1302260 * )
NEW met3 ( 1302260 19380 ) ( 2704110 * )
NEW met3 ( 570170 1905700 ) ( 672060 * )
NEW met4 ( 1302260 19380 ) ( * 122740 )
NEW met3 ( 672060 122740 ) M3M4_PR_M
NEW met2 ( 2704110 19380 ) M2M3_PR_M
NEW met2 ( 570170 1905700 ) M2M3_PR_M
NEW met3 ( 672060 1905700 ) M3M4_PR_M
NEW met3 ( 1302260 19380 ) M3M4_PR_M
NEW met3 ( 1302260 122740 ) M3M4_PR_M ;
- la_data_in[118] ( PIN la_data_in[118] ) ( chip_controller la_data_in[118] ) + USE SIGNAL
+ ROUTED met2 ( 2719290 82800 ) ( * 99620 )
NEW met2 ( 2719290 82800 ) ( 2722050 * )
NEW met2 ( 2722050 1700 0 ) ( * 82800 )
NEW met3 ( 184460 1875780 ) ( 201020 * 0 )
NEW met4 ( 184460 99620 ) ( * 1875780 )
NEW met3 ( 184460 99620 ) ( 2719290 * )
NEW met3 ( 184460 99620 ) M3M4_PR_M
NEW met2 ( 2719290 99620 ) M2M3_PR_M
NEW met3 ( 184460 1875780 ) M3M4_PR_M ;
- la_data_in[119] ( PIN la_data_in[119] ) ( chip_controller la_data_in[119] ) + USE SIGNAL
+ ROUTED met2 ( 2739530 1700 0 ) ( * 72420 )
NEW met3 ( 178940 1879860 ) ( 201020 * 0 )
NEW met4 ( 178940 72420 ) ( * 1879860 )
NEW met3 ( 178940 72420 ) ( 2739530 * )
NEW met3 ( 178940 72420 ) M3M4_PR_M
NEW met2 ( 2739530 72420 ) M2M3_PR_M
NEW met3 ( 178940 1879860 ) M3M4_PR_M ;
- la_data_in[11] ( PIN la_data_in[11] ) ( chip_controller la_data_in[11] ) + USE SIGNAL
+ ROUTED met1 ( 290950 1489370 ) ( 296470 * )
NEW met2 ( 290950 1489370 ) ( * 1500420 0 )
NEW met2 ( 296470 23290 ) ( * 1489370 )
NEW met2 ( 824550 1700 0 ) ( * 23290 )
NEW met1 ( 296470 23290 ) ( 824550 * )
NEW met1 ( 296470 23290 ) M1M2_PR
NEW met1 ( 296470 1489370 ) M1M2_PR
NEW met1 ( 290950 1489370 ) M1M2_PR
NEW met1 ( 824550 23290 ) M1M2_PR ;
- la_data_in[120] ( PIN la_data_in[120] ) ( chip_controller la_data_in[120] ) + USE SIGNAL
+ ROUTED met2 ( 2757470 1700 0 ) ( * 79220 )
NEW met3 ( 178020 1883260 ) ( 201020 * 0 )
NEW met4 ( 178020 79220 ) ( * 1883260 )
NEW met3 ( 178020 79220 ) ( 2757470 * )
NEW met3 ( 178020 79220 ) M3M4_PR_M
NEW met2 ( 2757470 79220 ) M2M3_PR_M
NEW met3 ( 178020 1883260 ) M3M4_PR_M ;
- la_data_in[121] ( PIN la_data_in[121] ) ( chip_controller la_data_in[121] ) + USE SIGNAL
+ ROUTED met2 ( 577990 1899580 0 ) ( * 1904340 )
NEW met2 ( 2796570 82800 ) ( 2797030 * )
NEW met2 ( 2797030 20570 ) ( * 82800 )
NEW met2 ( 2774950 1700 0 ) ( * 20570 )
NEW met1 ( 2774950 20570 ) ( 2797030 * )
NEW met3 ( 700580 1625540 ) ( 2796570 * )
NEW met2 ( 2796570 82800 ) ( * 1625540 )
NEW met2 ( 617550 1900940 ) ( * 1904340 )
NEW met3 ( 577990 1904340 ) ( 617550 * )
NEW met3 ( 617550 1900940 ) ( 700580 * )
NEW met4 ( 700580 1625540 ) ( * 1900940 )
NEW met1 ( 2797030 20570 ) M1M2_PR
NEW met2 ( 577990 1904340 ) M2M3_PR_M
NEW met3 ( 700580 1625540 ) M3M4_PR_M
NEW met2 ( 2796570 1625540 ) M2M3_PR_M
NEW met1 ( 2774950 20570 ) M1M2_PR
NEW met2 ( 617550 1904340 ) M2M3_PR_M
NEW met2 ( 617550 1900940 ) M2M3_PR_M
NEW met3 ( 700580 1900940 ) M3M4_PR_M ;
- la_data_in[122] ( PIN la_data_in[122] ) ( chip_controller la_data_in[122] ) + USE SIGNAL
+ ROUTED met2 ( 2792890 1700 0 ) ( * 16150 )
NEW met1 ( 2792890 16150 ) ( 2802550 * )
NEW li1 ( 585350 1894990 ) ( * 1900090 )
NEW met2 ( 585350 1899580 ) ( * 1900090 )
NEW met2 ( 584430 1899580 0 ) ( 585350 * )
NEW met2 ( 669530 1892100 ) ( * 1894990 )
NEW met3 ( 669530 1892100 ) ( 674820 * )
NEW met4 ( 674820 1624860 ) ( * 1892100 )
NEW met2 ( 2802090 82800 ) ( 2802550 * )
NEW met2 ( 2802550 16150 ) ( * 82800 )
NEW met3 ( 674820 1624860 ) ( 2802090 * )
NEW met2 ( 2802090 82800 ) ( * 1624860 )
NEW met1 ( 585350 1894990 ) ( 669530 * )
NEW met1 ( 2792890 16150 ) M1M2_PR
NEW met1 ( 2802550 16150 ) M1M2_PR
NEW li1 ( 585350 1894990 ) L1M1_PR_MR
NEW li1 ( 585350 1900090 ) L1M1_PR_MR
NEW met1 ( 585350 1900090 ) M1M2_PR
NEW met3 ( 674820 1624860 ) M3M4_PR_M
NEW met1 ( 669530 1894990 ) M1M2_PR
NEW met2 ( 669530 1892100 ) M2M3_PR_M
NEW met3 ( 674820 1892100 ) M3M4_PR_M
NEW met2 ( 2802090 1624860 ) M2M3_PR_M
NEW met1 ( 585350 1900090 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[123] ( PIN la_data_in[123] ) ( chip_controller la_data_in[123] ) + USE SIGNAL
+ ROUTED met2 ( 2810370 1700 0 ) ( * 16660 )
NEW met3 ( 589950 1899580 ) ( 592940 * )
NEW met2 ( 589490 1899580 0 ) ( 589950 * )
NEW met4 ( 592940 16660 ) ( * 1899580 )
NEW met3 ( 592940 16660 ) ( 2810370 * )
NEW met3 ( 592940 16660 ) M3M4_PR_M
NEW met2 ( 2810370 16660 ) M2M3_PR_M
NEW met3 ( 592940 1899580 ) M3M4_PR_M
NEW met2 ( 589950 1899580 ) M2M3_PR_M ;
- la_data_in[124] ( PIN la_data_in[124] ) ( chip_controller la_data_in[124] ) + USE SIGNAL
+ ROUTED met2 ( 2828310 1700 0 ) ( * 23970 )
NEW met1 ( 590870 1490390 ) ( 593170 * )
NEW met2 ( 590870 1490390 ) ( * 1500420 0 )
NEW met2 ( 593170 23970 ) ( * 1490390 )
NEW met1 ( 593170 23970 ) ( 2828310 * )
NEW met1 ( 593170 23970 ) M1M2_PR
NEW met1 ( 2828310 23970 ) M1M2_PR
NEW met1 ( 593170 1490390 ) M1M2_PR
NEW met1 ( 590870 1490390 ) M1M2_PR ;
- la_data_in[125] ( PIN la_data_in[125] ) ( chip_controller la_data_in[125] ) + USE SIGNAL
+ ROUTED met2 ( 2843030 82800 ) ( 2845790 * )
NEW met2 ( 2845790 1700 0 ) ( * 82800 )
NEW met2 ( 2843030 82800 ) ( * 1792820 )
NEW met3 ( 595010 1899580 ) ( 595700 * )
NEW met2 ( 594090 1899580 0 ) ( 595010 * )
NEW met3 ( 598460 1792820 ) ( 2843030 * )
NEW met4 ( 595700 1897500 ) ( * 1899580 )
NEW met4 ( 595700 1897500 ) ( 598460 * )
NEW met4 ( 598460 1792820 ) ( * 1897500 )
NEW met2 ( 2843030 1792820 ) M2M3_PR_M
NEW met3 ( 595700 1899580 ) M3M4_PR_M
NEW met2 ( 595010 1899580 ) M2M3_PR_M
NEW met3 ( 598460 1792820 ) M3M4_PR_M ;
- la_data_in[126] ( PIN la_data_in[126] ) ( chip_controller la_data_in[126] ) + USE SIGNAL
+ ROUTED met3 ( 692300 1783300 ) ( 2863730 * )
NEW met2 ( 2863730 1700 0 ) ( * 1783300 )
NEW met3 ( 599380 1898900 0 ) ( 615020 * )
NEW met3 ( 615020 1898220 ) ( * 1898900 )
NEW met3 ( 615020 1898220 ) ( 692300 * )
NEW met4 ( 692300 1783300 ) ( * 1898220 )
NEW met3 ( 692300 1783300 ) M3M4_PR_M
NEW met2 ( 2863730 1783300 ) M2M3_PR_M
NEW met3 ( 692300 1898220 ) M3M4_PR_M ;
- la_data_in[127] ( PIN la_data_in[127] ) ( chip_controller la_data_in[127] ) + USE SIGNAL
+ ROUTED met1 ( 595930 1490050 ) ( 600070 * )
NEW met2 ( 595930 1490050 ) ( * 1500420 0 )
NEW met2 ( 600070 24820 ) ( * 1490050 )
NEW met2 ( 2881670 1700 0 ) ( * 24820 )
NEW met3 ( 600070 24820 ) ( 2881670 * )
NEW met2 ( 600070 24820 ) M2M3_PR_M
NEW met1 ( 600070 1490050 ) M1M2_PR
NEW met1 ( 595930 1490050 ) M1M2_PR
NEW met2 ( 2881670 24820 ) M2M3_PR_M ;
- la_data_in[12] ( PIN la_data_in[12] ) ( chip_controller la_data_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 278070 1899580 0 ) ( * 1931710 )
NEW met2 ( 842030 1700 0 ) ( * 14450 )
NEW met1 ( 645610 14450 ) ( 842030 * )
NEW met2 ( 645610 14450 ) ( * 1931710 )
NEW met1 ( 278070 1931710 ) ( 645610 * )
NEW met1 ( 278070 1931710 ) M1M2_PR
NEW met1 ( 645610 14450 ) M1M2_PR
NEW met1 ( 645610 1931710 ) M1M2_PR
NEW met1 ( 842030 14450 ) M1M2_PR ;
- la_data_in[13] ( PIN la_data_in[13] ) ( chip_controller la_data_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 859970 1700 0 ) ( * 14110 )
NEW met2 ( 284510 1899580 0 ) ( * 1931370 )
NEW met1 ( 701270 14110 ) ( 859970 * )
NEW met2 ( 699430 1900430 ) ( * 1931370 )
NEW met1 ( 699430 1900430 ) ( 701270 * )
NEW met1 ( 284510 1931370 ) ( 699430 * )
NEW met2 ( 701270 14110 ) ( * 1900430 )
NEW met1 ( 284510 1931370 ) M1M2_PR
NEW met1 ( 701270 14110 ) M1M2_PR
NEW met1 ( 859970 14110 ) M1M2_PR
NEW met1 ( 699430 1931370 ) M1M2_PR
NEW met1 ( 699430 1900430 ) M1M2_PR
NEW met1 ( 701270 1900430 ) M1M2_PR ;
- la_data_in[14] ( PIN la_data_in[14] ) ( chip_controller la_data_in[14] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1599700 0 ) ( 608810 * )
NEW met2 ( 608810 1596130 ) ( * 1599700 )
NEW met2 ( 876530 82800 ) ( 877450 * )
NEW met2 ( 877450 1700 0 ) ( * 82800 )
NEW met2 ( 876530 82800 ) ( * 1596130 )
NEW met1 ( 608810 1596130 ) ( 876530 * )
NEW met2 ( 608810 1599700 ) M2M3_PR_M
NEW met1 ( 608810 1596130 ) M1M2_PR
NEW met1 ( 876530 1596130 ) M1M2_PR ;
- la_data_in[15] ( PIN la_data_in[15] ) ( chip_controller la_data_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 895390 1700 0 ) ( * 23630 )
NEW met2 ( 317170 23630 ) ( * 1483500 )
NEW met2 ( 316250 1483500 ) ( 317170 * )
NEW met2 ( 316250 1483500 ) ( * 1500420 )
NEW met2 ( 315330 1500420 0 ) ( 316250 * )
NEW met1 ( 317170 23630 ) ( 895390 * )
NEW met1 ( 317170 23630 ) M1M2_PR
NEW met1 ( 895390 23630 ) M1M2_PR ;
- la_data_in[16] ( PIN la_data_in[16] ) ( chip_controller la_data_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 323150 1500420 0 ) ( 324070 * )
NEW met2 ( 324070 21930 ) ( * 1500420 )
NEW met2 ( 912870 1700 0 ) ( * 21930 )
NEW met1 ( 324070 21930 ) ( 912870 * )
NEW met1 ( 324070 21930 ) M1M2_PR
NEW met1 ( 912870 21930 ) M1M2_PR ;
- la_data_in[17] ( PIN la_data_in[17] ) ( chip_controller la_data_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 930810 1700 0 ) ( * 22270 )
NEW met1 ( 337870 22270 ) ( 930810 * )
NEW met1 ( 332810 1490050 ) ( 337870 * )
NEW met2 ( 332810 1490050 ) ( * 1500420 0 )
NEW met2 ( 337870 22270 ) ( * 1490050 )
NEW met1 ( 337870 22270 ) M1M2_PR
NEW met1 ( 930810 22270 ) M1M2_PR
NEW met1 ( 337870 1490050 ) M1M2_PR
NEW met1 ( 332810 1490050 ) M1M2_PR ;
- la_data_in[18] ( PIN la_data_in[18] ) ( chip_controller la_data_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 948750 1700 0 ) ( * 22610 )
NEW met1 ( 344770 22610 ) ( 948750 * )
NEW met2 ( 344310 1500420 0 ) ( 344770 * )
NEW met2 ( 344770 22610 ) ( * 1500420 )
NEW met1 ( 344770 22610 ) M1M2_PR
NEW met1 ( 948750 22610 ) M1M2_PR ;
- la_data_in[19] ( PIN la_data_in[19] ) ( chip_controller la_data_in[19] ) + USE SIGNAL
+ ROUTED met3 ( 185610 1630300 ) ( 201020 * 0 )
NEW met2 ( 966230 1700 0 ) ( * 1478830 )
NEW met2 ( 185610 1478830 ) ( * 1630300 )
NEW met1 ( 185610 1478830 ) ( 966230 * )
NEW met2 ( 185610 1630300 ) M2M3_PR_M
NEW met1 ( 966230 1478830 ) M1M2_PR
NEW met1 ( 185610 1478830 ) M1M2_PR ;
- la_data_in[1] ( PIN la_data_in[1] ) ( chip_controller la_data_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 646990 1700 0 ) ( * 26350 )
NEW met1 ( 234370 26350 ) ( 646990 * )
NEW met2 ( 234370 26350 ) ( * 1483500 )
NEW met2 ( 233910 1483500 ) ( 234370 * )
NEW met2 ( 233910 1483500 ) ( * 1500420 )
NEW met2 ( 232990 1500420 0 ) ( 233910 * )
NEW met1 ( 234370 26350 ) M1M2_PR
NEW met1 ( 646990 26350 ) M1M2_PR ;
- la_data_in[20] ( PIN la_data_in[20] ) ( chip_controller la_data_in[20] ) + USE SIGNAL
+ ROUTED met2 ( 984170 1700 0 ) ( * 15130 )
NEW met2 ( 686550 15130 ) ( * 1931030 )
NEW met1 ( 686550 15130 ) ( 984170 * )
NEW met2 ( 324990 1899580 0 ) ( * 1931030 )
NEW met1 ( 324990 1931030 ) ( 686550 * )
NEW met1 ( 686550 15130 ) M1M2_PR
NEW met1 ( 686550 1931030 ) M1M2_PR
NEW met1 ( 984170 15130 ) M1M2_PR
NEW met1 ( 324990 1931030 ) M1M2_PR ;
- la_data_in[21] ( PIN la_data_in[21] ) ( chip_controller la_data_in[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1636420 0 ) ( 608350 * )
NEW met2 ( 608350 1636250 ) ( * 1636420 )
NEW met1 ( 608350 1636250 ) ( 1000730 * )
NEW met2 ( 1000730 82800 ) ( 1001650 * )
NEW met2 ( 1001650 1700 0 ) ( * 82800 )
NEW met2 ( 1000730 82800 ) ( * 1636250 )
NEW met2 ( 608350 1636420 ) M2M3_PR_M
NEW met1 ( 608350 1636250 ) M1M2_PR
NEW met1 ( 1000730 1636250 ) M1M2_PR ;
- la_data_in[22] ( PIN la_data_in[22] ) ( chip_controller la_data_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 1019590 1700 0 ) ( * 22950 )
NEW met1 ( 365470 22950 ) ( 1019590 * )
NEW met2 ( 365010 1500420 0 ) ( 365470 * )
NEW met2 ( 365470 22950 ) ( * 1500420 )
NEW met1 ( 365470 22950 ) M1M2_PR
NEW met1 ( 1019590 22950 ) M1M2_PR ;
- la_data_in[23] ( PIN la_data_in[23] ) ( chip_controller la_data_in[23] ) + USE SIGNAL
+ ROUTED met2 ( 706330 82800 ) ( 706790 * )
NEW met2 ( 706790 15470 ) ( * 82800 )
NEW met2 ( 1037070 1700 0 ) ( * 15470 )
NEW met1 ( 706790 15470 ) ( 1037070 * )
NEW met2 ( 347530 1899580 0 ) ( * 1926610 )
NEW met2 ( 704950 1926100 ) ( * 1926610 )
NEW met2 ( 704950 1926100 ) ( 706330 * )
NEW met1 ( 347530 1926610 ) ( 704950 * )
NEW met2 ( 706330 82800 ) ( * 1926100 )
NEW met1 ( 706790 15470 ) M1M2_PR
NEW met1 ( 347530 1926610 ) M1M2_PR
NEW met1 ( 1037070 15470 ) M1M2_PR
NEW met1 ( 704950 1926610 ) M1M2_PR ;
- la_data_in[24] ( PIN la_data_in[24] ) ( chip_controller la_data_in[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1652740 0 ) ( 608350 * )
NEW met2 ( 608350 1649170 ) ( * 1652740 )
NEW met2 ( 1055010 1700 0 ) ( * 15810 )
NEW met1 ( 1049030 15810 ) ( 1055010 * )
NEW met2 ( 1049030 15810 ) ( * 1649170 )
NEW met1 ( 608350 1649170 ) ( 1049030 * )
NEW met2 ( 608350 1652740 ) M2M3_PR_M
NEW met1 ( 608350 1649170 ) M1M2_PR
NEW met1 ( 1055010 15810 ) M1M2_PR
NEW met1 ( 1049030 15810 ) M1M2_PR
NEW met1 ( 1049030 1649170 ) M1M2_PR ;
- la_data_in[25] ( PIN la_data_in[25] ) ( chip_controller la_data_in[25] ) + USE SIGNAL
+ ROUTED met1 ( 379730 1490390 ) ( 386170 * )
NEW met2 ( 379730 1490390 ) ( * 1500420 0 )
NEW met2 ( 386170 64090 ) ( * 1490390 )
NEW met2 ( 1072490 1700 0 ) ( * 64090 )
NEW met1 ( 386170 64090 ) ( 1072490 * )
NEW met1 ( 386170 64090 ) M1M2_PR
NEW met1 ( 386170 1490390 ) M1M2_PR
NEW met1 ( 379730 1490390 ) M1M2_PR
NEW met1 ( 1072490 64090 ) M1M2_PR ;
- la_data_in[26] ( PIN la_data_in[26] ) ( chip_controller la_data_in[26] ) + USE SIGNAL
+ ROUTED met1 ( 181930 1656310 ) ( 187450 * )
NEW met2 ( 187450 1656310 ) ( * 1656820 )
NEW met3 ( 187450 1656820 ) ( 201020 * 0 )
NEW met2 ( 1090430 1700 0 ) ( * 1480870 )
NEW met2 ( 181930 1480870 ) ( * 1656310 )
NEW met1 ( 181930 1480870 ) ( 1090430 * )
NEW met1 ( 181930 1656310 ) M1M2_PR
NEW met1 ( 187450 1656310 ) M1M2_PR
NEW met2 ( 187450 1656820 ) M2M3_PR_M
NEW met1 ( 1090430 1480870 ) M1M2_PR
NEW met1 ( 181930 1480870 ) M1M2_PR ;
- la_data_in[27] ( PIN la_data_in[27] ) ( chip_controller la_data_in[27] ) + USE SIGNAL
+ ROUTED met1 ( 180550 1663110 ) ( 190670 * )
NEW met2 ( 190670 1663110 ) ( * 1665660 )
NEW met3 ( 190670 1665660 ) ( 201020 * 0 )
NEW met2 ( 1104230 82800 ) ( 1107910 * )
NEW met2 ( 1107910 1700 0 ) ( * 82800 )
NEW met2 ( 1104230 82800 ) ( * 1480530 )
NEW met2 ( 180550 1511100 ) ( * 1663110 )
NEW met3 ( 180550 1511100 ) ( 180780 * )
NEW met3 ( 180780 1509940 ) ( * 1511100 )
NEW met3 ( 180780 1509940 ) ( 183310 * )
NEW met2 ( 183310 1480530 ) ( * 1509940 )
NEW met1 ( 183310 1480530 ) ( 1104230 * )
NEW met1 ( 180550 1663110 ) M1M2_PR
NEW met1 ( 190670 1663110 ) M1M2_PR
NEW met2 ( 190670 1665660 ) M2M3_PR_M
NEW met1 ( 1104230 1480530 ) M1M2_PR
NEW met2 ( 180550 1511100 ) M2M3_PR_M
NEW met2 ( 183310 1509940 ) M2M3_PR_M
NEW met1 ( 183310 1480530 ) M1M2_PR ;
- la_data_in[28] ( PIN la_data_in[28] ) ( chip_controller la_data_in[28] ) + USE SIGNAL
+ ROUTED met3 ( 184230 1669060 ) ( 201020 * 0 )
NEW met1 ( 179630 1516230 ) ( 184230 * )
NEW met2 ( 184230 1516230 ) ( * 1669060 )
NEW met2 ( 1124930 82800 ) ( 1125850 * )
NEW met2 ( 1125850 1700 0 ) ( * 82800 )
NEW met2 ( 1124930 82800 ) ( * 1494300 )
NEW met2 ( 179630 1494300 ) ( * 1516230 )
NEW met3 ( 179630 1494300 ) ( 1124930 * )
NEW met2 ( 184230 1669060 ) M2M3_PR_M
NEW met1 ( 184230 1516230 ) M1M2_PR
NEW met1 ( 179630 1516230 ) M1M2_PR
NEW met2 ( 1124930 1494300 ) M2M3_PR_M
NEW met2 ( 179630 1494300 ) M2M3_PR_M ;
- la_data_in[29] ( PIN la_data_in[29] ) ( chip_controller la_data_in[29] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1670250 ) ( * 1674500 )
NEW met3 ( 188830 1674500 ) ( 201020 * 0 )
NEW met1 ( 174570 1670250 ) ( 188830 * )
NEW met2 ( 1138730 82800 ) ( 1143790 * )
NEW met2 ( 1143790 1700 0 ) ( * 82800 )
NEW met2 ( 1138730 82800 ) ( * 1480190 )
NEW met2 ( 174570 1480190 ) ( * 1670250 )
NEW met1 ( 174570 1480190 ) ( 1138730 * )
NEW met1 ( 188830 1670250 ) M1M2_PR
NEW met2 ( 188830 1674500 ) M2M3_PR_M
NEW met1 ( 174570 1670250 ) M1M2_PR
NEW met1 ( 1138730 1480190 ) M1M2_PR
NEW met1 ( 174570 1480190 ) M1M2_PR ;
- la_data_in[2] ( PIN la_data_in[2] ) ( chip_controller la_data_in[2] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1518780 0 ) ( 607430 * )
NEW met2 ( 607430 1518610 ) ( * 1518780 )
NEW met2 ( 662630 82800 ) ( 664930 * )
NEW met2 ( 664930 1700 0 ) ( * 82800 )
NEW met2 ( 662630 82800 ) ( * 1518610 )
NEW met1 ( 607430 1518610 ) ( 662630 * )
NEW met2 ( 607430 1518780 ) M2M3_PR_M
NEW met1 ( 607430 1518610 ) M1M2_PR
NEW met1 ( 662630 1518610 ) M1M2_PR ;
- la_data_in[30] ( PIN la_data_in[30] ) ( chip_controller la_data_in[30] ) + USE SIGNAL
+ ROUTED met2 ( 1161270 1700 0 ) ( * 19210 )
NEW met2 ( 377890 1899580 0 ) ( * 1920150 )
NEW met2 ( 683330 19210 ) ( * 1920150 )
NEW met1 ( 683330 19210 ) ( 1161270 * )
NEW met1 ( 377890 1920150 ) ( 683330 * )
NEW met1 ( 377890 1920150 ) M1M2_PR
NEW met1 ( 683330 19210 ) M1M2_PR
NEW met1 ( 683330 1920150 ) M1M2_PR
NEW met1 ( 1161270 19210 ) M1M2_PR ;
- la_data_in[31] ( PIN la_data_in[31] ) ( chip_controller la_data_in[31] ) + USE SIGNAL
+ ROUTED met2 ( 1179210 1700 0 ) ( * 19210 )
NEW met1 ( 1173230 19210 ) ( 1179210 * )
NEW met2 ( 189750 1684530 ) ( * 1686740 )
NEW met3 ( 189750 1686740 ) ( 201020 * 0 )
NEW met2 ( 1173230 19210 ) ( * 1473730 )
NEW met1 ( 176410 1684530 ) ( 189750 * )
NEW met2 ( 176410 1473730 ) ( * 1684530 )
NEW met1 ( 176410 1473730 ) ( 1173230 * )
NEW met1 ( 1179210 19210 ) M1M2_PR
NEW met1 ( 1173230 19210 ) M1M2_PR
NEW met1 ( 1173230 1473730 ) M1M2_PR
NEW met1 ( 189750 1684530 ) M1M2_PR
NEW met2 ( 189750 1686740 ) M2M3_PR_M
NEW met1 ( 176410 1684530 ) M1M2_PR
NEW met1 ( 176410 1473730 ) M1M2_PR ;
- la_data_in[32] ( PIN la_data_in[32] ) ( chip_controller la_data_in[32] ) + USE SIGNAL
+ ROUTED met2 ( 382950 1899580 0 ) ( * 1928310 )
NEW met2 ( 1196690 1700 0 ) ( * 8330 )
NEW met1 ( 658490 8330 ) ( 1196690 * )
NEW met2 ( 658490 8330 ) ( * 34500 )
NEW met2 ( 658490 34500 ) ( 658950 * )
NEW met2 ( 658950 34500 ) ( * 1928310 )
NEW met1 ( 382950 1928310 ) ( 658950 * )
NEW met1 ( 382950 1928310 ) M1M2_PR
NEW met1 ( 658490 8330 ) M1M2_PR
NEW met1 ( 658950 1928310 ) M1M2_PR
NEW met1 ( 1196690 8330 ) M1M2_PR ;
- la_data_in[33] ( PIN la_data_in[33] ) ( chip_controller la_data_in[33] ) + USE SIGNAL
+ ROUTED met2 ( 387550 1899580 0 ) ( * 1928990 )
NEW met2 ( 1214630 1700 0 ) ( * 18870 )
NEW met1 ( 652510 18870 ) ( 1214630 * )
NEW met2 ( 652510 18870 ) ( * 1928990 )
NEW met1 ( 387550 1928990 ) ( 652510 * )
NEW met1 ( 387550 1928990 ) M1M2_PR
NEW met1 ( 652510 18870 ) M1M2_PR
NEW met1 ( 652510 1928990 ) M1M2_PR
NEW met1 ( 1214630 18870 ) M1M2_PR ;
- la_data_in[34] ( PIN la_data_in[34] ) ( chip_controller la_data_in[34] ) + USE SIGNAL
+ ROUTED met2 ( 413770 1479170 ) ( * 1500420 0 )
NEW met1 ( 413770 1479170 ) ( 1228430 * )
NEW met2 ( 1228430 82800 ) ( 1232110 * )
NEW met2 ( 1232110 1700 0 ) ( * 82800 )
NEW met2 ( 1228430 82800 ) ( * 1479170 )
NEW met1 ( 413770 1479170 ) M1M2_PR
NEW met1 ( 1228430 1479170 ) M1M2_PR ;
- la_data_in[35] ( PIN la_data_in[35] ) ( chip_controller la_data_in[35] ) + USE SIGNAL
+ ROUTED met1 ( 665850 14790 ) ( 707250 * )
NEW li1 ( 707250 14790 ) ( 707710 * )
NEW li1 ( 707710 14790 ) ( * 15810 )
NEW li1 ( 707710 15810 ) ( 708630 * )
NEW li1 ( 708630 15810 ) ( * 17850 )
NEW met2 ( 1250050 1700 0 ) ( * 17850 )
NEW met2 ( 395830 1899580 0 ) ( * 1928650 )
NEW met2 ( 665850 14790 ) ( * 1928650 )
NEW met1 ( 708630 17850 ) ( 1250050 * )
NEW met1 ( 395830 1928650 ) ( 665850 * )
NEW met1 ( 395830 1928650 ) M1M2_PR
NEW met1 ( 665850 14790 ) M1M2_PR
NEW li1 ( 707250 14790 ) L1M1_PR_MR
NEW li1 ( 708630 17850 ) L1M1_PR_MR
NEW met1 ( 665850 1928650 ) M1M2_PR
NEW met1 ( 1250050 17850 ) M1M2_PR ;
- la_data_in[36] ( PIN la_data_in[36] ) ( chip_controller la_data_in[36] ) + USE SIGNAL
+ ROUTED met2 ( 1267530 1700 0 ) ( * 17340 )
NEW met2 ( 1265690 17340 ) ( 1267530 * )
NEW met1 ( 179630 1697450 ) ( 187450 * )
NEW met2 ( 187450 1697450 ) ( * 1702380 )
NEW met3 ( 187450 1702380 ) ( 201020 * 0 )
NEW met2 ( 179630 1516910 ) ( * 1697450 )
NEW met2 ( 1262930 82800 ) ( 1265690 * )
NEW met2 ( 1265690 17340 ) ( * 82800 )
NEW met2 ( 1262930 82800 ) ( * 1493620 )
NEW met1 ( 179630 1510450 ) ( 180550 * )
NEW met2 ( 180550 1493620 ) ( * 1510450 )
NEW li1 ( 179630 1510450 ) ( * 1516910 )
NEW met3 ( 180550 1493620 ) ( 1262930 * )
NEW li1 ( 179630 1516910 ) L1M1_PR_MR
NEW met1 ( 179630 1516910 ) M1M2_PR
NEW met1 ( 179630 1697450 ) M1M2_PR
NEW met1 ( 187450 1697450 ) M1M2_PR
NEW met2 ( 187450 1702380 ) M2M3_PR_M
NEW met2 ( 1262930 1493620 ) M2M3_PR_M
NEW li1 ( 179630 1510450 ) L1M1_PR_MR
NEW met1 ( 180550 1510450 ) M1M2_PR
NEW met2 ( 180550 1493620 ) M2M3_PR_M
NEW met1 ( 179630 1516910 ) RECT ( 0 -70 355 70 ) ;
- la_data_in[37] ( PIN la_data_in[37] ) ( chip_controller la_data_in[37] ) + USE SIGNAL
+ ROUTED met2 ( 1285470 1700 0 ) ( * 16150 )
NEW met2 ( 399050 1899580 0 ) ( * 1927970 )
NEW met2 ( 687010 16150 ) ( * 1927970 )
NEW met1 ( 687010 16150 ) ( 1285470 * )
NEW met1 ( 399050 1927970 ) ( 687010 * )
NEW met1 ( 399050 1927970 ) M1M2_PR
NEW met1 ( 687010 16150 ) M1M2_PR
NEW met1 ( 687010 1927970 ) M1M2_PR
NEW met1 ( 1285470 16150 ) M1M2_PR ;
- la_data_in[38] ( PIN la_data_in[38] ) ( chip_controller la_data_in[38] ) + USE SIGNAL
+ ROUTED met2 ( 402270 1899580 0 ) ( * 1929670 )
NEW met1 ( 646990 123250 ) ( 1297430 * )
NEW met2 ( 646990 123250 ) ( * 1929670 )
NEW met1 ( 1297430 56610 ) ( 1303410 * )
NEW met2 ( 1303410 1700 0 ) ( * 56610 )
NEW met1 ( 402270 1929670 ) ( 646990 * )
NEW met2 ( 1297430 56610 ) ( * 123250 )
NEW met1 ( 402270 1929670 ) M1M2_PR
NEW met1 ( 646990 123250 ) M1M2_PR
NEW met1 ( 646990 1929670 ) M1M2_PR
NEW met1 ( 1297430 123250 ) M1M2_PR
NEW met1 ( 1297430 56610 ) M1M2_PR
NEW met1 ( 1303410 56610 ) M1M2_PR ;
- la_data_in[39] ( PIN la_data_in[39] ) ( chip_controller la_data_in[39] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1704930 ) ( * 1707820 )
NEW met3 ( 189290 1707820 ) ( 201020 * 0 )
NEW met1 ( 168130 1704930 ) ( 189290 * )
NEW met2 ( 1320890 1700 0 ) ( * 69190 )
NEW met2 ( 168130 69190 ) ( * 1704930 )
NEW met1 ( 168130 69190 ) ( 1320890 * )
NEW met1 ( 189290 1704930 ) M1M2_PR
NEW met2 ( 189290 1707820 ) M2M3_PR_M
NEW met1 ( 168130 69190 ) M1M2_PR
NEW met1 ( 168130 1704930 ) M1M2_PR
NEW met1 ( 1320890 69190 ) M1M2_PR ;
- la_data_in[3] ( PIN la_data_in[3] ) ( chip_controller la_data_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1531870 ) ( * 1532380 )
NEW met3 ( 187910 1532380 ) ( 201020 * 0 )
NEW met2 ( 682410 1700 0 ) ( * 26690 )
NEW met1 ( 178250 1531870 ) ( 187910 * )
NEW met1 ( 178250 26690 ) ( 682410 * )
NEW met2 ( 178250 26690 ) ( * 1531870 )
NEW met1 ( 187910 1531870 ) M1M2_PR
NEW met2 ( 187910 1532380 ) M2M3_PR_M
NEW met1 ( 682410 26690 ) M1M2_PR
NEW met1 ( 178250 26690 ) M1M2_PR
NEW met1 ( 178250 1531870 ) M1M2_PR ;
- la_data_in[40] ( PIN la_data_in[40] ) ( chip_controller la_data_in[40] ) + USE SIGNAL
+ ROUTED met2 ( 1338830 1700 0 ) ( * 20910 )
NEW met3 ( 599380 1715300 0 ) ( 607430 * )
NEW met2 ( 607430 1712070 ) ( * 1715300 )
NEW met2 ( 983250 20910 ) ( * 1712070 )
NEW met1 ( 983250 20910 ) ( 1338830 * )
NEW met1 ( 607430 1712070 ) ( 983250 * )
NEW met1 ( 983250 20910 ) M1M2_PR
NEW met1 ( 1338830 20910 ) M1M2_PR
NEW met2 ( 607430 1715300 ) M2M3_PR_M
NEW met1 ( 607430 1712070 ) M1M2_PR
NEW met1 ( 983250 1712070 ) M1M2_PR ;
- la_data_in[41] ( PIN la_data_in[41] ) ( chip_controller la_data_in[41] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1712410 ) ( * 1716660 )
NEW met3 ( 190210 1716660 ) ( 201020 * 0 )
NEW met2 ( 1356310 1700 0 ) ( * 63750 )
NEW met1 ( 169050 1712410 ) ( 190210 * )
NEW met2 ( 169050 63750 ) ( * 1712410 )
NEW met1 ( 169050 63750 ) ( 1356310 * )
NEW met1 ( 190210 1712410 ) M1M2_PR
NEW met2 ( 190210 1716660 ) M2M3_PR_M
NEW met1 ( 1356310 63750 ) M1M2_PR
NEW met1 ( 169050 63750 ) M1M2_PR
NEW met1 ( 169050 1712410 ) M1M2_PR ;
- la_data_in[42] ( PIN la_data_in[42] ) ( chip_controller la_data_in[42] ) + USE SIGNAL
+ ROUTED met2 ( 1374250 1700 0 ) ( * 14450 )
NEW met2 ( 407330 1899580 0 ) ( * 1930010 )
NEW met1 ( 1302490 14450 ) ( 1374250 * )
NEW met1 ( 633190 121550 ) ( 1303410 * )
NEW met1 ( 407330 1930010 ) ( 634110 * )
NEW met1 ( 633190 1873230 ) ( 634110 * )
NEW met2 ( 633190 121550 ) ( * 1873230 )
NEW met2 ( 634110 1873230 ) ( * 1930010 )
NEW met2 ( 1302490 86020 ) ( 1303410 * )
NEW met2 ( 1302490 14450 ) ( * 86020 )
NEW met2 ( 1303410 86020 ) ( * 121550 )
NEW met1 ( 407330 1930010 ) M1M2_PR
NEW met1 ( 1374250 14450 ) M1M2_PR
NEW met1 ( 633190 121550 ) M1M2_PR
NEW met1 ( 1302490 14450 ) M1M2_PR
NEW met1 ( 1303410 121550 ) M1M2_PR
NEW met1 ( 634110 1930010 ) M1M2_PR
NEW met1 ( 633190 1873230 ) M1M2_PR
NEW met1 ( 634110 1873230 ) M1M2_PR ;
- la_data_in[43] ( PIN la_data_in[43] ) ( chip_controller la_data_in[43] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1718530 ) ( * 1723460 )
NEW met3 ( 189750 1723460 ) ( 201020 * 0 )
NEW met1 ( 168590 1718530 ) ( 189750 * )
NEW met2 ( 1391730 1700 0 ) ( * 77690 )
NEW met2 ( 168590 77690 ) ( * 1718530 )
NEW met1 ( 168590 77690 ) ( 1391730 * )
NEW met1 ( 189750 1718530 ) M1M2_PR
NEW met2 ( 189750 1723460 ) M2M3_PR_M
NEW met1 ( 168590 77690 ) M1M2_PR
NEW met1 ( 168590 1718530 ) M1M2_PR
NEW met1 ( 1391730 77690 ) M1M2_PR ;
- la_data_in[44] ( PIN la_data_in[44] ) ( chip_controller la_data_in[44] ) + USE SIGNAL
+ ROUTED met1 ( 672750 17850 ) ( 708170 * )
NEW li1 ( 708170 17850 ) ( * 20230 )
NEW met2 ( 415150 1899580 0 ) ( * 1929330 )
NEW met2 ( 672750 17850 ) ( * 1929330 )
NEW met2 ( 1409670 1700 0 ) ( * 20230 )
NEW met1 ( 708170 20230 ) ( 1409670 * )
NEW met1 ( 415150 1929330 ) ( 672750 * )
NEW met1 ( 415150 1929330 ) M1M2_PR
NEW met1 ( 672750 17850 ) M1M2_PR
NEW li1 ( 708170 17850 ) L1M1_PR_MR
NEW li1 ( 708170 20230 ) L1M1_PR_MR
NEW met1 ( 672750 1929330 ) M1M2_PR
NEW met1 ( 1409670 20230 ) M1M2_PR ;
- la_data_in[45] ( PIN la_data_in[45] ) ( chip_controller la_data_in[45] ) + USE SIGNAL
+ ROUTED met2 ( 1427150 1700 0 ) ( * 20230 )
NEW met1 ( 1421630 20230 ) ( 1427150 * )
NEW met1 ( 428030 1490050 ) ( 438610 * )
NEW met2 ( 428030 1490050 ) ( * 1500420 0 )
NEW met2 ( 438610 102170 ) ( * 1490050 )
NEW met2 ( 1421630 20230 ) ( * 102170 )
NEW met1 ( 438610 102170 ) ( 1421630 * )
NEW met1 ( 438610 102170 ) M1M2_PR
NEW met1 ( 1427150 20230 ) M1M2_PR
NEW met1 ( 1421630 20230 ) M1M2_PR
NEW met1 ( 1421630 102170 ) M1M2_PR
NEW met1 ( 438610 1490050 ) M1M2_PR
NEW met1 ( 428030 1490050 ) M1M2_PR ;
- la_data_in[46] ( PIN la_data_in[46] ) ( chip_controller la_data_in[46] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1725670 ) ( * 1728900 )
NEW met3 ( 189750 1728900 ) ( 201020 * 0 )
NEW met2 ( 1445090 1700 0 ) ( * 74290 )
NEW met1 ( 169510 1725670 ) ( 189750 * )
NEW met2 ( 169510 74290 ) ( * 1725670 )
NEW met1 ( 169510 74290 ) ( 1445090 * )
NEW met1 ( 189750 1725670 ) M1M2_PR
NEW met2 ( 189750 1728900 ) M2M3_PR_M
NEW met1 ( 1445090 74290 ) M1M2_PR
NEW met1 ( 169510 1725670 ) M1M2_PR
NEW met1 ( 169510 74290 ) M1M2_PR ;
- la_data_in[47] ( PIN la_data_in[47] ) ( chip_controller la_data_in[47] ) + USE SIGNAL
+ ROUTED met2 ( 1463030 1700 0 ) ( * 27370 )
NEW met2 ( 420670 27370 ) ( * 1485630 )
NEW met1 ( 420670 27370 ) ( 1463030 * )
NEW met2 ( 430330 1485630 ) ( * 1500420 )
NEW met2 ( 430330 1500420 ) ( 431250 * 0 )
NEW met1 ( 420670 1485630 ) ( 430330 * )
NEW met1 ( 420670 27370 ) M1M2_PR
NEW met1 ( 1463030 27370 ) M1M2_PR
NEW met1 ( 420670 1485630 ) M1M2_PR
NEW met1 ( 430330 1485630 ) M1M2_PR ;
- la_data_in[48] ( PIN la_data_in[48] ) ( chip_controller la_data_in[48] ) + USE SIGNAL
+ ROUTED met2 ( 1480510 1700 0 ) ( * 8330 )
NEW met2 ( 668610 120190 ) ( * 1925590 )
NEW met1 ( 668610 120190 ) ( 1301570 * )
NEW met1 ( 1301570 8330 ) ( 1480510 * )
NEW met2 ( 429870 1899580 0 ) ( * 1925590 )
NEW met1 ( 429870 1925590 ) ( 668610 * )
NEW met2 ( 1301570 8330 ) ( * 120190 )
NEW met1 ( 668610 120190 ) M1M2_PR
NEW met1 ( 668610 1925590 ) M1M2_PR
NEW met1 ( 1480510 8330 ) M1M2_PR
NEW met1 ( 429870 1925590 ) M1M2_PR
NEW met1 ( 1301570 8330 ) M1M2_PR
NEW met1 ( 1301570 120190 ) M1M2_PR ;
- la_data_in[49] ( PIN la_data_in[49] ) ( chip_controller la_data_in[49] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1732810 ) ( * 1734340 )
NEW met3 ( 188830 1734340 ) ( 201020 * 0 )
NEW met1 ( 161690 1732810 ) ( 188830 * )
NEW met2 ( 1498450 1700 0 ) ( * 73950 )
NEW met2 ( 161690 73950 ) ( * 1732810 )
NEW met1 ( 161690 73950 ) ( 1498450 * )
NEW met1 ( 188830 1732810 ) M1M2_PR
NEW met2 ( 188830 1734340 ) M2M3_PR_M
NEW met1 ( 161690 1732810 ) M1M2_PR
NEW met1 ( 161690 73950 ) M1M2_PR
NEW met1 ( 1498450 73950 ) M1M2_PR ;
- la_data_in[4] ( PIN la_data_in[4] ) ( chip_controller la_data_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 700350 1700 0 ) ( * 12580 )
NEW met2 ( 699890 12580 ) ( 700350 * )
NEW met3 ( 599380 1530340 0 ) ( 608350 * )
NEW met2 ( 608350 1525070 ) ( * 1530340 )
NEW met2 ( 697130 82800 ) ( 699890 * )
NEW met2 ( 699890 12580 ) ( * 82800 )
NEW met2 ( 697130 82800 ) ( * 1525070 )
NEW met1 ( 608350 1525070 ) ( 697130 * )
NEW met2 ( 608350 1530340 ) M2M3_PR_M
NEW met1 ( 608350 1525070 ) M1M2_PR
NEW met1 ( 697130 1525070 ) M1M2_PR ;
- la_data_in[50] ( PIN la_data_in[50] ) ( chip_controller la_data_in[50] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1730260 0 ) ( 607430 * )
NEW met2 ( 607430 1726350 ) ( * 1730260 )
NEW met1 ( 607430 1726350 ) ( 934950 * )
NEW met2 ( 934950 62730 ) ( * 1726350 )
NEW met2 ( 1515930 1700 0 ) ( * 62730 )
NEW met1 ( 934950 62730 ) ( 1515930 * )
NEW met2 ( 607430 1730260 ) M2M3_PR_M
NEW met1 ( 607430 1726350 ) M1M2_PR
NEW met1 ( 934950 1726350 ) M1M2_PR
NEW met1 ( 934950 62730 ) M1M2_PR
NEW met1 ( 1515930 62730 ) M1M2_PR ;
- la_data_in[51] ( PIN la_data_in[51] ) ( chip_controller la_data_in[51] ) + USE SIGNAL
+ ROUTED met2 ( 1533870 1700 0 ) ( * 28390 )
NEW met1 ( 695290 119850 ) ( 1302950 * )
NEW met1 ( 1302950 28390 ) ( 1533870 * )
NEW met2 ( 439530 1899580 0 ) ( * 1909610 )
NEW met1 ( 439530 1909610 ) ( 695290 * )
NEW met2 ( 695290 119850 ) ( * 1909610 )
NEW met1 ( 1300650 71910 ) ( 1302950 * )
NEW met2 ( 1300650 71910 ) ( * 90100 )
NEW met3 ( 1300650 90100 ) ( 1302950 * )
NEW met2 ( 1302950 28390 ) ( * 71910 )
NEW met2 ( 1302950 90100 ) ( * 119850 )
NEW met1 ( 695290 119850 ) M1M2_PR
NEW met1 ( 1533870 28390 ) M1M2_PR
NEW met1 ( 1302950 28390 ) M1M2_PR
NEW met1 ( 1302950 119850 ) M1M2_PR
NEW met1 ( 439530 1909610 ) M1M2_PR
NEW met1 ( 695290 1909610 ) M1M2_PR
NEW met1 ( 1302950 71910 ) M1M2_PR
NEW met1 ( 1300650 71910 ) M1M2_PR
NEW met2 ( 1300650 90100 ) M2M3_PR_M
NEW met2 ( 1302950 90100 ) M2M3_PR_M ;
- la_data_in[52] ( PIN la_data_in[52] ) ( chip_controller la_data_in[52] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1739100 ) ( * 1739950 )
NEW met3 ( 190210 1739100 ) ( 201020 * 0 )
NEW met2 ( 1551350 1700 0 ) ( * 78710 )
NEW met1 ( 177330 1739950 ) ( 190210 * )
NEW met2 ( 177330 78710 ) ( * 1739950 )
NEW met1 ( 177330 78710 ) ( 1551350 * )
NEW met1 ( 190210 1739950 ) M1M2_PR
NEW met2 ( 190210 1739100 ) M2M3_PR_M
NEW met1 ( 1551350 78710 ) M1M2_PR
NEW met1 ( 177330 1739950 ) M1M2_PR
NEW met1 ( 177330 78710 ) M1M2_PR ;
- la_data_in[53] ( PIN la_data_in[53] ) ( chip_controller la_data_in[53] ) + USE SIGNAL
+ ROUTED met2 ( 1569290 1700 0 ) ( * 3910 )
NEW met1 ( 645150 3910 ) ( 1569290 * )
NEW met2 ( 440910 1899580 0 ) ( 441370 * )
NEW met2 ( 441370 1899580 ) ( * 1930350 )
NEW met2 ( 645150 3910 ) ( * 1930350 )
NEW met1 ( 441370 1930350 ) ( 645150 * )
NEW met1 ( 1569290 3910 ) M1M2_PR
NEW met1 ( 441370 1930350 ) M1M2_PR
NEW met1 ( 645150 3910 ) M1M2_PR
NEW met1 ( 645150 1930350 ) M1M2_PR ;
- la_data_in[54] ( PIN la_data_in[54] ) ( chip_controller la_data_in[54] ) + USE SIGNAL
+ ROUTED met2 ( 1586770 1700 0 ) ( * 29410 )
NEW met1 ( 448270 29410 ) ( 1586770 * )
NEW met1 ( 444130 1489370 ) ( 448270 * )
NEW met2 ( 444130 1489370 ) ( * 1500420 0 )
NEW met2 ( 448270 29410 ) ( * 1489370 )
NEW met1 ( 448270 29410 ) M1M2_PR
NEW met1 ( 1586770 29410 ) M1M2_PR
NEW met1 ( 448270 1489370 ) M1M2_PR
NEW met1 ( 444130 1489370 ) M1M2_PR ;
- la_data_in[55] ( PIN la_data_in[55] ) ( chip_controller la_data_in[55] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1738930 ) ( * 1744540 )
NEW met3 ( 190670 1744540 ) ( 201020 * 0 )
NEW met1 ( 162150 1738930 ) ( 190670 * )
NEW met2 ( 1604710 1700 0 ) ( * 65110 )
NEW met2 ( 162150 65110 ) ( * 1738930 )
NEW met1 ( 162150 65110 ) ( 1604710 * )
NEW met1 ( 190670 1738930 ) M1M2_PR
NEW met2 ( 190670 1744540 ) M2M3_PR_M
NEW met1 ( 162150 1738930 ) M1M2_PR
NEW met1 ( 162150 65110 ) M1M2_PR
NEW met1 ( 1604710 65110 ) M1M2_PR ;
- la_data_in[56] ( PIN la_data_in[56] ) ( chip_controller la_data_in[56] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1743180 0 ) ( 608350 * )
NEW met2 ( 608350 1739950 ) ( * 1743180 )
NEW met1 ( 608350 1739950 ) ( 831450 * )
NEW met2 ( 1622190 1700 0 ) ( * 23290 )
NEW met1 ( 831450 23290 ) ( 1622190 * )
NEW met2 ( 831450 23290 ) ( * 1739950 )
NEW met2 ( 608350 1743180 ) M2M3_PR_M
NEW met1 ( 608350 1739950 ) M1M2_PR
NEW met1 ( 831450 23290 ) M1M2_PR
NEW met1 ( 831450 1739950 ) M1M2_PR
NEW met1 ( 1622190 23290 ) M1M2_PR ;
- la_data_in[57] ( PIN la_data_in[57] ) ( chip_controller la_data_in[57] ) + USE SIGNAL
+ ROUTED met2 ( 1640130 1700 0 ) ( * 3570 )
NEW met1 ( 624450 3570 ) ( 1640130 * )
NEW met2 ( 455630 1899580 0 ) ( * 1925250 )
NEW met1 ( 455630 1925250 ) ( 624450 * )
NEW met2 ( 624450 3570 ) ( * 1925250 )
NEW met1 ( 1640130 3570 ) M1M2_PR
NEW met1 ( 455630 1925250 ) M1M2_PR
NEW met1 ( 624450 3570 ) M1M2_PR
NEW met1 ( 624450 1925250 ) M1M2_PR ;
- la_data_in[58] ( PIN la_data_in[58] ) ( chip_controller la_data_in[58] ) + USE SIGNAL
+ ROUTED met2 ( 1658070 1700 0 ) ( * 30430 )
NEW met1 ( 455170 30430 ) ( 1658070 * )
NEW met1 ( 450570 1490050 ) ( 455170 * )
NEW met2 ( 450570 1490050 ) ( * 1500420 0 )
NEW met2 ( 455170 30430 ) ( * 1490050 )
NEW met1 ( 1658070 30430 ) M1M2_PR
NEW met1 ( 455170 30430 ) M1M2_PR
NEW met1 ( 455170 1490050 ) M1M2_PR
NEW met1 ( 450570 1490050 ) M1M2_PR ;
- la_data_in[59] ( PIN la_data_in[59] ) ( chip_controller la_data_in[59] ) + USE SIGNAL
+ ROUTED met2 ( 1675550 1700 0 ) ( * 34170 )
NEW met1 ( 454710 34170 ) ( 1675550 * )
NEW met2 ( 453790 1500420 0 ) ( 454710 * )
NEW met2 ( 454710 34170 ) ( * 1500420 )
NEW met1 ( 1675550 34170 ) M1M2_PR
NEW met1 ( 454710 34170 ) M1M2_PR ;
- la_data_in[5] ( PIN la_data_in[5] ) ( chip_controller la_data_in[5] ) + USE SIGNAL
+ ROUTED met3 ( 183310 1544620 ) ( 201020 * 0 )
NEW met2 ( 717830 1700 0 ) ( * 17340 )
NEW met2 ( 717830 17340 ) ( 718290 * )
NEW met2 ( 718290 17340 ) ( * 1477470 )
NEW met1 ( 183310 1510450 ) ( 186070 * )
NEW met2 ( 186070 1477470 ) ( * 1510450 )
NEW met2 ( 183310 1510450 ) ( * 1544620 )
NEW met1 ( 186070 1477470 ) ( 718290 * )
NEW met2 ( 183310 1544620 ) M2M3_PR_M
NEW met1 ( 718290 1477470 ) M1M2_PR
NEW met1 ( 183310 1510450 ) M1M2_PR
NEW met1 ( 186070 1510450 ) M1M2_PR
NEW met1 ( 186070 1477470 ) M1M2_PR ;
- la_data_in[60] ( PIN la_data_in[60] ) ( chip_controller la_data_in[60] ) + USE SIGNAL
+ ROUTED met2 ( 1693490 1700 0 ) ( * 3230 )
NEW met1 ( 631350 3230 ) ( 1693490 * )
NEW met2 ( 463450 1899580 0 ) ( * 1926270 )
NEW met1 ( 463450 1926270 ) ( 631350 * )
NEW met2 ( 631350 3230 ) ( * 1926270 )
NEW met1 ( 463450 1926270 ) M1M2_PR
NEW met1 ( 631350 3230 ) M1M2_PR
NEW met1 ( 1693490 3230 ) M1M2_PR
NEW met1 ( 631350 1926270 ) M1M2_PR ;
- la_data_in[61] ( PIN la_data_in[61] ) ( chip_controller la_data_in[61] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1752870 ) ( * 1753380 )
NEW met3 ( 190210 1753380 ) ( 201020 * 0 )
NEW met1 ( 170430 1752870 ) ( 190210 * )
NEW met2 ( 1710970 1700 0 ) ( * 16830 )
NEW met1 ( 1704530 16830 ) ( 1710970 * )
NEW met2 ( 1704530 16830 ) ( * 81770 )
NEW met2 ( 170430 81770 ) ( * 1752870 )
NEW met1 ( 170430 81770 ) ( 1704530 * )
NEW met1 ( 190210 1752870 ) M1M2_PR
NEW met2 ( 190210 1753380 ) M2M3_PR_M
NEW met1 ( 170430 1752870 ) M1M2_PR
NEW met1 ( 1710970 16830 ) M1M2_PR
NEW met1 ( 1704530 16830 ) M1M2_PR
NEW met1 ( 170430 81770 ) M1M2_PR
NEW met1 ( 1704530 81770 ) M1M2_PR ;
- la_data_in[62] ( PIN la_data_in[62] ) ( chip_controller la_data_in[62] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1753550 ) ( * 1756780 )
NEW met3 ( 189750 1756780 ) ( 201020 * 0 )
NEW met2 ( 1728910 1700 0 ) ( * 67490 )
NEW met1 ( 163070 1753550 ) ( 189750 * )
NEW met2 ( 163070 67490 ) ( * 1753550 )
NEW met1 ( 163070 67490 ) ( 1728910 * )
NEW met1 ( 189750 1753550 ) M1M2_PR
NEW met2 ( 189750 1756780 ) M2M3_PR_M
NEW met1 ( 1728910 67490 ) M1M2_PR
NEW met1 ( 163070 1753550 ) M1M2_PR
NEW met1 ( 163070 67490 ) M1M2_PR ;
- la_data_in[63] ( PIN la_data_in[63] ) ( chip_controller la_data_in[63] ) + USE SIGNAL
+ ROUTED met2 ( 1746390 1700 0 ) ( * 33490 )
NEW met1 ( 462070 33490 ) ( 1746390 * )
NEW met2 ( 462070 33490 ) ( * 1500420 0 )
NEW met1 ( 1746390 33490 ) M1M2_PR
NEW met1 ( 462070 33490 ) M1M2_PR ;
- la_data_in[64] ( PIN la_data_in[64] ) ( chip_controller la_data_in[64] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1759670 ) ( * 1762220 )
NEW met3 ( 189750 1762220 ) ( 201020 * 0 )
NEW met2 ( 1762950 1530 ) ( * 3060 )
NEW met2 ( 1762950 3060 ) ( 1764330 * )
NEW met2 ( 1764330 1700 0 ) ( * 3060 )
NEW met2 ( 108330 1530 ) ( * 1759670 )
NEW met1 ( 108330 1759670 ) ( 189750 * )
NEW met1 ( 108330 1530 ) ( 1762950 * )
NEW met1 ( 108330 1530 ) M1M2_PR
NEW met1 ( 108330 1759670 ) M1M2_PR
NEW met1 ( 189750 1759670 ) M1M2_PR
NEW met2 ( 189750 1762220 ) M2M3_PR_M
NEW met1 ( 1762950 1530 ) M1M2_PR ;
- la_data_in[65] ( PIN la_data_in[65] ) ( chip_controller la_data_in[65] ) + USE SIGNAL
+ ROUTED met2 ( 1781810 1700 0 ) ( * 33150 )
NEW met1 ( 468970 33150 ) ( 1781810 * )
NEW met1 ( 468050 1493110 ) ( 468970 * )
NEW met2 ( 468050 1493110 ) ( * 1500420 )
NEW met2 ( 466670 1500420 0 ) ( 468050 * )
NEW met2 ( 468970 33150 ) ( * 1493110 )
NEW met1 ( 468970 33150 ) M1M2_PR
NEW met1 ( 1781810 33150 ) M1M2_PR
NEW met1 ( 468970 1493110 ) M1M2_PR
NEW met1 ( 468050 1493110 ) M1M2_PR ;
- la_data_in[66] ( PIN la_data_in[66] ) ( chip_controller la_data_in[66] ) + USE SIGNAL
+ ROUTED met2 ( 1799750 1700 0 ) ( * 32810 )
NEW met1 ( 468510 32810 ) ( 1799750 * )
NEW met2 ( 468510 32810 ) ( * 1500420 0 )
NEW met1 ( 468510 32810 ) M1M2_PR
NEW met1 ( 1799750 32810 ) M1M2_PR ;
- la_data_in[67] ( PIN la_data_in[67] ) ( chip_controller la_data_in[67] ) + USE SIGNAL
+ ROUTED met2 ( 476330 1899580 0 ) ( * 1921340 )
NEW met1 ( 619390 120870 ) ( 1302490 * )
NEW met2 ( 1817690 1700 0 ) ( * 16150 )
NEW met1 ( 1302030 16150 ) ( 1817690 * )
NEW met3 ( 476330 1921340 ) ( 619390 * )
NEW met2 ( 1302030 87380 ) ( 1302490 * )
NEW met2 ( 1302030 16150 ) ( * 87380 )
NEW met2 ( 1302490 87380 ) ( * 120870 )
NEW met2 ( 619390 120870 ) ( * 1921340 )
NEW met2 ( 476330 1921340 ) M2M3_PR_M
NEW met1 ( 619390 120870 ) M1M2_PR
NEW met1 ( 1302030 16150 ) M1M2_PR
NEW met1 ( 1302490 120870 ) M1M2_PR
NEW met1 ( 1817690 16150 ) M1M2_PR
NEW met2 ( 619390 1921340 ) M2M3_PR_M ;
- la_data_in[68] ( PIN la_data_in[68] ) ( chip_controller la_data_in[68] ) + USE SIGNAL
+ ROUTED met2 ( 1835170 1700 0 ) ( * 32470 )
NEW met1 ( 471730 1490390 ) ( 475870 * )
NEW met2 ( 471730 1490390 ) ( * 1500420 0 )
NEW met2 ( 475870 32470 ) ( * 1490390 )
NEW met1 ( 475870 32470 ) ( 1835170 * )
NEW met1 ( 475870 32470 ) M1M2_PR
NEW met1 ( 1835170 32470 ) M1M2_PR
NEW met1 ( 475870 1490390 ) M1M2_PR
NEW met1 ( 471730 1490390 ) M1M2_PR ;
- la_data_in[69] ( PIN la_data_in[69] ) ( chip_controller la_data_in[69] ) + USE SIGNAL
+ ROUTED met2 ( 1853110 1700 0 ) ( * 23630 )
NEW met2 ( 893550 82800 ) ( 895850 * )
NEW met2 ( 895850 23630 ) ( * 82800 )
NEW met2 ( 893550 82800 ) ( * 1767150 )
NEW met1 ( 895850 23630 ) ( 1853110 * )
NEW met3 ( 599380 1766980 0 ) ( 613870 * )
NEW met2 ( 613870 1766980 ) ( * 1767150 )
NEW met1 ( 613870 1767150 ) ( 893550 * )
NEW met1 ( 895850 23630 ) M1M2_PR
NEW met1 ( 893550 1767150 ) M1M2_PR
NEW met1 ( 1853110 23630 ) M1M2_PR
NEW met2 ( 613870 1766980 ) M2M3_PR_M
NEW met1 ( 613870 1767150 ) M1M2_PR ;
- la_data_in[6] ( PIN la_data_in[6] ) ( chip_controller la_data_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 735770 1700 0 ) ( * 17340 )
NEW met2 ( 734390 17340 ) ( 735770 * )
NEW met1 ( 181470 86190 ) ( 731630 * )
NEW met2 ( 240810 1899580 0 ) ( * 1916750 )
NEW met1 ( 181470 1916750 ) ( 240810 * )
NEW met2 ( 731630 82800 ) ( * 86190 )
NEW met2 ( 731630 82800 ) ( 734390 * )
NEW met2 ( 734390 17340 ) ( * 82800 )
NEW met2 ( 181470 86190 ) ( * 1916750 )
NEW met1 ( 181470 86190 ) M1M2_PR
NEW met1 ( 181470 1916750 ) M1M2_PR
NEW met1 ( 731630 86190 ) M1M2_PR
NEW met1 ( 240810 1916750 ) M1M2_PR ;
- la_data_in[70] ( PIN la_data_in[70] ) ( chip_controller la_data_in[70] ) + USE SIGNAL
+ ROUTED met2 ( 474950 1500420 0 ) ( 475410 * )
NEW met2 ( 475410 32130 ) ( * 1500420 )
NEW met2 ( 1870590 1700 0 ) ( * 32130 )
NEW met1 ( 475410 32130 ) ( 1870590 * )
NEW met1 ( 475410 32130 ) M1M2_PR
NEW met1 ( 1870590 32130 ) M1M2_PR ;
- la_data_in[71] ( PIN la_data_in[71] ) ( chip_controller la_data_in[71] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1780750 ) ( * 1783300 )
NEW met3 ( 187910 1783300 ) ( 201020 * 0 )
NEW met2 ( 1888530 1700 0 ) ( * 31450 )
NEW met1 ( 148810 31450 ) ( 1888530 * )
NEW met2 ( 148810 31450 ) ( * 1780750 )
NEW met1 ( 148810 1780750 ) ( 187910 * )
NEW met1 ( 187910 1780750 ) M1M2_PR
NEW met2 ( 187910 1783300 ) M2M3_PR_M
NEW met1 ( 148810 31450 ) M1M2_PR
NEW met1 ( 1888530 31450 ) M1M2_PR
NEW met1 ( 148810 1780750 ) M1M2_PR ;
- la_data_in[72] ( PIN la_data_in[72] ) ( chip_controller la_data_in[72] ) + USE SIGNAL
+ ROUTED met2 ( 489210 1899580 0 ) ( * 1927460 )
NEW met1 ( 625830 121210 ) ( 1303870 * )
NEW met1 ( 1303870 42330 ) ( 1906010 * )
NEW met2 ( 1906010 1700 0 ) ( * 42330 )
NEW met3 ( 489210 1927460 ) ( 625830 * )
NEW met2 ( 625830 121210 ) ( * 1927460 )
NEW met2 ( 1303410 57460 ) ( 1303870 * )
NEW met2 ( 1303410 57460 ) ( * 85510 )
NEW met2 ( 1303410 85510 ) ( 1303870 * )
NEW met2 ( 1303870 42330 ) ( * 57460 )
NEW met2 ( 1303870 85510 ) ( * 121210 )
NEW met2 ( 489210 1927460 ) M2M3_PR_M
NEW met1 ( 625830 121210 ) M1M2_PR
NEW met1 ( 1303870 121210 ) M1M2_PR
NEW met1 ( 1303870 42330 ) M1M2_PR
NEW met1 ( 1906010 42330 ) M1M2_PR
NEW met2 ( 625830 1927460 ) M2M3_PR_M ;
- la_data_in[73] ( PIN la_data_in[73] ) ( chip_controller la_data_in[73] ) + USE SIGNAL
+ ROUTED met2 ( 1923950 1700 0 ) ( * 30090 )
NEW met1 ( 735310 30090 ) ( 1923950 * )
NEW met2 ( 735310 30090 ) ( * 1774630 )
NEW met3 ( 599380 1775820 0 ) ( 613870 * )
NEW met2 ( 613870 1774630 ) ( * 1775820 )
NEW met1 ( 613870 1774630 ) ( 735310 * )
NEW met1 ( 1923950 30090 ) M1M2_PR
NEW met1 ( 735310 30090 ) M1M2_PR
NEW met1 ( 735310 1774630 ) M1M2_PR
NEW met2 ( 613870 1775820 ) M2M3_PR_M
NEW met1 ( 613870 1774630 ) M1M2_PR ;
- la_data_in[74] ( PIN la_data_in[74] ) ( chip_controller la_data_in[74] ) + USE SIGNAL
+ ROUTED met2 ( 1941430 1700 0 ) ( * 27030 )
NEW met1 ( 810750 27030 ) ( 1941430 * )
NEW met2 ( 810750 27030 ) ( * 1774290 )
NEW met3 ( 599380 1779220 0 ) ( 610650 * )
NEW met2 ( 610650 1774290 ) ( * 1779220 )
NEW met1 ( 610650 1774290 ) ( 810750 * )
NEW met1 ( 1941430 27030 ) M1M2_PR
NEW met1 ( 810750 27030 ) M1M2_PR
NEW met1 ( 810750 1774290 ) M1M2_PR
NEW met2 ( 610650 1779220 ) M2M3_PR_M
NEW met1 ( 610650 1774290 ) M1M2_PR ;
- la_data_in[75] ( PIN la_data_in[75] ) ( chip_controller la_data_in[75] ) + USE SIGNAL
+ ROUTED met2 ( 1959370 1700 0 ) ( * 31110 )
NEW met2 ( 191130 1787550 ) ( * 1790100 )
NEW met3 ( 191130 1790100 ) ( 201020 * 0 )
NEW met1 ( 163530 31110 ) ( 1959370 * )
NEW met1 ( 163530 1787550 ) ( 191130 * )
NEW met2 ( 163530 31110 ) ( * 1787550 )
NEW met1 ( 1959370 31110 ) M1M2_PR
NEW met1 ( 191130 1787550 ) M1M2_PR
NEW met2 ( 191130 1790100 ) M2M3_PR_M
NEW met1 ( 163530 31110 ) M1M2_PR
NEW met1 ( 163530 1787550 ) M1M2_PR ;
- la_data_in[76] ( PIN la_data_in[76] ) ( chip_controller la_data_in[76] ) + USE SIGNAL
+ ROUTED met1 ( 485990 1490050 ) ( 489210 * )
NEW met2 ( 485990 1490050 ) ( * 1500420 0 )
NEW met2 ( 489210 82450 ) ( * 1490050 )
NEW met2 ( 1976850 1700 0 ) ( * 82450 )
NEW met1 ( 489210 82450 ) ( 1976850 * )
NEW met1 ( 489210 82450 ) M1M2_PR
NEW met1 ( 489210 1490050 ) M1M2_PR
NEW met1 ( 485990 1490050 ) M1M2_PR
NEW met1 ( 1976850 82450 ) M1M2_PR ;
- la_data_in[77] ( PIN la_data_in[77] ) ( chip_controller la_data_in[77] ) + USE SIGNAL
+ ROUTED met2 ( 502550 1899580 0 ) ( * 1907060 )
NEW met1 ( 640550 122570 ) ( 1299270 * )
NEW met2 ( 1304330 56270 ) ( * 58140 )
NEW met1 ( 1304330 56270 ) ( 1333310 * )
NEW met1 ( 1333310 55930 ) ( * 56270 )
NEW met1 ( 1333310 55930 ) ( 1994790 * )
NEW met2 ( 1994790 1700 0 ) ( * 55930 )
NEW met3 ( 502550 1907060 ) ( 640550 * )
NEW met2 ( 640550 122570 ) ( * 1907060 )
NEW met1 ( 1299270 78370 ) ( 1303870 * )
NEW met2 ( 1303870 58140 ) ( * 78370 )
NEW met2 ( 1299270 78370 ) ( * 122570 )
NEW met2 ( 1303870 58140 ) ( 1304330 * )
NEW met2 ( 502550 1907060 ) M2M3_PR_M
NEW met1 ( 640550 122570 ) M1M2_PR
NEW met1 ( 1299270 122570 ) M1M2_PR
NEW met1 ( 1304330 56270 ) M1M2_PR
NEW met1 ( 1994790 55930 ) M1M2_PR
NEW met2 ( 640550 1907060 ) M2M3_PR_M
NEW met1 ( 1299270 78370 ) M1M2_PR
NEW met1 ( 1303870 78370 ) M1M2_PR ;
- la_data_in[78] ( PIN la_data_in[78] ) ( chip_controller la_data_in[78] ) + USE SIGNAL
+ ROUTED met2 ( 489210 1500420 0 ) ( 489670 * )
NEW met2 ( 489670 57630 ) ( * 1500420 )
NEW met2 ( 2012730 1700 0 ) ( * 15130 )
NEW met1 ( 1969950 15130 ) ( 2012730 * )
NEW met1 ( 489670 57630 ) ( 1969950 * )
NEW met2 ( 1969950 15130 ) ( * 57630 )
NEW met1 ( 489670 57630 ) M1M2_PR
NEW met1 ( 2012730 15130 ) M1M2_PR
NEW met1 ( 1969950 15130 ) M1M2_PR
NEW met1 ( 1969950 57630 ) M1M2_PR ;
- la_data_in[79] ( PIN la_data_in[79] ) ( chip_controller la_data_in[79] ) + USE SIGNAL
+ ROUTED met2 ( 2030210 1700 0 ) ( * 64770 )
NEW met2 ( 714150 64770 ) ( * 1787550 )
NEW met1 ( 714150 64770 ) ( 2030210 * )
NEW met3 ( 599380 1788740 0 ) ( 613870 * )
NEW met2 ( 613870 1787550 ) ( * 1788740 )
NEW met1 ( 613870 1787550 ) ( 714150 * )
NEW met1 ( 2030210 64770 ) M1M2_PR
NEW met1 ( 714150 64770 ) M1M2_PR
NEW met1 ( 714150 1787550 ) M1M2_PR
NEW met2 ( 613870 1788740 ) M2M3_PR_M
NEW met1 ( 613870 1787550 ) M1M2_PR ;
- la_data_in[7] ( PIN la_data_in[7] ) ( chip_controller la_data_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 396750 33830 ) ( * 1487330 )
NEW met2 ( 753250 1700 0 ) ( * 33830 )
NEW met1 ( 396750 33830 ) ( 753250 * )
NEW met2 ( 265190 1487330 ) ( * 1500420 0 )
NEW met1 ( 265190 1487330 ) ( 396750 * )
NEW met1 ( 396750 33830 ) M1M2_PR
NEW met1 ( 396750 1487330 ) M1M2_PR
NEW met1 ( 753250 33830 ) M1M2_PR
NEW met1 ( 265190 1487330 ) M1M2_PR ;
- la_data_in[80] ( PIN la_data_in[80] ) ( chip_controller la_data_in[80] ) + USE SIGNAL
+ ROUTED met2 ( 2048150 1700 0 ) ( * 15810 )
NEW met1 ( 2032050 15810 ) ( 2048150 * )
NEW met2 ( 495650 81430 ) ( * 1483500 )
NEW met2 ( 493810 1483500 ) ( 495650 * )
NEW met2 ( 493810 1483500 ) ( * 1500420 )
NEW met2 ( 492430 1500420 0 ) ( 493810 * )
NEW met2 ( 2032050 15810 ) ( * 81430 )
NEW met1 ( 495650 81430 ) ( 2032050 * )
NEW met1 ( 2048150 15810 ) M1M2_PR
NEW met1 ( 2032050 15810 ) M1M2_PR
NEW met1 ( 495650 81430 ) M1M2_PR
NEW met1 ( 2032050 81430 ) M1M2_PR ;
- la_data_in[81] ( PIN la_data_in[81] ) ( chip_controller la_data_in[81] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1800980 ) ( * 1801150 )
NEW met3 ( 189750 1800980 ) ( 201020 * 0 )
NEW met1 ( 173190 1801150 ) ( 189750 * )
NEW met2 ( 2063790 82800 ) ( * 100810 )
NEW met2 ( 2063790 82800 ) ( 2065630 * )
NEW met2 ( 2065630 1700 0 ) ( * 82800 )
NEW met2 ( 173190 100810 ) ( * 1801150 )
NEW met1 ( 173190 100810 ) ( 2063790 * )
NEW met1 ( 189750 1801150 ) M1M2_PR
NEW met2 ( 189750 1800980 ) M2M3_PR_M
NEW met1 ( 173190 100810 ) M1M2_PR
NEW met1 ( 2063790 100810 ) M1M2_PR
NEW met1 ( 173190 1801150 ) M1M2_PR ;
- la_data_in[82] ( PIN la_data_in[82] ) ( chip_controller la_data_in[82] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1801830 ) ( * 1804380 )
NEW met3 ( 187910 1804380 ) ( 201020 * 0 )
NEW met2 ( 2083570 1700 0 ) ( * 25330 )
NEW met1 ( 149730 25330 ) ( 2083570 * )
NEW met2 ( 149730 25330 ) ( * 1801830 )
NEW met1 ( 149730 1801830 ) ( 187910 * )
NEW met1 ( 187910 1801830 ) M1M2_PR
NEW met2 ( 187910 1804380 ) M2M3_PR_M
NEW met1 ( 149730 25330 ) M1M2_PR
NEW met1 ( 2083570 25330 ) M1M2_PR
NEW met1 ( 149730 1801830 ) M1M2_PR ;
- la_data_in[83] ( PIN la_data_in[83] ) ( chip_controller la_data_in[83] ) + USE SIGNAL
+ ROUTED met2 ( 516810 1899580 0 ) ( * 1919300 )
NEW met2 ( 652050 18020 ) ( 653430 * )
NEW met2 ( 653430 2890 ) ( * 18020 )
NEW met2 ( 2101050 1700 0 ) ( * 2890 )
NEW met1 ( 653430 2890 ) ( 2101050 * )
NEW met2 ( 652050 18020 ) ( * 1919300 )
NEW met3 ( 516810 1919300 ) ( 652050 * )
NEW met2 ( 516810 1919300 ) M2M3_PR_M
NEW met1 ( 653430 2890 ) M1M2_PR
NEW met2 ( 652050 1919300 ) M2M3_PR_M
NEW met1 ( 2101050 2890 ) M1M2_PR ;
- la_data_in[84] ( PIN la_data_in[84] ) ( chip_controller la_data_in[84] ) + USE SIGNAL
+ ROUTED met2 ( 2118990 1700 0 ) ( * 58820 )
NEW met4 ( 646300 58820 ) ( * 1801660 )
NEW met3 ( 646300 58820 ) ( 2118990 * )
NEW met3 ( 599380 1801660 0 ) ( 646300 * )
NEW met2 ( 2118990 58820 ) M2M3_PR_M
NEW met3 ( 646300 58820 ) M3M4_PR_M
NEW met3 ( 646300 1801660 ) M3M4_PR_M ;
- la_data_in[85] ( PIN la_data_in[85] ) ( chip_controller la_data_in[85] ) + USE SIGNAL
+ ROUTED met2 ( 2136470 1700 0 ) ( * 70890 )
NEW met1 ( 630890 121890 ) ( 1300190 * )
NEW met2 ( 521870 1899580 0 ) ( * 1905020 )
NEW met3 ( 521870 1905020 ) ( 630890 * )
NEW met2 ( 1300190 70890 ) ( * 121890 )
NEW met1 ( 1300190 70890 ) ( 2136470 * )
NEW met2 ( 630890 121890 ) ( * 1905020 )
NEW met1 ( 2136470 70890 ) M1M2_PR
NEW met1 ( 630890 121890 ) M1M2_PR
NEW met1 ( 1300190 121890 ) M1M2_PR
NEW met2 ( 521870 1905020 ) M2M3_PR_M
NEW met2 ( 630890 1905020 ) M2M3_PR_M
NEW met1 ( 1300190 70890 ) M1M2_PR ;
- la_data_in[86] ( PIN la_data_in[86] ) ( chip_controller la_data_in[86] ) + USE SIGNAL
+ ROUTED met1 ( 500710 1490390 ) ( 503470 * )
NEW met2 ( 500710 1490390 ) ( * 1500420 0 )
NEW met2 ( 503470 36890 ) ( * 1490390 )
NEW met2 ( 2154410 1700 0 ) ( * 36890 )
NEW met1 ( 503470 36890 ) ( 2154410 * )
NEW met1 ( 503470 36890 ) M1M2_PR
NEW met1 ( 503470 1490390 ) M1M2_PR
NEW met1 ( 500710 1490390 ) M1M2_PR
NEW met1 ( 2154410 36890 ) M1M2_PR ;
- la_data_in[87] ( PIN la_data_in[87] ) ( chip_controller la_data_in[87] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1815770 ) ( * 1817980 )
NEW met3 ( 189750 1817980 ) ( 201020 * 0 )
NEW met2 ( 158010 66470 ) ( * 1815770 )
NEW met1 ( 158010 1815770 ) ( 189750 * )
NEW met2 ( 2172350 1700 0 ) ( * 66470 )
NEW met1 ( 158010 66470 ) ( 2172350 * )
NEW met1 ( 189750 1815770 ) M1M2_PR
NEW met2 ( 189750 1817980 ) M2M3_PR_M
NEW met1 ( 158010 66470 ) M1M2_PR
NEW met1 ( 158010 1815770 ) M1M2_PR
NEW met1 ( 2172350 66470 ) M1M2_PR ;
- la_data_in[88] ( PIN la_data_in[88] ) ( chip_controller la_data_in[88] ) + USE SIGNAL
+ ROUTED met1 ( 507150 1490050 ) ( 509910 * )
NEW met2 ( 507150 1490050 ) ( * 1500420 0 )
NEW met2 ( 509910 37230 ) ( * 1490050 )
NEW met1 ( 509910 37230 ) ( 2189830 * )
NEW met2 ( 2189830 1700 0 ) ( * 37230 )
NEW met1 ( 509910 37230 ) M1M2_PR
NEW met1 ( 509910 1490050 ) M1M2_PR
NEW met1 ( 507150 1490050 ) M1M2_PR
NEW met1 ( 2189830 37230 ) M1M2_PR ;
- la_data_in[89] ( PIN la_data_in[89] ) ( chip_controller la_data_in[89] ) + USE SIGNAL
+ ROUTED met2 ( 704490 73610 ) ( * 1796390 )
NEW met2 ( 2207770 1700 0 ) ( * 16830 )
NEW met1 ( 2201790 16830 ) ( 2207770 * )
NEW met2 ( 2201790 16830 ) ( * 73610 )
NEW met3 ( 599380 1809140 0 ) ( 609270 * )
NEW met2 ( 609270 1807950 ) ( * 1809140 )
NEW met1 ( 609270 1807950 ) ( 704490 * )
NEW li1 ( 704490 1796390 ) ( * 1807950 )
NEW met1 ( 704490 73610 ) ( 2201790 * )
NEW met1 ( 704490 73610 ) M1M2_PR
NEW li1 ( 704490 1796390 ) L1M1_PR_MR
NEW met1 ( 704490 1796390 ) M1M2_PR
NEW met1 ( 2207770 16830 ) M1M2_PR
NEW met1 ( 2201790 16830 ) M1M2_PR
NEW met1 ( 2201790 73610 ) M1M2_PR
NEW met2 ( 609270 1809140 ) M2M3_PR_M
NEW met1 ( 609270 1807950 ) M1M2_PR
NEW li1 ( 704490 1807950 ) L1M1_PR_MR
NEW met1 ( 704490 1796390 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[8] ( PIN la_data_in[8] ) ( chip_controller la_data_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 766130 82800 ) ( 771190 * )
NEW met2 ( 771190 1700 0 ) ( * 82800 )
NEW met2 ( 766130 82800 ) ( * 1465570 )
NEW met2 ( 156170 1465570 ) ( * 1915390 )
NEW met2 ( 255530 1899580 0 ) ( * 1915390 )
NEW met1 ( 156170 1915390 ) ( 255530 * )
NEW met1 ( 156170 1465570 ) ( 766130 * )
NEW met1 ( 766130 1465570 ) M1M2_PR
NEW met1 ( 156170 1465570 ) M1M2_PR
NEW met1 ( 156170 1915390 ) M1M2_PR
NEW met1 ( 255530 1915390 ) M1M2_PR ;
- la_data_in[90] ( PIN la_data_in[90] ) ( chip_controller la_data_in[90] ) + USE SIGNAL
+ ROUTED met2 ( 517270 37570 ) ( * 1483500 )
NEW met2 ( 516350 1483500 ) ( 517270 * )
NEW met2 ( 516350 1483500 ) ( * 1500420 )
NEW met2 ( 515430 1500420 0 ) ( 516350 * )
NEW met2 ( 2225250 1700 0 ) ( * 37570 )
NEW met1 ( 517270 37570 ) ( 2225250 * )
NEW met1 ( 517270 37570 ) M1M2_PR
NEW met1 ( 2225250 37570 ) M1M2_PR ;
- la_data_in[91] ( PIN la_data_in[91] ) ( chip_controller la_data_in[91] ) + USE SIGNAL
+ ROUTED met2 ( 700350 13260 ) ( 701270 * )
NEW met2 ( 701270 2210 ) ( * 13260 )
NEW met2 ( 2243190 1700 0 ) ( * 2890 )
NEW met1 ( 701270 2210 ) ( 2159700 * )
NEW met1 ( 2159700 2210 ) ( * 2890 )
NEW met1 ( 2159700 2890 ) ( 2243190 * )
NEW met2 ( 532910 1899580 0 ) ( * 1911310 )
NEW met1 ( 532910 1911310 ) ( 537510 * )
NEW met2 ( 537510 1911310 ) ( * 1933410 )
NEW met1 ( 537510 1933410 ) ( 700350 * )
NEW met2 ( 700350 13260 ) ( * 1933410 )
NEW met1 ( 701270 2210 ) M1M2_PR
NEW met1 ( 2243190 2890 ) M1M2_PR
NEW met1 ( 537510 1933410 ) M1M2_PR
NEW met1 ( 532910 1911310 ) M1M2_PR
NEW met1 ( 537510 1911310 ) M1M2_PR
NEW met1 ( 700350 1933410 ) M1M2_PR ;
- la_data_in[92] ( PIN la_data_in[92] ) ( chip_controller la_data_in[92] ) + USE SIGNAL
+ ROUTED met1 ( 521870 1490390 ) ( 524170 * )
NEW met2 ( 521870 1490390 ) ( * 1500420 0 )
NEW met2 ( 524170 41310 ) ( * 1490390 )
NEW met1 ( 524170 41310 ) ( 2260670 * )
NEW met2 ( 2260670 1700 0 ) ( * 41310 )
NEW met1 ( 524170 41310 ) M1M2_PR
NEW met1 ( 524170 1490390 ) M1M2_PR
NEW met1 ( 521870 1490390 ) M1M2_PR
NEW met1 ( 2260670 41310 ) M1M2_PR ;
- la_data_in[93] ( PIN la_data_in[93] ) ( chip_controller la_data_in[93] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1815090 ) ( * 1821380 )
NEW met3 ( 189290 1821380 ) ( 201020 * 0 )
NEW met2 ( 2278610 1700 0 ) ( * 32300 )
NEW met3 ( 165830 32300 ) ( 2278610 * )
NEW met1 ( 165830 1815090 ) ( 189290 * )
NEW met2 ( 165830 32300 ) ( * 1815090 )
NEW met1 ( 189290 1815090 ) M1M2_PR
NEW met2 ( 189290 1821380 ) M2M3_PR_M
NEW met2 ( 165830 32300 ) M2M3_PR_M
NEW met2 ( 2278610 32300 ) M2M3_PR_M
NEW met1 ( 165830 1815090 ) M1M2_PR ;
- la_data_in[94] ( PIN la_data_in[94] ) ( chip_controller la_data_in[94] ) + USE SIGNAL
+ ROUTED met2 ( 529690 1500420 0 ) ( 531070 * )
NEW met2 ( 531070 40970 ) ( * 1500420 )
NEW met1 ( 531070 40970 ) ( 2296090 * )
NEW met2 ( 2296090 1700 0 ) ( * 40970 )
NEW met1 ( 531070 40970 ) M1M2_PR
NEW met1 ( 2296090 40970 ) M1M2_PR ;
- la_data_in[95] ( PIN la_data_in[95] ) ( chip_controller la_data_in[95] ) + USE SIGNAL
+ ROUTED met2 ( 2314030 1700 0 ) ( * 26350 )
NEW met2 ( 675050 26350 ) ( * 1822230 )
NEW met1 ( 675050 26350 ) ( 2314030 * )
NEW met3 ( 599380 1823420 0 ) ( 608810 * )
NEW met2 ( 608810 1822230 ) ( * 1823420 )
NEW met1 ( 608810 1822230 ) ( 675050 * )
NEW met1 ( 675050 26350 ) M1M2_PR
NEW met1 ( 675050 1822230 ) M1M2_PR
NEW met1 ( 2314030 26350 ) M1M2_PR
NEW met2 ( 608810 1823420 ) M2M3_PR_M
NEW met1 ( 608810 1822230 ) M1M2_PR ;
- la_data_in[96] ( PIN la_data_in[96] ) ( chip_controller la_data_in[96] ) + USE SIGNAL
+ ROUTED met1 ( 190210 1822230 ) ( * 1823590 )
NEW met1 ( 190210 1823590 ) ( 191590 * )
NEW met2 ( 191590 1823590 ) ( * 1825460 )
NEW met3 ( 191590 1825460 ) ( 201020 * 0 )
NEW met2 ( 2331510 1700 0 ) ( * 59670 )
NEW met1 ( 149270 1822230 ) ( 190210 * )
NEW met2 ( 149270 59670 ) ( * 1822230 )
NEW met1 ( 149270 59670 ) ( 2331510 * )
NEW met1 ( 191590 1823590 ) M1M2_PR
NEW met2 ( 191590 1825460 ) M2M3_PR_M
NEW met1 ( 2331510 59670 ) M1M2_PR
NEW met1 ( 149270 1822230 ) M1M2_PR
NEW met1 ( 149270 59670 ) M1M2_PR ;
- la_data_in[97] ( PIN la_data_in[97] ) ( chip_controller la_data_in[97] ) + USE SIGNAL
+ ROUTED met2 ( 2349450 1700 0 ) ( * 40630 )
NEW met2 ( 536130 1500420 0 ) ( 537510 * )
NEW met2 ( 537510 40630 ) ( * 1500420 )
NEW met1 ( 537510 40630 ) ( 2349450 * )
NEW met1 ( 2349450 40630 ) M1M2_PR
NEW met1 ( 537510 40630 ) M1M2_PR ;
- la_data_in[98] ( PIN la_data_in[98] ) ( chip_controller la_data_in[98] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1829030 ) ( * 1830220 )
NEW met3 ( 189290 1830220 ) ( 201020 * 0 )
NEW met1 ( 151110 1829030 ) ( 189290 * )
NEW met2 ( 2367390 1700 0 ) ( * 30940 )
NEW met3 ( 151110 30940 ) ( 2367390 * )
NEW met2 ( 151110 30940 ) ( * 1829030 )
NEW met1 ( 189290 1829030 ) M1M2_PR
NEW met2 ( 189290 1830220 ) M2M3_PR_M
NEW met2 ( 151110 30940 ) M2M3_PR_M
NEW met1 ( 151110 1829030 ) M1M2_PR
NEW met2 ( 2367390 30940 ) M2M3_PR_M ;
- la_data_in[99] ( PIN la_data_in[99] ) ( chip_controller la_data_in[99] ) + USE SIGNAL
+ ROUTED met2 ( 191590 1829370 ) ( * 1834300 )
NEW met3 ( 191590 1834300 ) ( 201020 * 0 )
NEW met1 ( 157550 1829370 ) ( 191590 * )
NEW met2 ( 157550 79390 ) ( * 1829370 )
NEW met2 ( 2384870 1700 0 ) ( * 79390 )
NEW met1 ( 157550 79390 ) ( 2384870 * )
NEW met1 ( 191590 1829370 ) M1M2_PR
NEW met2 ( 191590 1834300 ) M2M3_PR_M
NEW met1 ( 157550 1829370 ) M1M2_PR
NEW met1 ( 157550 79390 ) M1M2_PR
NEW met1 ( 2384870 79390 ) M1M2_PR ;
- la_data_in[9] ( PIN la_data_in[9] ) ( chip_controller la_data_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1573350 ) ( * 1574540 )
NEW met3 ( 189750 1574540 ) ( 201020 * 0 )
NEW met2 ( 786830 82800 ) ( 789130 * )
NEW met2 ( 789130 1700 0 ) ( * 82800 )
NEW met2 ( 786830 82800 ) ( * 1477810 )
NEW met1 ( 178710 1573350 ) ( 189750 * )
NEW met2 ( 178710 1477810 ) ( * 1573350 )
NEW met1 ( 178710 1477810 ) ( 786830 * )
NEW met1 ( 189750 1573350 ) M1M2_PR
NEW met2 ( 189750 1574540 ) M2M3_PR_M
NEW met1 ( 786830 1477810 ) M1M2_PR
NEW met1 ( 178710 1573350 ) M1M2_PR
NEW met1 ( 178710 1477810 ) M1M2_PR ;
- la_data_out[0] ( PIN la_data_out[0] ) ( chip_controller la_data_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 226550 1500420 0 ) ( 227470 * )
NEW met2 ( 227470 38590 ) ( * 1500420 )
NEW met2 ( 635030 1700 0 ) ( * 17340 )
NEW met2 ( 635030 17340 ) ( 635490 * )
NEW met1 ( 227470 38590 ) ( 635490 * )
NEW met2 ( 635490 17340 ) ( * 38590 )
NEW met1 ( 227470 38590 ) M1M2_PR
NEW met1 ( 635490 38590 ) M1M2_PR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( chip_controller la_data_out[100] ) + USE SIGNAL
+ ROUTED met4 ( 706100 100300 ) ( * 1773300 )
NEW met4 ( 704260 1773300 ) ( 706100 * )
NEW met2 ( 2408330 82800 ) ( * 100300 )
NEW met2 ( 2408330 82800 ) ( 2408790 * )
NEW met2 ( 2408790 1700 0 ) ( * 82800 )
NEW met3 ( 599380 1834300 0 ) ( 610650 * )
NEW met2 ( 610650 1831750 ) ( * 1834300 )
NEW met2 ( 697130 1829540 ) ( * 1831750 )
NEW met3 ( 697130 1829540 ) ( 702420 * )
NEW met4 ( 702420 1817300 ) ( * 1829540 )
NEW met4 ( 702420 1817300 ) ( 704260 * )
NEW met1 ( 610650 1831750 ) ( 697130 * )
NEW met4 ( 704260 1773300 ) ( * 1817300 )
NEW met3 ( 706100 100300 ) ( 2408330 * )
NEW met3 ( 706100 100300 ) M3M4_PR_M
NEW met2 ( 2408330 100300 ) M2M3_PR_M
NEW met2 ( 610650 1834300 ) M2M3_PR_M
NEW met1 ( 610650 1831750 ) M1M2_PR
NEW met1 ( 697130 1831750 ) M1M2_PR
NEW met2 ( 697130 1829540 ) M2M3_PR_M
NEW met3 ( 702420 1829540 ) M3M4_PR_M ;
- la_data_out[101] ( PIN la_data_out[101] ) ( chip_controller la_data_out[101] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1842460 ) ( * 1842970 )
NEW met3 ( 189290 1842460 ) ( 201020 * 0 )
NEW met2 ( 2426270 1700 0 ) ( * 65790 )
NEW met1 ( 159390 1842970 ) ( 189290 * )
NEW met2 ( 159390 65790 ) ( * 1842970 )
NEW met1 ( 159390 65790 ) ( 2426270 * )
NEW met1 ( 189290 1842970 ) M1M2_PR
NEW met2 ( 189290 1842460 ) M2M3_PR_M
NEW met1 ( 2426270 65790 ) M1M2_PR
NEW met1 ( 159390 1842970 ) M1M2_PR
NEW met1 ( 159390 65790 ) M1M2_PR ;
- la_data_out[102] ( PIN la_data_out[102] ) ( chip_controller la_data_out[102] ) + USE SIGNAL
+ ROUTED met2 ( 191590 1842630 ) ( * 1844500 )
NEW met3 ( 191590 1844500 ) ( 201020 * 0 )
NEW met2 ( 2444210 1700 0 ) ( * 72250 )
NEW met1 ( 143290 1842630 ) ( 191590 * )
NEW met2 ( 143290 72250 ) ( * 1842630 )
NEW met1 ( 143290 72250 ) ( 2444210 * )
NEW met1 ( 191590 1842630 ) M1M2_PR
NEW met2 ( 191590 1844500 ) M2M3_PR_M
NEW met1 ( 2444210 72250 ) M1M2_PR
NEW met1 ( 143290 1842630 ) M1M2_PR
NEW met1 ( 143290 72250 ) M1M2_PR ;
- la_data_out[103] ( PIN la_data_out[103] ) ( chip_controller la_data_out[103] ) + USE SIGNAL
+ ROUTED met2 ( 549010 1899580 0 ) ( * 1900940 )
NEW met2 ( 2461690 1700 0 ) ( * 72930 )
NEW met3 ( 597540 1899580 ) ( * 1900940 )
NEW met3 ( 549010 1900940 ) ( 597540 * )
NEW met3 ( 597540 1899580 ) ( 694370 * )
NEW met1 ( 694370 72930 ) ( 2461690 * )
NEW met2 ( 694370 72930 ) ( * 1899580 )
NEW met1 ( 694370 72930 ) M1M2_PR
NEW met2 ( 549010 1900940 ) M2M3_PR_M
NEW met1 ( 2461690 72930 ) M1M2_PR
NEW met2 ( 694370 1899580 ) M2M3_PR_M ;
- la_data_out[104] ( PIN la_data_out[104] ) ( chip_controller la_data_out[104] ) + USE SIGNAL
+ ROUTED met2 ( 681490 81090 ) ( * 1842970 )
NEW met2 ( 2479630 1700 0 ) ( * 81090 )
NEW met3 ( 599380 1843820 0 ) ( 613410 * )
NEW met2 ( 613410 1842970 ) ( * 1843820 )
NEW met1 ( 613410 1842970 ) ( 681490 * )
NEW met1 ( 681490 81090 ) ( 2479630 * )
NEW met1 ( 681490 1842970 ) M1M2_PR
NEW met1 ( 681490 81090 ) M1M2_PR
NEW met1 ( 2479630 81090 ) M1M2_PR
NEW met2 ( 613410 1843820 ) M2M3_PR_M
NEW met1 ( 613410 1842970 ) M1M2_PR ;
- la_data_out[105] ( PIN la_data_out[105] ) ( chip_controller la_data_out[105] ) + USE SIGNAL
+ ROUTED met1 ( 557290 1490390 ) ( 558670 * )
NEW met2 ( 557290 1490390 ) ( * 1500420 0 )
NEW met2 ( 558670 39610 ) ( * 1490390 )
NEW met1 ( 558670 39610 ) ( 2497110 * )
NEW met2 ( 2497110 1700 0 ) ( * 39610 )
NEW met1 ( 558670 39610 ) M1M2_PR
NEW met1 ( 558670 1490390 ) M1M2_PR
NEW met1 ( 557290 1490390 ) M1M2_PR
NEW met1 ( 2497110 39610 ) M1M2_PR ;
- la_data_out[106] ( PIN la_data_out[106] ) ( chip_controller la_data_out[106] ) + USE SIGNAL
+ ROUTED met2 ( 2515050 1700 0 ) ( * 40290 )
NEW met1 ( 639170 40290 ) ( 2515050 * )
NEW met3 ( 599380 1849260 0 ) ( 610650 * )
NEW met2 ( 610650 1849260 ) ( * 1849770 )
NEW met1 ( 610650 1849770 ) ( 639170 * )
NEW met2 ( 639170 40290 ) ( * 1849770 )
NEW met1 ( 2515050 40290 ) M1M2_PR
NEW met1 ( 639170 40290 ) M1M2_PR
NEW met2 ( 610650 1849260 ) M2M3_PR_M
NEW met1 ( 610650 1849770 ) M1M2_PR
NEW met1 ( 639170 1849770 ) M1M2_PR ;
- la_data_out[107] ( PIN la_data_out[107] ) ( chip_controller la_data_out[107] ) + USE SIGNAL
+ ROUTED met1 ( 700810 16830 ) ( 702190 * )
NEW met2 ( 702190 850 ) ( * 16830 )
NEW met2 ( 2533450 850 ) ( * 3060 )
NEW met2 ( 2532530 3060 ) ( 2533450 * )
NEW met2 ( 2532530 1700 0 ) ( * 3060 )
NEW met1 ( 702190 850 ) ( 2533450 * )
NEW met2 ( 552230 1899580 0 ) ( * 1920660 )
NEW met3 ( 552230 1920660 ) ( 700810 * )
NEW met2 ( 700810 16830 ) ( * 1920660 )
NEW met1 ( 700810 16830 ) M1M2_PR
NEW met1 ( 702190 16830 ) M1M2_PR
NEW met1 ( 702190 850 ) M1M2_PR
NEW met1 ( 2533450 850 ) M1M2_PR
NEW met2 ( 552230 1920660 ) M2M3_PR_M
NEW met2 ( 700810 1920660 ) M2M3_PR_M ;
- la_data_out[108] ( PIN la_data_out[108] ) ( chip_controller la_data_out[108] ) + USE SIGNAL
+ ROUTED met2 ( 150650 58650 ) ( * 1857250 )
NEW met1 ( 150650 58650 ) ( 2550470 * )
NEW met2 ( 2550470 1700 0 ) ( * 58650 )
NEW met2 ( 186530 1856740 ) ( * 1857250 )
NEW met3 ( 186530 1856740 ) ( 201020 * 0 )
NEW met1 ( 150650 1857250 ) ( 186530 * )
NEW met1 ( 150650 1857250 ) M1M2_PR
NEW met1 ( 150650 58650 ) M1M2_PR
NEW met1 ( 2550470 58650 ) M1M2_PR
NEW met1 ( 186530 1857250 ) M1M2_PR
NEW met2 ( 186530 1856740 ) M2M3_PR_M ;
- la_data_out[109] ( PIN la_data_out[109] ) ( chip_controller la_data_out[109] ) + USE SIGNAL
+ ROUTED met1 ( 564650 1498210 ) ( 565570 * )
NEW met2 ( 564650 1498210 ) ( * 1500420 )
NEW met2 ( 563730 1500420 0 ) ( 564650 * )
NEW met2 ( 565570 39270 ) ( * 1498210 )
NEW met1 ( 565570 39270 ) ( 2567950 * )
NEW met2 ( 2567950 1700 0 ) ( * 39270 )
NEW met1 ( 565570 39270 ) M1M2_PR
NEW met1 ( 565570 1498210 ) M1M2_PR
NEW met1 ( 564650 1498210 ) M1M2_PR
NEW met1 ( 2567950 39270 ) M1M2_PR ;
- la_data_out[10] ( PIN la_data_out[10] ) ( chip_controller la_data_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 281750 35190 ) ( * 1483500 )
NEW met2 ( 280830 1483500 ) ( 281750 * )
NEW met2 ( 280830 1483500 ) ( * 1500420 )
NEW met2 ( 279450 1500420 0 ) ( 280830 * )
NEW met1 ( 281750 35190 ) ( 812590 * )
NEW met2 ( 812590 1700 0 ) ( * 35190 )
NEW met1 ( 281750 35190 ) M1M2_PR
NEW met1 ( 812590 35190 ) M1M2_PR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( chip_controller la_data_out[110] ) + USE SIGNAL
+ ROUTED met1 ( 568790 1490390 ) ( 571550 * )
NEW met2 ( 568790 1489540 ) ( * 1490390 )
NEW met2 ( 567870 1489540 ) ( 568790 * )
NEW met2 ( 567870 1489540 ) ( * 1500420 )
NEW met2 ( 566950 1500420 0 ) ( 567870 * )
NEW met2 ( 571550 38930 ) ( * 1490390 )
NEW met1 ( 571550 38930 ) ( 2585890 * )
NEW met2 ( 2585890 1700 0 ) ( * 38930 )
NEW met1 ( 571550 38930 ) M1M2_PR
NEW met1 ( 571550 1490390 ) M1M2_PR
NEW met1 ( 568790 1490390 ) M1M2_PR
NEW met1 ( 2585890 38930 ) M1M2_PR ;
- la_data_out[111] ( PIN la_data_out[111] ) ( chip_controller la_data_out[111] ) + USE SIGNAL
+ ROUTED met2 ( 2601530 82800 ) ( * 100470 )
NEW met2 ( 2601530 82800 ) ( 2603830 * )
NEW met2 ( 2603830 1700 0 ) ( * 82800 )
NEW met1 ( 695750 100470 ) ( 2601530 * )
NEW met3 ( 599380 1864220 0 ) ( 613410 * )
NEW met2 ( 613410 1863030 ) ( * 1864220 )
NEW met1 ( 613410 1863030 ) ( 695750 * )
NEW met2 ( 695750 100470 ) ( * 1863030 )
NEW met1 ( 695750 100470 ) M1M2_PR
NEW met1 ( 2601530 100470 ) M1M2_PR
NEW met2 ( 613410 1864220 ) M2M3_PR_M
NEW met1 ( 613410 1863030 ) M1M2_PR
NEW met1 ( 695750 1863030 ) M1M2_PR ;
- la_data_out[112] ( PIN la_data_out[112] ) ( chip_controller la_data_out[112] ) + USE SIGNAL
+ ROUTED met2 ( 2621310 1700 0 ) ( * 24140 )
NEW met3 ( 136850 24140 ) ( 2621310 * )
NEW met2 ( 136850 24140 ) ( * 1863710 )
NEW met2 ( 186530 1863710 ) ( * 1865580 )
NEW met3 ( 186530 1865580 ) ( 201020 * 0 )
NEW met1 ( 136850 1863710 ) ( 186530 * )
NEW met2 ( 2621310 24140 ) M2M3_PR_M
NEW met2 ( 136850 24140 ) M2M3_PR_M
NEW met1 ( 136850 1863710 ) M1M2_PR
NEW met1 ( 186530 1863710 ) M1M2_PR
NEW met2 ( 186530 1865580 ) M2M3_PR_M ;
- la_data_out[113] ( PIN la_data_out[113] ) ( chip_controller la_data_out[113] ) + USE SIGNAL
+ ROUTED met2 ( 2639250 1700 0 ) ( * 64940 )
NEW met2 ( 143750 64940 ) ( * 1863370 )
NEW met2 ( 189290 1863370 ) ( * 1867620 )
NEW met3 ( 189290 1867620 ) ( 201020 * 0 )
NEW met1 ( 143750 1863370 ) ( 189290 * )
NEW met3 ( 143750 64940 ) ( 2639250 * )
NEW met2 ( 2639250 64940 ) M2M3_PR_M
NEW met1 ( 143750 1863370 ) M1M2_PR
NEW met2 ( 143750 64940 ) M2M3_PR_M
NEW met1 ( 189290 1863370 ) M1M2_PR
NEW met2 ( 189290 1867620 ) M2M3_PR_M ;
- la_data_out[114] ( PIN la_data_out[114] ) ( chip_controller la_data_out[114] ) + USE SIGNAL
+ ROUTED met3 ( 634340 120700 ) ( 1303180 * )
NEW li1 ( 562810 1895330 ) ( * 1900090 )
NEW met2 ( 562810 1899580 ) ( * 1900090 )
NEW met2 ( 561890 1899580 0 ) ( 562810 * )
NEW met3 ( 1303180 59500 ) ( 2656730 * )
NEW met2 ( 2656730 1700 0 ) ( * 59500 )
NEW met4 ( 1303180 59500 ) ( * 120700 )
NEW met2 ( 628130 1894820 ) ( * 1895330 )
NEW met3 ( 628130 1894820 ) ( 634340 * )
NEW met1 ( 562810 1895330 ) ( 628130 * )
NEW met4 ( 634340 120700 ) ( * 1894820 )
NEW met3 ( 634340 120700 ) M3M4_PR_M
NEW met3 ( 1303180 120700 ) M3M4_PR_M
NEW li1 ( 562810 1895330 ) L1M1_PR_MR
NEW li1 ( 562810 1900090 ) L1M1_PR_MR
NEW met1 ( 562810 1900090 ) M1M2_PR
NEW met3 ( 1303180 59500 ) M3M4_PR_M
NEW met2 ( 2656730 59500 ) M2M3_PR_M
NEW met1 ( 628130 1895330 ) M1M2_PR
NEW met2 ( 628130 1894820 ) M2M3_PR_M
NEW met3 ( 634340 1894820 ) M3M4_PR_M
NEW met1 ( 562810 1900090 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[115] ( PIN la_data_out[115] ) ( chip_controller la_data_out[115] ) + USE SIGNAL
+ ROUTED met2 ( 654350 38590 ) ( * 1870850 )
NEW met1 ( 654350 38590 ) ( 2674670 * )
NEW met2 ( 2674670 1700 0 ) ( * 38590 )
NEW met3 ( 599380 1873060 0 ) ( 613410 * )
NEW met2 ( 613410 1870850 ) ( * 1873060 )
NEW met1 ( 613410 1870850 ) ( 654350 * )
NEW met1 ( 654350 38590 ) M1M2_PR
NEW met1 ( 654350 1870850 ) M1M2_PR
NEW met1 ( 2674670 38590 ) M1M2_PR
NEW met2 ( 613410 1873060 ) M2M3_PR_M
NEW met1 ( 613410 1870850 ) M1M2_PR ;
- la_data_out[116] ( PIN la_data_out[116] ) ( chip_controller la_data_out[116] ) + USE SIGNAL
+ ROUTED met2 ( 2692150 1700 0 ) ( * 73100 )
NEW met2 ( 565110 1899580 0 ) ( * 1902300 )
NEW met4 ( 651820 73100 ) ( * 1902300 )
NEW met3 ( 565110 1902300 ) ( 651820 * )
NEW met3 ( 651820 73100 ) ( 2692150 * )
NEW met2 ( 2692150 73100 ) M2M3_PR_M
NEW met2 ( 565110 1902300 ) M2M3_PR_M
NEW met3 ( 651820 73100 ) M3M4_PR_M
NEW met3 ( 651820 1902300 ) M3M4_PR_M ;
- la_data_out[117] ( PIN la_data_out[117] ) ( chip_controller la_data_out[117] ) + USE SIGNAL
+ ROUTED li1 ( 572470 1891930 ) ( * 1900090 )
NEW met2 ( 572470 1899580 ) ( * 1900090 )
NEW met2 ( 571550 1899580 0 ) ( 572470 * )
NEW met2 ( 2710090 1700 0 ) ( * 45900 )
NEW met1 ( 641010 123590 ) ( 1289610 * )
NEW met3 ( 1285470 45900 ) ( 2710090 * )
NEW met1 ( 1285470 85170 ) ( 1289610 * )
NEW met2 ( 1285470 45900 ) ( * 85170 )
NEW met2 ( 1289610 85170 ) ( * 123590 )
NEW met1 ( 572470 1891930 ) ( 641010 * )
NEW met2 ( 641010 123590 ) ( * 1891930 )
NEW met1 ( 1289610 123590 ) M1M2_PR
NEW li1 ( 572470 1891930 ) L1M1_PR_MR
NEW li1 ( 572470 1900090 ) L1M1_PR_MR
NEW met1 ( 572470 1900090 ) M1M2_PR
NEW met2 ( 1285470 45900 ) M2M3_PR_M
NEW met2 ( 2710090 45900 ) M2M3_PR_M
NEW met1 ( 641010 123590 ) M1M2_PR
NEW met1 ( 1285470 85170 ) M1M2_PR
NEW met1 ( 1289610 85170 ) M1M2_PR
NEW met1 ( 641010 1891930 ) M1M2_PR
NEW met1 ( 572470 1900090 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[118] ( PIN la_data_out[118] ) ( chip_controller la_data_out[118] ) + USE SIGNAL
+ ROUTED met2 ( 2727570 1700 0 ) ( * 58140 )
NEW met3 ( 185380 58140 ) ( 2727570 * )
NEW met3 ( 185380 1877820 ) ( 201020 * 0 )
NEW met4 ( 185380 58140 ) ( * 1877820 )
NEW met3 ( 185380 58140 ) M3M4_PR_M
NEW met2 ( 2727570 58140 ) M2M3_PR_M
NEW met3 ( 185380 1877820 ) M3M4_PR_M ;
- la_data_out[119] ( PIN la_data_out[119] ) ( chip_controller la_data_out[119] ) + USE SIGNAL
+ ROUTED met2 ( 574770 1899580 0 ) ( * 1925420 )
NEW met3 ( 626750 122060 ) ( 1285700 * )
NEW met3 ( 1285700 51340 ) ( 2745510 * )
NEW met2 ( 2745510 1700 0 ) ( * 51340 )
NEW met3 ( 574770 1925420 ) ( 626750 * )
NEW met4 ( 1285700 51340 ) ( * 122060 )
NEW met2 ( 626750 122060 ) ( * 1925420 )
NEW met2 ( 574770 1925420 ) M2M3_PR_M
NEW met3 ( 1285700 122060 ) M3M4_PR_M
NEW met3 ( 1285700 51340 ) M3M4_PR_M
NEW met2 ( 626750 122060 ) M2M3_PR_M
NEW met2 ( 2745510 51340 ) M2M3_PR_M
NEW met2 ( 626750 1925420 ) M2M3_PR_M ;
- la_data_out[11] ( PIN la_data_out[11] ) ( chip_controller la_data_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 294630 1488180 ) ( * 1489030 )
NEW met2 ( 293710 1488180 ) ( 294630 * )
NEW met2 ( 293710 1488180 ) ( * 1500420 )
NEW met2 ( 292330 1500420 0 ) ( 293710 * )
NEW met1 ( 362710 114750 ) ( 828230 * )
NEW li1 ( 343390 1486650 ) ( * 1489030 )
NEW met1 ( 343390 1486650 ) ( 362710 * )
NEW met1 ( 294630 1489030 ) ( 343390 * )
NEW met2 ( 362710 114750 ) ( * 1486650 )
NEW met2 ( 828230 82800 ) ( * 114750 )
NEW met2 ( 828230 82800 ) ( 830530 * )
NEW met2 ( 830530 1700 0 ) ( * 82800 )
NEW met1 ( 294630 1489030 ) M1M2_PR
NEW met1 ( 362710 114750 ) M1M2_PR
NEW met1 ( 828230 114750 ) M1M2_PR
NEW li1 ( 343390 1489030 ) L1M1_PR_MR
NEW li1 ( 343390 1486650 ) L1M1_PR_MR
NEW met1 ( 362710 1486650 ) M1M2_PR ;
- la_data_out[120] ( PIN la_data_out[120] ) ( chip_controller la_data_out[120] ) + USE SIGNAL
+ ROUTED met2 ( 576610 1899580 0 ) ( * 1929500 )
NEW met3 ( 624220 121380 ) ( 1286620 * )
NEW met3 ( 1286620 37740 ) ( 2763450 * )
NEW met2 ( 2763450 1700 0 ) ( * 37740 )
NEW met3 ( 576610 1929500 ) ( 624220 * )
NEW met4 ( 1286620 37740 ) ( * 121380 )
NEW met4 ( 624220 121380 ) ( * 1929500 )
NEW met2 ( 576610 1929500 ) M2M3_PR_M
NEW met3 ( 1286620 121380 ) M3M4_PR_M
NEW met3 ( 1286620 37740 ) M3M4_PR_M
NEW met3 ( 624220 121380 ) M3M4_PR_M
NEW met2 ( 2763450 37740 ) M2M3_PR_M
NEW met3 ( 624220 1929500 ) M3M4_PR_M ;
- la_data_out[121] ( PIN la_data_out[121] ) ( chip_controller la_data_out[121] ) + USE SIGNAL
+ ROUTED met3 ( 580290 1900260 ) ( 585580 * )
NEW met2 ( 580290 1899580 ) ( * 1900260 )
NEW met2 ( 579830 1899580 0 ) ( 580290 * )
NEW met4 ( 585580 17340 ) ( * 1900260 )
NEW met2 ( 2780930 1700 0 ) ( * 17340 )
NEW met3 ( 585580 17340 ) ( 2780930 * )
NEW met3 ( 585580 17340 ) M3M4_PR_M
NEW met3 ( 585580 1900260 ) M3M4_PR_M
NEW met2 ( 580290 1900260 ) M2M3_PR_M
NEW met2 ( 2780930 17340 ) M2M3_PR_M ;
- la_data_out[122] ( PIN la_data_out[122] ) ( chip_controller la_data_out[122] ) + USE SIGNAL
+ ROUTED met2 ( 2798870 1700 0 ) ( * 17340 )
NEW met2 ( 2796570 17340 ) ( 2798870 * )
NEW met2 ( 677810 1618060 ) ( * 1891590 )
NEW met2 ( 2796570 17340 ) ( * 34500 )
NEW met2 ( 2794730 34500 ) ( 2796570 * )
NEW met3 ( 677810 1618060 ) ( 2794730 * )
NEW met3 ( 599380 1893460 0 ) ( 610190 * )
NEW met2 ( 610190 1891590 ) ( * 1893460 )
NEW met1 ( 610190 1891590 ) ( 677810 * )
NEW li1 ( 2794730 1183370 ) ( * 1195950 )
NEW met2 ( 2794730 34500 ) ( * 1183370 )
NEW li1 ( 2794730 1423750 ) ( * 1425110 )
NEW met2 ( 2794730 1195950 ) ( * 1423750 )
NEW met2 ( 2794730 1425110 ) ( * 1618060 )
NEW met2 ( 677810 1618060 ) M2M3_PR_M
NEW met1 ( 677810 1891590 ) M1M2_PR
NEW met2 ( 2794730 1618060 ) M2M3_PR_M
NEW met2 ( 610190 1893460 ) M2M3_PR_M
NEW met1 ( 610190 1891590 ) M1M2_PR
NEW li1 ( 2794730 1183370 ) L1M1_PR_MR
NEW met1 ( 2794730 1183370 ) M1M2_PR
NEW li1 ( 2794730 1195950 ) L1M1_PR_MR
NEW met1 ( 2794730 1195950 ) M1M2_PR
NEW li1 ( 2794730 1423750 ) L1M1_PR_MR
NEW met1 ( 2794730 1423750 ) M1M2_PR
NEW li1 ( 2794730 1425110 ) L1M1_PR_MR
NEW met1 ( 2794730 1425110 ) M1M2_PR
NEW met1 ( 2794730 1183370 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1195950 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1423750 ) RECT ( 0 -70 355 70 )
NEW met1 ( 2794730 1425110 ) RECT ( 0 -70 355 70 ) ;
- la_data_out[123] ( PIN la_data_out[123] ) ( chip_controller la_data_out[123] ) + USE SIGNAL
+ ROUTED met2 ( 2816350 1700 0 ) ( * 37910 )
NEW met2 ( 133170 37910 ) ( * 1883770 )
NEW met1 ( 133170 37910 ) ( 2816350 * )
NEW met2 ( 186530 1883770 ) ( * 1886660 )
NEW met3 ( 186530 1886660 ) ( 201020 * 0 )
NEW met1 ( 133170 1883770 ) ( 186530 * )
NEW met1 ( 2816350 37910 ) M1M2_PR
NEW met1 ( 133170 37910 ) M1M2_PR
NEW met1 ( 133170 1883770 ) M1M2_PR
NEW met1 ( 186530 1883770 ) M1M2_PR
NEW met2 ( 186530 1886660 ) M2M3_PR_M ;
- la_data_out[124] ( PIN la_data_out[124] ) ( chip_controller la_data_out[124] ) + USE SIGNAL
+ ROUTED met2 ( 2829230 82800 ) ( 2834290 * )
NEW met2 ( 2834290 1700 0 ) ( * 82800 )
NEW met2 ( 2829230 82800 ) ( * 1784660 )
NEW met3 ( 694140 1784660 ) ( 2829230 * )
NEW met3 ( 599380 1894820 0 ) ( 618700 * )
NEW met3 ( 618700 1894140 ) ( * 1894820 )
NEW met3 ( 618700 1894140 ) ( 641700 * )
NEW met3 ( 641700 1892780 ) ( * 1894140 )
NEW met3 ( 641700 1892780 ) ( 694140 * )
NEW met4 ( 694140 1784660 ) ( * 1892780 )
NEW met3 ( 694140 1784660 ) M3M4_PR_M
NEW met2 ( 2829230 1784660 ) M2M3_PR_M
NEW met3 ( 694140 1892780 ) M3M4_PR_M ;
- la_data_out[125] ( PIN la_data_out[125] ) ( chip_controller la_data_out[125] ) + USE SIGNAL
+ ROUTED met2 ( 664010 1893970 ) ( * 1894140 )
NEW met3 ( 664010 1894140 ) ( 668380 * )
NEW met4 ( 668380 1770380 ) ( * 1894140 )
NEW met3 ( 668380 1770380 ) ( 2849930 * )
NEW met2 ( 2849930 82800 ) ( 2851770 * )
NEW met2 ( 2851770 1700 0 ) ( * 82800 )
NEW met2 ( 2849930 82800 ) ( * 1770380 )
NEW met3 ( 198490 1892100 ) ( 201020 * 0 )
NEW met2 ( 198490 1892100 ) ( * 1893970 )
NEW met1 ( 198490 1893970 ) ( 664010 * )
NEW met3 ( 668380 1770380 ) M3M4_PR_M
NEW met1 ( 664010 1893970 ) M1M2_PR
NEW met2 ( 664010 1894140 ) M2M3_PR_M
NEW met3 ( 668380 1894140 ) M3M4_PR_M
NEW met2 ( 2849930 1770380 ) M2M3_PR_M
NEW met2 ( 198490 1892100 ) M2M3_PR_M
NEW met1 ( 198490 1893970 ) M1M2_PR ;
- la_data_out[126] ( PIN la_data_out[126] ) ( chip_controller la_data_out[126] ) + USE SIGNAL
+ ROUTED met4 ( 599380 1725000 ) ( 600300 * )
NEW met4 ( 600300 1626220 ) ( * 1725000 )
NEW met2 ( 2864190 82800 ) ( 2869710 * )
NEW met2 ( 2869710 1700 0 ) ( * 82800 )
NEW met3 ( 600300 1626220 ) ( 2864190 * )
NEW met2 ( 2864190 82800 ) ( * 1626220 )
NEW met3 ( 598230 1900260 ) ( 600300 * )
NEW met2 ( 598230 1899580 ) ( * 1900260 )
NEW met2 ( 597310 1899580 0 ) ( 598230 * )
NEW met4 ( 599380 1725000 ) ( * 1849200 )
NEW met4 ( 599380 1849200 ) ( 600300 * )
NEW met4 ( 600300 1849200 ) ( * 1900260 )
NEW met3 ( 600300 1626220 ) M3M4_PR_M
NEW met2 ( 2864190 1626220 ) M2M3_PR_M
NEW met3 ( 600300 1900260 ) M3M4_PR_M
NEW met2 ( 598230 1900260 ) M2M3_PR_M ;
- la_data_out[127] ( PIN la_data_out[127] ) ( chip_controller la_data_out[127] ) + USE SIGNAL
+ ROUTED met2 ( 2887190 1700 0 ) ( * 18190 )
NEW met2 ( 597310 1500420 0 ) ( 598230 * )
NEW met2 ( 598230 101830 ) ( * 1500420 )
NEW met2 ( 2059650 82800 ) ( * 101830 )
NEW met2 ( 2059650 82800 ) ( 2060110 * )
NEW met2 ( 2060110 18190 ) ( * 82800 )
NEW met1 ( 2060110 18190 ) ( 2887190 * )
NEW met1 ( 598230 101830 ) ( 2059650 * )
NEW met1 ( 598230 101830 ) M1M2_PR
NEW met1 ( 2060110 18190 ) M1M2_PR
NEW met1 ( 2059650 101830 ) M1M2_PR
NEW met1 ( 2887190 18190 ) M1M2_PR ;
- la_data_out[12] ( PIN la_data_out[12] ) ( chip_controller la_data_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 279450 1899580 0 ) ( * 1913010 )
NEW met1 ( 183770 1459450 ) ( 842490 * )
NEW met1 ( 276000 1913010 ) ( 279450 * )
NEW met1 ( 276000 1913010 ) ( * 1913690 )
NEW met1 ( 183770 1913690 ) ( 276000 * )
NEW met2 ( 848010 1700 0 ) ( * 34500 )
NEW met2 ( 842490 34500 ) ( 848010 * )
NEW met2 ( 842490 34500 ) ( * 1459450 )
NEW met2 ( 183770 1459450 ) ( * 1913690 )
NEW met1 ( 183770 1459450 ) M1M2_PR
NEW met1 ( 183770 1913690 ) M1M2_PR
NEW met1 ( 279450 1913010 ) M1M2_PR
NEW met1 ( 842490 1459450 ) M1M2_PR ;
- la_data_out[13] ( PIN la_data_out[13] ) ( chip_controller la_data_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1594770 ) ( * 1596980 )
NEW met3 ( 189750 1596980 ) ( 201020 * 0 )
NEW met2 ( 862730 82800 ) ( 865950 * )
NEW met2 ( 865950 1700 0 ) ( * 82800 )
NEW met2 ( 862730 82800 ) ( * 1492430 )
NEW met1 ( 172730 1594770 ) ( 189750 * )
NEW met2 ( 172730 1492430 ) ( * 1594770 )
NEW met1 ( 172730 1492430 ) ( 862730 * )
NEW met1 ( 189750 1594770 ) M1M2_PR
NEW met2 ( 189750 1596980 ) M2M3_PR_M
NEW met1 ( 862730 1492430 ) M1M2_PR
NEW met1 ( 172730 1594770 ) M1M2_PR
NEW met1 ( 172730 1492430 ) M1M2_PR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( chip_controller la_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 883430 1700 0 ) ( * 17340 )
NEW met2 ( 883430 17340 ) ( 883890 * )
NEW met2 ( 290950 1899580 0 ) ( * 1915730 )
NEW met2 ( 883890 17340 ) ( * 1467610 )
NEW met1 ( 199870 1915730 ) ( 290950 * )
NEW met2 ( 199870 1508580 ) ( 200330 * )
NEW met2 ( 200330 1501100 ) ( * 1508580 )
NEW met2 ( 199410 1501100 ) ( 200330 * )
NEW met2 ( 199410 1467610 ) ( * 1501100 )
NEW met1 ( 199410 1467610 ) ( 883890 * )
NEW met2 ( 199870 1508580 ) ( * 1915730 )
NEW met1 ( 883890 1467610 ) M1M2_PR
NEW met1 ( 199870 1915730 ) M1M2_PR
NEW met1 ( 290950 1915730 ) M1M2_PR
NEW met1 ( 199410 1467610 ) M1M2_PR ;
- la_data_out[15] ( PIN la_data_out[15] ) ( chip_controller la_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 901370 1700 0 ) ( * 17340 )
NEW met2 ( 899990 17340 ) ( 901370 * )
NEW met2 ( 295550 1899580 0 ) ( * 1915050 )
NEW met2 ( 897230 82800 ) ( 899990 * )
NEW met2 ( 899990 17340 ) ( * 82800 )
NEW met2 ( 897230 82800 ) ( * 1474750 )
NEW met2 ( 155710 1474750 ) ( * 1915050 )
NEW met1 ( 155710 1915050 ) ( 295550 * )
NEW met1 ( 155710 1474750 ) ( 897230 * )
NEW met1 ( 897230 1474750 ) M1M2_PR
NEW met1 ( 295550 1915050 ) M1M2_PR
NEW met1 ( 155710 1474750 ) M1M2_PR
NEW met1 ( 155710 1915050 ) M1M2_PR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( chip_controller la_data_out[16] ) + USE SIGNAL
+ ROUTED met1 ( 325450 1471350 ) ( 917930 * )
NEW met2 ( 324990 1500420 0 ) ( 325450 * )
NEW met2 ( 325450 1471350 ) ( * 1500420 )
NEW met2 ( 917930 82800 ) ( 918850 * )
NEW met2 ( 918850 1700 0 ) ( * 82800 )
NEW met2 ( 917930 82800 ) ( * 1471350 )
NEW met1 ( 325450 1471350 ) M1M2_PR
NEW met1 ( 917930 1471350 ) M1M2_PR ;
- la_data_out[17] ( PIN la_data_out[17] ) ( chip_controller la_data_out[17] ) + USE SIGNAL
+ ROUTED met1 ( 361790 1471010 ) ( 931730 * )
NEW met1 ( 334650 1487670 ) ( 361790 * )
NEW met2 ( 334650 1487670 ) ( * 1500420 0 )
NEW met2 ( 361790 1471010 ) ( * 1487670 )
NEW met2 ( 936790 1700 0 ) ( * 34500 )
NEW met2 ( 931730 34500 ) ( 936790 * )
NEW met2 ( 931730 34500 ) ( * 1471010 )
NEW met1 ( 361790 1471010 ) M1M2_PR
NEW met1 ( 931730 1471010 ) M1M2_PR
NEW met1 ( 361790 1487670 ) M1M2_PR
NEW met1 ( 334650 1487670 ) M1M2_PR ;
- la_data_out[18] ( PIN la_data_out[18] ) ( chip_controller la_data_out[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1623500 0 ) ( 608350 * )
NEW met2 ( 608350 1621630 ) ( * 1623500 )
NEW met2 ( 952430 82800 ) ( 954270 * )
NEW met2 ( 954270 1700 0 ) ( * 82800 )
NEW met2 ( 952430 82800 ) ( * 1621630 )
NEW met1 ( 608350 1621630 ) ( 952430 * )
NEW met2 ( 608350 1623500 ) M2M3_PR_M
NEW met1 ( 608350 1621630 ) M1M2_PR
NEW met1 ( 952430 1621630 ) M1M2_PR ;
- la_data_out[19] ( PIN la_data_out[19] ) ( chip_controller la_data_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 972210 1700 0 ) ( * 43690 )
NEW met1 ( 348910 1489370 ) ( 351670 * )
NEW met2 ( 348910 1489370 ) ( * 1500420 0 )
NEW met2 ( 351670 43690 ) ( * 1489370 )
NEW met1 ( 351670 43690 ) ( 972210 * )
NEW met1 ( 972210 43690 ) M1M2_PR
NEW met1 ( 351670 43690 ) M1M2_PR
NEW met1 ( 351670 1489370 ) M1M2_PR
NEW met1 ( 348910 1489370 ) M1M2_PR ;
- la_data_out[1] ( PIN la_data_out[1] ) ( chip_controller la_data_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 210450 1899580 0 ) ( * 1907570 )
NEW met2 ( 652970 1700 0 ) ( * 17340 )
NEW met2 ( 651590 17340 ) ( 652970 * )
NEW met2 ( 648830 82800 ) ( 651590 * )
NEW met2 ( 651590 17340 ) ( * 82800 )
NEW met2 ( 648830 82800 ) ( * 1907570 )
NEW met1 ( 210450 1907570 ) ( 648830 * )
NEW met1 ( 210450 1907570 ) M1M2_PR
NEW met1 ( 648830 1907570 ) M1M2_PR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( chip_controller la_data_out[20] ) + USE SIGNAL
+ ROUTED met1 ( 705410 20230 ) ( 707710 * )
NEW met2 ( 707710 14790 ) ( * 20230 )
NEW met2 ( 989690 1700 0 ) ( * 14790 )
NEW met1 ( 707710 14790 ) ( 989690 * )
NEW met1 ( 327290 1898390 ) ( * 1899410 )
NEW met2 ( 327290 1899410 ) ( * 1899580 )
NEW met2 ( 326370 1899580 0 ) ( 327290 * )
NEW met1 ( 327290 1898390 ) ( 704950 * )
NEW li1 ( 704950 1873230 ) ( * 1875610 )
NEW met2 ( 704950 1873060 ) ( * 1873230 )
NEW met2 ( 704950 1873060 ) ( 705410 * )
NEW met2 ( 704950 1875610 ) ( * 1898390 )
NEW met2 ( 705410 20230 ) ( * 1873060 )
NEW met1 ( 705410 20230 ) M1M2_PR
NEW met1 ( 707710 20230 ) M1M2_PR
NEW met1 ( 707710 14790 ) M1M2_PR
NEW met1 ( 989690 14790 ) M1M2_PR
NEW met1 ( 327290 1899410 ) M1M2_PR
NEW met1 ( 704950 1898390 ) M1M2_PR
NEW li1 ( 704950 1875610 ) L1M1_PR_MR
NEW met1 ( 704950 1875610 ) M1M2_PR
NEW li1 ( 704950 1873230 ) L1M1_PR_MR
NEW met1 ( 704950 1873230 ) M1M2_PR
NEW met1 ( 704950 1875610 ) RECT ( 0 -70 355 70 )
NEW met1 ( 704950 1873230 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[21] ( PIN la_data_out[21] ) ( chip_controller la_data_out[21] ) + USE SIGNAL
+ ROUTED met1 ( 352590 1471690 ) ( 1007630 * )
NEW met1 ( 352590 1490390 ) ( 358570 * )
NEW met2 ( 358570 1490390 ) ( * 1500420 0 )
NEW met2 ( 352590 1471690 ) ( * 1490390 )
NEW met2 ( 1007630 1700 0 ) ( * 1471690 )
NEW met1 ( 352590 1471690 ) M1M2_PR
NEW met1 ( 1007630 1471690 ) M1M2_PR
NEW met1 ( 352590 1490390 ) M1M2_PR
NEW met1 ( 358570 1490390 ) M1M2_PR ;
- la_data_out[22] ( PIN la_data_out[22] ) ( chip_controller la_data_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 1025570 1700 0 ) ( * 28730 )
NEW met1 ( 646070 28730 ) ( 1025570 * )
NEW met2 ( 341090 1899580 0 ) ( * 1911310 )
NEW met1 ( 341090 1911310 ) ( 344310 * )
NEW met2 ( 344310 1911310 ) ( * 1938170 )
NEW met2 ( 646070 28730 ) ( * 1938170 )
NEW met1 ( 344310 1938170 ) ( 646070 * )
NEW met1 ( 344310 1938170 ) M1M2_PR
NEW met1 ( 646070 28730 ) M1M2_PR
NEW met1 ( 646070 1938170 ) M1M2_PR
NEW met1 ( 1025570 28730 ) M1M2_PR
NEW met1 ( 341090 1911310 ) M1M2_PR
NEW met1 ( 344310 1911310 ) M1M2_PR ;
- la_data_out[23] ( PIN la_data_out[23] ) ( chip_controller la_data_out[23] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1643050 ) ( * 1647980 )
NEW met3 ( 189750 1647980 ) ( 201020 * 0 )
NEW met1 ( 171810 1643050 ) ( 189750 * )
NEW met2 ( 1042130 82800 ) ( 1043050 * )
NEW met2 ( 1043050 1700 0 ) ( * 82800 )
NEW met2 ( 1042130 82800 ) ( * 1481210 )
NEW met2 ( 171810 1481210 ) ( * 1643050 )
NEW met1 ( 171810 1481210 ) ( 1042130 * )
NEW met1 ( 189750 1643050 ) M1M2_PR
NEW met2 ( 189750 1647980 ) M2M3_PR_M
NEW met1 ( 171810 1643050 ) M1M2_PR
NEW met1 ( 1042130 1481210 ) M1M2_PR
NEW met1 ( 171810 1481210 ) M1M2_PR ;
- la_data_out[24] ( PIN la_data_out[24] ) ( chip_controller la_data_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 1060990 1700 0 ) ( * 29070 )
NEW met2 ( 486450 1916070 ) ( * 1936130 )
NEW met1 ( 659870 29070 ) ( 1060990 * )
NEW met2 ( 352130 1899580 0 ) ( * 1916070 )
NEW met1 ( 352130 1916070 ) ( 486450 * )
NEW met2 ( 659870 29070 ) ( * 1936130 )
NEW met1 ( 486450 1936130 ) ( 659870 * )
NEW met1 ( 486450 1936130 ) M1M2_PR
NEW met1 ( 1060990 29070 ) M1M2_PR
NEW met1 ( 486450 1916070 ) M1M2_PR
NEW met1 ( 659870 29070 ) M1M2_PR
NEW met1 ( 659870 1936130 ) M1M2_PR
NEW met1 ( 352130 1916070 ) M1M2_PR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( chip_controller la_data_out[25] ) + USE SIGNAL
+ ROUTED met2 ( 381110 1472370 ) ( * 1500420 0 )
NEW met2 ( 1076630 82800 ) ( 1078470 * )
NEW met2 ( 1078470 1700 0 ) ( * 82800 )
NEW met2 ( 1076630 82800 ) ( * 1472370 )
NEW met1 ( 381110 1472370 ) ( 1076630 * )
NEW met1 ( 381110 1472370 ) M1M2_PR
NEW met1 ( 1076630 1472370 ) M1M2_PR ;
- la_data_out[26] ( PIN la_data_out[26] ) ( chip_controller la_data_out[26] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1656990 ) ( * 1658860 )
NEW met3 ( 189750 1658860 ) ( 201020 * 0 )
NEW met2 ( 1090890 82800 ) ( 1096410 * )
NEW met2 ( 1096410 1700 0 ) ( * 82800 )
NEW met2 ( 1090890 82800 ) ( * 1474070 )
NEW met1 ( 172270 1656990 ) ( 189750 * )
NEW met2 ( 172270 1474070 ) ( * 1656990 )
NEW met1 ( 172270 1474070 ) ( 1090890 * )
NEW met1 ( 189750 1656990 ) M1M2_PR
NEW met2 ( 189750 1658860 ) M2M3_PR_M
NEW met1 ( 1090890 1474070 ) M1M2_PR
NEW met1 ( 172270 1656990 ) M1M2_PR
NEW met1 ( 172270 1474070 ) M1M2_PR ;
- la_data_out[27] ( PIN la_data_out[27] ) ( chip_controller la_data_out[27] ) + USE SIGNAL
+ ROUTED met1 ( 387550 1490050 ) ( 398590 * )
NEW met2 ( 387550 1490050 ) ( * 1500420 0 )
NEW met2 ( 398590 1467270 ) ( * 1490050 )
NEW met1 ( 398590 1467270 ) ( 1111130 * )
NEW met2 ( 1111130 82800 ) ( 1113890 * )
NEW met2 ( 1113890 1700 0 ) ( * 82800 )
NEW met2 ( 1111130 82800 ) ( * 1467270 )
NEW met1 ( 398590 1467270 ) M1M2_PR
NEW met1 ( 398590 1490050 ) M1M2_PR
NEW met1 ( 387550 1490050 ) M1M2_PR
NEW met1 ( 1111130 1467270 ) M1M2_PR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( chip_controller la_data_out[28] ) + USE SIGNAL
+ ROUTED met2 ( 393990 1475090 ) ( * 1500420 0 )
NEW met1 ( 393990 1475090 ) ( 1131830 * )
NEW met2 ( 1131830 1700 0 ) ( * 1475090 )
NEW met1 ( 393990 1475090 ) M1M2_PR
NEW met1 ( 1131830 1475090 ) M1M2_PR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( chip_controller la_data_out[29] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1669910 ) ( * 1675860 )
NEW met3 ( 189750 1675860 ) ( 201020 * 0 )
NEW met2 ( 114310 1452310 ) ( * 1669910 )
NEW met2 ( 1145630 82800 ) ( 1149310 * )
NEW met2 ( 1149310 1700 0 ) ( * 82800 )
NEW met2 ( 1145630 82800 ) ( * 1452310 )
NEW met1 ( 114310 1669910 ) ( 189750 * )
NEW met1 ( 114310 1452310 ) ( 1145630 * )
NEW met1 ( 114310 1452310 ) M1M2_PR
NEW met1 ( 114310 1669910 ) M1M2_PR
NEW met1 ( 189750 1669910 ) M1M2_PR
NEW met2 ( 189750 1675860 ) M2M3_PR_M
NEW met1 ( 1145630 1452310 ) M1M2_PR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( chip_controller la_data_out[2] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1520820 0 ) ( 608350 * )
NEW met2 ( 608350 1518270 ) ( * 1520820 )
NEW met2 ( 669530 82800 ) ( 670910 * )
NEW met2 ( 670910 1700 0 ) ( * 82800 )
NEW met2 ( 669530 82800 ) ( * 1518270 )
NEW met1 ( 608350 1518270 ) ( 669530 * )
NEW met2 ( 608350 1520820 ) M2M3_PR_M
NEW met1 ( 608350 1518270 ) M1M2_PR
NEW met1 ( 669530 1518270 ) M1M2_PR ;
- la_data_out[30] ( PIN la_data_out[30] ) ( chip_controller la_data_out[30] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1689460 0 ) ( 607430 * )
NEW met2 ( 607430 1684190 ) ( * 1689460 )
NEW met2 ( 1166330 82800 ) ( 1167250 * )
NEW met2 ( 1167250 1700 0 ) ( * 82800 )
NEW met2 ( 1166330 82800 ) ( * 1684190 )
NEW met1 ( 607430 1684190 ) ( 1166330 * )
NEW met2 ( 607430 1689460 ) M2M3_PR_M
NEW met1 ( 607430 1684190 ) M1M2_PR
NEW met1 ( 1166330 1684190 ) M1M2_PR ;
- la_data_out[31] ( PIN la_data_out[31] ) ( chip_controller la_data_out[31] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1698980 0 ) ( 607430 * )
NEW met2 ( 607430 1697790 ) ( * 1698980 )
NEW met2 ( 1180130 82800 ) ( 1185190 * )
NEW met2 ( 1185190 1700 0 ) ( * 82800 )
NEW met2 ( 1180130 82800 ) ( * 1697790 )
NEW met1 ( 607430 1697790 ) ( 1180130 * )
NEW met2 ( 607430 1698980 ) M2M3_PR_M
NEW met1 ( 607430 1697790 ) M1M2_PR
NEW met1 ( 1180130 1697790 ) M1M2_PR ;
- la_data_out[32] ( PIN la_data_out[32] ) ( chip_controller la_data_out[32] ) + USE SIGNAL
+ ROUTED met2 ( 384330 1899580 0 ) ( 384790 * )
NEW met2 ( 384790 1899580 ) ( * 1940890 )
NEW met2 ( 680570 78370 ) ( * 1940890 )
NEW met1 ( 680570 78370 ) ( 1202670 * )
NEW met2 ( 1202670 1700 0 ) ( * 78370 )
NEW met1 ( 384790 1940890 ) ( 680570 * )
NEW met1 ( 384790 1940890 ) M1M2_PR
NEW met1 ( 680570 1940890 ) M1M2_PR
NEW met1 ( 680570 78370 ) M1M2_PR
NEW met1 ( 1202670 78370 ) M1M2_PR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( chip_controller la_data_out[33] ) + USE SIGNAL
+ ROUTED met1 ( 411930 1485970 ) ( 417450 * )
NEW met2 ( 411930 1485970 ) ( * 1500420 0 )
NEW met2 ( 417450 107270 ) ( * 1485970 )
NEW met1 ( 417450 107270 ) ( 1215090 * )
NEW met2 ( 1215090 82800 ) ( * 107270 )
NEW met2 ( 1215090 82800 ) ( 1220610 * )
NEW met2 ( 1220610 1700 0 ) ( * 82800 )
NEW met1 ( 417450 107270 ) M1M2_PR
NEW met1 ( 417450 1485970 ) M1M2_PR
NEW met1 ( 411930 1485970 ) M1M2_PR
NEW met1 ( 1215090 107270 ) M1M2_PR ;
- la_data_out[34] ( PIN la_data_out[34] ) ( chip_controller la_data_out[34] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1690990 ) ( * 1696940 )
NEW met3 ( 189750 1696940 ) ( 201020 * 0 )
NEW met1 ( 160770 1690990 ) ( 189750 * )
NEW met2 ( 1235330 82800 ) ( 1238090 * )
NEW met2 ( 1238090 1700 0 ) ( * 82800 )
NEW met2 ( 1235330 82800 ) ( * 1473390 )
NEW met2 ( 160770 1473390 ) ( * 1690990 )
NEW met1 ( 160770 1473390 ) ( 1235330 * )
NEW met1 ( 189750 1690990 ) M1M2_PR
NEW met2 ( 189750 1696940 ) M2M3_PR_M
NEW met1 ( 1235330 1473390 ) M1M2_PR
NEW met1 ( 160770 1690990 ) M1M2_PR
NEW met1 ( 160770 1473390 ) M1M2_PR ;
- la_data_out[35] ( PIN la_data_out[35] ) ( chip_controller la_data_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1702380 0 ) ( 608350 * )
NEW met2 ( 608350 1697450 ) ( * 1702380 )
NEW met2 ( 1256030 1700 0 ) ( * 1697450 )
NEW met1 ( 608350 1697450 ) ( 1256030 * )
NEW met2 ( 608350 1702380 ) M2M3_PR_M
NEW met1 ( 608350 1697450 ) M1M2_PR
NEW met1 ( 1256030 1697450 ) M1M2_PR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( chip_controller la_data_out[36] ) + USE SIGNAL
+ ROUTED met2 ( 1273510 1700 0 ) ( * 17340 )
NEW met2 ( 1273050 17340 ) ( 1273510 * )
NEW met2 ( 397210 1899580 0 ) ( 398590 * )
NEW met2 ( 398590 1899580 ) ( * 1941230 )
NEW met1 ( 398590 1941230 ) ( 693910 * )
NEW met1 ( 693910 71230 ) ( 1273050 * )
NEW met2 ( 1273050 17340 ) ( * 71230 )
NEW met2 ( 693910 71230 ) ( * 1941230 )
NEW met1 ( 398590 1941230 ) M1M2_PR
NEW met1 ( 693910 71230 ) M1M2_PR
NEW met1 ( 693910 1941230 ) M1M2_PR
NEW met1 ( 1273050 71230 ) M1M2_PR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( chip_controller la_data_out[37] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1704420 ) ( * 1705270 )
NEW met3 ( 188830 1704420 ) ( 201020 * 0 )
NEW met1 ( 166290 1705270 ) ( 188830 * )
NEW met2 ( 166290 1480020 ) ( * 1705270 )
NEW met3 ( 166290 1480020 ) ( 1290530 * )
NEW met2 ( 1290530 110400 ) ( * 1480020 )
NEW met2 ( 1290530 110400 ) ( 1291450 * )
NEW met2 ( 1291450 1700 0 ) ( * 110400 )
NEW met1 ( 188830 1705270 ) M1M2_PR
NEW met2 ( 188830 1704420 ) M2M3_PR_M
NEW met2 ( 1290530 1480020 ) M2M3_PR_M
NEW met1 ( 166290 1705270 ) M1M2_PR
NEW met2 ( 166290 1480020 ) M2M3_PR_M ;
- la_data_out[38] ( PIN la_data_out[38] ) ( chip_controller la_data_out[38] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1705610 ) ( * 1705780 )
NEW met3 ( 190210 1705780 ) ( 201020 * 0 )
NEW met2 ( 156630 106930 ) ( * 1705610 )
NEW met1 ( 156630 1705610 ) ( 190210 * )
NEW met2 ( 1308930 1700 0 ) ( * 34500 )
NEW met2 ( 1304790 58820 ) ( 1305710 * )
NEW met2 ( 1305710 34500 ) ( * 58820 )
NEW met2 ( 1305710 34500 ) ( 1308930 * )
NEW met2 ( 1304790 58820 ) ( * 62100 )
NEW met2 ( 1304330 62100 ) ( * 106930 )
NEW met2 ( 1304330 62100 ) ( 1304790 * )
NEW met1 ( 156630 106930 ) ( 1304330 * )
NEW met1 ( 190210 1705610 ) M1M2_PR
NEW met2 ( 190210 1705780 ) M2M3_PR_M
NEW met1 ( 156630 106930 ) M1M2_PR
NEW met1 ( 156630 1705610 ) M1M2_PR
NEW met1 ( 1304330 106930 ) M1M2_PR ;
- la_data_out[39] ( PIN la_data_out[39] ) ( chip_controller la_data_out[39] ) + USE SIGNAL
+ ROUTED met2 ( 404110 1899580 0 ) ( * 1900430 )
NEW met2 ( 1326870 1700 0 ) ( * 20570 )
NEW met1 ( 638250 20570 ) ( 1326870 * )
NEW li1 ( 605590 1900430 ) ( 606510 * )
NEW li1 ( 606510 1900090 ) ( * 1900430 )
NEW met1 ( 606510 1900090 ) ( 613410 * )
NEW li1 ( 613410 1900090 ) ( * 1902130 )
NEW li1 ( 613410 1902130 ) ( 614790 * )
NEW met1 ( 614790 1902130 ) ( 619390 * )
NEW li1 ( 619390 1898730 ) ( * 1902130 )
NEW met1 ( 619390 1898730 ) ( 638250 * )
NEW met1 ( 404110 1900430 ) ( 605590 * )
NEW met2 ( 638250 20570 ) ( * 1898730 )
NEW met1 ( 404110 1900430 ) M1M2_PR
NEW met1 ( 638250 20570 ) M1M2_PR
NEW met1 ( 1326870 20570 ) M1M2_PR
NEW li1 ( 605590 1900430 ) L1M1_PR_MR
NEW li1 ( 606510 1900090 ) L1M1_PR_MR
NEW li1 ( 613410 1900090 ) L1M1_PR_MR
NEW li1 ( 614790 1902130 ) L1M1_PR_MR
NEW li1 ( 619390 1902130 ) L1M1_PR_MR
NEW li1 ( 619390 1898730 ) L1M1_PR_MR
NEW met1 ( 638250 1898730 ) M1M2_PR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( chip_controller la_data_out[3] ) + USE SIGNAL
+ ROUTED met3 ( 189290 1534420 ) ( 201020 * 0 )
NEW met2 ( 688390 1700 0 ) ( * 16830 )
NEW met2 ( 189290 1522010 ) ( * 1534420 )
NEW met2 ( 307050 16830 ) ( * 1487670 )
NEW met1 ( 307050 16830 ) ( 688390 * )
NEW met2 ( 189290 1487670 ) ( * 1510450 )
NEW li1 ( 189290 1510450 ) ( * 1522010 )
NEW met1 ( 189290 1487670 ) ( 307050 * )
NEW met2 ( 189290 1534420 ) M2M3_PR_M
NEW met1 ( 307050 16830 ) M1M2_PR
NEW met1 ( 688390 16830 ) M1M2_PR
NEW li1 ( 189290 1522010 ) L1M1_PR_MR
NEW met1 ( 189290 1522010 ) M1M2_PR
NEW met1 ( 307050 1487670 ) M1M2_PR
NEW li1 ( 189290 1510450 ) L1M1_PR_MR
NEW met1 ( 189290 1510450 ) M1M2_PR
NEW met1 ( 189290 1487670 ) M1M2_PR
NEW met1 ( 189290 1522010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 189290 1510450 ) RECT ( 0 -70 355 70 ) ;
- la_data_out[40] ( PIN la_data_out[40] ) ( chip_controller la_data_out[40] ) + USE SIGNAL
+ ROUTED met2 ( 1344350 1700 0 ) ( * 43010 )
NEW met1 ( 421590 1490390 ) ( 426190 * )
NEW met2 ( 421590 1490390 ) ( * 1500420 0 )
NEW met2 ( 426190 43010 ) ( * 1490390 )
NEW met1 ( 426190 43010 ) ( 1344350 * )
NEW met1 ( 1344350 43010 ) M1M2_PR
NEW met1 ( 426190 43010 ) M1M2_PR
NEW met1 ( 426190 1490390 ) M1M2_PR
NEW met1 ( 421590 1490390 ) M1M2_PR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( chip_controller la_data_out[41] ) + USE SIGNAL
+ ROUTED met2 ( 1362290 1700 0 ) ( * 16490 )
NEW met2 ( 406410 1899410 ) ( * 1899580 )
NEW met2 ( 405490 1899580 0 ) ( 406410 * )
NEW met2 ( 688850 16490 ) ( * 1899410 )
NEW met1 ( 688850 16490 ) ( 1362290 * )
NEW met1 ( 406410 1899410 ) ( 688850 * )
NEW met1 ( 688850 16490 ) M1M2_PR
NEW met1 ( 1362290 16490 ) M1M2_PR
NEW met1 ( 406410 1899410 ) M1M2_PR
NEW met1 ( 688850 1899410 ) M1M2_PR ;
- la_data_out[42] ( PIN la_data_out[42] ) ( chip_controller la_data_out[42] ) + USE SIGNAL
+ ROUTED met2 ( 1380230 1700 0 ) ( * 27710 )
NEW met2 ( 408710 1899580 0 ) ( * 1912670 )
NEW met2 ( 486910 1912670 ) ( * 1933070 )
NEW met1 ( 625370 122230 ) ( 1290070 * )
NEW met1 ( 1290070 27710 ) ( 1380230 * )
NEW met1 ( 408710 1912670 ) ( 486910 * )
NEW met1 ( 486910 1933070 ) ( 625370 * )
NEW met2 ( 1290070 27710 ) ( * 122230 )
NEW met2 ( 625370 122230 ) ( * 1933070 )
NEW met1 ( 486910 1933070 ) M1M2_PR
NEW met1 ( 1290070 27710 ) M1M2_PR
NEW met1 ( 1290070 122230 ) M1M2_PR
NEW met1 ( 1380230 27710 ) M1M2_PR
NEW met1 ( 408710 1912670 ) M1M2_PR
NEW met1 ( 486910 1912670 ) M1M2_PR
NEW met1 ( 625370 122230 ) M1M2_PR
NEW met1 ( 625370 1933070 ) M1M2_PR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( chip_controller la_data_out[43] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1725330 ) ( * 1725500 )
NEW met3 ( 190210 1725500 ) ( 201020 * 0 )
NEW met1 ( 141450 1725330 ) ( 190210 * )
NEW met2 ( 141450 69530 ) ( * 1725330 )
NEW met2 ( 1397710 1700 0 ) ( * 69530 )
NEW met1 ( 141450 69530 ) ( 1397710 * )
NEW met1 ( 190210 1725330 ) M1M2_PR
NEW met2 ( 190210 1725500 ) M2M3_PR_M
NEW met1 ( 141450 1725330 ) M1M2_PR
NEW met1 ( 141450 69530 ) M1M2_PR
NEW met1 ( 1397710 69530 ) M1M2_PR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( chip_controller la_data_out[44] ) + USE SIGNAL
+ ROUTED met2 ( 426650 1500420 0 ) ( 427110 * )
NEW met2 ( 427110 43350 ) ( * 1500420 )
NEW met1 ( 427110 43350 ) ( 1415650 * )
NEW met2 ( 1415650 1700 0 ) ( * 43350 )
NEW met1 ( 427110 43350 ) M1M2_PR
NEW met1 ( 1415650 43350 ) M1M2_PR ;
- la_data_out[45] ( PIN la_data_out[45] ) ( chip_controller la_data_out[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1720740 0 ) ( 608350 * )
NEW met2 ( 608350 1719210 ) ( * 1720740 )
NEW met2 ( 900450 21590 ) ( * 1719210 )
NEW met2 ( 1433130 1700 0 ) ( * 21590 )
NEW met1 ( 900450 21590 ) ( 1433130 * )
NEW met1 ( 608350 1719210 ) ( 900450 * )
NEW met1 ( 900450 21590 ) M1M2_PR
NEW met2 ( 608350 1720740 ) M2M3_PR_M
NEW met1 ( 608350 1719210 ) M1M2_PR
NEW met1 ( 900450 1719210 ) M1M2_PR
NEW met1 ( 1433130 21590 ) M1M2_PR ;
- la_data_out[46] ( PIN la_data_out[46] ) ( chip_controller la_data_out[46] ) + USE SIGNAL
+ ROUTED met2 ( 1451070 1700 0 ) ( * 28050 )
NEW met1 ( 632730 122910 ) ( 1284550 * )
NEW met1 ( 1289610 28050 ) ( 1451070 * )
NEW met2 ( 421590 1899580 0 ) ( * 1911310 )
NEW met1 ( 421590 1911310 ) ( 426650 * )
NEW met2 ( 426650 1911310 ) ( * 1934430 )
NEW met1 ( 426650 1934430 ) ( 632730 * )
NEW met1 ( 1284550 83810 ) ( 1289610 * )
NEW met2 ( 1284550 83810 ) ( * 122910 )
NEW met2 ( 1289610 28050 ) ( * 83810 )
NEW met2 ( 632730 122910 ) ( * 1934430 )
NEW met1 ( 1289610 28050 ) M1M2_PR
NEW met1 ( 1284550 122910 ) M1M2_PR
NEW met1 ( 1451070 28050 ) M1M2_PR
NEW met1 ( 426650 1934430 ) M1M2_PR
NEW met1 ( 632730 122910 ) M1M2_PR
NEW met1 ( 421590 1911310 ) M1M2_PR
NEW met1 ( 426650 1911310 ) M1M2_PR
NEW met1 ( 632730 1934430 ) M1M2_PR
NEW met1 ( 1284550 83810 ) M1M2_PR
NEW met1 ( 1289610 83810 ) M1M2_PR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( chip_controller la_data_out[47] ) + USE SIGNAL
+ ROUTED met2 ( 1468550 1700 0 ) ( * 44030 )
NEW met2 ( 433090 1500420 0 ) ( 434010 * )
NEW met2 ( 434010 44030 ) ( * 1500420 )
NEW met1 ( 434010 44030 ) ( 1468550 * )
NEW met1 ( 1468550 44030 ) M1M2_PR
NEW met1 ( 434010 44030 ) M1M2_PR ;
- la_data_out[48] ( PIN la_data_out[48] ) ( chip_controller la_data_out[48] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 111180 ) ( * 123930 )
NEW met2 ( 1283170 111180 ) ( 1283630 * )
NEW met1 ( 646530 123930 ) ( 1283630 * )
NEW met2 ( 431250 1899580 0 ) ( 432630 * )
NEW met2 ( 432630 1899580 ) ( * 1942930 )
NEW met2 ( 646530 123930 ) ( * 1942930 )
NEW met1 ( 1285010 55590 ) ( 1486490 * )
NEW met2 ( 1486490 1700 0 ) ( * 55590 )
NEW met1 ( 432630 1942930 ) ( 646530 * )
NEW met2 ( 1285010 55590 ) ( * 62100 )
NEW met2 ( 1283170 108460 ) ( 1283630 * )
NEW met2 ( 1283630 62100 ) ( * 108460 )
NEW met2 ( 1283630 62100 ) ( 1285010 * )
NEW met2 ( 1283170 108460 ) ( * 111180 )
NEW met1 ( 1283630 123930 ) M1M2_PR
NEW met1 ( 1285010 55590 ) M1M2_PR
NEW met1 ( 432630 1942930 ) M1M2_PR
NEW met1 ( 646530 123930 ) M1M2_PR
NEW met1 ( 646530 1942930 ) M1M2_PR
NEW met1 ( 1486490 55590 ) M1M2_PR ;
- la_data_out[49] ( PIN la_data_out[49] ) ( chip_controller la_data_out[49] ) + USE SIGNAL
+ ROUTED met2 ( 434470 44370 ) ( * 1500420 0 )
NEW met1 ( 434470 44370 ) ( 1503970 * )
NEW met2 ( 1503970 1700 0 ) ( * 44370 )
NEW met1 ( 434470 44370 ) M1M2_PR
NEW met1 ( 1503970 44370 ) M1M2_PR ;
- la_data_out[4] ( PIN la_data_out[4] ) ( chip_controller la_data_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 706330 1700 0 ) ( * 17340 )
NEW met2 ( 704950 17340 ) ( 706330 * )
NEW met2 ( 286810 68170 ) ( * 1484270 )
NEW met2 ( 704950 17340 ) ( * 68170 )
NEW met2 ( 247250 1484270 ) ( * 1500420 0 )
NEW met1 ( 247250 1484270 ) ( 286810 * )
NEW met1 ( 286810 68170 ) ( 704950 * )
NEW met1 ( 286810 68170 ) M1M2_PR
NEW met1 ( 286810 1484270 ) M1M2_PR
NEW met1 ( 704950 68170 ) M1M2_PR
NEW met1 ( 247250 1484270 ) M1M2_PR ;
- la_data_out[50] ( PIN la_data_out[50] ) ( chip_controller la_data_out[50] ) + USE SIGNAL
+ ROUTED met2 ( 681030 133450 ) ( * 1941910 )
NEW met2 ( 436310 1899580 0 ) ( * 1911310 )
NEW met1 ( 436310 1911310 ) ( 440450 * )
NEW met2 ( 440450 1911310 ) ( * 1941910 )
NEW met1 ( 681030 133450 ) ( 1285930 * )
NEW met1 ( 1285930 48450 ) ( 1521910 * )
NEW met2 ( 1521910 1700 0 ) ( * 48450 )
NEW met1 ( 440450 1941910 ) ( 681030 * )
NEW met2 ( 1285930 48450 ) ( * 133450 )
NEW met1 ( 681030 1941910 ) M1M2_PR
NEW met1 ( 681030 133450 ) M1M2_PR
NEW met1 ( 1285930 48450 ) M1M2_PR
NEW met1 ( 1285930 133450 ) M1M2_PR
NEW met1 ( 440450 1941910 ) M1M2_PR
NEW met1 ( 436310 1911310 ) M1M2_PR
NEW met1 ( 440450 1911310 ) M1M2_PR
NEW met1 ( 1521910 48450 ) M1M2_PR ;
- la_data_out[51] ( PIN la_data_out[51] ) ( chip_controller la_data_out[51] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1732470 ) ( * 1737740 )
NEW met3 ( 189750 1737740 ) ( 201020 * 0 )
NEW met2 ( 1539850 1700 0 ) ( * 45050 )
NEW met1 ( 134550 1732470 ) ( 189750 * )
NEW met2 ( 134550 45050 ) ( * 1732470 )
NEW met1 ( 134550 45050 ) ( 1539850 * )
NEW met1 ( 189750 1732470 ) M1M2_PR
NEW met2 ( 189750 1737740 ) M2M3_PR_M
NEW met1 ( 1539850 45050 ) M1M2_PR
NEW met1 ( 134550 1732470 ) M1M2_PR
NEW met1 ( 134550 45050 ) M1M2_PR ;
- la_data_out[52] ( PIN la_data_out[52] ) ( chip_controller la_data_out[52] ) + USE SIGNAL
+ ROUTED met2 ( 1557330 1700 0 ) ( * 47770 )
NEW met2 ( 439530 1435200 ) ( 441370 * )
NEW met2 ( 441370 47770 ) ( * 1435200 )
NEW met2 ( 439530 1435200 ) ( * 1500420 0 )
NEW met1 ( 441370 47770 ) ( 1557330 * )
NEW met1 ( 1557330 47770 ) M1M2_PR
NEW met1 ( 441370 47770 ) M1M2_PR ;
- la_data_out[53] ( PIN la_data_out[53] ) ( chip_controller la_data_out[53] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1739100 0 ) ( 607430 * )
NEW met2 ( 607430 1739100 ) ( * 1739610 )
NEW met2 ( 1575270 1700 0 ) ( * 42670 )
NEW met1 ( 607430 1739610 ) ( 845250 * )
NEW met2 ( 845250 42670 ) ( * 1739610 )
NEW met1 ( 845250 42670 ) ( 1575270 * )
NEW met2 ( 607430 1739100 ) M2M3_PR_M
NEW met1 ( 607430 1739610 ) M1M2_PR
NEW met1 ( 1575270 42670 ) M1M2_PR
NEW met1 ( 845250 1739610 ) M1M2_PR
NEW met1 ( 845250 42670 ) M1M2_PR ;
- la_data_out[54] ( PIN la_data_out[54] ) ( chip_controller la_data_out[54] ) + USE SIGNAL
+ ROUTED met1 ( 445970 1490390 ) ( 447810 * )
NEW met2 ( 445970 1490390 ) ( * 1500420 0 )
NEW met2 ( 447810 47430 ) ( * 1490390 )
NEW met1 ( 447810 47430 ) ( 1592750 * )
NEW met2 ( 1592750 1700 0 ) ( * 47430 )
NEW met1 ( 447810 47430 ) M1M2_PR
NEW met1 ( 447810 1490390 ) M1M2_PR
NEW met1 ( 445970 1490390 ) M1M2_PR
NEW met1 ( 1592750 47430 ) M1M2_PR ;
- la_data_out[55] ( PIN la_data_out[55] ) ( chip_controller la_data_out[55] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1745730 ) ( * 1746580 )
NEW met3 ( 190210 1746580 ) ( 201020 * 0 )
NEW met2 ( 127650 79050 ) ( * 1745730 )
NEW met1 ( 127650 1745730 ) ( 190210 * )
NEW met2 ( 1610690 1700 0 ) ( * 79050 )
NEW met1 ( 127650 79050 ) ( 1610690 * )
NEW met1 ( 127650 1745730 ) M1M2_PR
NEW met1 ( 190210 1745730 ) M1M2_PR
NEW met2 ( 190210 1746580 ) M2M3_PR_M
NEW met1 ( 127650 79050 ) M1M2_PR
NEW met1 ( 1610690 79050 ) M1M2_PR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( chip_controller la_data_out[56] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1744540 0 ) ( 609270 * )
NEW met2 ( 609270 1739270 ) ( * 1744540 )
NEW met1 ( 609270 1739270 ) ( 1279950 * )
NEW met1 ( 1279950 41650 ) ( 1628170 * )
NEW met2 ( 1628170 1700 0 ) ( * 41650 )
NEW met2 ( 1279950 41650 ) ( * 1739270 )
NEW met2 ( 609270 1744540 ) M2M3_PR_M
NEW met1 ( 609270 1739270 ) M1M2_PR
NEW met1 ( 1279950 1739270 ) M1M2_PR
NEW met1 ( 1279950 41650 ) M1M2_PR
NEW met1 ( 1628170 41650 ) M1M2_PR ;
- la_data_out[57] ( PIN la_data_out[57] ) ( chip_controller la_data_out[57] ) + USE SIGNAL
+ ROUTED met2 ( 1646110 1700 0 ) ( * 47090 )
NEW met2 ( 447350 47090 ) ( * 1500420 0 )
NEW met1 ( 447350 47090 ) ( 1646110 * )
NEW met1 ( 1646110 47090 ) M1M2_PR
NEW met1 ( 447350 47090 ) M1M2_PR ;
- la_data_out[58] ( PIN la_data_out[58] ) ( chip_controller la_data_out[58] ) + USE SIGNAL
+ ROUTED met2 ( 1663590 1700 0 ) ( * 46410 )
NEW met2 ( 453330 1492260 ) ( 454250 * )
NEW met2 ( 453330 1492260 ) ( * 1500420 )
NEW met2 ( 452410 1500420 0 ) ( 453330 * )
NEW met2 ( 454250 46410 ) ( * 1492260 )
NEW met1 ( 454250 46410 ) ( 1663590 * )
NEW met1 ( 1663590 46410 ) M1M2_PR
NEW met1 ( 454250 46410 ) M1M2_PR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( chip_controller la_data_out[59] ) + USE SIGNAL
+ ROUTED met2 ( 1283170 126820 ) ( 1284090 * )
NEW met2 ( 1283630 131580 ) ( * 137530 )
NEW met2 ( 1283170 131580 ) ( 1283630 * )
NEW met2 ( 1283170 126820 ) ( * 131580 )
NEW met2 ( 460230 1899580 0 ) ( 461610 * )
NEW met2 ( 461610 1899580 ) ( * 1934770 )
NEW met2 ( 661250 137530 ) ( * 1934770 )
NEW met1 ( 661250 137530 ) ( 1283630 * )
NEW met1 ( 1288690 35190 ) ( 1681530 * )
NEW met2 ( 1681530 1700 0 ) ( * 35190 )
NEW met1 ( 461610 1934770 ) ( 661250 * )
NEW met1 ( 1284090 83470 ) ( 1288690 * )
NEW met2 ( 1284090 83470 ) ( * 126820 )
NEW met2 ( 1288690 35190 ) ( * 83470 )
NEW met1 ( 1288690 35190 ) M1M2_PR
NEW met1 ( 1283630 137530 ) M1M2_PR
NEW met1 ( 461610 1934770 ) M1M2_PR
NEW met1 ( 661250 1934770 ) M1M2_PR
NEW met1 ( 661250 137530 ) M1M2_PR
NEW met1 ( 1681530 35190 ) M1M2_PR
NEW met1 ( 1284090 83470 ) M1M2_PR
NEW met1 ( 1288690 83470 ) M1M2_PR ;
- la_data_out[5] ( PIN la_data_out[5] ) ( chip_controller la_data_out[5] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1535780 0 ) ( 608350 * )
NEW met2 ( 608350 1531870 ) ( * 1535780 )
NEW met2 ( 723810 1700 0 ) ( * 20910 )
NEW met1 ( 717830 20910 ) ( 723810 * )
NEW met1 ( 608350 1531870 ) ( 717830 * )
NEW met2 ( 717830 20910 ) ( * 1531870 )
NEW met2 ( 608350 1535780 ) M2M3_PR_M
NEW met1 ( 608350 1531870 ) M1M2_PR
NEW met1 ( 723810 20910 ) M1M2_PR
NEW met1 ( 717830 20910 ) M1M2_PR
NEW met1 ( 717830 1531870 ) M1M2_PR ;
- la_data_out[60] ( PIN la_data_out[60] ) ( chip_controller la_data_out[60] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1749980 0 ) ( 608350 * )
NEW met2 ( 608350 1746070 ) ( * 1749980 )
NEW met2 ( 1266150 21930 ) ( * 1746070 )
NEW met1 ( 608350 1746070 ) ( 1266150 * )
NEW met2 ( 1699470 1700 0 ) ( * 21930 )
NEW met1 ( 1266150 21930 ) ( 1699470 * )
NEW met2 ( 608350 1749980 ) M2M3_PR_M
NEW met1 ( 608350 1746070 ) M1M2_PR
NEW met1 ( 1266150 21930 ) M1M2_PR
NEW met1 ( 1266150 1746070 ) M1M2_PR
NEW met1 ( 1699470 21930 ) M1M2_PR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( chip_controller la_data_out[61] ) + USE SIGNAL
+ ROUTED met2 ( 669070 134130 ) ( * 1943270 )
NEW met2 ( 465290 1899580 0 ) ( 466210 * )
NEW met2 ( 466210 1899580 ) ( * 1943270 )
NEW met1 ( 669070 134130 ) ( 1289150 * )
NEW met1 ( 1289150 41990 ) ( 1716950 * )
NEW met2 ( 1716950 1700 0 ) ( * 41990 )
NEW met1 ( 466210 1943270 ) ( 669070 * )
NEW met2 ( 1289150 41990 ) ( * 134130 )
NEW met1 ( 669070 1943270 ) M1M2_PR
NEW met1 ( 669070 134130 ) M1M2_PR
NEW met1 ( 1289150 41990 ) M1M2_PR
NEW met1 ( 1289150 134130 ) M1M2_PR
NEW met1 ( 466210 1943270 ) M1M2_PR
NEW met1 ( 1716950 41990 ) M1M2_PR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( chip_controller la_data_out[62] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1753210 ) ( * 1758820 )
NEW met3 ( 190670 1758820 ) ( 201020 * 0 )
NEW met2 ( 128570 44710 ) ( * 1753210 )
NEW met2 ( 1734890 1700 0 ) ( * 44710 )
NEW met1 ( 128570 1753210 ) ( 190670 * )
NEW met1 ( 128570 44710 ) ( 1734890 * )
NEW met1 ( 128570 1753210 ) M1M2_PR
NEW met1 ( 190670 1753210 ) M1M2_PR
NEW met2 ( 190670 1758820 ) M2M3_PR_M
NEW met1 ( 128570 44710 ) M1M2_PR
NEW met1 ( 1734890 44710 ) M1M2_PR ;
- la_data_out[63] ( PIN la_data_out[63] ) ( chip_controller la_data_out[63] ) + USE SIGNAL
+ ROUTED met2 ( 1752370 1700 0 ) ( * 16830 )
NEW met1 ( 1745930 16830 ) ( 1752370 * )
NEW met2 ( 1745930 16830 ) ( * 64430 )
NEW met1 ( 463450 1490390 ) ( 467590 * )
NEW met2 ( 463450 1490390 ) ( * 1500420 0 )
NEW met2 ( 467590 64430 ) ( * 1490390 )
NEW met1 ( 467590 64430 ) ( 1745930 * )
NEW met1 ( 1752370 16830 ) M1M2_PR
NEW met1 ( 1745930 16830 ) M1M2_PR
NEW met1 ( 1745930 64430 ) M1M2_PR
NEW met1 ( 467590 64430 ) M1M2_PR
NEW met1 ( 467590 1490390 ) M1M2_PR
NEW met1 ( 463450 1490390 ) M1M2_PR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( chip_controller la_data_out[64] ) + USE SIGNAL
+ ROUTED met2 ( 1770310 1700 0 ) ( * 45730 )
NEW met2 ( 466210 1492260 ) ( 468050 * )
NEW met2 ( 466210 1492260 ) ( * 1500420 )
NEW met2 ( 465290 1500420 0 ) ( 466210 * )
NEW met2 ( 468050 45730 ) ( * 1492260 )
NEW met1 ( 468050 45730 ) ( 1770310 * )
NEW met1 ( 1770310 45730 ) M1M2_PR
NEW met1 ( 468050 45730 ) M1M2_PR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( chip_controller la_data_out[65] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1760350 ) ( * 1765620 )
NEW met3 ( 190210 1765620 ) ( 201020 * 0 )
NEW met1 ( 147430 1760350 ) ( 190210 * )
NEW met2 ( 147430 61370 ) ( * 1760350 )
NEW met1 ( 147430 61370 ) ( 1787790 * )
NEW met2 ( 1787790 1700 0 ) ( * 61370 )
NEW met1 ( 190210 1760350 ) M1M2_PR
NEW met2 ( 190210 1765620 ) M2M3_PR_M
NEW met1 ( 147430 1760350 ) M1M2_PR
NEW met1 ( 147430 61370 ) M1M2_PR
NEW met1 ( 1787790 61370 ) M1M2_PR ;
- la_data_out[66] ( PIN la_data_out[66] ) ( chip_controller la_data_out[66] ) + USE SIGNAL
+ ROUTED met2 ( 474950 1899580 0 ) ( 475410 * )
NEW met2 ( 475410 1899580 ) ( * 1943950 )
NEW met2 ( 666310 35870 ) ( * 1943950 )
NEW met1 ( 666310 35870 ) ( 1805730 * )
NEW met2 ( 1805730 1700 0 ) ( * 35870 )
NEW met1 ( 475410 1943950 ) ( 666310 * )
NEW met1 ( 475410 1943950 ) M1M2_PR
NEW met1 ( 666310 1943950 ) M1M2_PR
NEW met1 ( 666310 35870 ) M1M2_PR
NEW met1 ( 1805730 35870 ) M1M2_PR ;
- la_data_out[67] ( PIN la_data_out[67] ) ( chip_controller la_data_out[67] ) + USE SIGNAL
+ ROUTED met2 ( 1823210 1700 0 ) ( * 29750 )
NEW met2 ( 478170 1899580 0 ) ( * 1911310 )
NEW met1 ( 478170 1911310 ) ( 481850 * )
NEW met2 ( 481850 1911310 ) ( * 1932050 )
NEW met1 ( 652970 29750 ) ( 1823210 * )
NEW met2 ( 652970 29750 ) ( * 1932050 )
NEW met1 ( 481850 1932050 ) ( 652970 * )
NEW met1 ( 481850 1932050 ) M1M2_PR
NEW met1 ( 1823210 29750 ) M1M2_PR
NEW met1 ( 478170 1911310 ) M1M2_PR
NEW met1 ( 481850 1911310 ) M1M2_PR
NEW met1 ( 652970 29750 ) M1M2_PR
NEW met1 ( 652970 1932050 ) M1M2_PR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( chip_controller la_data_out[68] ) + USE SIGNAL
+ ROUTED met2 ( 474950 36210 ) ( * 1483500 )
NEW met2 ( 474490 1483500 ) ( 474950 * )
NEW met2 ( 474490 1483500 ) ( * 1500420 )
NEW met2 ( 473110 1500420 0 ) ( 474490 * )
NEW met2 ( 1841150 1700 0 ) ( * 36210 )
NEW met1 ( 474950 36210 ) ( 1841150 * )
NEW met1 ( 474950 36210 ) M1M2_PR
NEW met1 ( 1841150 36210 ) M1M2_PR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( chip_controller la_data_out[69] ) + USE SIGNAL
+ ROUTED met2 ( 482770 1899580 0 ) ( * 1935450 )
NEW met2 ( 674130 74970 ) ( * 1935450 )
NEW met2 ( 1858630 1700 0 ) ( * 74970 )
NEW met1 ( 482770 1935450 ) ( 674130 * )
NEW met1 ( 674130 74970 ) ( 1858630 * )
NEW met1 ( 482770 1935450 ) M1M2_PR
NEW met1 ( 674130 1935450 ) M1M2_PR
NEW met1 ( 674130 74970 ) M1M2_PR
NEW met1 ( 1858630 74970 ) M1M2_PR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( chip_controller la_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 242650 1899580 0 ) ( * 1912330 )
NEW met1 ( 175490 1912330 ) ( 242650 * )
NEW met2 ( 738530 82800 ) ( 741750 * )
NEW met2 ( 741750 1700 0 ) ( * 82800 )
NEW met2 ( 738530 82800 ) ( * 1494980 )
NEW met3 ( 175490 1494980 ) ( 738530 * )
NEW met2 ( 175490 1494980 ) ( * 1912330 )
NEW met1 ( 175490 1912330 ) M1M2_PR
NEW met1 ( 242650 1912330 ) M1M2_PR
NEW met2 ( 738530 1494980 ) M2M3_PR_M
NEW met2 ( 175490 1494980 ) M2M3_PR_M ;
- la_data_out[70] ( PIN la_data_out[70] ) ( chip_controller la_data_out[70] ) + USE SIGNAL
+ ROUTED met2 ( 129950 25670 ) ( * 1773610 )
NEW met2 ( 189290 1773610 ) ( * 1777860 )
NEW met3 ( 189290 1777860 ) ( 201020 * 0 )
NEW met2 ( 1876570 1700 0 ) ( * 25670 )
NEW met1 ( 129950 25670 ) ( 1876570 * )
NEW met1 ( 129950 1773610 ) ( 189290 * )
NEW met1 ( 129950 25670 ) M1M2_PR
NEW met1 ( 129950 1773610 ) M1M2_PR
NEW met1 ( 189290 1773610 ) M1M2_PR
NEW met2 ( 189290 1777860 ) M2M3_PR_M
NEW met1 ( 1876570 25670 ) M1M2_PR ;
- la_data_out[71] ( PIN la_data_out[71] ) ( chip_controller la_data_out[71] ) + USE SIGNAL
+ ROUTED met2 ( 487830 1899580 0 ) ( 488750 * )
NEW met2 ( 488750 1899580 ) ( * 1943610 )
NEW met2 ( 687930 46750 ) ( * 1943610 )
NEW met1 ( 687930 46750 ) ( 1894510 * )
NEW met2 ( 1894510 1700 0 ) ( * 46750 )
NEW met1 ( 488750 1943610 ) ( 687930 * )
NEW met1 ( 488750 1943610 ) M1M2_PR
NEW met1 ( 687930 1943610 ) M1M2_PR
NEW met1 ( 687930 46750 ) M1M2_PR
NEW met1 ( 1894510 46750 ) M1M2_PR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( chip_controller la_data_out[72] ) + USE SIGNAL
+ ROUTED met2 ( 1911990 1700 0 ) ( * 22610 )
NEW met1 ( 1293750 22610 ) ( 1911990 * )
NEW met2 ( 1293750 22610 ) ( * 1773610 )
NEW met3 ( 599380 1773780 0 ) ( 613870 * )
NEW met2 ( 613870 1773610 ) ( * 1773780 )
NEW met1 ( 613870 1773610 ) ( 1293750 * )
NEW met1 ( 1293750 22610 ) M1M2_PR
NEW met1 ( 1911990 22610 ) M1M2_PR
NEW met1 ( 1293750 1773610 ) M1M2_PR
NEW met2 ( 613870 1773780 ) M2M3_PR_M
NEW met1 ( 613870 1773610 ) M1M2_PR ;
- la_data_out[73] ( PIN la_data_out[73] ) ( chip_controller la_data_out[73] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1780410 ) ( * 1784660 )
NEW met3 ( 189750 1784660 ) ( 201020 * 0 )
NEW met2 ( 1929930 1700 0 ) ( * 67150 )
NEW met2 ( 135470 67150 ) ( * 1780410 )
NEW met1 ( 135470 1780410 ) ( 189750 * )
NEW met1 ( 135470 67150 ) ( 1929930 * )
NEW met1 ( 189750 1780410 ) M1M2_PR
NEW met2 ( 189750 1784660 ) M2M3_PR_M
NEW met1 ( 1929930 67150 ) M1M2_PR
NEW met1 ( 135470 67150 ) M1M2_PR
NEW met1 ( 135470 1780410 ) M1M2_PR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( chip_controller la_data_out[74] ) + USE SIGNAL
+ ROUTED met2 ( 492430 1899580 0 ) ( * 1911310 )
NEW met1 ( 492430 1911310 ) ( 496570 * )
NEW met2 ( 496570 1911310 ) ( * 1944630 )
NEW met2 ( 1947410 1700 0 ) ( * 46070 )
NEW met1 ( 705870 46070 ) ( 1947410 * )
NEW met2 ( 704490 1925420 ) ( * 1944630 )
NEW met2 ( 704490 1925420 ) ( 705870 * )
NEW met1 ( 496570 1944630 ) ( 704490 * )
NEW met2 ( 705870 46070 ) ( * 1925420 )
NEW met1 ( 496570 1944630 ) M1M2_PR
NEW met1 ( 492430 1911310 ) M1M2_PR
NEW met1 ( 496570 1911310 ) M1M2_PR
NEW met1 ( 705870 46070 ) M1M2_PR
NEW met1 ( 1947410 46070 ) M1M2_PR
NEW met1 ( 704490 1944630 ) M1M2_PR ;
- la_data_out[75] ( PIN la_data_out[75] ) ( chip_controller la_data_out[75] ) + USE SIGNAL
+ ROUTED met2 ( 1965350 1700 0 ) ( * 30770 )
NEW met2 ( 189750 1788230 ) ( * 1792140 )
NEW met3 ( 189750 1792140 ) ( 201020 * 0 )
NEW met1 ( 163990 30770 ) ( 1965350 * )
NEW met1 ( 163990 1788230 ) ( 189750 * )
NEW met2 ( 163990 30770 ) ( * 1788230 )
NEW met1 ( 1965350 30770 ) M1M2_PR
NEW met1 ( 189750 1788230 ) M1M2_PR
NEW met2 ( 189750 1792140 ) M2M3_PR_M
NEW met1 ( 163990 30770 ) M1M2_PR
NEW met1 ( 163990 1788230 ) M1M2_PR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( chip_controller la_data_out[76] ) + USE SIGNAL
+ ROUTED met2 ( 498870 1899580 0 ) ( * 1911310 )
NEW met1 ( 498870 1911310 ) ( 503470 * )
NEW met2 ( 503470 1911310 ) ( * 1933580 )
NEW met2 ( 1982830 1700 0 ) ( * 22950 )
NEW met1 ( 1287770 22950 ) ( 1982830 * )
NEW met4 ( 644460 135660 ) ( * 1933580 )
NEW met3 ( 644460 135660 ) ( 1287770 * )
NEW met3 ( 503470 1933580 ) ( 644460 * )
NEW met2 ( 1287770 22950 ) ( * 135660 )
NEW met2 ( 503470 1933580 ) M2M3_PR_M
NEW met1 ( 1287770 22950 ) M1M2_PR
NEW met1 ( 498870 1911310 ) M1M2_PR
NEW met1 ( 503470 1911310 ) M1M2_PR
NEW met2 ( 1287770 135660 ) M2M3_PR_M
NEW met3 ( 644460 1933580 ) M3M4_PR_M
NEW met1 ( 1982830 22950 ) M1M2_PR
NEW met3 ( 644460 135660 ) M3M4_PR_M ;
- la_data_out[77] ( PIN la_data_out[77] ) ( chip_controller la_data_out[77] ) + USE SIGNAL
+ ROUTED met2 ( 1245450 70210 ) ( * 1780410 )
NEW met2 ( 2000770 1700 0 ) ( * 16830 )
NEW met1 ( 1994330 16830 ) ( 2000770 * )
NEW met2 ( 1994330 16830 ) ( * 70210 )
NEW met1 ( 1245450 70210 ) ( 1994330 * )
NEW met3 ( 599380 1783300 0 ) ( 612490 * )
NEW met2 ( 612490 1780410 ) ( * 1783300 )
NEW met1 ( 612490 1780410 ) ( 1245450 * )
NEW met1 ( 1245450 70210 ) M1M2_PR
NEW met1 ( 1245450 1780410 ) M1M2_PR
NEW met1 ( 2000770 16830 ) M1M2_PR
NEW met1 ( 1994330 16830 ) M1M2_PR
NEW met1 ( 1994330 70210 ) M1M2_PR
NEW met2 ( 612490 1783300 ) M2M3_PR_M
NEW met1 ( 612490 1780410 ) M1M2_PR ;
- la_data_out[78] ( PIN la_data_out[78] ) ( chip_controller la_data_out[78] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1794350 ) ( * 1795540 )
NEW met3 ( 189750 1795540 ) ( 201020 * 0 )
NEW met2 ( 2018250 1700 0 ) ( * 39950 )
NEW met2 ( 142370 39950 ) ( * 1794350 )
NEW met1 ( 142370 1794350 ) ( 189750 * )
NEW met1 ( 142370 39950 ) ( 2018250 * )
NEW met1 ( 189750 1794350 ) M1M2_PR
NEW met2 ( 189750 1795540 ) M2M3_PR_M
NEW met1 ( 2018250 39950 ) M1M2_PR
NEW met1 ( 142370 39950 ) M1M2_PR
NEW met1 ( 142370 1794350 ) M1M2_PR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( chip_controller la_data_out[79] ) + USE SIGNAL
+ ROUTED met2 ( 128110 100130 ) ( * 1794010 )
NEW met2 ( 191130 1794010 ) ( * 1796900 )
NEW met3 ( 191130 1796900 ) ( 201020 * 0 )
NEW met2 ( 2036190 1700 0 ) ( * 100130 )
NEW met1 ( 128110 1794010 ) ( 191130 * )
NEW met1 ( 128110 100130 ) ( 2036190 * )
NEW met1 ( 128110 100130 ) M1M2_PR
NEW met1 ( 2036190 100130 ) M1M2_PR
NEW met1 ( 128110 1794010 ) M1M2_PR
NEW met1 ( 191130 1794010 ) M1M2_PR
NEW met2 ( 191130 1796900 ) M2M3_PR_M ;
- la_data_out[7] ( PIN la_data_out[7] ) ( chip_controller la_data_out[7] ) + USE SIGNAL
+ ROUTED met3 ( 188830 1562300 ) ( 201020 * 0 )
NEW met2 ( 759230 1700 0 ) ( * 17340 )
NEW met2 ( 759230 17340 ) ( 759690 * )
NEW met2 ( 759690 17340 ) ( * 1464890 )
NEW met1 ( 188830 1510110 ) ( 189750 * )
NEW li1 ( 189750 1505350 ) ( * 1510110 )
NEW met2 ( 189750 1464890 ) ( * 1505350 )
NEW met2 ( 188830 1510110 ) ( * 1562300 )
NEW met1 ( 189750 1464890 ) ( 759690 * )
NEW met2 ( 188830 1562300 ) M2M3_PR_M
NEW met1 ( 759690 1464890 ) M1M2_PR
NEW met1 ( 188830 1510110 ) M1M2_PR
NEW li1 ( 189750 1510110 ) L1M1_PR_MR
NEW li1 ( 189750 1505350 ) L1M1_PR_MR
NEW met1 ( 189750 1505350 ) M1M2_PR
NEW met1 ( 189750 1464890 ) M1M2_PR
NEW met1 ( 189750 1505350 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[80] ( PIN la_data_out[80] ) ( chip_controller la_data_out[80] ) + USE SIGNAL
+ ROUTED met1 ( 494270 1486310 ) ( 496570 * )
NEW met2 ( 494270 1486310 ) ( * 1500420 0 )
NEW met2 ( 496570 50490 ) ( * 1486310 )
NEW met2 ( 2054130 1700 0 ) ( * 50490 )
NEW met1 ( 496570 50490 ) ( 2054130 * )
NEW met1 ( 496570 50490 ) M1M2_PR
NEW met1 ( 496570 1486310 ) M1M2_PR
NEW met1 ( 494270 1486310 ) M1M2_PR
NEW met1 ( 2054130 50490 ) M1M2_PR ;
- la_data_out[81] ( PIN la_data_out[81] ) ( chip_controller la_data_out[81] ) + USE SIGNAL
+ ROUTED met2 ( 2071610 1700 0 ) ( * 26690 )
NEW met1 ( 734850 26690 ) ( 2071610 * )
NEW met2 ( 734850 26690 ) ( * 1794690 )
NEW met3 ( 599380 1794180 0 ) ( 613870 * )
NEW met2 ( 613870 1794180 ) ( * 1794690 )
NEW met1 ( 613870 1794690 ) ( 734850 * )
NEW met1 ( 734850 26690 ) M1M2_PR
NEW met1 ( 2071610 26690 ) M1M2_PR
NEW met1 ( 734850 1794690 ) M1M2_PR
NEW met2 ( 613870 1794180 ) M2M3_PR_M
NEW met1 ( 613870 1794690 ) M1M2_PR ;
- la_data_out[82] ( PIN la_data_out[82] ) ( chip_controller la_data_out[82] ) + USE SIGNAL
+ ROUTED met2 ( 495650 1500420 0 ) ( 496110 * )
NEW met2 ( 496110 50830 ) ( * 1500420 )
NEW met1 ( 496110 50830 ) ( 2089550 * )
NEW met2 ( 2089550 1700 0 ) ( * 50830 )
NEW met1 ( 496110 50830 ) M1M2_PR
NEW met1 ( 2089550 50830 ) M1M2_PR ;
- la_data_out[83] ( PIN la_data_out[83] ) ( chip_controller la_data_out[83] ) + USE SIGNAL
+ ROUTED met1 ( 497490 1490050 ) ( 503010 * )
NEW met2 ( 497490 1490050 ) ( * 1500420 0 )
NEW met2 ( 503010 51170 ) ( * 1490050 )
NEW met1 ( 503010 51170 ) ( 2107030 * )
NEW met2 ( 2107030 1700 0 ) ( * 51170 )
NEW met1 ( 503010 51170 ) M1M2_PR
NEW met1 ( 503010 1490050 ) M1M2_PR
NEW met1 ( 497490 1490050 ) M1M2_PR
NEW met1 ( 2107030 51170 ) M1M2_PR ;
- la_data_out[84] ( PIN la_data_out[84] ) ( chip_controller la_data_out[84] ) + USE SIGNAL
+ ROUTED met2 ( 2124970 1700 0 ) ( * 16830 )
NEW met1 ( 2118530 16830 ) ( 2124970 * )
NEW met2 ( 188830 1801490 ) ( * 1807780 )
NEW met3 ( 188830 1807780 ) ( 201020 * 0 )
NEW met2 ( 2118530 16830 ) ( * 80750 )
NEW met2 ( 157090 80750 ) ( * 1801490 )
NEW met1 ( 157090 1801490 ) ( 188830 * )
NEW met1 ( 157090 80750 ) ( 2118530 * )
NEW met1 ( 2124970 16830 ) M1M2_PR
NEW met1 ( 2118530 16830 ) M1M2_PR
NEW met1 ( 188830 1801490 ) M1M2_PR
NEW met2 ( 188830 1807780 ) M2M3_PR_M
NEW met1 ( 2118530 80750 ) M1M2_PR
NEW met1 ( 157090 80750 ) M1M2_PR
NEW met1 ( 157090 1801490 ) M1M2_PR ;
- la_data_out[85] ( PIN la_data_out[85] ) ( chip_controller la_data_out[85] ) + USE SIGNAL
+ ROUTED met2 ( 2142450 1700 0 ) ( * 31790 )
NEW met1 ( 631810 31790 ) ( 2142450 * )
NEW met2 ( 523250 1899580 0 ) ( * 1911990 )
NEW met1 ( 523250 1911990 ) ( 629510 * )
NEW met1 ( 629510 1873230 ) ( 631810 * )
NEW met2 ( 629510 1873230 ) ( * 1911990 )
NEW met2 ( 631810 31790 ) ( * 1873230 )
NEW met1 ( 2142450 31790 ) M1M2_PR
NEW met1 ( 631810 31790 ) M1M2_PR
NEW met1 ( 523250 1911990 ) M1M2_PR
NEW met1 ( 629510 1911990 ) M1M2_PR
NEW met1 ( 629510 1873230 ) M1M2_PR
NEW met1 ( 631810 1873230 ) M1M2_PR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( chip_controller la_data_out[86] ) + USE SIGNAL
+ ROUTED met2 ( 502550 54910 ) ( * 1500420 0 )
NEW met1 ( 502550 54910 ) ( 2160390 * )
NEW met2 ( 2160390 1700 0 ) ( * 54910 )
NEW met1 ( 502550 54910 ) M1M2_PR
NEW met1 ( 2160390 54910 ) M1M2_PR ;
- la_data_out[87] ( PIN la_data_out[87] ) ( chip_controller la_data_out[87] ) + USE SIGNAL
+ ROUTED met1 ( 505770 1490390 ) ( 508990 * )
NEW met2 ( 505770 1490390 ) ( * 1500420 0 )
NEW met2 ( 508990 54570 ) ( * 1490390 )
NEW met1 ( 508990 54570 ) ( 2177870 * )
NEW met2 ( 2177870 1700 0 ) ( * 54570 )
NEW met1 ( 508990 54570 ) M1M2_PR
NEW met1 ( 508990 1490390 ) M1M2_PR
NEW met1 ( 505770 1490390 ) M1M2_PR
NEW met1 ( 2177870 54570 ) M1M2_PR ;
- la_data_out[88] ( PIN la_data_out[88] ) ( chip_controller la_data_out[88] ) + USE SIGNAL
+ ROUTED met2 ( 508990 1500420 0 ) ( 509450 * )
NEW met2 ( 509450 54230 ) ( * 1500420 )
NEW met1 ( 509450 54230 ) ( 2195810 * )
NEW met2 ( 2195810 1700 0 ) ( * 54230 )
NEW met1 ( 509450 54230 ) M1M2_PR
NEW met1 ( 2195810 54230 ) M1M2_PR ;
- la_data_out[89] ( PIN la_data_out[89] ) ( chip_controller la_data_out[89] ) + USE SIGNAL
+ ROUTED met2 ( 2213290 1700 0 ) ( * 67830 )
NEW met2 ( 529690 1899580 0 ) ( * 1914710 )
NEW met1 ( 529690 1914710 ) ( 541190 * )
NEW li1 ( 541190 1914710 ) ( * 1916410 )
NEW li1 ( 541190 1916410 ) ( 542110 * )
NEW li1 ( 542110 1916070 ) ( * 1916410 )
NEW met2 ( 653890 67830 ) ( * 1916070 )
NEW met1 ( 542110 1916070 ) ( 653890 * )
NEW met1 ( 653890 67830 ) ( 2213290 * )
NEW met1 ( 2213290 67830 ) M1M2_PR
NEW met1 ( 529690 1914710 ) M1M2_PR
NEW li1 ( 541190 1914710 ) L1M1_PR_MR
NEW li1 ( 542110 1916070 ) L1M1_PR_MR
NEW met1 ( 653890 67830 ) M1M2_PR
NEW met1 ( 653890 1916070 ) M1M2_PR ;
- la_data_out[8] ( PIN la_data_out[8] ) ( chip_controller la_data_out[8] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1555500 0 ) ( 608350 * )
NEW met2 ( 608350 1552610 ) ( * 1555500 )
NEW met2 ( 773030 82800 ) ( 777170 * )
NEW met2 ( 777170 1700 0 ) ( * 82800 )
NEW met2 ( 773030 82800 ) ( * 1552610 )
NEW met1 ( 608350 1552610 ) ( 773030 * )
NEW met2 ( 608350 1555500 ) M2M3_PR_M
NEW met1 ( 608350 1552610 ) M1M2_PR
NEW met1 ( 773030 1552610 ) M1M2_PR ;
- la_data_out[90] ( PIN la_data_out[90] ) ( chip_controller la_data_out[90] ) + USE SIGNAL
+ ROUTED met2 ( 2231230 1700 0 ) ( * 3060 )
NEW met2 ( 2230310 3060 ) ( 2231230 * )
NEW met2 ( 2230310 1870 ) ( * 3060 )
NEW met2 ( 679650 1870 ) ( * 1915730 )
NEW met1 ( 679650 1870 ) ( 2230310 * )
NEW met2 ( 531530 1899580 0 ) ( * 1915730 )
NEW met1 ( 531530 1915730 ) ( 679650 * )
NEW met1 ( 679650 1870 ) M1M2_PR
NEW met1 ( 2230310 1870 ) M1M2_PR
NEW met1 ( 679650 1915730 ) M1M2_PR
NEW met1 ( 531530 1915730 ) M1M2_PR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( chip_controller la_data_out[91] ) + USE SIGNAL
+ ROUTED met2 ( 2249170 1700 0 ) ( * 16830 )
NEW met1 ( 2242730 16830 ) ( 2249170 * )
NEW met2 ( 2242730 16830 ) ( * 73780 )
NEW met4 ( 831220 73780 ) ( * 1809140 )
NEW met3 ( 599380 1811180 ) ( * 1812540 0 )
NEW met3 ( 599380 1811180 ) ( 641700 * )
NEW met3 ( 641700 1809140 ) ( * 1811180 )
NEW met3 ( 641700 1809140 ) ( 831220 * )
NEW met3 ( 831220 73780 ) ( 2242730 * )
NEW met1 ( 2249170 16830 ) M1M2_PR
NEW met1 ( 2242730 16830 ) M1M2_PR
NEW met2 ( 2242730 73780 ) M2M3_PR_M
NEW met3 ( 831220 73780 ) M3M4_PR_M
NEW met3 ( 831220 1809140 ) M3M4_PR_M ;
- la_data_out[92] ( PIN la_data_out[92] ) ( chip_controller la_data_out[92] ) + USE SIGNAL
+ ROUTED met2 ( 2266650 1700 0 ) ( * 29070 )
NEW met1 ( 1288230 29070 ) ( 2266650 * )
NEW met1 ( 612490 135150 ) ( 1288230 * )
NEW met3 ( 599380 1815940 0 ) ( 608810 * )
NEW met2 ( 608810 1800980 ) ( * 1815940 )
NEW met3 ( 608810 1800980 ) ( 613410 * )
NEW met2 ( 1288230 29070 ) ( * 135150 )
NEW met3 ( 610190 1752700 ) ( 612490 * )
NEW met2 ( 610190 1752700 ) ( * 1800470 )
NEW met1 ( 610190 1800470 ) ( 613410 * )
NEW met2 ( 612490 135150 ) ( * 1752700 )
NEW met2 ( 613410 1800470 ) ( * 1800980 )
NEW met1 ( 1288230 29070 ) M1M2_PR
NEW met1 ( 612490 135150 ) M1M2_PR
NEW met1 ( 1288230 135150 ) M1M2_PR
NEW met1 ( 2266650 29070 ) M1M2_PR
NEW met2 ( 608810 1815940 ) M2M3_PR_M
NEW met2 ( 608810 1800980 ) M2M3_PR_M
NEW met2 ( 613410 1800980 ) M2M3_PR_M
NEW met2 ( 612490 1752700 ) M2M3_PR_M
NEW met2 ( 610190 1752700 ) M2M3_PR_M
NEW met1 ( 610190 1800470 ) M1M2_PR
NEW met1 ( 613410 1800470 ) M1M2_PR ;
- la_data_out[93] ( PIN la_data_out[93] ) ( chip_controller la_data_out[93] ) + USE SIGNAL
+ ROUTED met1 ( 526470 1490390 ) ( 530150 * )
NEW met2 ( 526470 1490390 ) ( * 1500420 0 )
NEW met2 ( 530150 53890 ) ( * 1490390 )
NEW met1 ( 530150 53890 ) ( 2284590 * )
NEW met2 ( 2284590 1700 0 ) ( * 53890 )
NEW met1 ( 530150 53890 ) M1M2_PR
NEW met1 ( 530150 1490390 ) M1M2_PR
NEW met1 ( 526470 1490390 ) M1M2_PR
NEW met1 ( 2284590 53890 ) M1M2_PR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( chip_controller la_data_out[94] ) + USE SIGNAL
+ ROUTED met2 ( 2302070 1700 0 ) ( * 26010 )
NEW met1 ( 627210 26010 ) ( 2302070 * )
NEW met3 ( 599380 1817980 0 ) ( 608810 * )
NEW met2 ( 608810 1817810 ) ( * 1817980 )
NEW met1 ( 608810 1817810 ) ( 627210 * )
NEW met2 ( 627210 26010 ) ( * 1817810 )
NEW met1 ( 627210 26010 ) M1M2_PR
NEW met1 ( 2302070 26010 ) M1M2_PR
NEW met2 ( 608810 1817980 ) M2M3_PR_M
NEW met1 ( 608810 1817810 ) M1M2_PR
NEW met1 ( 627210 1817810 ) M1M2_PR ;
- la_data_out[95] ( PIN la_data_out[95] ) ( chip_controller la_data_out[95] ) + USE SIGNAL
+ ROUTED met2 ( 2320010 1700 0 ) ( * 53210 )
NEW met1 ( 531530 1489710 ) ( 537050 * )
NEW met2 ( 531530 1489710 ) ( * 1500420 0 )
NEW met2 ( 537050 53210 ) ( * 1489710 )
NEW met1 ( 537050 53210 ) ( 2320010 * )
NEW met1 ( 2320010 53210 ) M1M2_PR
NEW met1 ( 537050 53210 ) M1M2_PR
NEW met1 ( 537050 1489710 ) M1M2_PR
NEW met1 ( 531530 1489710 ) M1M2_PR ;
- la_data_out[96] ( PIN la_data_out[96] ) ( chip_controller la_data_out[96] ) + USE SIGNAL
+ ROUTED met1 ( 190670 1821890 ) ( * 1823250 )
NEW met1 ( 190670 1823250 ) ( 192050 * )
NEW met2 ( 192050 1823250 ) ( * 1826820 )
NEW met3 ( 192050 1826820 ) ( 201020 * 0 )
NEW met2 ( 2337490 1700 0 ) ( * 66130 )
NEW met1 ( 170890 1821890 ) ( 190670 * )
NEW met2 ( 170890 66130 ) ( * 1821890 )
NEW met1 ( 170890 66130 ) ( 2337490 * )
NEW met1 ( 192050 1823250 ) M1M2_PR
NEW met2 ( 192050 1826820 ) M2M3_PR_M
NEW met1 ( 2337490 66130 ) M1M2_PR
NEW met1 ( 170890 1821890 ) M1M2_PR
NEW met1 ( 170890 66130 ) M1M2_PR ;
- la_data_out[97] ( PIN la_data_out[97] ) ( chip_controller la_data_out[97] ) + USE SIGNAL
+ ROUTED met3 ( 707020 45220 ) ( 2355430 * )
NEW met2 ( 2355430 1700 0 ) ( * 45220 )
NEW met3 ( 599380 1827500 0 ) ( 613410 * )
NEW met2 ( 613410 1825630 ) ( * 1827500 )
NEW met1 ( 613410 1825630 ) ( 641700 * )
NEW met1 ( 641700 1824950 ) ( * 1825630 )
NEW met4 ( 707020 45220 ) ( * 1800900 )
NEW met2 ( 698050 1824950 ) ( * 1827500 )
NEW met3 ( 698050 1827500 ) ( 703340 * )
NEW met4 ( 703340 1827500 ) ( 705180 * )
NEW met4 ( 705180 1800900 ) ( * 1827500 )
NEW met4 ( 705180 1800900 ) ( 707020 * )
NEW met1 ( 641700 1824950 ) ( 698050 * )
NEW met3 ( 707020 45220 ) M3M4_PR_M
NEW met2 ( 2355430 45220 ) M2M3_PR_M
NEW met2 ( 613410 1827500 ) M2M3_PR_M
NEW met1 ( 613410 1825630 ) M1M2_PR
NEW met1 ( 698050 1824950 ) M1M2_PR
NEW met2 ( 698050 1827500 ) M2M3_PR_M
NEW met3 ( 703340 1827500 ) M3M4_PR_M ;
- la_data_out[98] ( PIN la_data_out[98] ) ( chip_controller la_data_out[98] ) + USE SIGNAL
+ ROUTED met2 ( 2372910 1700 0 ) ( * 17510 )
NEW met1 ( 2366930 17510 ) ( 2372910 * )
NEW met1 ( 541190 1489710 ) ( 544410 * )
NEW met2 ( 541190 1489710 ) ( * 1500420 0 )
NEW met2 ( 544410 52870 ) ( * 1489710 )
NEW met1 ( 544410 52870 ) ( 2366930 * )
NEW met2 ( 2366930 17510 ) ( * 52870 )
NEW met1 ( 2372910 17510 ) M1M2_PR
NEW met1 ( 2366930 17510 ) M1M2_PR
NEW met1 ( 544410 52870 ) M1M2_PR
NEW met1 ( 544410 1489710 ) M1M2_PR
NEW met1 ( 541190 1489710 ) M1M2_PR
NEW met1 ( 2366930 52870 ) M1M2_PR ;
- la_data_out[99] ( PIN la_data_out[99] ) ( chip_controller la_data_out[99] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1835660 ) ( * 1835830 )
NEW met3 ( 189290 1835660 ) ( 201020 * 0 )
NEW met2 ( 123050 58990 ) ( * 1835830 )
NEW met1 ( 123050 1835830 ) ( 189290 * )
NEW met1 ( 123050 58990 ) ( 2390850 * )
NEW met2 ( 2390850 1700 0 ) ( * 58990 )
NEW met1 ( 123050 1835830 ) M1M2_PR
NEW met1 ( 189290 1835830 ) M1M2_PR
NEW met2 ( 189290 1835660 ) M2M3_PR_M
NEW met1 ( 123050 58990 ) M1M2_PR
NEW met1 ( 2390850 58990 ) M1M2_PR ;
- la_data_out[9] ( PIN la_data_out[9] ) ( chip_controller la_data_out[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1562980 0 ) ( 608810 * )
NEW met2 ( 608810 1559750 ) ( * 1562980 )
NEW met2 ( 793730 82800 ) ( 794650 * )
NEW met2 ( 794650 1700 0 ) ( * 82800 )
NEW met2 ( 793730 82800 ) ( * 1559750 )
NEW met1 ( 608810 1559750 ) ( 793730 * )
NEW met2 ( 608810 1562980 ) M2M3_PR_M
NEW met1 ( 608810 1559750 ) M1M2_PR
NEW met1 ( 793730 1559750 ) M1M2_PR ;
- la_oenb[0] ( PIN la_oenb[0] ) ( chip_controller la_oenb[0] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1507900 0 ) ( 607430 * )
NEW met2 ( 607430 1504330 ) ( * 1507900 )
NEW met2 ( 641010 1700 0 ) ( * 17850 )
NEW met1 ( 635030 17850 ) ( 641010 * )
NEW met1 ( 607430 1504330 ) ( 635030 * )
NEW met2 ( 635030 17850 ) ( * 1504330 )
NEW met2 ( 607430 1507900 ) M2M3_PR_M
NEW met1 ( 607430 1504330 ) M1M2_PR
NEW met1 ( 641010 17850 ) M1M2_PR
NEW met1 ( 635030 17850 ) M1M2_PR
NEW met1 ( 635030 1504330 ) M1M2_PR ;
- la_oenb[100] ( PIN la_oenb[100] ) ( chip_controller la_oenb[100] ) + USE SIGNAL
+ ROUTED met2 ( 2414310 1700 0 ) ( * 52530 )
NEW met2 ( 543950 1500420 ) ( 544410 * 0 )
NEW met2 ( 543950 52530 ) ( * 1500420 )
NEW met1 ( 543950 52530 ) ( 2414310 * )
NEW met1 ( 2414310 52530 ) M1M2_PR
NEW met1 ( 543950 52530 ) M1M2_PR ;
- la_oenb[101] ( PIN la_oenb[101] ) ( chip_controller la_oenb[101] ) + USE SIGNAL
+ ROUTED met2 ( 2432250 1700 0 ) ( * 44540 )
NEW met2 ( 547630 1899580 0 ) ( * 1914540 )
NEW met3 ( 638710 44540 ) ( 2432250 * )
NEW met3 ( 547630 1914540 ) ( 638710 * )
NEW met2 ( 638710 44540 ) ( * 1914540 )
NEW met2 ( 2432250 44540 ) M2M3_PR_M
NEW met2 ( 547630 1914540 ) M2M3_PR_M
NEW met2 ( 638710 44540 ) M2M3_PR_M
NEW met2 ( 638710 1914540 ) M2M3_PR_M ;
- la_oenb[102] ( PIN la_oenb[102] ) ( chip_controller la_oenb[102] ) + USE SIGNAL
+ ROUTED met1 ( 694830 53550 ) ( 2449730 * )
NEW met2 ( 2449730 1700 0 ) ( * 53550 )
NEW met3 ( 599380 1839740 0 ) ( 609270 * )
NEW met2 ( 609270 1835490 ) ( * 1839740 )
NEW met1 ( 609270 1835490 ) ( 694830 * )
NEW met2 ( 694830 53550 ) ( * 1835490 )
NEW met1 ( 694830 53550 ) M1M2_PR
NEW met1 ( 2449730 53550 ) M1M2_PR
NEW met2 ( 609270 1839740 ) M2M3_PR_M
NEW met1 ( 609270 1835490 ) M1M2_PR
NEW met1 ( 694830 1835490 ) M1M2_PR ;
- la_oenb[103] ( PIN la_oenb[103] ) ( chip_controller la_oenb[103] ) + USE SIGNAL
+ ROUTED met2 ( 2467670 1700 0 ) ( * 10540 )
NEW met3 ( 709780 10540 ) ( 2467670 * )
NEW met3 ( 599380 1841780 0 ) ( 613410 * )
NEW met2 ( 613410 1838890 ) ( * 1841780 )
NEW met2 ( 697130 1834300 ) ( * 1838890 )
NEW met3 ( 697130 1834300 ) ( 703340 * )
NEW met4 ( 703340 1834300 ) ( 706100 * )
NEW met4 ( 706100 1807780 ) ( * 1834300 )
NEW met3 ( 706100 1807780 ) ( 709780 * )
NEW met1 ( 613410 1838890 ) ( 697130 * )
NEW met4 ( 709780 10540 ) ( * 1807780 )
NEW met3 ( 709780 10540 ) M3M4_PR_M
NEW met2 ( 2467670 10540 ) M2M3_PR_M
NEW met2 ( 613410 1841780 ) M2M3_PR_M
NEW met1 ( 613410 1838890 ) M1M2_PR
NEW met1 ( 697130 1838890 ) M1M2_PR
NEW met2 ( 697130 1834300 ) M2M3_PR_M
NEW met3 ( 703340 1834300 ) M3M4_PR_M
NEW met3 ( 706100 1807780 ) M3M4_PR_M
NEW met3 ( 709780 1807780 ) M3M4_PR_M ;
- la_oenb[104] ( PIN la_oenb[104] ) ( chip_controller la_oenb[104] ) + USE SIGNAL
+ ROUTED met1 ( 552230 1489710 ) ( 558210 * )
NEW met2 ( 552230 1489710 ) ( * 1500420 0 )
NEW met2 ( 558210 52190 ) ( * 1489710 )
NEW met1 ( 558210 52190 ) ( 2485610 * )
NEW met2 ( 2485610 1700 0 ) ( * 52190 )
NEW met1 ( 558210 52190 ) M1M2_PR
NEW met1 ( 558210 1489710 ) M1M2_PR
NEW met1 ( 552230 1489710 ) M1M2_PR
NEW met1 ( 2485610 52190 ) M1M2_PR ;
- la_oenb[105] ( PIN la_oenb[105] ) ( chip_controller la_oenb[105] ) + USE SIGNAL
+ ROUTED met2 ( 2503090 1700 0 ) ( * 11390 )
NEW met1 ( 137310 11390 ) ( 2503090 * )
NEW met2 ( 137310 11390 ) ( * 1849770 )
NEW met2 ( 186530 1849770 ) ( * 1851300 )
NEW met3 ( 186530 1851300 ) ( 201020 * 0 )
NEW met1 ( 137310 1849770 ) ( 186530 * )
NEW met1 ( 2503090 11390 ) M1M2_PR
NEW met1 ( 137310 11390 ) M1M2_PR
NEW met1 ( 137310 1849770 ) M1M2_PR
NEW met1 ( 186530 1849770 ) M1M2_PR
NEW met2 ( 186530 1851300 ) M2M3_PR_M ;
- la_oenb[106] ( PIN la_oenb[106] ) ( chip_controller la_oenb[106] ) + USE SIGNAL
+ ROUTED met2 ( 2521030 1700 0 ) ( * 49470 )
NEW met3 ( 613180 134980 ) ( 1287310 * )
NEW met1 ( 1286390 49470 ) ( 2521030 * )
NEW met1 ( 1286390 85510 ) ( 1287310 * )
NEW met2 ( 1286390 49470 ) ( * 85510 )
NEW met2 ( 1287310 85510 ) ( * 134980 )
NEW met3 ( 599380 1851300 0 ) ( 613180 * )
NEW met4 ( 613180 134980 ) ( * 1851300 )
NEW met3 ( 613180 134980 ) M3M4_PR_M
NEW met1 ( 1286390 49470 ) M1M2_PR
NEW met2 ( 1287310 134980 ) M2M3_PR_M
NEW met1 ( 2521030 49470 ) M1M2_PR
NEW met1 ( 1286390 85510 ) M1M2_PR
NEW met1 ( 1287310 85510 ) M1M2_PR
NEW met3 ( 613180 1851300 ) M3M4_PR_M ;
- la_oenb[107] ( PIN la_oenb[107] ) ( chip_controller la_oenb[107] ) + USE SIGNAL
+ ROUTED met2 ( 2538510 1700 0 ) ( * 17510 )
NEW met1 ( 2532530 17510 ) ( 2538510 * )
NEW met2 ( 2532530 17510 ) ( * 51850 )
NEW met1 ( 560510 1490390 ) ( 564650 * )
NEW met2 ( 560510 1490390 ) ( * 1500420 0 )
NEW met2 ( 564650 51850 ) ( * 1490390 )
NEW met1 ( 564650 51850 ) ( 2532530 * )
NEW met1 ( 2538510 17510 ) M1M2_PR
NEW met1 ( 2532530 17510 ) M1M2_PR
NEW met1 ( 2532530 51850 ) M1M2_PR
NEW met1 ( 564650 51850 ) M1M2_PR
NEW met1 ( 564650 1490390 ) M1M2_PR
NEW met1 ( 560510 1490390 ) M1M2_PR ;
- la_oenb[108] ( PIN la_oenb[108] ) ( chip_controller la_oenb[108] ) + USE SIGNAL
+ ROUTED met2 ( 2555070 1020 ) ( * 1700 )
NEW met2 ( 2555070 1700 ) ( 2555530 * )
NEW met2 ( 2555530 1700 ) ( * 3060 )
NEW met2 ( 2555530 3060 ) ( 2556450 * )
NEW met2 ( 2556450 1700 0 ) ( * 3060 )
NEW met3 ( 699660 1020 ) ( 2555070 * )
NEW met2 ( 555450 1899580 0 ) ( * 1916580 )
NEW met3 ( 555450 1916580 ) ( 699660 * )
NEW met4 ( 699660 1020 ) ( * 1916580 )
NEW met3 ( 699660 1020 ) M3M4_PR_M
NEW met2 ( 2555070 1020 ) M2M3_PR_M
NEW met2 ( 555450 1916580 ) M2M3_PR_M
NEW met3 ( 699660 1916580 ) M3M4_PR_M ;
- la_oenb[109] ( PIN la_oenb[109] ) ( chip_controller la_oenb[109] ) + USE SIGNAL
+ ROUTED met2 ( 565110 51510 ) ( * 1500420 0 )
NEW met1 ( 565110 51510 ) ( 2573930 * )
NEW met2 ( 2573930 1700 0 ) ( * 51510 )
NEW met1 ( 565110 51510 ) M1M2_PR
NEW met1 ( 2573930 51510 ) M1M2_PR ;
- la_oenb[10] ( PIN la_oenb[10] ) ( chip_controller la_oenb[10] ) + USE SIGNAL
+ ROUTED met2 ( 281290 1500420 0 ) ( 282210 * )
NEW met2 ( 282210 49470 ) ( * 1500420 )
NEW met1 ( 282210 49470 ) ( 818570 * )
NEW met2 ( 818570 1700 0 ) ( * 49470 )
NEW met1 ( 282210 49470 ) M1M2_PR
NEW met1 ( 818570 49470 ) M1M2_PR ;
- la_oenb[110] ( PIN la_oenb[110] ) ( chip_controller la_oenb[110] ) + USE SIGNAL
+ ROUTED met1 ( 568330 1490050 ) ( 572010 * )
NEW met2 ( 568330 1490050 ) ( * 1500420 0 )
NEW met2 ( 572010 61030 ) ( * 1490050 )
NEW met1 ( 572010 61030 ) ( 2591870 * )
NEW met2 ( 2591870 1700 0 ) ( * 61030 )
NEW met1 ( 572010 61030 ) M1M2_PR
NEW met1 ( 572010 1490050 ) M1M2_PR
NEW met1 ( 568330 1490050 ) M1M2_PR
NEW met1 ( 2591870 61030 ) M1M2_PR ;
- la_oenb[111] ( PIN la_oenb[111] ) ( chip_controller la_oenb[111] ) + USE SIGNAL
+ ROUTED met2 ( 2609350 1700 0 ) ( * 11050 )
NEW met1 ( 146510 11050 ) ( 2609350 * )
NEW met2 ( 146510 11050 ) ( * 1857930 )
NEW met2 ( 186530 1857930 ) ( * 1862180 )
NEW met3 ( 186530 1862180 ) ( 201020 * 0 )
NEW met1 ( 146510 1857930 ) ( 186530 * )
NEW met1 ( 2609350 11050 ) M1M2_PR
NEW met1 ( 146510 11050 ) M1M2_PR
NEW met1 ( 146510 1857930 ) M1M2_PR
NEW met1 ( 186530 1857930 ) M1M2_PR
NEW met2 ( 186530 1862180 ) M2M3_PR_M ;
- la_oenb[112] ( PIN la_oenb[112] ) ( chip_controller la_oenb[112] ) + USE SIGNAL
+ ROUTED met1 ( 574770 1490050 ) ( 579370 * )
NEW met2 ( 574770 1490050 ) ( * 1500420 0 )
NEW met2 ( 579370 60690 ) ( * 1490050 )
NEW met2 ( 2627290 1700 0 ) ( * 60690 )
NEW met1 ( 579370 60690 ) ( 2627290 * )
NEW met1 ( 579370 60690 ) M1M2_PR
NEW met1 ( 579370 1490050 ) M1M2_PR
NEW met1 ( 574770 1490050 ) M1M2_PR
NEW met1 ( 2627290 60690 ) M1M2_PR ;
- la_oenb[113] ( PIN la_oenb[113] ) ( chip_controller la_oenb[113] ) + USE SIGNAL
+ ROUTED met1 ( 579830 1490390 ) ( 584890 * )
NEW met2 ( 579830 1490390 ) ( * 1500420 0 )
NEW met2 ( 584890 60350 ) ( * 1490390 )
NEW met1 ( 584890 60350 ) ( 2645230 * )
NEW met2 ( 2645230 1700 0 ) ( * 60350 )
NEW met1 ( 584890 60350 ) M1M2_PR
NEW met1 ( 584890 1490390 ) M1M2_PR
NEW met1 ( 579830 1490390 ) M1M2_PR
NEW met1 ( 2645230 60350 ) M1M2_PR ;
- la_oenb[114] ( PIN la_oenb[114] ) ( chip_controller la_oenb[114] ) + USE SIGNAL
+ ROUTED met2 ( 123970 10710 ) ( * 1870170 )
NEW met2 ( 2662710 1700 0 ) ( * 10710 )
NEW met1 ( 123970 10710 ) ( 2662710 * )
NEW met2 ( 186530 1870170 ) ( * 1872380 )
NEW met3 ( 186530 1872380 ) ( 201020 * 0 )
NEW met1 ( 123970 1870170 ) ( 186530 * )
NEW met1 ( 123970 10710 ) M1M2_PR
NEW met1 ( 123970 1870170 ) M1M2_PR
NEW met1 ( 2662710 10710 ) M1M2_PR
NEW met1 ( 186530 1870170 ) M1M2_PR
NEW met2 ( 186530 1872380 ) M2M3_PR_M ;
- la_oenb[115] ( PIN la_oenb[115] ) ( chip_controller la_oenb[115] ) + USE SIGNAL
+ ROUTED met2 ( 2679270 340 ) ( * 1020 )
NEW met2 ( 2679270 1020 ) ( 2679730 * )
NEW met2 ( 2679730 1020 ) ( * 3060 )
NEW met2 ( 2679730 3060 ) ( 2680650 * )
NEW met2 ( 2680650 1700 0 ) ( * 3060 )
NEW met3 ( 610420 340 ) ( 2679270 * )
NEW met2 ( 563730 1899580 0 ) ( * 1913860 )
NEW met3 ( 563730 1913860 ) ( 610420 * )
NEW met4 ( 610420 340 ) ( * 1913860 )
NEW met3 ( 610420 340 ) M3M4_PR_M
NEW met2 ( 2679270 340 ) M2M3_PR_M
NEW met2 ( 563730 1913860 ) M2M3_PR_M
NEW met3 ( 610420 1913860 ) M3M4_PR_M ;
- la_oenb[116] ( PIN la_oenb[116] ) ( chip_controller la_oenb[116] ) + USE SIGNAL
+ ROUTED met2 ( 2698130 1700 0 ) ( * 9860 )
NEW met3 ( 693220 9860 ) ( 2698130 * )
NEW met3 ( 599380 1876460 0 ) ( 610650 * )
NEW met2 ( 610650 1870340 ) ( * 1876460 )
NEW met3 ( 610650 1870340 ) ( 693220 * )
NEW met4 ( 693220 9860 ) ( * 1870340 )
NEW met3 ( 693220 9860 ) M3M4_PR_M
NEW met2 ( 2698130 9860 ) M2M3_PR_M
NEW met2 ( 610650 1876460 ) M2M3_PR_M
NEW met2 ( 610650 1870340 ) M2M3_PR_M
NEW met3 ( 693220 1870340 ) M3M4_PR_M ;
- la_oenb[117] ( PIN la_oenb[117] ) ( chip_controller la_oenb[117] ) + USE SIGNAL
+ ROUTED met2 ( 584430 1500420 0 ) ( 585350 * )
NEW met2 ( 585350 60010 ) ( * 1500420 )
NEW met2 ( 2716070 1700 0 ) ( * 60010 )
NEW met1 ( 585350 60010 ) ( 2716070 * )
NEW met1 ( 585350 60010 ) M1M2_PR
NEW met1 ( 2716070 60010 ) M1M2_PR ;
- la_oenb[118] ( PIN la_oenb[118] ) ( chip_controller la_oenb[118] ) + USE SIGNAL
+ ROUTED met2 ( 2733550 1700 0 ) ( * 12410 )
NEW met2 ( 673670 12410 ) ( * 1877310 )
NEW met1 ( 673670 12410 ) ( 2733550 * )
NEW met3 ( 599380 1880540 0 ) ( 613410 * )
NEW met2 ( 613410 1877310 ) ( * 1880540 )
NEW met1 ( 613410 1877310 ) ( 673670 * )
NEW met1 ( 673670 12410 ) M1M2_PR
NEW met1 ( 2733550 12410 ) M1M2_PR
NEW met1 ( 673670 1877310 ) M1M2_PR
NEW met2 ( 613410 1880540 ) M2M3_PR_M
NEW met1 ( 613410 1877310 ) M1M2_PR ;
- la_oenb[119] ( PIN la_oenb[119] ) ( chip_controller la_oenb[119] ) + USE SIGNAL
+ ROUTED met2 ( 130870 10370 ) ( * 1876970 )
NEW met2 ( 2751490 1700 0 ) ( * 10370 )
NEW met1 ( 130870 10370 ) ( 2751490 * )
NEW met2 ( 186530 1876970 ) ( * 1881220 )
NEW met3 ( 186530 1881220 ) ( 201020 * 0 )
NEW met1 ( 130870 1876970 ) ( 186530 * )
NEW met1 ( 130870 10370 ) M1M2_PR
NEW met1 ( 130870 1876970 ) M1M2_PR
NEW met1 ( 2751490 10370 ) M1M2_PR
NEW met1 ( 186530 1876970 ) M1M2_PR
NEW met2 ( 186530 1881220 ) M2M3_PR_M ;
- la_oenb[11] ( PIN la_oenb[11] ) ( chip_controller la_oenb[11] ) + USE SIGNAL
+ ROUTED met2 ( 269790 1899580 0 ) ( * 1913350 )
NEW met1 ( 175950 1913350 ) ( 269790 * )
NEW met2 ( 835130 82800 ) ( 836050 * )
NEW met2 ( 836050 1700 0 ) ( * 82800 )
NEW met2 ( 835130 82800 ) ( * 1467950 )
NEW met1 ( 175950 1467950 ) ( 835130 * )
NEW met2 ( 175950 1467950 ) ( * 1913350 )
NEW met1 ( 835130 1467950 ) M1M2_PR
NEW met1 ( 175950 1913350 ) M1M2_PR
NEW met1 ( 269790 1913350 ) M1M2_PR
NEW met1 ( 175950 1467950 ) M1M2_PR ;
- la_oenb[120] ( PIN la_oenb[120] ) ( chip_controller la_oenb[120] ) + USE SIGNAL
+ ROUTED met2 ( 667230 11730 ) ( * 1884110 )
NEW met2 ( 2768970 1700 0 ) ( * 11730 )
NEW met1 ( 667230 11730 ) ( 2768970 * )
NEW met3 ( 599380 1885980 0 ) ( 610190 * )
NEW met2 ( 610190 1884110 ) ( * 1885980 )
NEW met1 ( 610190 1884110 ) ( 667230 * )
NEW met1 ( 667230 11730 ) M1M2_PR
NEW met1 ( 667230 1884110 ) M1M2_PR
NEW met1 ( 2768970 11730 ) M1M2_PR
NEW met2 ( 610190 1885980 ) M2M3_PR_M
NEW met1 ( 610190 1884110 ) M1M2_PR ;
- la_oenb[121] ( PIN la_oenb[121] ) ( chip_controller la_oenb[121] ) + USE SIGNAL
+ ROUTED met3 ( 582130 1899580 ) ( 584660 * )
NEW met2 ( 581210 1899580 0 ) ( 582130 * )
NEW met4 ( 584660 31620 ) ( * 1899580 )
NEW met2 ( 2786910 1700 0 ) ( * 31620 )
NEW met3 ( 584660 31620 ) ( 2786910 * )
NEW met3 ( 584660 31620 ) M3M4_PR_M
NEW met3 ( 584660 1899580 ) M3M4_PR_M
NEW met2 ( 582130 1899580 ) M2M3_PR_M
NEW met2 ( 2786910 31620 ) M2M3_PR_M ;
- la_oenb[122] ( PIN la_oenb[122] ) ( chip_controller la_oenb[122] ) + USE SIGNAL
+ ROUTED met2 ( 2804390 1700 0 ) ( * 15300 )
NEW met2 ( 2802090 15300 ) ( 2804390 * )
NEW met2 ( 586270 1899580 0 ) ( * 1917260 )
NEW met2 ( 2802090 15300 ) ( * 34500 )
NEW met2 ( 2801630 34500 ) ( 2802090 * )
NEW met2 ( 2801630 34500 ) ( * 1777690 )
NEW met3 ( 586270 1917260 ) ( 635950 * )
NEW met1 ( 635950 1777690 ) ( 2801630 * )
NEW met2 ( 635950 1777690 ) ( * 1917260 )
NEW met2 ( 586270 1917260 ) M2M3_PR_M
NEW met1 ( 2801630 1777690 ) M1M2_PR
NEW met2 ( 635950 1917260 ) M2M3_PR_M
NEW met1 ( 635950 1777690 ) M1M2_PR ;
- la_oenb[123] ( PIN la_oenb[123] ) ( chip_controller la_oenb[123] ) + USE SIGNAL
+ ROUTED met2 ( 590870 1899580 0 ) ( * 1912500 )
NEW met4 ( 672980 1783980 ) ( * 1912500 )
NEW met2 ( 2822330 1700 0 ) ( * 1783980 )
NEW met3 ( 672980 1783980 ) ( 2822330 * )
NEW met3 ( 590870 1912500 ) ( 672980 * )
NEW met2 ( 590870 1912500 ) M2M3_PR_M
NEW met3 ( 672980 1783980 ) M3M4_PR_M
NEW met3 ( 672980 1912500 ) M3M4_PR_M
NEW met2 ( 2822330 1783980 ) M2M3_PR_M ;
- la_oenb[124] ( PIN la_oenb[124] ) ( chip_controller la_oenb[124] ) + USE SIGNAL
+ ROUTED met2 ( 592710 1899580 0 ) ( * 1915900 )
NEW met2 ( 2836130 82800 ) ( 2840270 * )
NEW met2 ( 2840270 1700 0 ) ( * 82800 )
NEW met2 ( 2836130 82800 ) ( * 1804210 )
NEW met3 ( 592710 1915900 ) ( 635030 * )
NEW met1 ( 635030 1804210 ) ( 2836130 * )
NEW met2 ( 635030 1804210 ) ( * 1915900 )
NEW met2 ( 592710 1915900 ) M2M3_PR_M
NEW met1 ( 2836130 1804210 ) M1M2_PR
NEW met1 ( 635030 1804210 ) M1M2_PR
NEW met2 ( 635030 1915900 ) M2M3_PR_M ;
- la_oenb[125] ( PIN la_oenb[125] ) ( chip_controller la_oenb[125] ) + USE SIGNAL
+ ROUTED met4 ( 686780 1805060 ) ( * 1891420 )
NEW met2 ( 2856830 82800 ) ( 2857750 * )
NEW met2 ( 2857750 1700 0 ) ( * 82800 )
NEW met2 ( 2856830 82800 ) ( * 1805060 )
NEW met3 ( 686780 1805060 ) ( 2856830 * )
NEW met3 ( 599380 1896860 0 ) ( 613410 * )
NEW met2 ( 613410 1891420 ) ( * 1896860 )
NEW met3 ( 613410 1891420 ) ( 686780 * )
NEW met3 ( 686780 1805060 ) M3M4_PR_M
NEW met3 ( 686780 1891420 ) M3M4_PR_M
NEW met2 ( 2856830 1805060 ) M2M3_PR_M
NEW met2 ( 613410 1896860 ) M2M3_PR_M
NEW met2 ( 613410 1891420 ) M2M3_PR_M ;
- la_oenb[126] ( PIN la_oenb[126] ) ( chip_controller la_oenb[126] ) + USE SIGNAL
+ ROUTED met1 ( 594090 1490390 ) ( 599150 * )
NEW met2 ( 594090 1490390 ) ( * 1500420 0 )
NEW met2 ( 599150 57970 ) ( * 1490390 )
NEW met2 ( 2128650 18530 ) ( * 57970 )
NEW met2 ( 2875690 1700 0 ) ( * 18530 )
NEW met1 ( 2128650 18530 ) ( 2875690 * )
NEW met1 ( 599150 57970 ) ( 2128650 * )
NEW met1 ( 2128650 18530 ) M1M2_PR
NEW met1 ( 599150 57970 ) M1M2_PR
NEW met1 ( 599150 1490390 ) M1M2_PR
NEW met1 ( 594090 1490390 ) M1M2_PR
NEW met1 ( 2128650 57970 ) M1M2_PR
NEW met1 ( 2875690 18530 ) M1M2_PR ;
- la_oenb[127] ( PIN la_oenb[127] ) ( chip_controller la_oenb[127] ) + USE SIGNAL
+ ROUTED met2 ( 2893170 1700 0 ) ( * 18870 )
NEW met2 ( 598690 1500420 ) ( 599150 * 0 )
NEW met2 ( 598690 61710 ) ( * 1500420 )
NEW met2 ( 2232150 18870 ) ( * 61710 )
NEW met1 ( 2232150 18870 ) ( 2893170 * )
NEW met1 ( 598690 61710 ) ( 2232150 * )
NEW met1 ( 2232150 18870 ) M1M2_PR
NEW met1 ( 2893170 18870 ) M1M2_PR
NEW met1 ( 598690 61710 ) M1M2_PR
NEW met1 ( 2232150 61710 ) M1M2_PR ;
- la_oenb[12] ( PIN la_oenb[12] ) ( chip_controller la_oenb[12] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1587290 ) ( * 1593580 )
NEW met3 ( 189750 1593580 ) ( 201020 * 0 )
NEW met2 ( 853990 1700 0 ) ( * 17340 )
NEW met2 ( 851690 17340 ) ( 853990 * )
NEW met1 ( 165370 1587290 ) ( 189750 * )
NEW met2 ( 848930 82800 ) ( 851690 * )
NEW met2 ( 851690 17340 ) ( * 82800 )
NEW met2 ( 848930 82800 ) ( * 1478490 )
NEW met2 ( 165370 1478490 ) ( * 1587290 )
NEW met1 ( 165370 1478490 ) ( 848930 * )
NEW met1 ( 189750 1587290 ) M1M2_PR
NEW met2 ( 189750 1593580 ) M2M3_PR_M
NEW met1 ( 848930 1478490 ) M1M2_PR
NEW met1 ( 165370 1587290 ) M1M2_PR
NEW met1 ( 165370 1478490 ) M1M2_PR ;
- la_oenb[13] ( PIN la_oenb[13] ) ( chip_controller la_oenb[13] ) + USE SIGNAL
+ ROUTED met2 ( 300610 1488350 ) ( * 1500420 0 )
NEW met2 ( 383410 107610 ) ( * 1488350 )
NEW met2 ( 869630 82800 ) ( * 107610 )
NEW met2 ( 869630 82800 ) ( 871470 * )
NEW met2 ( 871470 1700 0 ) ( * 82800 )
NEW met1 ( 383410 107610 ) ( 869630 * )
NEW met1 ( 300610 1488350 ) ( 383410 * )
NEW met1 ( 383410 107610 ) M1M2_PR
NEW met1 ( 869630 107610 ) M1M2_PR
NEW met1 ( 300610 1488350 ) M1M2_PR
NEW met1 ( 383410 1488350 ) M1M2_PR ;
- la_oenb[14] ( PIN la_oenb[14] ) ( chip_controller la_oenb[14] ) + USE SIGNAL
+ ROUTED met2 ( 889410 1700 0 ) ( * 20910 )
NEW met1 ( 883430 20910 ) ( 889410 * )
NEW met2 ( 189290 1600890 ) ( * 1604460 )
NEW met3 ( 189290 1604460 ) ( 201020 * 0 )
NEW met2 ( 883430 20910 ) ( * 1500590 )
NEW met1 ( 164910 1600890 ) ( 189290 * )
NEW met2 ( 164910 1500590 ) ( * 1600890 )
NEW met1 ( 164910 1500590 ) ( 883430 * )
NEW met1 ( 889410 20910 ) M1M2_PR
NEW met1 ( 883430 20910 ) M1M2_PR
NEW met1 ( 189290 1600890 ) M1M2_PR
NEW met2 ( 189290 1604460 ) M2M3_PR_M
NEW met1 ( 883430 1500590 ) M1M2_PR
NEW met1 ( 164910 1600890 ) M1M2_PR
NEW met1 ( 164910 1500590 ) M1M2_PR ;
- la_oenb[15] ( PIN la_oenb[15] ) ( chip_controller la_oenb[15] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1605140 0 ) ( 608810 * )
NEW met2 ( 608810 1602590 ) ( * 1605140 )
NEW met2 ( 865950 107950 ) ( * 1602590 )
NEW met1 ( 865950 107950 ) ( 904130 * )
NEW met1 ( 608810 1602590 ) ( 865950 * )
NEW met2 ( 904130 82800 ) ( * 107950 )
NEW met2 ( 904130 82800 ) ( 907350 * )
NEW met2 ( 907350 1700 0 ) ( * 82800 )
NEW met1 ( 865950 107950 ) M1M2_PR
NEW met2 ( 608810 1605140 ) M2M3_PR_M
NEW met1 ( 608810 1602590 ) M1M2_PR
NEW met1 ( 865950 1602590 ) M1M2_PR
NEW met1 ( 904130 107950 ) M1M2_PR ;
- la_oenb[16] ( PIN la_oenb[16] ) ( chip_controller la_oenb[16] ) + USE SIGNAL
+ ROUTED met2 ( 302450 1899580 0 ) ( * 1918110 )
NEW met1 ( 198950 1918110 ) ( 302450 * )
NEW met2 ( 924830 1700 0 ) ( * 1472710 )
NEW met1 ( 197110 1508410 ) ( 199410 * )
NEW met2 ( 197110 1472710 ) ( * 1508410 )
NEW met1 ( 197110 1472710 ) ( 924830 * )
NEW met2 ( 199410 1508410 ) ( * 1849200 )
NEW met2 ( 198950 1849200 ) ( 199410 * )
NEW met2 ( 198950 1849200 ) ( * 1918110 )
NEW met1 ( 198950 1918110 ) M1M2_PR
NEW met1 ( 302450 1918110 ) M1M2_PR
NEW met1 ( 924830 1472710 ) M1M2_PR
NEW met1 ( 199410 1508410 ) M1M2_PR
NEW met1 ( 197110 1508410 ) M1M2_PR
NEW met1 ( 197110 1472710 ) M1M2_PR ;
- la_oenb[17] ( PIN la_oenb[17] ) ( chip_controller la_oenb[17] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1620100 0 ) ( 608810 * )
NEW met2 ( 608810 1616190 ) ( * 1620100 )
NEW met2 ( 886650 851870 ) ( * 1616190 )
NEW met1 ( 608810 1616190 ) ( 886650 * )
NEW met2 ( 938630 82800 ) ( 942770 * )
NEW met2 ( 942770 1700 0 ) ( * 82800 )
NEW met1 ( 886650 851870 ) ( 938630 * )
NEW met2 ( 938630 82800 ) ( * 851870 )
NEW met2 ( 608810 1620100 ) M2M3_PR_M
NEW met1 ( 608810 1616190 ) M1M2_PR
NEW met1 ( 886650 851870 ) M1M2_PR
NEW met1 ( 886650 1616190 ) M1M2_PR
NEW met1 ( 938630 851870 ) M1M2_PR ;
- la_oenb[18] ( PIN la_oenb[18] ) ( chip_controller la_oenb[18] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1625540 0 ) ( 608810 * )
NEW met2 ( 608810 1621970 ) ( * 1625540 )
NEW met2 ( 959330 82800 ) ( * 109990 )
NEW met2 ( 959330 82800 ) ( 960250 * )
NEW met2 ( 960250 1700 0 ) ( * 82800 )
NEW met1 ( 941850 109990 ) ( 959330 * )
NEW met1 ( 608810 1621970 ) ( 941850 * )
NEW met2 ( 941850 109990 ) ( * 1621970 )
NEW met1 ( 959330 109990 ) M1M2_PR
NEW met2 ( 608810 1625540 ) M2M3_PR_M
NEW met1 ( 608810 1621970 ) M1M2_PR
NEW met1 ( 941850 109990 ) M1M2_PR
NEW met1 ( 941850 1621970 ) M1M2_PR ;
- la_oenb[19] ( PIN la_oenb[19] ) ( chip_controller la_oenb[19] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1628430 ) ( * 1632340 )
NEW met3 ( 189290 1632340 ) ( 201020 * 0 )
NEW met2 ( 973130 82800 ) ( 978190 * )
NEW met2 ( 978190 1700 0 ) ( * 82800 )
NEW met2 ( 973130 82800 ) ( * 1474410 )
NEW met1 ( 153870 1628430 ) ( 189290 * )
NEW met2 ( 153870 1474410 ) ( * 1628430 )
NEW met1 ( 153870 1474410 ) ( 973130 * )
NEW met1 ( 189290 1628430 ) M1M2_PR
NEW met2 ( 189290 1632340 ) M2M3_PR_M
NEW met1 ( 973130 1474410 ) M1M2_PR
NEW met1 ( 153870 1474410 ) M1M2_PR
NEW met1 ( 153870 1628430 ) M1M2_PR ;
- la_oenb[1] ( PIN la_oenb[1] ) ( chip_controller la_oenb[1] ) + USE SIGNAL
+ ROUTED met2 ( 110170 17170 ) ( * 1897710 )
NEW met1 ( 210910 1897710 ) ( * 1899410 )
NEW met2 ( 210910 1899410 ) ( * 1899580 )
NEW met2 ( 210910 1899580 ) ( 211830 * 0 )
NEW met2 ( 658950 1700 0 ) ( * 17170 )
NEW met1 ( 110170 17170 ) ( 658950 * )
NEW met1 ( 110170 1897710 ) ( 210910 * )
NEW met1 ( 110170 17170 ) M1M2_PR
NEW met1 ( 110170 1897710 ) M1M2_PR
NEW met1 ( 210910 1899410 ) M1M2_PR
NEW met1 ( 658950 17170 ) M1M2_PR ;
- la_oenb[20] ( PIN la_oenb[20] ) ( chip_controller la_oenb[20] ) + USE SIGNAL
+ ROUTED met2 ( 995670 1700 0 ) ( * 15810 )
NEW met1 ( 659410 15810 ) ( 995670 * )
NEW met2 ( 328210 1899580 0 ) ( * 1926950 )
NEW met2 ( 659410 15810 ) ( * 1926950 )
NEW met1 ( 328210 1926950 ) ( 659410 * )
NEW met1 ( 995670 15810 ) M1M2_PR
NEW met1 ( 328210 1926950 ) M1M2_PR
NEW met1 ( 659410 15810 ) M1M2_PR
NEW met1 ( 659410 1926950 ) M1M2_PR ;
- la_oenb[21] ( PIN la_oenb[21] ) ( chip_controller la_oenb[21] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1638460 0 ) ( 608810 * )
NEW met2 ( 608810 1636590 ) ( * 1638460 )
NEW met2 ( 976350 109990 ) ( * 1636590 )
NEW met1 ( 608810 1636590 ) ( 976350 * )
NEW met1 ( 976350 109990 ) ( 1008090 * )
NEW met2 ( 1008090 82800 ) ( * 109990 )
NEW met2 ( 1008090 82800 ) ( 1013610 * )
NEW met2 ( 1013610 1700 0 ) ( * 82800 )
NEW met2 ( 608810 1638460 ) M2M3_PR_M
NEW met1 ( 608810 1636590 ) M1M2_PR
NEW met1 ( 976350 109990 ) M1M2_PR
NEW met1 ( 976350 1636590 ) M1M2_PR
NEW met1 ( 1008090 109990 ) M1M2_PR ;
- la_oenb[22] ( PIN la_oenb[22] ) ( chip_controller la_oenb[22] ) + USE SIGNAL
+ ROUTED met2 ( 375590 1465910 ) ( * 1483590 )
NEW met1 ( 375590 1465910 ) ( 1028330 * )
NEW met2 ( 366850 1483590 ) ( * 1500420 0 )
NEW met1 ( 366850 1483590 ) ( 375590 * )
NEW met2 ( 1028330 82800 ) ( 1031090 * )
NEW met2 ( 1031090 1700 0 ) ( * 82800 )
NEW met2 ( 1028330 82800 ) ( * 1465910 )
NEW met1 ( 375590 1465910 ) M1M2_PR
NEW met1 ( 375590 1483590 ) M1M2_PR
NEW met1 ( 1028330 1465910 ) M1M2_PR
NEW met1 ( 366850 1483590 ) M1M2_PR ;
- la_oenb[23] ( PIN la_oenb[23] ) ( chip_controller la_oenb[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1641860 0 ) ( 609730 * )
NEW met2 ( 609730 1635910 ) ( * 1641860 )
NEW met2 ( 1049030 1700 0 ) ( * 11900 )
NEW met2 ( 1049030 11900 ) ( 1049490 * )
NEW met2 ( 1049490 11900 ) ( * 22950 )
NEW met1 ( 1045350 22950 ) ( 1049490 * )
NEW met1 ( 609730 1635910 ) ( 1045350 * )
NEW met2 ( 1045350 22950 ) ( * 1635910 )
NEW met2 ( 609730 1641860 ) M2M3_PR_M
NEW met1 ( 609730 1635910 ) M1M2_PR
NEW met1 ( 1049490 22950 ) M1M2_PR
NEW met1 ( 1045350 22950 ) M1M2_PR
NEW met1 ( 1045350 1635910 ) M1M2_PR ;
- la_oenb[24] ( PIN la_oenb[24] ) ( chip_controller la_oenb[24] ) + USE SIGNAL
+ ROUTED met2 ( 1066970 1700 0 ) ( * 17510 )
NEW met2 ( 680110 17510 ) ( * 1927290 )
NEW met1 ( 680110 17510 ) ( 1066970 * )
NEW met2 ( 353970 1899580 0 ) ( * 1927290 )
NEW met1 ( 353970 1927290 ) ( 680110 * )
NEW met1 ( 680110 17510 ) M1M2_PR
NEW met1 ( 680110 1927290 ) M1M2_PR
NEW met1 ( 1066970 17510 ) M1M2_PR
NEW met1 ( 353970 1927290 ) M1M2_PR ;
- la_oenb[25] ( PIN la_oenb[25] ) ( chip_controller la_oenb[25] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1660220 0 ) ( 609270 * )
NEW met2 ( 609270 1656990 ) ( * 1660220 )
NEW met2 ( 1084450 1700 0 ) ( * 21250 )
NEW met1 ( 1079850 21250 ) ( 1084450 * )
NEW met2 ( 1079850 21250 ) ( * 1656990 )
NEW met1 ( 609270 1656990 ) ( 1079850 * )
NEW met2 ( 609270 1660220 ) M2M3_PR_M
NEW met1 ( 609270 1656990 ) M1M2_PR
NEW met1 ( 1084450 21250 ) M1M2_PR
NEW met1 ( 1079850 21250 ) M1M2_PR
NEW met1 ( 1079850 1656990 ) M1M2_PR ;
- la_oenb[26] ( PIN la_oenb[26] ) ( chip_controller la_oenb[26] ) + USE SIGNAL
+ ROUTED met2 ( 1102390 1700 0 ) ( * 16660 )
NEW met2 ( 1100090 16660 ) ( 1102390 * )
NEW met2 ( 363630 1899580 0 ) ( * 1918110 )
NEW met2 ( 1097330 82800 ) ( 1100090 * )
NEW met2 ( 1100090 16660 ) ( * 82800 )
NEW met2 ( 1097330 82800 ) ( * 1784490 )
NEW met1 ( 363630 1918110 ) ( 621690 * )
NEW met1 ( 621690 1784490 ) ( 1097330 * )
NEW met2 ( 621690 1784490 ) ( * 1918110 )
NEW met1 ( 363630 1918110 ) M1M2_PR
NEW met1 ( 1097330 1784490 ) M1M2_PR
NEW met1 ( 621690 1918110 ) M1M2_PR
NEW met1 ( 621690 1784490 ) M1M2_PR ;
- la_oenb[27] ( PIN la_oenb[27] ) ( chip_controller la_oenb[27] ) + USE SIGNAL
+ ROUTED met2 ( 688390 19550 ) ( * 1906550 )
NEW met2 ( 1119870 1700 0 ) ( * 19550 )
NEW met1 ( 688390 19550 ) ( 1119870 * )
NEW met2 ( 368230 1899580 0 ) ( * 1906550 )
NEW met1 ( 368230 1906550 ) ( 688390 * )
NEW met1 ( 688390 19550 ) M1M2_PR
NEW met1 ( 688390 1906550 ) M1M2_PR
NEW met1 ( 1119870 19550 ) M1M2_PR
NEW met1 ( 368230 1906550 ) M1M2_PR ;
- la_oenb[28] ( PIN la_oenb[28] ) ( chip_controller la_oenb[28] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1678580 0 ) ( 608350 * )
NEW met2 ( 608350 1676710 ) ( * 1678580 )
NEW met1 ( 1101010 108970 ) ( 1132290 * )
NEW met2 ( 1137810 1700 0 ) ( * 34500 )
NEW met2 ( 1132290 34500 ) ( 1137810 * )
NEW met2 ( 1132290 34500 ) ( * 108970 )
NEW met1 ( 608350 1676710 ) ( 1101010 * )
NEW met2 ( 1101010 108970 ) ( * 1676710 )
NEW met2 ( 608350 1678580 ) M2M3_PR_M
NEW met1 ( 608350 1676710 ) M1M2_PR
NEW met1 ( 1101010 108970 ) M1M2_PR
NEW met1 ( 1132290 108970 ) M1M2_PR
NEW met1 ( 1101010 1676710 ) M1M2_PR ;
- la_oenb[29] ( PIN la_oenb[29] ) ( chip_controller la_oenb[29] ) + USE SIGNAL
+ ROUTED met2 ( 1155290 1700 0 ) ( * 17170 )
NEW met2 ( 373290 1899580 0 ) ( 373750 * )
NEW met2 ( 373750 1899580 ) ( * 1899750 )
NEW met1 ( 660790 17170 ) ( 1155290 * )
NEW met2 ( 660790 17170 ) ( * 1900090 )
NEW met1 ( 618010 1899750 ) ( * 1900090 )
NEW met1 ( 373750 1899750 ) ( 618010 * )
NEW met1 ( 618010 1900090 ) ( 660790 * )
NEW met1 ( 1155290 17170 ) M1M2_PR
NEW met1 ( 373750 1899750 ) M1M2_PR
NEW met1 ( 660790 17170 ) M1M2_PR
NEW met1 ( 660790 1900090 ) M1M2_PR ;
- la_oenb[2] ( PIN la_oenb[2] ) ( chip_controller la_oenb[2] ) + USE SIGNAL
+ ROUTED met2 ( 676430 1700 0 ) ( * 17510 )
NEW met1 ( 669530 17510 ) ( 676430 * )
NEW met2 ( 669530 17510 ) ( * 46070 )
NEW met1 ( 236210 1490390 ) ( 241270 * )
NEW met2 ( 236210 1490390 ) ( * 1500420 0 )
NEW met2 ( 241270 46070 ) ( * 1490390 )
NEW met1 ( 241270 46070 ) ( 669530 * )
NEW met1 ( 676430 17510 ) M1M2_PR
NEW met1 ( 669530 17510 ) M1M2_PR
NEW met1 ( 669530 46070 ) M1M2_PR
NEW met1 ( 241270 46070 ) M1M2_PR
NEW met1 ( 241270 1490390 ) M1M2_PR
NEW met1 ( 236210 1490390 ) M1M2_PR ;
- la_oenb[30] ( PIN la_oenb[30] ) ( chip_controller la_oenb[30] ) + USE SIGNAL
+ ROUTED met2 ( 1173230 1700 0 ) ( * 17340 )
NEW met2 ( 1173230 17340 ) ( 1173690 * )
NEW met1 ( 404110 1490390 ) ( 406410 * )
NEW met2 ( 404110 1490390 ) ( * 1500420 0 )
NEW met2 ( 406410 120530 ) ( * 1490390 )
NEW met2 ( 1173690 17340 ) ( * 120530 )
NEW met1 ( 406410 120530 ) ( 1173690 * )
NEW met1 ( 406410 120530 ) M1M2_PR
NEW met1 ( 1173690 120530 ) M1M2_PR
NEW met1 ( 406410 1490390 ) M1M2_PR
NEW met1 ( 404110 1490390 ) M1M2_PR ;
- la_oenb[31] ( PIN la_oenb[31] ) ( chip_controller la_oenb[31] ) + USE SIGNAL
+ ROUTED met1 ( 408710 1490050 ) ( 419750 * )
NEW met2 ( 408710 1490050 ) ( * 1500420 0 )
NEW met2 ( 419750 1466930 ) ( * 1490050 )
NEW met2 ( 1190710 1700 0 ) ( * 34500 )
NEW met2 ( 1187030 34500 ) ( 1190710 * )
NEW met2 ( 1187030 34500 ) ( * 1466930 )
NEW met1 ( 419750 1466930 ) ( 1187030 * )
NEW met1 ( 419750 1466930 ) M1M2_PR
NEW met1 ( 1187030 1466930 ) M1M2_PR
NEW met1 ( 419750 1490050 ) M1M2_PR
NEW met1 ( 408710 1490050 ) M1M2_PR ;
- la_oenb[32] ( PIN la_oenb[32] ) ( chip_controller la_oenb[32] ) + USE SIGNAL
+ ROUTED met2 ( 386170 1899580 0 ) ( * 1913010 )
NEW met2 ( 1207730 82800 ) ( 1208650 * )
NEW met2 ( 1208650 1700 0 ) ( * 82800 )
NEW met2 ( 1207730 82800 ) ( * 1778370 )
NEW met1 ( 614790 1812710 ) ( * 1813730 )
NEW met1 ( 614790 1813730 ) ( 615250 * )
NEW met2 ( 614790 1778370 ) ( * 1812710 )
NEW met1 ( 614790 1778370 ) ( 1207730 * )
NEW met2 ( 615710 1898220 ) ( * 1913010 )
NEW met2 ( 615250 1898220 ) ( 615710 * )
NEW met1 ( 386170 1913010 ) ( 615710 * )
NEW met2 ( 615250 1813730 ) ( * 1898220 )
NEW met1 ( 386170 1913010 ) M1M2_PR
NEW met1 ( 1207730 1778370 ) M1M2_PR
NEW met1 ( 614790 1812710 ) M1M2_PR
NEW met1 ( 615250 1813730 ) M1M2_PR
NEW met1 ( 614790 1778370 ) M1M2_PR
NEW met1 ( 615710 1913010 ) M1M2_PR ;
- la_oenb[33] ( PIN la_oenb[33] ) ( chip_controller la_oenb[33] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1691330 ) ( * 1693540 )
NEW met3 ( 190210 1693540 ) ( 201020 * 0 )
NEW met1 ( 160310 1691330 ) ( 190210 * )
NEW met2 ( 1221530 82800 ) ( 1226130 * )
NEW met2 ( 1226130 1700 0 ) ( * 82800 )
NEW met2 ( 1221530 82800 ) ( * 1479850 )
NEW met2 ( 160310 1479850 ) ( * 1691330 )
NEW met1 ( 160310 1479850 ) ( 1221530 * )
NEW met1 ( 190210 1691330 ) M1M2_PR
NEW met2 ( 190210 1693540 ) M2M3_PR_M
NEW met1 ( 1221530 1479850 ) M1M2_PR
NEW met1 ( 160310 1691330 ) M1M2_PR
NEW met1 ( 160310 1479850 ) M1M2_PR ;
- la_oenb[34] ( PIN la_oenb[34] ) ( chip_controller la_oenb[34] ) + USE SIGNAL
+ ROUTED met2 ( 390770 1899580 0 ) ( * 1913350 )
NEW met2 ( 1242690 82800 ) ( 1244070 * )
NEW met2 ( 1244070 1700 0 ) ( * 82800 )
NEW li1 ( 1242690 1803190 ) ( * 1805570 )
NEW met2 ( 1242690 82800 ) ( * 1803190 )
NEW met1 ( 390770 1913350 ) ( 602370 * )
NEW met1 ( 600530 1805570 ) ( 1242690 * )
NEW met1 ( 600530 1872550 ) ( 602370 * )
NEW met2 ( 600530 1805570 ) ( * 1872550 )
NEW met2 ( 602370 1872550 ) ( * 1913350 )
NEW met1 ( 390770 1913350 ) M1M2_PR
NEW li1 ( 1242690 1805570 ) L1M1_PR_MR
NEW li1 ( 1242690 1803190 ) L1M1_PR_MR
NEW met1 ( 1242690 1803190 ) M1M2_PR
NEW met1 ( 600530 1805570 ) M1M2_PR
NEW met1 ( 602370 1913350 ) M1M2_PR
NEW met1 ( 600530 1872550 ) M1M2_PR
NEW met1 ( 602370 1872550 ) M1M2_PR
NEW met1 ( 1242690 1803190 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[35] ( PIN la_oenb[35] ) ( chip_controller la_oenb[35] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1697790 ) ( * 1698980 )
NEW met3 ( 190210 1698980 ) ( 201020 * 0 )
NEW met2 ( 1262010 1700 0 ) ( * 34500 )
NEW met2 ( 1256490 34500 ) ( 1262010 * )
NEW met2 ( 1256490 34500 ) ( * 1473050 )
NEW met2 ( 154790 1473050 ) ( * 1697790 )
NEW met1 ( 154790 1697790 ) ( 190210 * )
NEW met1 ( 154790 1473050 ) ( 1256490 * )
NEW met1 ( 1256490 1473050 ) M1M2_PR
NEW met1 ( 190210 1697790 ) M1M2_PR
NEW met2 ( 190210 1698980 ) M2M3_PR_M
NEW met1 ( 154790 1473050 ) M1M2_PR
NEW met1 ( 154790 1697790 ) M1M2_PR ;
- la_oenb[36] ( PIN la_oenb[36] ) ( chip_controller la_oenb[36] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1704420 0 ) ( 607430 * )
NEW met2 ( 607430 1704420 ) ( * 1704930 )
NEW met1 ( 607430 1704930 ) ( 1210950 * )
NEW met2 ( 1210950 107610 ) ( * 1704930 )
NEW met1 ( 1210950 107610 ) ( 1279490 * )
NEW met2 ( 1279490 1700 0 ) ( * 107610 )
NEW met2 ( 607430 1704420 ) M2M3_PR_M
NEW met1 ( 607430 1704930 ) M1M2_PR
NEW met1 ( 1210950 107610 ) M1M2_PR
NEW met1 ( 1210950 1704930 ) M1M2_PR
NEW met1 ( 1279490 107610 ) M1M2_PR ;
- la_oenb[37] ( PIN la_oenb[37] ) ( chip_controller la_oenb[37] ) + USE SIGNAL
+ ROUTED met2 ( 416990 1486650 ) ( * 1500420 0 )
NEW met2 ( 1297430 1700 0 ) ( * 17340 )
NEW met2 ( 1297430 17340 ) ( 1297890 * )
NEW met1 ( 439070 114410 ) ( 1297890 * )
NEW met1 ( 416990 1486650 ) ( 439070 * )
NEW met2 ( 439070 114410 ) ( * 1486650 )
NEW met2 ( 1297890 17340 ) ( * 114410 )
NEW met1 ( 416990 1486650 ) M1M2_PR
NEW met1 ( 439070 114410 ) M1M2_PR
NEW met1 ( 1297890 114410 ) M1M2_PR
NEW met1 ( 439070 1486650 ) M1M2_PR ;
- la_oenb[38] ( PIN la_oenb[38] ) ( chip_controller la_oenb[38] ) + USE SIGNAL
+ ROUTED met2 ( 418370 1486310 ) ( * 1500420 0 )
NEW met1 ( 418370 1486310 ) ( 431250 * )
NEW met2 ( 431250 102510 ) ( * 1486310 )
NEW met1 ( 431250 102510 ) ( 1269600 * )
NEW met1 ( 1269600 102510 ) ( * 102850 )
NEW met1 ( 1269600 102850 ) ( 1294210 * )
NEW li1 ( 1294210 99450 ) ( * 102850 )
NEW met1 ( 1294210 99450 ) ( 1314910 * )
NEW met2 ( 1314910 1700 0 ) ( * 99450 )
NEW met1 ( 418370 1486310 ) M1M2_PR
NEW met1 ( 431250 102510 ) M1M2_PR
NEW met1 ( 431250 1486310 ) M1M2_PR
NEW li1 ( 1294210 102850 ) L1M1_PR_MR
NEW li1 ( 1294210 99450 ) L1M1_PR_MR
NEW met1 ( 1314910 99450 ) M1M2_PR ;
- la_oenb[39] ( PIN la_oenb[39] ) ( chip_controller la_oenb[39] ) + USE SIGNAL
+ ROUTED met1 ( 180090 1704590 ) ( 187450 * )
NEW met2 ( 187450 1704590 ) ( * 1709180 )
NEW met3 ( 187450 1709180 ) ( 201020 * 0 )
NEW met1 ( 1296510 55930 ) ( * 56610 )
NEW met1 ( 1296510 55930 ) ( 1332850 * )
NEW met1 ( 180090 56610 ) ( 1296510 * )
NEW met2 ( 1332850 1700 0 ) ( * 55930 )
NEW met2 ( 180090 56610 ) ( * 1704590 )
NEW met1 ( 180090 56610 ) M1M2_PR
NEW met1 ( 180090 1704590 ) M1M2_PR
NEW met1 ( 187450 1704590 ) M1M2_PR
NEW met2 ( 187450 1709180 ) M2M3_PR_M
NEW met1 ( 1332850 55930 ) M1M2_PR ;
- la_oenb[3] ( PIN la_oenb[3] ) ( chip_controller la_oenb[3] ) + USE SIGNAL
+ ROUTED met2 ( 694370 1700 0 ) ( * 17340 )
NEW met2 ( 692990 17340 ) ( 694370 * )
NEW met2 ( 223330 1899580 0 ) ( * 1911310 )
NEW met2 ( 690230 82800 ) ( 692990 * )
NEW met2 ( 692990 17340 ) ( * 82800 )
NEW met1 ( 248630 1911310 ) ( * 1911650 )
NEW met1 ( 248630 1911650 ) ( 262430 * )
NEW met2 ( 262430 1907910 ) ( * 1911650 )
NEW met1 ( 223330 1911310 ) ( 248630 * )
NEW met1 ( 262430 1907910 ) ( 690230 * )
NEW met2 ( 690230 82800 ) ( * 1907910 )
NEW met1 ( 223330 1911310 ) M1M2_PR
NEW met1 ( 262430 1911650 ) M1M2_PR
NEW met1 ( 262430 1907910 ) M1M2_PR
NEW met1 ( 690230 1907910 ) M1M2_PR ;
- la_oenb[40] ( PIN la_oenb[40] ) ( chip_controller la_oenb[40] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1711390 ) ( * 1713260 )
NEW met3 ( 190670 1713260 ) ( 201020 * 0 )
NEW met2 ( 1350330 1700 0 ) ( * 56950 )
NEW met1 ( 176870 1711390 ) ( 190670 * )
NEW met1 ( 176870 56950 ) ( 1350330 * )
NEW met2 ( 176870 56950 ) ( * 1711390 )
NEW met1 ( 190670 1711390 ) M1M2_PR
NEW met2 ( 190670 1713260 ) M2M3_PR_M
NEW met1 ( 1350330 56950 ) M1M2_PR
NEW met1 ( 176870 56950 ) M1M2_PR
NEW met1 ( 176870 1711390 ) M1M2_PR ;
- la_oenb[41] ( PIN la_oenb[41] ) ( chip_controller la_oenb[41] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1712070 ) ( * 1718020 )
NEW met3 ( 188830 1718020 ) ( 201020 * 0 )
NEW met2 ( 1368270 1700 0 ) ( * 49130 )
NEW met2 ( 141910 49130 ) ( * 1712070 )
NEW met1 ( 141910 1712070 ) ( 188830 * )
NEW met1 ( 141910 49130 ) ( 1368270 * )
NEW met1 ( 188830 1712070 ) M1M2_PR
NEW met2 ( 188830 1718020 ) M2M3_PR_M
NEW met1 ( 1368270 49130 ) M1M2_PR
NEW met1 ( 141910 49130 ) M1M2_PR
NEW met1 ( 141910 1712070 ) M1M2_PR ;
- la_oenb[42] ( PIN la_oenb[42] ) ( chip_controller la_oenb[42] ) + USE SIGNAL
+ ROUTED met2 ( 1385750 1700 0 ) ( * 16830 )
NEW met2 ( 410550 1899580 0 ) ( * 1907230 )
NEW met1 ( 702650 16830 ) ( 1385750 * )
NEW met2 ( 702190 1901620 ) ( * 1907230 )
NEW met2 ( 702190 1901620 ) ( 702650 * )
NEW met1 ( 410550 1907230 ) ( 702190 * )
NEW met2 ( 702650 16830 ) ( * 1901620 )
NEW met1 ( 702650 16830 ) M1M2_PR
NEW met1 ( 1385750 16830 ) M1M2_PR
NEW met1 ( 410550 1907230 ) M1M2_PR
NEW met1 ( 702190 1907230 ) M1M2_PR ;
- la_oenb[43] ( PIN la_oenb[43] ) ( chip_controller la_oenb[43] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1717340 0 ) ( 608810 * )
NEW met2 ( 608810 1711730 ) ( * 1717340 )
NEW met2 ( 1156670 34850 ) ( * 1711730 )
NEW met1 ( 608810 1711730 ) ( 1156670 * )
NEW met1 ( 1156670 34850 ) ( 1403690 * )
NEW met2 ( 1403690 1700 0 ) ( * 34850 )
NEW met2 ( 608810 1717340 ) M2M3_PR_M
NEW met1 ( 608810 1711730 ) M1M2_PR
NEW met1 ( 1156670 34850 ) M1M2_PR
NEW met1 ( 1156670 1711730 ) M1M2_PR
NEW met1 ( 1403690 34850 ) M1M2_PR ;
- la_oenb[44] ( PIN la_oenb[44] ) ( chip_controller la_oenb[44] ) + USE SIGNAL
+ ROUTED met2 ( 416990 1899580 0 ) ( * 1917770 )
NEW met2 ( 668150 70550 ) ( * 1917770 )
NEW met2 ( 1421630 1700 0 ) ( * 17340 )
NEW met2 ( 1421630 17340 ) ( 1422090 * )
NEW met2 ( 1422090 17340 ) ( * 70550 )
NEW met1 ( 416990 1917770 ) ( 668150 * )
NEW met1 ( 668150 70550 ) ( 1422090 * )
NEW met1 ( 416990 1917770 ) M1M2_PR
NEW met1 ( 668150 70550 ) M1M2_PR
NEW met1 ( 668150 1917770 ) M1M2_PR
NEW met1 ( 1422090 70550 ) M1M2_PR ;
- la_oenb[45] ( PIN la_oenb[45] ) ( chip_controller la_oenb[45] ) + USE SIGNAL
+ ROUTED met2 ( 1439110 1700 0 ) ( * 35530 )
NEW met1 ( 429870 1490390 ) ( 438150 * )
NEW met2 ( 429870 1490390 ) ( * 1500420 0 )
NEW met2 ( 438150 35530 ) ( * 1490390 )
NEW met1 ( 438150 35530 ) ( 1439110 * )
NEW met1 ( 1439110 35530 ) M1M2_PR
NEW met1 ( 438150 35530 ) M1M2_PR
NEW met1 ( 438150 1490390 ) M1M2_PR
NEW met1 ( 429870 1490390 ) M1M2_PR ;
- la_oenb[46] ( PIN la_oenb[46] ) ( chip_controller la_oenb[46] ) + USE SIGNAL
+ ROUTED met2 ( 1457050 1700 0 ) ( * 19890 )
NEW met1 ( 702190 19890 ) ( 1457050 * )
NEW met2 ( 423430 1899580 0 ) ( * 1908930 )
NEW met2 ( 701270 1901110 ) ( * 1908930 )
NEW met1 ( 701270 1901110 ) ( 702190 * )
NEW met1 ( 423430 1908930 ) ( 701270 * )
NEW met2 ( 702190 19890 ) ( * 1901110 )
NEW met1 ( 702190 19890 ) M1M2_PR
NEW met1 ( 1457050 19890 ) M1M2_PR
NEW met1 ( 423430 1908930 ) M1M2_PR
NEW met1 ( 701270 1908930 ) M1M2_PR
NEW met1 ( 701270 1901110 ) M1M2_PR
NEW met1 ( 702190 1901110 ) M1M2_PR ;
- la_oenb[47] ( PIN la_oenb[47] ) ( chip_controller la_oenb[47] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1732130 ) ( * 1732300 )
NEW met3 ( 190210 1732300 ) ( 201020 * 0 )
NEW met2 ( 115230 49810 ) ( * 1732130 )
NEW met2 ( 1474530 1700 0 ) ( * 49810 )
NEW met1 ( 115230 1732130 ) ( 190210 * )
NEW met1 ( 115230 49810 ) ( 1474530 * )
NEW met1 ( 115230 1732130 ) M1M2_PR
NEW met1 ( 190210 1732130 ) M1M2_PR
NEW met2 ( 190210 1732300 ) M2M3_PR_M
NEW met1 ( 115230 49810 ) M1M2_PR
NEW met1 ( 1474530 49810 ) M1M2_PR ;
- la_oenb[48] ( PIN la_oenb[48] ) ( chip_controller la_oenb[48] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1724820 0 ) ( 609270 * )
NEW met2 ( 609270 1718530 ) ( * 1724820 )
NEW met2 ( 1492470 1700 0 ) ( * 21250 )
NEW met1 ( 1121250 21250 ) ( 1492470 * )
NEW met1 ( 609270 1718530 ) ( 1121250 * )
NEW met2 ( 1121250 21250 ) ( * 1718530 )
NEW met2 ( 609270 1724820 ) M2M3_PR_M
NEW met1 ( 609270 1718530 ) M1M2_PR
NEW met1 ( 1121250 21250 ) M1M2_PR
NEW met1 ( 1492470 21250 ) M1M2_PR
NEW met1 ( 1121250 1718530 ) M1M2_PR ;
- la_oenb[49] ( PIN la_oenb[49] ) ( chip_controller la_oenb[49] ) + USE SIGNAL
+ ROUTED met2 ( 674590 133790 ) ( * 1941570 )
NEW met2 ( 1509950 1700 0 ) ( * 14790 )
NEW met1 ( 1286850 14790 ) ( 1509950 * )
NEW met2 ( 434470 1899580 0 ) ( * 1941570 )
NEW met1 ( 674590 133790 ) ( 1286850 * )
NEW met1 ( 434470 1941570 ) ( 674590 * )
NEW met2 ( 1286850 14790 ) ( * 133790 )
NEW met1 ( 674590 1941570 ) M1M2_PR
NEW met1 ( 1286850 14790 ) M1M2_PR
NEW met1 ( 674590 133790 ) M1M2_PR
NEW met1 ( 1286850 133790 ) M1M2_PR
NEW met1 ( 434470 1941570 ) M1M2_PR
NEW met1 ( 1509950 14790 ) M1M2_PR ;
- la_oenb[4] ( PIN la_oenb[4] ) ( chip_controller la_oenb[4] ) + USE SIGNAL
+ ROUTED met2 ( 232990 1899580 0 ) ( * 1913010 )
NEW met1 ( 167670 1913010 ) ( 232990 * )
NEW met2 ( 710930 82800 ) ( 712310 * )
NEW met2 ( 712310 1700 0 ) ( * 82800 )
NEW met2 ( 710930 82800 ) ( * 1492090 )
NEW met1 ( 167670 1492090 ) ( 710930 * )
NEW met2 ( 167670 1492090 ) ( * 1913010 )
NEW met1 ( 167670 1913010 ) M1M2_PR
NEW met1 ( 232990 1913010 ) M1M2_PR
NEW met1 ( 710930 1492090 ) M1M2_PR
NEW met1 ( 167670 1492090 ) M1M2_PR ;
- la_oenb[50] ( PIN la_oenb[50] ) ( chip_controller la_oenb[50] ) + USE SIGNAL
+ ROUTED met2 ( 486910 8670 ) ( * 1486650 )
NEW met2 ( 1527890 1700 0 ) ( * 8670 )
NEW met1 ( 486910 8670 ) ( 1527890 * )
NEW met1 ( 452870 1486310 ) ( * 1486650 )
NEW met1 ( 436310 1486310 ) ( 452870 * )
NEW met2 ( 436310 1486310 ) ( * 1500420 0 )
NEW met1 ( 452870 1486650 ) ( 486910 * )
NEW met1 ( 486910 8670 ) M1M2_PR
NEW met1 ( 486910 1486650 ) M1M2_PR
NEW met1 ( 1527890 8670 ) M1M2_PR
NEW met1 ( 436310 1486310 ) M1M2_PR ;
- la_oenb[51] ( PIN la_oenb[51] ) ( chip_controller la_oenb[51] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1733660 0 ) ( 607430 * )
NEW met2 ( 607430 1732470 ) ( * 1733660 )
NEW met2 ( 1545370 1700 0 ) ( * 22270 )
NEW met2 ( 1066050 22270 ) ( * 1732470 )
NEW met1 ( 607430 1732470 ) ( 1066050 * )
NEW met1 ( 1066050 22270 ) ( 1545370 * )
NEW met2 ( 607430 1733660 ) M2M3_PR_M
NEW met1 ( 607430 1732470 ) M1M2_PR
NEW met1 ( 1066050 22270 ) M1M2_PR
NEW met1 ( 1066050 1732470 ) M1M2_PR
NEW met1 ( 1545370 22270 ) M1M2_PR ;
- la_oenb[52] ( PIN la_oenb[52] ) ( chip_controller la_oenb[52] ) + USE SIGNAL
+ ROUTED met2 ( 1563310 1700 0 ) ( * 63410 )
NEW met1 ( 440910 1490390 ) ( 445050 * )
NEW met2 ( 440910 1490390 ) ( * 1500420 0 )
NEW met2 ( 445050 63410 ) ( * 1490390 )
NEW met1 ( 445050 63410 ) ( 1563310 * )
NEW met1 ( 1563310 63410 ) M1M2_PR
NEW met1 ( 445050 63410 ) M1M2_PR
NEW met1 ( 445050 1490390 ) M1M2_PR
NEW met1 ( 440910 1490390 ) M1M2_PR ;
- la_oenb[53] ( PIN la_oenb[53] ) ( chip_controller la_oenb[53] ) + USE SIGNAL
+ ROUTED met2 ( 1581250 1700 0 ) ( * 15130 )
NEW met1 ( 1287310 15130 ) ( 1581250 * )
NEW met2 ( 442750 1899580 0 ) ( * 1911310 )
NEW met1 ( 442750 1911310 ) ( 448270 * )
NEW met2 ( 448270 1911310 ) ( * 1934090 )
NEW met2 ( 654810 137190 ) ( * 1934090 )
NEW met1 ( 654810 137190 ) ( 1288690 * )
NEW met1 ( 448270 1934090 ) ( 654810 * )
NEW met1 ( 1287310 84150 ) ( 1288690 * )
NEW met2 ( 1287310 15130 ) ( * 84150 )
NEW met2 ( 1288690 84150 ) ( * 137190 )
NEW met1 ( 1287310 15130 ) M1M2_PR
NEW met1 ( 1288690 137190 ) M1M2_PR
NEW met1 ( 448270 1934090 ) M1M2_PR
NEW met1 ( 654810 1934090 ) M1M2_PR
NEW met1 ( 1581250 15130 ) M1M2_PR
NEW met1 ( 442750 1911310 ) M1M2_PR
NEW met1 ( 448270 1911310 ) M1M2_PR
NEW met1 ( 654810 137190 ) M1M2_PR
NEW met1 ( 1287310 84150 ) M1M2_PR
NEW met1 ( 1288690 84150 ) M1M2_PR ;
- la_oenb[54] ( PIN la_oenb[54] ) ( chip_controller la_oenb[54] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1739610 ) ( * 1742500 )
NEW met3 ( 189750 1742500 ) ( 201020 * 0 )
NEW met2 ( 95910 82110 ) ( * 1739610 )
NEW met1 ( 95910 1739610 ) ( 189750 * )
NEW met2 ( 1598730 1700 0 ) ( * 82110 )
NEW met1 ( 95910 82110 ) ( 1598730 * )
NEW met1 ( 95910 1739610 ) M1M2_PR
NEW met1 ( 189750 1739610 ) M1M2_PR
NEW met2 ( 189750 1742500 ) M2M3_PR_M
NEW met1 ( 95910 82110 ) M1M2_PR
NEW met1 ( 1598730 82110 ) M1M2_PR ;
- la_oenb[55] ( PIN la_oenb[55] ) ( chip_controller la_oenb[55] ) + USE SIGNAL
+ ROUTED met2 ( 1616670 1700 0 ) ( * 18530 )
NEW met1 ( 653430 18530 ) ( 1616670 * )
NEW met2 ( 447350 1899580 0 ) ( * 1904510 )
NEW met2 ( 653430 18530 ) ( * 1904510 )
NEW met1 ( 447350 1904510 ) ( 653430 * )
NEW met1 ( 653430 18530 ) M1M2_PR
NEW met1 ( 1616670 18530 ) M1M2_PR
NEW met1 ( 447350 1904510 ) M1M2_PR
NEW met1 ( 653430 1904510 ) M1M2_PR ;
- la_oenb[56] ( PIN la_oenb[56] ) ( chip_controller la_oenb[56] ) + USE SIGNAL
+ ROUTED met2 ( 1634150 1700 0 ) ( * 18190 )
NEW met1 ( 660330 18190 ) ( 1634150 * )
NEW met2 ( 450570 1899580 0 ) ( * 1901110 )
NEW met2 ( 660330 18190 ) ( * 1903830 )
NEW li1 ( 617090 1901110 ) ( * 1903830 )
NEW met1 ( 450570 1901110 ) ( 617090 * )
NEW met1 ( 617090 1903830 ) ( 660330 * )
NEW met1 ( 1634150 18190 ) M1M2_PR
NEW met1 ( 660330 18190 ) M1M2_PR
NEW met1 ( 450570 1901110 ) M1M2_PR
NEW met1 ( 660330 1903830 ) M1M2_PR
NEW li1 ( 617090 1901110 ) L1M1_PR_MR
NEW li1 ( 617090 1903830 ) L1M1_PR_MR ;
- la_oenb[57] ( PIN la_oenb[57] ) ( chip_controller la_oenb[57] ) + USE SIGNAL
+ ROUTED met2 ( 472650 75310 ) ( * 1485970 )
NEW met2 ( 1652090 1700 0 ) ( * 75310 )
NEW met2 ( 449190 1485970 ) ( * 1500420 0 )
NEW met1 ( 449190 1485970 ) ( 472650 * )
NEW met1 ( 472650 75310 ) ( 1652090 * )
NEW met1 ( 472650 75310 ) M1M2_PR
NEW met1 ( 472650 1485970 ) M1M2_PR
NEW met1 ( 1652090 75310 ) M1M2_PR
NEW met1 ( 449190 1485970 ) M1M2_PR ;
- la_oenb[58] ( PIN la_oenb[58] ) ( chip_controller la_oenb[58] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1746070 ) ( * 1749980 )
NEW met3 ( 189750 1749980 ) ( 201020 * 0 )
NEW met2 ( 1669570 1700 0 ) ( * 9010 )
NEW met2 ( 129490 9010 ) ( * 1746070 )
NEW met1 ( 129490 1746070 ) ( 189750 * )
NEW met1 ( 129490 9010 ) ( 1669570 * )
NEW met1 ( 129490 9010 ) M1M2_PR
NEW met1 ( 129490 1746070 ) M1M2_PR
NEW met1 ( 189750 1746070 ) M1M2_PR
NEW met2 ( 189750 1749980 ) M2M3_PR_M
NEW met1 ( 1669570 9010 ) M1M2_PR ;
- la_oenb[59] ( PIN la_oenb[59] ) ( chip_controller la_oenb[59] ) + USE SIGNAL
+ ROUTED met2 ( 188830 1746410 ) ( * 1751340 )
NEW met3 ( 188830 1751340 ) ( 201020 * 0 )
NEW met1 ( 148350 1746410 ) ( 188830 * )
NEW met2 ( 1687510 1700 0 ) ( * 9350 )
NEW met1 ( 148350 9350 ) ( 1687510 * )
NEW met2 ( 148350 9350 ) ( * 1746410 )
NEW met1 ( 188830 1746410 ) M1M2_PR
NEW met2 ( 188830 1751340 ) M2M3_PR_M
NEW met1 ( 148350 9350 ) M1M2_PR
NEW met1 ( 148350 1746410 ) M1M2_PR
NEW met1 ( 1687510 9350 ) M1M2_PR ;
- la_oenb[5] ( PIN la_oenb[5] ) ( chip_controller la_oenb[5] ) + USE SIGNAL
+ ROUTED met3 ( 194810 1546660 ) ( 201020 * 0 )
NEW met2 ( 724730 82800 ) ( 729790 * )
NEW met2 ( 729790 1700 0 ) ( * 82800 )
NEW met2 ( 724730 82800 ) ( * 1501270 )
NEW met2 ( 194810 1501270 ) ( * 1546660 )
NEW met1 ( 194810 1501270 ) ( 724730 * )
NEW met2 ( 194810 1546660 ) M2M3_PR_M
NEW met1 ( 724730 1501270 ) M1M2_PR
NEW met1 ( 194810 1501270 ) M1M2_PR ;
- la_oenb[60] ( PIN la_oenb[60] ) ( chip_controller la_oenb[60] ) + USE SIGNAL
+ ROUTED met2 ( 493350 57290 ) ( * 1486310 )
NEW met2 ( 457010 1486310 ) ( * 1500420 0 )
NEW met1 ( 457010 1486310 ) ( 493350 * )
NEW met1 ( 493350 57290 ) ( 1704990 * )
NEW met2 ( 1704990 1700 0 ) ( * 57290 )
NEW met1 ( 493350 57290 ) M1M2_PR
NEW met1 ( 493350 1486310 ) M1M2_PR
NEW met1 ( 457010 1486310 ) M1M2_PR
NEW met1 ( 1704990 57290 ) M1M2_PR ;
- la_oenb[61] ( PIN la_oenb[61] ) ( chip_controller la_oenb[61] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1753890 ) ( * 1754740 )
NEW met3 ( 190210 1754740 ) ( 201020 * 0 )
NEW met2 ( 96370 36550 ) ( * 1753890 )
NEW met1 ( 96370 1753890 ) ( 190210 * )
NEW met1 ( 96370 36550 ) ( 1722930 * )
NEW met2 ( 1722930 1700 0 ) ( * 36550 )
NEW met1 ( 96370 1753890 ) M1M2_PR
NEW met1 ( 190210 1753890 ) M1M2_PR
NEW met2 ( 190210 1754740 ) M2M3_PR_M
NEW met1 ( 96370 36550 ) M1M2_PR
NEW met1 ( 1722930 36550 ) M1M2_PR ;
- la_oenb[62] ( PIN la_oenb[62] ) ( chip_controller la_oenb[62] ) + USE SIGNAL
+ ROUTED met2 ( 1740410 1700 0 ) ( * 15470 )
NEW met2 ( 469890 1899580 0 ) ( * 1911310 )
NEW met1 ( 469890 1911310 ) ( 475870 * )
NEW met2 ( 475870 1911310 ) ( * 1939190 )
NEW met1 ( 1301110 15470 ) ( 1740410 * )
NEW met1 ( 639630 136170 ) ( 1301110 * )
NEW met1 ( 475870 1939190 ) ( 639630 * )
NEW met2 ( 1301110 15470 ) ( * 136170 )
NEW met2 ( 639630 136170 ) ( * 1939190 )
NEW met1 ( 475870 1939190 ) M1M2_PR
NEW met1 ( 1740410 15470 ) M1M2_PR
NEW met1 ( 469890 1911310 ) M1M2_PR
NEW met1 ( 475870 1911310 ) M1M2_PR
NEW met1 ( 1301110 15470 ) M1M2_PR
NEW met1 ( 639630 136170 ) M1M2_PR
NEW met1 ( 1301110 136170 ) M1M2_PR
NEW met1 ( 639630 1939190 ) M1M2_PR ;
- la_oenb[63] ( PIN la_oenb[63] ) ( chip_controller la_oenb[63] ) + USE SIGNAL
+ ROUTED met2 ( 1190250 76670 ) ( * 1752870 )
NEW met2 ( 1758350 1700 0 ) ( * 76670 )
NEW met1 ( 1190250 76670 ) ( 1758350 * )
NEW met3 ( 599380 1755420 0 ) ( 610650 * )
NEW met2 ( 610650 1752870 ) ( * 1755420 )
NEW met1 ( 610650 1752870 ) ( 1190250 * )
NEW met1 ( 1190250 1752870 ) M1M2_PR
NEW met1 ( 1190250 76670 ) M1M2_PR
NEW met1 ( 1758350 76670 ) M1M2_PR
NEW met2 ( 610650 1755420 ) M2M3_PR_M
NEW met1 ( 610650 1752870 ) M1M2_PR ;
- la_oenb[64] ( PIN la_oenb[64] ) ( chip_controller la_oenb[64] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1760010 ) ( * 1763580 )
NEW met3 ( 190670 1763580 ) ( 201020 * 0 )
NEW met2 ( 122590 10030 ) ( * 1760010 )
NEW met1 ( 122590 1760010 ) ( 190670 * )
NEW met2 ( 1776290 1700 0 ) ( * 10030 )
NEW met1 ( 122590 10030 ) ( 1776290 * )
NEW met1 ( 122590 10030 ) M1M2_PR
NEW met1 ( 122590 1760010 ) M1M2_PR
NEW met1 ( 190670 1760010 ) M1M2_PR
NEW met2 ( 190670 1763580 ) M2M3_PR_M
NEW met1 ( 1776290 10030 ) M1M2_PR ;
- la_oenb[65] ( PIN la_oenb[65] ) ( chip_controller la_oenb[65] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1766810 ) ( * 1767660 )
NEW met3 ( 190210 1767660 ) ( 201020 * 0 )
NEW met1 ( 154330 1766810 ) ( 190210 * )
NEW met2 ( 1793770 1700 0 ) ( * 9690 )
NEW met1 ( 154330 9690 ) ( 1793770 * )
NEW met2 ( 154330 9690 ) ( * 1766810 )
NEW met1 ( 190210 1766810 ) M1M2_PR
NEW met2 ( 190210 1767660 ) M2M3_PR_M
NEW met1 ( 154330 9690 ) M1M2_PR
NEW met1 ( 154330 1766810 ) M1M2_PR
NEW met1 ( 1793770 9690 ) M1M2_PR ;
- la_oenb[66] ( PIN la_oenb[66] ) ( chip_controller la_oenb[66] ) + USE SIGNAL
+ ROUTED met2 ( 1162650 28730 ) ( * 1760690 )
NEW met2 ( 1811710 1700 0 ) ( * 28730 )
NEW met1 ( 1162650 28730 ) ( 1811710 * )
NEW met3 ( 599380 1762900 0 ) ( 613870 * )
NEW met2 ( 613870 1760690 ) ( * 1762900 )
NEW met1 ( 613870 1760690 ) ( 1162650 * )
NEW met1 ( 1162650 28730 ) M1M2_PR
NEW met1 ( 1162650 1760690 ) M1M2_PR
NEW met1 ( 1811710 28730 ) M1M2_PR
NEW met2 ( 613870 1762900 ) M2M3_PR_M
NEW met1 ( 613870 1760690 ) M1M2_PR ;
- la_oenb[67] ( PIN la_oenb[67] ) ( chip_controller la_oenb[67] ) + USE SIGNAL
+ ROUTED met2 ( 1829190 1700 0 ) ( * 15810 )
NEW met2 ( 479550 1899580 0 ) ( 480930 * )
NEW met2 ( 480930 1899580 ) ( * 1901620 )
NEW met2 ( 480930 1901620 ) ( 482310 * )
NEW met2 ( 482310 1901620 ) ( * 1936470 )
NEW met1 ( 1273970 15810 ) ( 1829190 * )
NEW met1 ( 626290 136510 ) ( 1273970 * )
NEW met1 ( 482310 1936470 ) ( 626290 * )
NEW met2 ( 1273970 15810 ) ( * 136510 )
NEW met2 ( 626290 136510 ) ( * 1936470 )
NEW met1 ( 482310 1936470 ) M1M2_PR
NEW met1 ( 1273970 15810 ) M1M2_PR
NEW met1 ( 1829190 15810 ) M1M2_PR
NEW met1 ( 1273970 136510 ) M1M2_PR
NEW met1 ( 626290 136510 ) M1M2_PR
NEW met1 ( 626290 1936470 ) M1M2_PR ;
- la_oenb[68] ( PIN la_oenb[68] ) ( chip_controller la_oenb[68] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1766470 ) ( * 1771060 )
NEW met3 ( 190670 1771060 ) ( 201020 * 0 )
NEW met2 ( 1847130 1700 0 ) ( * 13430 )
NEW met1 ( 142830 1766470 ) ( 190670 * )
NEW met1 ( 142830 13430 ) ( 1847130 * )
NEW met2 ( 142830 13430 ) ( * 1766470 )
NEW met1 ( 190670 1766470 ) M1M2_PR
NEW met2 ( 190670 1771060 ) M2M3_PR_M
NEW met1 ( 1847130 13430 ) M1M2_PR
NEW met1 ( 142830 13430 ) M1M2_PR
NEW met1 ( 142830 1766470 ) M1M2_PR ;
- la_oenb[69] ( PIN la_oenb[69] ) ( chip_controller la_oenb[69] ) + USE SIGNAL
+ ROUTED met2 ( 1864610 1700 0 ) ( * 13770 )
NEW met2 ( 189750 1774290 ) ( * 1775820 )
NEW met3 ( 189750 1775820 ) ( 201020 * 0 )
NEW met1 ( 164450 13770 ) ( 1864610 * )
NEW met1 ( 164450 1774290 ) ( 189750 * )
NEW met2 ( 164450 13770 ) ( * 1774290 )
NEW met1 ( 1864610 13770 ) M1M2_PR
NEW met1 ( 189750 1774290 ) M1M2_PR
NEW met2 ( 189750 1775820 ) M2M3_PR_M
NEW met1 ( 164450 13770 ) M1M2_PR
NEW met1 ( 164450 1774290 ) M1M2_PR ;
- la_oenb[6] ( PIN la_oenb[6] ) ( chip_controller la_oenb[6] ) + USE SIGNAL
+ ROUTED met1 ( 265650 107950 ) ( 745430 * )
NEW met1 ( 258750 1490390 ) ( 265650 * )
NEW met2 ( 258750 1490390 ) ( * 1500420 0 )
NEW met2 ( 265650 107950 ) ( * 1490390 )
NEW met2 ( 745430 82800 ) ( * 107950 )
NEW met2 ( 745430 82800 ) ( 747730 * )
NEW met2 ( 747730 1700 0 ) ( * 82800 )
NEW met1 ( 265650 107950 ) M1M2_PR
NEW met1 ( 745430 107950 ) M1M2_PR
NEW met1 ( 265650 1490390 ) M1M2_PR
NEW met1 ( 258750 1490390 ) M1M2_PR ;
- la_oenb[70] ( PIN la_oenb[70] ) ( chip_controller la_oenb[70] ) + USE SIGNAL
+ ROUTED met2 ( 191130 1773950 ) ( * 1779900 )
NEW met3 ( 191130 1779900 ) ( 201020 * 0 )
NEW met2 ( 135010 73270 ) ( * 1773950 )
NEW met1 ( 135010 1773950 ) ( 191130 * )
NEW met2 ( 1882550 1700 0 ) ( * 73270 )
NEW met1 ( 135010 73270 ) ( 1882550 * )
NEW met1 ( 191130 1773950 ) M1M2_PR
NEW met2 ( 191130 1779900 ) M2M3_PR_M
NEW met1 ( 135010 73270 ) M1M2_PR
NEW met1 ( 135010 1773950 ) M1M2_PR
NEW met1 ( 1882550 73270 ) M1M2_PR ;
- la_oenb[71] ( PIN la_oenb[71] ) ( chip_controller la_oenb[71] ) + USE SIGNAL
+ ROUTED met2 ( 1156210 56270 ) ( * 1766810 )
NEW li1 ( 1290530 55250 ) ( * 56270 )
NEW met1 ( 1290530 55250 ) ( 1333770 * )
NEW li1 ( 1333770 55250 ) ( * 56610 )
NEW met1 ( 1333770 56610 ) ( 1338600 * )
NEW met1 ( 1338600 56270 ) ( * 56610 )
NEW met1 ( 1156210 56270 ) ( 1290530 * )
NEW met1 ( 1338600 56270 ) ( 1900030 * )
NEW met2 ( 1900030 1700 0 ) ( * 56270 )
NEW met3 ( 599380 1770380 0 ) ( 610650 * )
NEW met2 ( 610650 1766810 ) ( * 1770380 )
NEW met1 ( 610650 1766810 ) ( 1156210 * )
NEW met1 ( 1156210 1766810 ) M1M2_PR
NEW met1 ( 1156210 56270 ) M1M2_PR
NEW li1 ( 1290530 56270 ) L1M1_PR_MR
NEW li1 ( 1290530 55250 ) L1M1_PR_MR
NEW li1 ( 1333770 55250 ) L1M1_PR_MR
NEW li1 ( 1333770 56610 ) L1M1_PR_MR
NEW met1 ( 1900030 56270 ) M1M2_PR
NEW met2 ( 610650 1770380 ) M2M3_PR_M
NEW met1 ( 610650 1766810 ) M1M2_PR ;
- la_oenb[72] ( PIN la_oenb[72] ) ( chip_controller la_oenb[72] ) + USE SIGNAL
+ ROUTED met1 ( 478170 1489030 ) ( 507150 * )
NEW met2 ( 478170 1489030 ) ( * 1500420 0 )
NEW met2 ( 507150 45390 ) ( * 1489030 )
NEW met1 ( 507150 45390 ) ( 1917970 * )
NEW met2 ( 1917970 1700 0 ) ( * 45390 )
NEW met1 ( 507150 45390 ) M1M2_PR
NEW met1 ( 507150 1489030 ) M1M2_PR
NEW met1 ( 478170 1489030 ) M1M2_PR
NEW met1 ( 1917970 45390 ) M1M2_PR ;
- la_oenb[73] ( PIN la_oenb[73] ) ( chip_controller la_oenb[73] ) + USE SIGNAL
+ ROUTED met2 ( 1935910 1700 0 ) ( * 63070 )
NEW met2 ( 1135050 63070 ) ( * 1773950 )
NEW met1 ( 1135050 63070 ) ( 1935910 * )
NEW met3 ( 599380 1777860 0 ) ( 612490 * )
NEW met2 ( 612490 1773950 ) ( * 1777860 )
NEW met1 ( 612490 1773950 ) ( 1135050 * )
NEW met1 ( 1935910 63070 ) M1M2_PR
NEW met1 ( 1135050 63070 ) M1M2_PR
NEW met1 ( 1135050 1773950 ) M1M2_PR
NEW met2 ( 612490 1777860 ) M2M3_PR_M
NEW met1 ( 612490 1773950 ) M1M2_PR ;
- la_oenb[74] ( PIN la_oenb[74] ) ( chip_controller la_oenb[74] ) + USE SIGNAL
+ ROUTED met2 ( 1953390 1700 0 ) ( * 15300 )
NEW met2 ( 494270 1899580 0 ) ( * 1906380 )
NEW met2 ( 673210 15300 ) ( * 1906380 )
NEW met3 ( 673210 15300 ) ( 1953390 * )
NEW met3 ( 494270 1906380 ) ( 673210 * )
NEW met2 ( 673210 15300 ) M2M3_PR_M
NEW met2 ( 1953390 15300 ) M2M3_PR_M
NEW met2 ( 494270 1906380 ) M2M3_PR_M
NEW met2 ( 673210 1906380 ) M2M3_PR_M ;
- la_oenb[75] ( PIN la_oenb[75] ) ( chip_controller la_oenb[75] ) + USE SIGNAL
+ ROUTED met1 ( 482770 1490390 ) ( 486450 * )
NEW met2 ( 482770 1490390 ) ( * 1500420 0 )
NEW met2 ( 486450 2550 ) ( * 1490390 )
NEW met2 ( 1971330 1700 0 ) ( * 3060 )
NEW met2 ( 1970410 3060 ) ( 1971330 * )
NEW met2 ( 1970410 2550 ) ( * 3060 )
NEW met1 ( 486450 2550 ) ( 1970410 * )
NEW met1 ( 486450 2550 ) M1M2_PR
NEW met1 ( 486450 1490390 ) M1M2_PR
NEW met1 ( 482770 1490390 ) M1M2_PR
NEW met1 ( 1970410 2550 ) M1M2_PR ;
- la_oenb[76] ( PIN la_oenb[76] ) ( chip_controller la_oenb[76] ) + USE SIGNAL
+ ROUTED met2 ( 500710 1899580 0 ) ( * 1908420 )
NEW met2 ( 694830 15980 ) ( * 34500 )
NEW met2 ( 693450 34500 ) ( 694830 * )
NEW met2 ( 1988810 1700 0 ) ( * 15980 )
NEW met3 ( 694830 15980 ) ( 1988810 * )
NEW met3 ( 500710 1908420 ) ( 693450 * )
NEW met2 ( 693450 34500 ) ( * 1908420 )
NEW met2 ( 694830 15980 ) M2M3_PR_M
NEW met2 ( 500710 1908420 ) M2M3_PR_M
NEW met2 ( 1988810 15980 ) M2M3_PR_M
NEW met2 ( 693450 1908420 ) M2M3_PR_M ;
- la_oenb[77] ( PIN la_oenb[77] ) ( chip_controller la_oenb[77] ) + USE SIGNAL
+ ROUTED met2 ( 130410 12750 ) ( * 1787210 )
NEW met2 ( 187910 1787210 ) ( * 1793500 )
NEW met3 ( 187910 1793500 ) ( 201020 * 0 )
NEW met2 ( 2006750 1700 0 ) ( * 12750 )
NEW met1 ( 130410 12750 ) ( 2006750 * )
NEW met1 ( 130410 1787210 ) ( 187910 * )
NEW met1 ( 130410 12750 ) M1M2_PR
NEW met1 ( 130410 1787210 ) M1M2_PR
NEW met1 ( 187910 1787210 ) M1M2_PR
NEW met2 ( 187910 1793500 ) M2M3_PR_M
NEW met1 ( 2006750 12750 ) M1M2_PR ;
- la_oenb[78] ( PIN la_oenb[78] ) ( chip_controller la_oenb[78] ) + USE SIGNAL
+ ROUTED met2 ( 491050 1489370 ) ( * 1500420 0 )
NEW met2 ( 2021930 82800 ) ( * 101490 )
NEW met2 ( 2021930 82800 ) ( 2024230 * )
NEW met2 ( 2024230 1700 0 ) ( * 82800 )
NEW met1 ( 491050 1489370 ) ( 520950 * )
NEW met2 ( 520950 101490 ) ( * 1489370 )
NEW met1 ( 520950 101490 ) ( 2021930 * )
NEW met1 ( 2021930 101490 ) M1M2_PR
NEW met1 ( 491050 1489370 ) M1M2_PR
NEW met1 ( 520950 101490 ) M1M2_PR
NEW met1 ( 520950 1489370 ) M1M2_PR ;
- la_oenb[79] ( PIN la_oenb[79] ) ( chip_controller la_oenb[79] ) + USE SIGNAL
+ ROUTED met2 ( 2042170 1700 0 ) ( * 13090 )
NEW met2 ( 189290 1794690 ) ( * 1798940 )
NEW met3 ( 189290 1798940 ) ( 201020 * 0 )
NEW met1 ( 166750 13090 ) ( 2042170 * )
NEW met1 ( 166750 1794690 ) ( 189290 * )
NEW met2 ( 166750 13090 ) ( * 1794690 )
NEW met1 ( 2042170 13090 ) M1M2_PR
NEW met1 ( 189290 1794690 ) M1M2_PR
NEW met2 ( 189290 1798940 ) M2M3_PR_M
NEW met1 ( 166750 13090 ) M1M2_PR
NEW met1 ( 166750 1794690 ) M1M2_PR ;
- la_oenb[7] ( PIN la_oenb[7] ) ( chip_controller la_oenb[7] ) + USE SIGNAL
+ ROUTED met2 ( 765210 1700 0 ) ( * 20910 )
NEW met1 ( 759230 20910 ) ( 765210 * )
NEW met2 ( 759230 20910 ) ( * 1500930 )
NEW met2 ( 250470 1899580 0 ) ( * 1917770 )
NEW met1 ( 182390 1917770 ) ( 250470 * )
NEW met1 ( 182390 1500930 ) ( 759230 * )
NEW met2 ( 182390 1500930 ) ( * 1917770 )
NEW met1 ( 765210 20910 ) M1M2_PR
NEW met1 ( 759230 20910 ) M1M2_PR
NEW met1 ( 182390 1917770 ) M1M2_PR
NEW met1 ( 759230 1500930 ) M1M2_PR
NEW met1 ( 250470 1917770 ) M1M2_PR
NEW met1 ( 182390 1500930 ) M1M2_PR ;
- la_oenb[80] ( PIN la_oenb[80] ) ( chip_controller la_oenb[80] ) + USE SIGNAL
+ ROUTED met2 ( 2059650 1700 0 ) ( * 19550 )
NEW met2 ( 507150 1899580 0 ) ( 508530 * )
NEW met2 ( 508530 1899580 ) ( * 1900260 )
NEW met2 ( 508530 1900260 ) ( 509450 * )
NEW met2 ( 509450 1900260 ) ( * 1939530 )
NEW met1 ( 1273510 19550 ) ( 2059650 * )
NEW met1 ( 604670 135490 ) ( 1273510 * )
NEW met1 ( 509450 1939530 ) ( 604670 * )
NEW met2 ( 1273510 19550 ) ( * 135490 )
NEW met2 ( 604670 135490 ) ( * 1939530 )
NEW met1 ( 509450 1939530 ) M1M2_PR
NEW met1 ( 1273510 19550 ) M1M2_PR
NEW met1 ( 2059650 19550 ) M1M2_PR
NEW met1 ( 604670 135490 ) M1M2_PR
NEW met1 ( 1273510 135490 ) M1M2_PR
NEW met1 ( 604670 1939530 ) M1M2_PR ;
- la_oenb[81] ( PIN la_oenb[81] ) ( chip_controller la_oenb[81] ) + USE SIGNAL
+ ROUTED met2 ( 109710 24990 ) ( * 1802170 )
NEW met2 ( 189750 1802170 ) ( * 1802340 )
NEW met3 ( 189750 1802340 ) ( 201020 * 0 )
NEW met2 ( 2077590 1700 0 ) ( * 24990 )
NEW met1 ( 109710 24990 ) ( 2077590 * )
NEW met1 ( 109710 1802170 ) ( 189750 * )
NEW met1 ( 109710 24990 ) M1M2_PR
NEW met1 ( 109710 1802170 ) M1M2_PR
NEW met1 ( 189750 1802170 ) M1M2_PR
NEW met2 ( 189750 1802340 ) M2M3_PR_M
NEW met1 ( 2077590 24990 ) M1M2_PR ;
- la_oenb[82] ( PIN la_oenb[82] ) ( chip_controller la_oenb[82] ) + USE SIGNAL
+ ROUTED met2 ( 1072950 43690 ) ( * 1794010 )
NEW met1 ( 1072950 43690 ) ( 2095070 * )
NEW met2 ( 2095070 1700 0 ) ( * 43690 )
NEW met3 ( 599380 1797580 0 ) ( 612490 * )
NEW met2 ( 612490 1794010 ) ( * 1797580 )
NEW met1 ( 612490 1794010 ) ( 1072950 * )
NEW met1 ( 1072950 43690 ) M1M2_PR
NEW met1 ( 1072950 1794010 ) M1M2_PR
NEW met1 ( 2095070 43690 ) M1M2_PR
NEW met2 ( 612490 1797580 ) M2M3_PR_M
NEW met1 ( 612490 1794010 ) M1M2_PR ;
- la_oenb[83] ( PIN la_oenb[83] ) ( chip_controller la_oenb[83] ) + USE SIGNAL
+ ROUTED met2 ( 2113010 1700 0 ) ( * 33830 )
NEW met1 ( 852150 33830 ) ( 2113010 * )
NEW met2 ( 852150 33830 ) ( * 1794350 )
NEW met3 ( 599380 1799620 0 ) ( 608810 * )
NEW met2 ( 608810 1794350 ) ( * 1799620 )
NEW met1 ( 608810 1794350 ) ( 852150 * )
NEW met1 ( 2113010 33830 ) M1M2_PR
NEW met1 ( 852150 33830 ) M1M2_PR
NEW met1 ( 852150 1794350 ) M1M2_PR
NEW met2 ( 608810 1799620 ) M2M3_PR_M
NEW met1 ( 608810 1794350 ) M1M2_PR ;
- la_oenb[84] ( PIN la_oenb[84] ) ( chip_controller la_oenb[84] ) + USE SIGNAL
+ ROUTED met2 ( 2130950 1700 0 ) ( * 18700 )
NEW met2 ( 689310 18700 ) ( * 1898050 )
NEW met3 ( 689310 18700 ) ( 2130950 * )
NEW li1 ( 520950 1898050 ) ( * 1900090 )
NEW met2 ( 520950 1899580 ) ( * 1900090 )
NEW met2 ( 520030 1899580 0 ) ( 520950 * )
NEW met1 ( 520950 1898050 ) ( 689310 * )
NEW met2 ( 689310 18700 ) M2M3_PR_M
NEW met2 ( 2130950 18700 ) M2M3_PR_M
NEW met1 ( 689310 1898050 ) M1M2_PR
NEW li1 ( 520950 1898050 ) L1M1_PR_MR
NEW li1 ( 520950 1900090 ) L1M1_PR_MR
NEW met1 ( 520950 1900090 ) M1M2_PR
NEW met1 ( 520950 1900090 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[85] ( PIN la_oenb[85] ) ( chip_controller la_oenb[85] ) + USE SIGNAL
+ ROUTED met2 ( 122130 79730 ) ( * 1807950 )
NEW met2 ( 189750 1807950 ) ( * 1811180 )
NEW met3 ( 189750 1811180 ) ( 201020 * 0 )
NEW met2 ( 2148430 1700 0 ) ( * 79730 )
NEW met1 ( 122130 1807950 ) ( 189750 * )
NEW met1 ( 122130 79730 ) ( 2148430 * )
NEW met1 ( 122130 79730 ) M1M2_PR
NEW met1 ( 122130 1807950 ) M1M2_PR
NEW met1 ( 189750 1807950 ) M1M2_PR
NEW met2 ( 189750 1811180 ) M2M3_PR_M
NEW met1 ( 2148430 79730 ) M1M2_PR ;
- la_oenb[86] ( PIN la_oenb[86] ) ( chip_controller la_oenb[86] ) + USE SIGNAL
+ ROUTED met2 ( 2166370 1700 0 ) ( * 19210 )
NEW met1 ( 1274890 19210 ) ( 2166370 * )
NEW met2 ( 525090 1899580 0 ) ( * 1911650 )
NEW met1 ( 525090 1911650 ) ( 530610 * )
NEW met2 ( 530610 1911650 ) ( * 1940380 )
NEW met1 ( 634570 136850 ) ( 1274890 * )
NEW met3 ( 530610 1940380 ) ( 634570 * )
NEW met2 ( 1274890 19210 ) ( * 136850 )
NEW met2 ( 634570 136850 ) ( * 1940380 )
NEW met1 ( 1274890 19210 ) M1M2_PR
NEW met1 ( 1274890 136850 ) M1M2_PR
NEW met2 ( 530610 1940380 ) M2M3_PR_M
NEW met1 ( 2166370 19210 ) M1M2_PR
NEW met1 ( 525090 1911650 ) M1M2_PR
NEW met1 ( 530610 1911650 ) M1M2_PR
NEW met1 ( 634570 136850 ) M1M2_PR
NEW met2 ( 634570 1940380 ) M2M3_PR_M ;
- la_oenb[87] ( PIN la_oenb[87] ) ( chip_controller la_oenb[87] ) + USE SIGNAL
+ ROUTED met2 ( 89010 12070 ) ( * 1814750 )
NEW met2 ( 188830 1814750 ) ( * 1820020 )
NEW met3 ( 188830 1820020 ) ( 201020 * 0 )
NEW met2 ( 2183850 1700 0 ) ( * 12070 )
NEW met1 ( 89010 12070 ) ( 2183850 * )
NEW met1 ( 89010 1814750 ) ( 188830 * )
NEW met1 ( 89010 12070 ) M1M2_PR
NEW met1 ( 89010 1814750 ) M1M2_PR
NEW met1 ( 188830 1814750 ) M1M2_PR
NEW met2 ( 188830 1820020 ) M2M3_PR_M
NEW met1 ( 2183850 12070 ) M1M2_PR ;
- la_oenb[88] ( PIN la_oenb[88] ) ( chip_controller la_oenb[88] ) + USE SIGNAL
+ ROUTED met2 ( 2201790 1700 0 ) ( * 9860 )
NEW met2 ( 2201330 9860 ) ( 2201790 * )
NEW met2 ( 2201330 9860 ) ( * 18870 )
NEW met1 ( 1274430 18870 ) ( 2201330 * )
NEW met2 ( 526470 1899580 0 ) ( * 1911310 )
NEW met1 ( 526470 1911310 ) ( 530150 * )
NEW met2 ( 530150 1911310 ) ( * 1934260 )
NEW met4 ( 645380 136340 ) ( * 1934260 )
NEW met3 ( 645380 136340 ) ( 1274430 * )
NEW met3 ( 530150 1934260 ) ( 645380 * )
NEW met2 ( 1274430 18870 ) ( * 136340 )
NEW met1 ( 1274430 18870 ) M1M2_PR
NEW met2 ( 1274430 136340 ) M2M3_PR_M
NEW met2 ( 530150 1934260 ) M2M3_PR_M
NEW met3 ( 645380 1934260 ) M3M4_PR_M
NEW met1 ( 2201330 18870 ) M1M2_PR
NEW met1 ( 526470 1911310 ) M1M2_PR
NEW met1 ( 530150 1911310 ) M1M2_PR
NEW met3 ( 645380 136340 ) M3M4_PR_M ;
- la_oenb[89] ( PIN la_oenb[89] ) ( chip_controller la_oenb[89] ) + USE SIGNAL
+ ROUTED met2 ( 2219270 1700 0 ) ( * 6290 )
NEW met2 ( 510370 6290 ) ( * 1500420 0 )
NEW met1 ( 510370 6290 ) ( 2219270 * )
NEW met1 ( 510370 6290 ) M1M2_PR
NEW met1 ( 2219270 6290 ) M1M2_PR ;
- la_oenb[8] ( PIN la_oenb[8] ) ( chip_controller la_oenb[8] ) + USE SIGNAL
+ ROUTED met2 ( 779930 82800 ) ( 783150 * )
NEW met2 ( 783150 1700 0 ) ( * 82800 )
NEW met2 ( 779930 82800 ) ( * 1465230 )
NEW met2 ( 256910 1899580 0 ) ( * 1914030 )
NEW met1 ( 186530 1914030 ) ( 256910 * )
NEW li1 ( 186990 1502970 ) ( * 1510450 )
NEW met2 ( 186990 1465230 ) ( * 1502970 )
NEW met1 ( 186990 1465230 ) ( 779930 * )
NEW met2 ( 186530 1894140 ) ( 186990 * )
NEW met2 ( 186530 1894140 ) ( * 1914030 )
NEW met2 ( 186990 1510450 ) ( * 1894140 )
NEW met1 ( 779930 1465230 ) M1M2_PR
NEW met1 ( 186530 1914030 ) M1M2_PR
NEW met1 ( 256910 1914030 ) M1M2_PR
NEW li1 ( 186990 1510450 ) L1M1_PR_MR
NEW met1 ( 186990 1510450 ) M1M2_PR
NEW li1 ( 186990 1502970 ) L1M1_PR_MR
NEW met1 ( 186990 1502970 ) M1M2_PR
NEW met1 ( 186990 1465230 ) M1M2_PR
NEW met1 ( 186990 1510450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 186990 1502970 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[90] ( PIN la_oenb[90] ) ( chip_controller la_oenb[90] ) + USE SIGNAL
+ ROUTED met2 ( 2237210 1700 0 ) ( * 32980 )
NEW met3 ( 823860 32980 ) ( 2237210 * )
NEW met4 ( 823860 32980 ) ( * 1808460 )
NEW met3 ( 599380 1810500 0 ) ( 618700 * )
NEW met3 ( 618700 1808460 ) ( * 1810500 )
NEW met3 ( 618700 1808460 ) ( 823860 * )
NEW met2 ( 2237210 32980 ) M2M3_PR_M
NEW met3 ( 823860 32980 ) M3M4_PR_M
NEW met3 ( 823860 1808460 ) M3M4_PR_M ;
- la_oenb[91] ( PIN la_oenb[91] ) ( chip_controller la_oenb[91] ) + USE SIGNAL
+ ROUTED met2 ( 2254690 1700 0 ) ( * 18020 )
NEW met2 ( 666770 18020 ) ( * 1907740 )
NEW met3 ( 666770 18020 ) ( 2254690 * )
NEW met2 ( 534750 1899580 0 ) ( * 1907740 )
NEW met3 ( 534750 1907740 ) ( 666770 * )
NEW met2 ( 666770 18020 ) M2M3_PR_M
NEW met2 ( 2254690 18020 ) M2M3_PR_M
NEW met2 ( 666770 1907740 ) M2M3_PR_M
NEW met2 ( 534750 1907740 ) M2M3_PR_M ;
- la_oenb[92] ( PIN la_oenb[92] ) ( chip_controller la_oenb[92] ) + USE SIGNAL
+ ROUTED met2 ( 2272630 1700 0 ) ( * 19890 )
NEW met1 ( 1618050 19890 ) ( 2272630 * )
NEW met2 ( 523250 1500420 0 ) ( 523710 * )
NEW met2 ( 523710 48790 ) ( * 1500420 )
NEW met1 ( 523710 48790 ) ( 1618050 * )
NEW met2 ( 1618050 19890 ) ( * 48790 )
NEW met1 ( 1618050 19890 ) M1M2_PR
NEW met1 ( 2272630 19890 ) M1M2_PR
NEW met1 ( 523710 48790 ) M1M2_PR
NEW met1 ( 1618050 48790 ) M1M2_PR ;
- la_oenb[93] ( PIN la_oenb[93] ) ( chip_controller la_oenb[93] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1823250 ) ( * 1823420 )
NEW met3 ( 189290 1823420 ) ( 201020 * 0 )
NEW met1 ( 147890 1823250 ) ( 189290 * )
NEW met2 ( 2290570 1700 0 ) ( * 16830 )
NEW met1 ( 2284130 16830 ) ( 2290570 * )
NEW li1 ( 2284130 102850 ) ( * 106250 )
NEW met2 ( 147890 106250 ) ( * 1823250 )
NEW met2 ( 2284130 16830 ) ( * 102850 )
NEW met1 ( 147890 106250 ) ( 2284130 * )
NEW met1 ( 189290 1823250 ) M1M2_PR
NEW met2 ( 189290 1823420 ) M2M3_PR_M
NEW met1 ( 147890 106250 ) M1M2_PR
NEW met1 ( 147890 1823250 ) M1M2_PR
NEW met1 ( 2290570 16830 ) M1M2_PR
NEW met1 ( 2284130 16830 ) M1M2_PR
NEW li1 ( 2284130 106250 ) L1M1_PR_MR
NEW li1 ( 2284130 102850 ) L1M1_PR_MR
NEW met1 ( 2284130 102850 ) M1M2_PR
NEW met1 ( 2284130 102850 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[94] ( PIN la_oenb[94] ) ( chip_controller la_oenb[94] ) + USE SIGNAL
+ ROUTED met2 ( 2308050 1700 0 ) ( * 71230 )
NEW met1 ( 613410 134470 ) ( 1304790 * )
NEW met3 ( 599380 1820020 0 ) ( 613410 * )
NEW met2 ( 613410 1809140 ) ( * 1820020 )
NEW met2 ( 613410 1809140 ) ( 614330 * )
NEW met2 ( 1304790 71230 ) ( * 134470 )
NEW met1 ( 1304790 71230 ) ( 2308050 * )
NEW met2 ( 613410 1799620 ) ( 614330 * )
NEW met2 ( 613410 134470 ) ( * 1799620 )
NEW met2 ( 614330 1799620 ) ( * 1809140 )
NEW met1 ( 613410 134470 ) M1M2_PR
NEW met1 ( 2308050 71230 ) M1M2_PR
NEW met1 ( 1304790 134470 ) M1M2_PR
NEW met2 ( 613410 1820020 ) M2M3_PR_M
NEW met1 ( 1304790 71230 ) M1M2_PR ;
- la_oenb[95] ( PIN la_oenb[95] ) ( chip_controller la_oenb[95] ) + USE SIGNAL
+ ROUTED met2 ( 2325990 1700 0 ) ( * 15130 )
NEW met1 ( 2308510 15130 ) ( 2325990 * )
NEW met2 ( 2308510 15130 ) ( * 72590 )
NEW met1 ( 532910 1490390 ) ( 536590 * )
NEW met2 ( 532910 1490390 ) ( * 1500420 0 )
NEW met2 ( 536590 72590 ) ( * 1490390 )
NEW met1 ( 536590 72590 ) ( 2308510 * )
NEW met1 ( 2325990 15130 ) M1M2_PR
NEW met1 ( 2308510 15130 ) M1M2_PR
NEW met1 ( 2308510 72590 ) M1M2_PR
NEW met1 ( 536590 72590 ) M1M2_PR
NEW met1 ( 536590 1490390 ) M1M2_PR
NEW met1 ( 532910 1490390 ) M1M2_PR ;
- la_oenb[96] ( PIN la_oenb[96] ) ( chip_controller la_oenb[96] ) + USE SIGNAL
+ ROUTED met2 ( 2343470 1700 0 ) ( * 17850 )
NEW li1 ( 1252350 1803190 ) ( * 1805230 )
NEW met2 ( 1252350 17850 ) ( * 1803190 )
NEW met1 ( 1252350 17850 ) ( 2343470 * )
NEW met3 ( 599380 1825460 0 ) ( 609730 * )
NEW met2 ( 609730 1805230 ) ( * 1825460 )
NEW met1 ( 609730 1805230 ) ( 1252350 * )
NEW met1 ( 1252350 17850 ) M1M2_PR
NEW met1 ( 2343470 17850 ) M1M2_PR
NEW li1 ( 1252350 1805230 ) L1M1_PR_MR
NEW li1 ( 1252350 1803190 ) L1M1_PR_MR
NEW met1 ( 1252350 1803190 ) M1M2_PR
NEW met2 ( 609730 1825460 ) M2M3_PR_M
NEW met1 ( 609730 1805230 ) M1M2_PR
NEW met1 ( 1252350 1803190 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[97] ( PIN la_oenb[97] ) ( chip_controller la_oenb[97] ) + USE SIGNAL
+ ROUTED met2 ( 2361410 1700 0 ) ( * 17510 )
NEW met1 ( 1100550 17510 ) ( 2361410 * )
NEW li1 ( 1100550 1803190 ) ( * 1806250 )
NEW met2 ( 1100550 17510 ) ( * 1803190 )
NEW met3 ( 599380 1828860 0 ) ( 608350 * )
NEW met2 ( 608350 1806250 ) ( * 1828860 )
NEW met1 ( 608350 1806250 ) ( 1100550 * )
NEW met1 ( 1100550 17510 ) M1M2_PR
NEW met1 ( 2361410 17510 ) M1M2_PR
NEW li1 ( 1100550 1806250 ) L1M1_PR_MR
NEW li1 ( 1100550 1803190 ) L1M1_PR_MR
NEW met1 ( 1100550 1803190 ) M1M2_PR
NEW met2 ( 608350 1828860 ) M2M3_PR_M
NEW met1 ( 608350 1806250 ) M1M2_PR
NEW met1 ( 1100550 1803190 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[98] ( PIN la_oenb[98] ) ( chip_controller la_oenb[98] ) + USE SIGNAL
+ ROUTED met1 ( 181010 1828690 ) ( 192050 * )
NEW met2 ( 192050 1828690 ) ( * 1832260 )
NEW met3 ( 192050 1832260 ) ( 201020 * 0 )
NEW met1 ( 181010 59330 ) ( 2378890 * )
NEW met2 ( 2378890 1700 0 ) ( * 59330 )
NEW met2 ( 181010 59330 ) ( * 1828690 )
NEW met1 ( 181010 1828690 ) M1M2_PR
NEW met1 ( 192050 1828690 ) M1M2_PR
NEW met2 ( 192050 1832260 ) M2M3_PR_M
NEW met1 ( 181010 59330 ) M1M2_PR
NEW met1 ( 2378890 59330 ) M1M2_PR ;
- la_oenb[99] ( PIN la_oenb[99] ) ( chip_controller la_oenb[99] ) + USE SIGNAL
+ ROUTED met2 ( 191590 1836170 ) ( * 1837700 )
NEW met3 ( 191590 1837700 ) ( 201020 * 0 )
NEW met1 ( 144210 1836170 ) ( 191590 * )
NEW met2 ( 144210 38250 ) ( * 1836170 )
NEW met1 ( 144210 38250 ) ( 2396830 * )
NEW met2 ( 2396830 1700 0 ) ( * 38250 )
NEW met1 ( 191590 1836170 ) M1M2_PR
NEW met2 ( 191590 1837700 ) M2M3_PR_M
NEW met1 ( 144210 1836170 ) M1M2_PR
NEW met1 ( 144210 38250 ) M1M2_PR
NEW met1 ( 2396830 38250 ) M1M2_PR ;
- la_oenb[9] ( PIN la_oenb[9] ) ( chip_controller la_oenb[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1565020 0 ) ( 608350 * )
NEW met2 ( 608350 1560090 ) ( * 1565020 )
NEW met1 ( 763370 1514190 ) ( 800630 * )
NEW met2 ( 763370 1514190 ) ( * 1560090 )
NEW met2 ( 800630 1700 0 ) ( * 1514190 )
NEW met1 ( 608350 1560090 ) ( 763370 * )
NEW met2 ( 608350 1565020 ) M2M3_PR_M
NEW met1 ( 608350 1560090 ) M1M2_PR
NEW met1 ( 763370 1560090 ) M1M2_PR
NEW met1 ( 763370 1514190 ) M1M2_PR
NEW met1 ( 800630 1514190 ) M1M2_PR ;
- read_data_from_mem\[0\] ( core0 data_from_mem[0] ) ( chip_controller rd_data_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 127500 ) ( * 131070 )
NEW met2 ( 204010 1899580 0 ) ( * 1922020 )
NEW met1 ( 620310 131070 ) ( 1283630 * )
NEW met3 ( 1283630 127500 ) ( 1300420 * 0 )
NEW met3 ( 204010 1922020 ) ( 620310 * )
NEW met2 ( 620310 131070 ) ( * 1922020 )
NEW met2 ( 204010 1922020 ) M2M3_PR_M
NEW met1 ( 1283630 131070 ) M1M2_PR
NEW met2 ( 1283630 127500 ) M2M3_PR_M
NEW met1 ( 620310 131070 ) M1M2_PR
NEW met2 ( 620310 1922020 ) M2M3_PR_M ;
- read_data_from_mem\[100\] ( core0 data_from_mem[100] ) ( chip_controller rd_data_out[100] ) + USE SIGNAL
+ ROUTED met3 ( 192050 1822060 ) ( 192740 * )
NEW met3 ( 192740 1822060 ) ( * 1822740 )
NEW met3 ( 192740 1822740 ) ( 192970 * )
NEW met2 ( 192970 1822740 ) ( * 1839060 )
NEW met3 ( 192970 1839060 ) ( 201020 * 0 )
NEW met2 ( 1283630 1365100 ) ( * 1365950 )
NEW met1 ( 192050 1721590 ) ( 198030 * )
NEW met2 ( 192050 1721590 ) ( * 1822060 )
NEW met1 ( 198030 1365950 ) ( 1283630 * )
NEW met3 ( 1283630 1365100 ) ( 1300420 * 0 )
NEW met2 ( 198030 1365950 ) ( * 1721590 )
NEW met1 ( 198030 1365950 ) M1M2_PR
NEW met2 ( 192050 1822060 ) M2M3_PR_M
NEW met2 ( 192970 1822740 ) M2M3_PR_M
NEW met2 ( 192970 1839060 ) M2M3_PR_M
NEW met1 ( 1283630 1365950 ) M1M2_PR
NEW met2 ( 1283630 1365100 ) M2M3_PR_M
NEW met1 ( 192050 1721590 ) M1M2_PR
NEW met1 ( 198030 1721590 ) M1M2_PR ;
- read_data_from_mem\[101\] ( core0 data_from_mem[101] ) ( chip_controller rd_data_out[101] ) + USE SIGNAL
+ ROUTED met2 ( 676430 1790950 ) ( * 1836170 )
NEW met2 ( 2611650 1599700 ) ( 2613950 * 0 )
NEW met2 ( 2608430 1725000 ) ( 2611650 * )
NEW met2 ( 2611650 1599700 ) ( * 1725000 )
NEW met2 ( 2608430 1725000 ) ( * 1790950 )
NEW met1 ( 676430 1790950 ) ( 2608430 * )
NEW met3 ( 599380 1838380 0 ) ( 613410 * )
NEW met2 ( 613410 1836170 ) ( * 1838380 )
NEW met1 ( 613410 1836170 ) ( 676430 * )
NEW met1 ( 676430 1836170 ) M1M2_PR
NEW met1 ( 676430 1790950 ) M1M2_PR
NEW met1 ( 2608430 1790950 ) M1M2_PR
NEW met2 ( 613410 1838380 ) M2M3_PR_M
NEW met1 ( 613410 1836170 ) M1M2_PR ;
- read_data_from_mem\[102\] ( core0 data_from_mem[102] ) ( chip_controller rd_data_out[102] ) + USE SIGNAL
+ ROUTED met1 ( 192970 1767830 ) ( 197570 * )
NEW li1 ( 192970 1822230 ) ( * 1829370 )
NEW met1 ( 192510 1829370 ) ( 192970 * )
NEW met2 ( 192510 1829370 ) ( * 1846540 )
NEW met3 ( 192510 1846540 ) ( 201020 * 0 )
NEW met2 ( 1283630 1384140 ) ( * 1386690 )
NEW met2 ( 192970 1767830 ) ( * 1822230 )
NEW met1 ( 197570 1386690 ) ( 1283630 * )
NEW met3 ( 1283630 1384140 ) ( 1300420 * 0 )
NEW met2 ( 197570 1386690 ) ( * 1767830 )
NEW met1 ( 197570 1386690 ) M1M2_PR
NEW met1 ( 192970 1767830 ) M1M2_PR
NEW met1 ( 197570 1767830 ) M1M2_PR
NEW li1 ( 192970 1822230 ) L1M1_PR_MR
NEW met1 ( 192970 1822230 ) M1M2_PR
NEW li1 ( 192970 1829370 ) L1M1_PR_MR
NEW met1 ( 192510 1829370 ) M1M2_PR
NEW met2 ( 192510 1846540 ) M2M3_PR_M
NEW met1 ( 1283630 1386690 ) M1M2_PR
NEW met2 ( 1283630 1384140 ) M2M3_PR_M
NEW met1 ( 192970 1822230 ) RECT ( 0 -70 355 70 ) ;
- read_data_from_mem\[103\] ( core0 data_from_mem[103] ) ( chip_controller rd_data_out[103] ) + USE SIGNAL
+ ROUTED met3 ( 2798180 1341980 ) ( 2798410 * )
NEW met3 ( 2798180 1339260 0 ) ( * 1341980 )
NEW met1 ( 636870 1653590 ) ( 2798410 * )
NEW li1 ( 197570 1891590 ) ( * 1896010 )
NEW met1 ( 197570 1891590 ) ( 198490 * )
NEW met2 ( 198490 1849940 ) ( * 1891590 )
NEW met3 ( 198490 1849940 ) ( 201020 * 0 )
NEW met2 ( 197570 1896010 ) ( * 1937830 )
NEW met2 ( 2798410 1341980 ) ( * 1653590 )
NEW met1 ( 197570 1937830 ) ( 636870 * )
NEW met2 ( 636870 1653590 ) ( * 1937830 )
NEW met1 ( 197570 1937830 ) M1M2_PR
NEW met2 ( 2798410 1341980 ) M2M3_PR_M
NEW met1 ( 2798410 1653590 ) M1M2_PR
NEW met1 ( 636870 1653590 ) M1M2_PR
NEW li1 ( 197570 1896010 ) L1M1_PR_MR
NEW met1 ( 197570 1896010 ) M1M2_PR
NEW li1 ( 197570 1891590 ) L1M1_PR_MR
NEW met1 ( 198490 1891590 ) M1M2_PR
NEW met2 ( 198490 1849940 ) M2M3_PR_M
NEW met1 ( 636870 1937830 ) M1M2_PR
NEW met1 ( 197570 1896010 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[104\] ( core0 data_from_mem[104] ) ( chip_controller rd_data_out[104] ) + USE SIGNAL
+ ROUTED li1 ( 566030 1489710 ) ( 566490 * )
NEW li1 ( 566490 1485630 ) ( * 1489710 )
NEW met2 ( 2641090 1599700 0 ) ( * 1614830 )
NEW met1 ( 560050 1489370 ) ( * 1489710 )
NEW met1 ( 554070 1489370 ) ( 560050 * )
NEW met2 ( 554070 1489370 ) ( * 1500420 0 )
NEW met1 ( 560050 1489710 ) ( 566030 * )
NEW met1 ( 566490 1485630 ) ( 616170 * )
NEW met2 ( 616170 1485630 ) ( * 1614830 )
NEW met1 ( 616170 1614830 ) ( 2641090 * )
NEW li1 ( 566030 1489710 ) L1M1_PR_MR
NEW li1 ( 566490 1485630 ) L1M1_PR_MR
NEW met1 ( 2641090 1614830 ) M1M2_PR
NEW met1 ( 554070 1489370 ) M1M2_PR
NEW met1 ( 616170 1485630 ) M1M2_PR
NEW met1 ( 616170 1614830 ) M1M2_PR ;
- read_data_from_mem\[105\] ( core0 data_from_mem[105] ) ( chip_controller rd_data_out[105] ) + USE SIGNAL
+ ROUTED met2 ( 2587730 100300 ) ( 2589110 * 0 )
NEW met2 ( 557750 1500420 ) ( 558670 * 0 )
NEW met2 ( 557750 68850 ) ( * 1500420 )
NEW met2 ( 2587730 68850 ) ( * 100300 )
NEW met1 ( 557750 68850 ) ( 2587730 * )
NEW met1 ( 557750 68850 ) M1M2_PR
NEW met1 ( 2587730 68850 ) M1M2_PR ;
- read_data_from_mem\[106\] ( core0 data_from_mem[106] ) ( chip_controller rd_data_out[106] ) + USE SIGNAL
+ ROUTED met2 ( 641930 1790610 ) ( * 1850110 )
NEW met2 ( 2653050 1599700 ) ( 2654890 * 0 )
NEW met2 ( 2649830 1725000 ) ( 2653050 * )
NEW met2 ( 2653050 1599700 ) ( * 1725000 )
NEW met1 ( 641930 1790610 ) ( 2649830 * )
NEW met2 ( 2649830 1725000 ) ( * 1790610 )
NEW met3 ( 599380 1852660 0 ) ( 613410 * )
NEW met2 ( 613410 1850110 ) ( * 1852660 )
NEW met1 ( 613410 1850110 ) ( 641930 * )
NEW met1 ( 641930 1850110 ) M1M2_PR
NEW met1 ( 641930 1790610 ) M1M2_PR
NEW met1 ( 2649830 1790610 ) M1M2_PR
NEW met2 ( 613410 1852660 ) M2M3_PR_M
NEW met1 ( 613410 1850110 ) M1M2_PR ;
- read_data_from_mem\[107\] ( core0 data_from_mem[107] ) ( chip_controller rd_data_out[107] ) + USE SIGNAL
+ ROUTED met2 ( 2668690 1599700 0 ) ( * 1611260 )
NEW met3 ( 615710 1611260 ) ( 2668690 * )
NEW met3 ( 192970 1854700 ) ( 201020 * 0 )
NEW met2 ( 192970 1854700 ) ( * 1873740 )
NEW met2 ( 192510 1873740 ) ( 192970 * )
NEW met2 ( 192510 1873740 ) ( * 1897370 )
NEW met1 ( 192510 1897370 ) ( 615710 * )
NEW met2 ( 615710 1611260 ) ( * 1897370 )
NEW met2 ( 615710 1611260 ) M2M3_PR_M
NEW met2 ( 2668690 1611260 ) M2M3_PR_M
NEW met2 ( 192970 1854700 ) M2M3_PR_M
NEW met1 ( 192510 1897370 ) M1M2_PR
NEW met1 ( 615710 1897370 ) M1M2_PR ;
- read_data_from_mem\[108\] ( core0 data_from_mem[108] ) ( chip_controller rd_data_out[108] ) + USE SIGNAL
+ ROUTED met2 ( 2622690 97750 ) ( * 100300 0 )
NEW met3 ( 612260 113220 ) ( 1302030 * )
NEW met2 ( 1302030 97750 ) ( * 113220 )
NEW met1 ( 1302030 97750 ) ( 2622690 * )
NEW met3 ( 599380 1858100 0 ) ( 612260 * )
NEW met4 ( 612260 113220 ) ( * 1858100 )
NEW met3 ( 612260 113220 ) M3M4_PR_M
NEW met1 ( 2622690 97750 ) M1M2_PR
NEW met2 ( 1302030 113220 ) M2M3_PR_M
NEW met1 ( 1302030 97750 ) M1M2_PR
NEW met3 ( 612260 1858100 ) M3M4_PR_M ;
- read_data_from_mem\[109\] ( core0 data_from_mem[109] ) ( chip_controller rd_data_out[109] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1380740 0 ) ( 2806690 * )
NEW met1 ( 704490 1797070 ) ( 707250 * )
NEW met2 ( 707250 1654950 ) ( * 1797070 )
NEW met1 ( 707250 1654950 ) ( 2806690 * )
NEW met2 ( 560510 1899580 0 ) ( * 1924910 )
NEW met2 ( 2806690 1380740 ) ( * 1654950 )
NEW met2 ( 704950 1924740 ) ( * 1924910 )
NEW met2 ( 704950 1924740 ) ( 705410 * )
NEW met1 ( 560510 1924910 ) ( 704950 * )
NEW met1 ( 704490 1873910 ) ( * 1874250 )
NEW met1 ( 704490 1874250 ) ( 704950 * )
NEW met2 ( 704950 1874250 ) ( * 1874420 )
NEW met2 ( 704950 1874420 ) ( 705410 * )
NEW met2 ( 704490 1797070 ) ( * 1873910 )
NEW met2 ( 705410 1874420 ) ( * 1924740 )
NEW met1 ( 707250 1654950 ) M1M2_PR
NEW met2 ( 2806690 1380740 ) M2M3_PR_M
NEW met1 ( 2806690 1654950 ) M1M2_PR
NEW met1 ( 704490 1797070 ) M1M2_PR
NEW met1 ( 707250 1797070 ) M1M2_PR
NEW met1 ( 560510 1924910 ) M1M2_PR
NEW met1 ( 704950 1924910 ) M1M2_PR
NEW met1 ( 704490 1873910 ) M1M2_PR
NEW met1 ( 704950 1874250 ) M1M2_PR ;
- read_data_from_mem\[10\] ( core0 data_from_mem[10] ) ( chip_controller rd_data_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 282670 1494810 ) ( * 1500420 0 )
NEW met2 ( 1267070 1494810 ) ( * 1609390 )
NEW met1 ( 282670 1494810 ) ( 1267070 * )
NEW met2 ( 1595510 1599700 0 ) ( * 1609390 )
NEW met1 ( 1267070 1609390 ) ( 1595510 * )
NEW met1 ( 282670 1494810 ) M1M2_PR
NEW met1 ( 1267070 1494810 ) M1M2_PR
NEW met1 ( 1267070 1609390 ) M1M2_PR
NEW met1 ( 1595510 1609390 ) M1M2_PR ;
- read_data_from_mem\[110\] ( core0 data_from_mem[110] ) ( chip_controller rd_data_out[110] ) + USE SIGNAL
+ ROUTED li1 ( 610190 1498210 ) ( * 1500250 )
NEW li1 ( 606970 1500250 ) ( 610190 * )
NEW met1 ( 569250 1500250 ) ( 606970 * )
NEW met2 ( 569250 1500250 ) ( * 1500420 )
NEW met2 ( 569250 1500420 ) ( 570170 * 0 )
NEW met2 ( 1271670 1498210 ) ( * 1616530 )
NEW met3 ( 2799100 1404540 ) ( 2799790 * )
NEW met3 ( 2799100 1401820 0 ) ( * 1404540 )
NEW met1 ( 610190 1498210 ) ( 1271670 * )
NEW met1 ( 1271670 1616530 ) ( 2798870 * )
NEW met1 ( 2798870 1438710 ) ( 2799790 * )
NEW met2 ( 2799790 1404540 ) ( * 1438710 )
NEW met2 ( 2798870 1438710 ) ( * 1616530 )
NEW li1 ( 610190 1498210 ) L1M1_PR_MR
NEW li1 ( 606970 1500250 ) L1M1_PR_MR
NEW met1 ( 569250 1500250 ) M1M2_PR
NEW met1 ( 1271670 1498210 ) M1M2_PR
NEW met1 ( 1271670 1616530 ) M1M2_PR
NEW met2 ( 2799790 1404540 ) M2M3_PR_M
NEW met1 ( 2798870 1616530 ) M1M2_PR
NEW met1 ( 2798870 1438710 ) M1M2_PR
NEW met1 ( 2799790 1438710 ) M1M2_PR ;
- read_data_from_mem\[111\] ( core0 data_from_mem[111] ) ( chip_controller rd_data_out[111] ) + USE SIGNAL
+ ROUTED met1 ( 566030 1486310 ) ( 570630 * )
NEW met2 ( 570630 1486310 ) ( * 1500420 )
NEW met2 ( 570630 1500420 ) ( 571550 * 0 )
NEW met2 ( 566030 1472030 ) ( * 1486310 )
NEW met2 ( 1258790 1472030 ) ( * 1590350 )
NEW met2 ( 2793810 1531800 ) ( 2794270 * )
NEW met1 ( 566030 1472030 ) ( 1258790 * )
NEW met1 ( 1258790 1590350 ) ( 2793810 * )
NEW met2 ( 2793810 1531800 ) ( * 1590350 )
NEW met2 ( 2794270 1424430 ) ( 2794730 * )
NEW met1 ( 2794730 1424430 ) ( 2798870 * )
NEW met2 ( 2798870 1424260 ) ( * 1424430 )
NEW met3 ( 2798870 1424260 ) ( 2799100 * )
NEW met3 ( 2799100 1422900 0 ) ( * 1424260 )
NEW met2 ( 2794270 1424430 ) ( * 1531800 )
NEW met1 ( 566030 1472030 ) M1M2_PR
NEW met1 ( 1258790 1472030 ) M1M2_PR
NEW met1 ( 566030 1486310 ) M1M2_PR
NEW met1 ( 570630 1486310 ) M1M2_PR
NEW met1 ( 1258790 1590350 ) M1M2_PR
NEW met1 ( 2793810 1590350 ) M1M2_PR
NEW met1 ( 2794730 1424430 ) M1M2_PR
NEW met1 ( 2798870 1424430 ) M1M2_PR
NEW met2 ( 2798870 1424260 ) M2M3_PR_M ;
- read_data_from_mem\[112\] ( core0 data_from_mem[112] ) ( chip_controller rd_data_out[112] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1458940 ) ( * 1459790 )
NEW met2 ( 576610 1459790 ) ( * 1500420 0 )
NEW met1 ( 576610 1459790 ) ( 1283630 * )
NEW met3 ( 1283630 1458940 ) ( 1300420 * 0 )
NEW met1 ( 576610 1459790 ) M1M2_PR
NEW met1 ( 1283630 1459790 ) M1M2_PR
NEW met2 ( 1283630 1458940 ) M2M3_PR_M ;
- read_data_from_mem\[113\] ( core0 data_from_mem[113] ) ( chip_controller rd_data_out[113] ) + USE SIGNAL
+ ROUTED li1 ( 192510 1822230 ) ( * 1838210 )
NEW met1 ( 191590 1838210 ) ( 192510 * )
NEW met2 ( 191590 1838210 ) ( * 1841780 )
NEW met2 ( 191590 1841780 ) ( 192050 * )
NEW met2 ( 1283630 1477300 ) ( * 1482910 )
NEW met1 ( 192510 1801150 ) ( 198490 * )
NEW met2 ( 192510 1801150 ) ( * 1822230 )
NEW met3 ( 1283630 1477300 ) ( 1300420 * 0 )
NEW met2 ( 198490 1482910 ) ( * 1801150 )
NEW met1 ( 198490 1482910 ) ( 1283630 * )
NEW met3 ( 192050 1868980 ) ( 201020 * 0 )
NEW met2 ( 192050 1841780 ) ( * 1868980 )
NEW li1 ( 192510 1822230 ) L1M1_PR_MR
NEW met1 ( 192510 1822230 ) M1M2_PR
NEW li1 ( 192510 1838210 ) L1M1_PR_MR
NEW met1 ( 191590 1838210 ) M1M2_PR
NEW met1 ( 1283630 1482910 ) M1M2_PR
NEW met2 ( 1283630 1477300 ) M2M3_PR_M
NEW met1 ( 192510 1801150 ) M1M2_PR
NEW met1 ( 198490 1801150 ) M1M2_PR
NEW met1 ( 198490 1482910 ) M1M2_PR
NEW met2 ( 192050 1868980 ) M2M3_PR_M
NEW met1 ( 192510 1822230 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[114\] ( core0 data_from_mem[114] ) ( chip_controller rd_data_out[114] ) + USE SIGNAL
+ ROUTED met2 ( 656190 1790270 ) ( * 1863710 )
NEW met1 ( 656190 1790270 ) ( 2808530 * )
NEW met3 ( 2799100 1443300 0 ) ( 2808530 * )
NEW met2 ( 2808530 1443300 ) ( * 1790270 )
NEW met3 ( 599380 1867620 0 ) ( 610650 * )
NEW met2 ( 610650 1863710 ) ( * 1867620 )
NEW met1 ( 610650 1863710 ) ( 656190 * )
NEW met1 ( 2808530 1790270 ) M1M2_PR
NEW met1 ( 656190 1863710 ) M1M2_PR
NEW met1 ( 656190 1790270 ) M1M2_PR
NEW met2 ( 2808530 1443300 ) M2M3_PR_M
NEW met2 ( 610650 1867620 ) M2M3_PR_M
NEW met1 ( 610650 1863710 ) M1M2_PR ;
- read_data_from_mem\[115\] ( core0 data_from_mem[115] ) ( chip_controller rd_data_out[115] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1464380 0 ) ( 2807150 * )
NEW met1 ( 628590 1639650 ) ( 2807150 * )
NEW met2 ( 197110 1895500 ) ( 198030 * )
NEW met2 ( 197110 1874420 ) ( * 1895500 )
NEW met3 ( 197110 1874420 ) ( 201020 * 0 )
NEW met2 ( 198030 1895500 ) ( * 1944970 )
NEW met2 ( 2807150 1464380 ) ( * 1639650 )
NEW met1 ( 198030 1944970 ) ( 628590 * )
NEW met2 ( 628590 1639650 ) ( * 1944970 )
NEW met1 ( 198030 1944970 ) M1M2_PR
NEW met2 ( 2807150 1464380 ) M2M3_PR_M
NEW met1 ( 2807150 1639650 ) M1M2_PR
NEW met1 ( 628590 1639650 ) M1M2_PR
NEW met2 ( 197110 1874420 ) M2M3_PR_M
NEW met1 ( 628590 1944970 ) M1M2_PR ;
- read_data_from_mem\[116\] ( core0 data_from_mem[116] ) ( chip_controller rd_data_out[116] ) + USE SIGNAL
+ ROUTED met2 ( 2707330 96220 ) ( * 100300 0 )
NEW met2 ( 566950 1899580 0 ) ( * 1911820 )
NEW met3 ( 566950 1911820 ) ( 611340 * )
NEW met3 ( 611340 96220 ) ( 2707330 * )
NEW met4 ( 611340 96220 ) ( * 1911820 )
NEW met3 ( 611340 96220 ) M3M4_PR_M
NEW met2 ( 2707330 96220 ) M2M3_PR_M
NEW met2 ( 566950 1911820 ) M2M3_PR_M
NEW met3 ( 611340 1911820 ) M3M4_PR_M ;
- read_data_from_mem\[117\] ( core0 data_from_mem[117] ) ( chip_controller rd_data_out[117] ) + USE SIGNAL
+ ROUTED met2 ( 2722050 1599700 ) ( 2723890 * 0 )
NEW met2 ( 2718830 1725000 ) ( 2722050 * )
NEW met2 ( 2722050 1599700 ) ( * 1725000 )
NEW met2 ( 2718830 1725000 ) ( * 1798090 )
NEW met2 ( 649290 1798090 ) ( * 1877650 )
NEW met1 ( 649290 1798090 ) ( 2718830 * )
NEW met3 ( 599380 1878500 0 ) ( 609270 * )
NEW met2 ( 609270 1877650 ) ( * 1878500 )
NEW met1 ( 609270 1877650 ) ( 649290 * )
NEW met1 ( 2718830 1798090 ) M1M2_PR
NEW met1 ( 649290 1798090 ) M1M2_PR
NEW met1 ( 649290 1877650 ) M1M2_PR
NEW met2 ( 609270 1878500 ) M2M3_PR_M
NEW met1 ( 609270 1877650 ) M1M2_PR ;
- read_data_from_mem\[118\] ( core0 data_from_mem[118] ) ( chip_controller rd_data_out[118] ) + USE SIGNAL
+ ROUTED met2 ( 2723890 92650 ) ( * 100300 0 )
NEW met3 ( 573850 1899580 ) ( 579140 * )
NEW met2 ( 573390 1899580 0 ) ( 573850 * )
NEW met4 ( 579140 134300 ) ( * 1899580 )
NEW met3 ( 579140 134300 ) ( 1285010 * )
NEW met2 ( 1285010 92650 ) ( * 134300 )
NEW met1 ( 1285010 92650 ) ( 2723890 * )
NEW met1 ( 2723890 92650 ) M1M2_PR
NEW met3 ( 579140 134300 ) M3M4_PR_M
NEW met3 ( 579140 1899580 ) M3M4_PR_M
NEW met2 ( 573850 1899580 ) M2M3_PR_M
NEW met2 ( 1285010 134300 ) M2M3_PR_M
NEW met1 ( 1285010 92650 ) M1M2_PR ;
- read_data_from_mem\[119\] ( core0 data_from_mem[119] ) ( chip_controller rd_data_out[119] ) + USE SIGNAL
+ ROUTED met2 ( 587650 1498550 ) ( * 1500420 0 )
NEW met2 ( 1257870 1498890 ) ( * 1597150 )
NEW met3 ( 2799100 1505860 0 ) ( 2814510 * )
NEW met1 ( 621690 1498550 ) ( * 1498890 )
NEW met1 ( 587650 1498550 ) ( 621690 * )
NEW met1 ( 621690 1498890 ) ( 1257870 * )
NEW met1 ( 1257870 1597150 ) ( 2814510 * )
NEW met2 ( 2814510 1505860 ) ( * 1597150 )
NEW met1 ( 587650 1498550 ) M1M2_PR
NEW met1 ( 1257870 1498890 ) M1M2_PR
NEW met1 ( 1257870 1597150 ) M1M2_PR
NEW met2 ( 2814510 1505860 ) M2M3_PR_M
NEW met1 ( 2814510 1597150 ) M1M2_PR ;
- read_data_from_mem\[11\] ( core0 data_from_mem[11] ) ( chip_controller rd_data_out[11] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1575900 0 ) ( 609270 * )
NEW met2 ( 609270 1575900 ) ( * 1579810 )
NEW met2 ( 1649330 1598340 ) ( * 1598510 )
NEW met2 ( 1649330 1598340 ) ( 1650250 * 0 )
NEW met1 ( 609270 1579810 ) ( 1141950 * )
NEW met2 ( 1141950 1579810 ) ( * 1598510 )
NEW met1 ( 1141950 1598510 ) ( 1649330 * )
NEW met2 ( 609270 1575900 ) M2M3_PR_M
NEW met1 ( 609270 1579810 ) M1M2_PR
NEW met1 ( 1649330 1598510 ) M1M2_PR
NEW met1 ( 1141950 1579810 ) M1M2_PR
NEW met1 ( 1141950 1598510 ) M1M2_PR ;
- read_data_from_mem\[120\] ( core0 data_from_mem[120] ) ( chip_controller rd_data_out[120] ) + USE SIGNAL
+ ROUTED met2 ( 677350 1798430 ) ( * 1883770 )
NEW met2 ( 2749650 1599700 ) ( 2751490 * 0 )
NEW met2 ( 2746430 1725000 ) ( 2749650 * )
NEW met2 ( 2749650 1599700 ) ( * 1725000 )
NEW met1 ( 677350 1798430 ) ( 2746430 * )
NEW met2 ( 2746430 1725000 ) ( * 1798430 )
NEW met3 ( 599380 1888020 0 ) ( 609270 * )
NEW met2 ( 609270 1883770 ) ( * 1888020 )
NEW met1 ( 609270 1883770 ) ( 677350 * )
NEW met1 ( 677350 1798430 ) M1M2_PR
NEW met1 ( 677350 1883770 ) M1M2_PR
NEW met1 ( 2746430 1798430 ) M1M2_PR
NEW met2 ( 609270 1888020 ) M2M3_PR_M
NEW met1 ( 609270 1883770 ) M1M2_PR ;
- read_data_from_mem\[121\] ( core0 data_from_mem[121] ) ( chip_controller rd_data_out[121] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1526940 0 ) ( 2808990 * )
NEW met2 ( 2808990 1526940 ) ( * 1527110 )
NEW met1 ( 2808990 1527110 ) ( 2823250 * )
NEW met2 ( 2823250 1527110 ) ( * 1776670 )
NEW met1 ( 610190 1825290 ) ( 612490 * )
NEW met1 ( 608350 1798090 ) ( 612490 * )
NEW met2 ( 608350 1776670 ) ( * 1798090 )
NEW met2 ( 612490 1798090 ) ( * 1825290 )
NEW met1 ( 608350 1776670 ) ( 2823250 * )
NEW met2 ( 610190 1825290 ) ( * 1849200 )
NEW met3 ( 599380 1891420 0 ) ( 609730 * )
NEW met2 ( 609730 1849200 ) ( * 1891420 )
NEW met2 ( 609730 1849200 ) ( 610190 * )
NEW met2 ( 2808990 1526940 ) M2M3_PR_M
NEW met1 ( 2808990 1527110 ) M1M2_PR
NEW met1 ( 2823250 1527110 ) M1M2_PR
NEW met1 ( 2823250 1776670 ) M1M2_PR
NEW met1 ( 610190 1825290 ) M1M2_PR
NEW met1 ( 612490 1825290 ) M1M2_PR
NEW met1 ( 612490 1798090 ) M1M2_PR
NEW met1 ( 608350 1798090 ) M1M2_PR
NEW met1 ( 608350 1776670 ) M1M2_PR
NEW met2 ( 609730 1891420 ) M2M3_PR_M ;
- read_data_from_mem\[122\] ( core0 data_from_mem[122] ) ( chip_controller rd_data_out[122] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1533740 ) ( * 1538670 )
NEW met2 ( 587650 1899580 0 ) ( * 1900770 )
NEW met1 ( 650670 1538670 ) ( 1283630 * )
NEW met3 ( 1283630 1533740 ) ( 1300420 * 0 )
NEW met2 ( 650670 1538670 ) ( * 1901110 )
NEW met1 ( 617550 1900770 ) ( * 1901110 )
NEW met1 ( 587650 1900770 ) ( 617550 * )
NEW met1 ( 617550 1901110 ) ( 650670 * )
NEW met1 ( 1283630 1538670 ) M1M2_PR
NEW met2 ( 1283630 1533740 ) M2M3_PR_M
NEW met1 ( 587650 1900770 ) M1M2_PR
NEW met1 ( 650670 1538670 ) M1M2_PR
NEW met1 ( 650670 1901110 ) M1M2_PR ;
- read_data_from_mem\[123\] ( core0 data_from_mem[123] ) ( chip_controller rd_data_out[123] ) + USE SIGNAL
+ ROUTED met2 ( 590410 1497700 ) ( * 1500420 )
NEW met2 ( 589490 1500420 0 ) ( 590410 * )
NEW met3 ( 590410 1497700 ) ( 615710 * )
NEW met2 ( 615710 1497700 ) ( * 1595110 )
NEW met2 ( 2811290 1568420 ) ( * 1595110 )
NEW met3 ( 2799100 1568420 0 ) ( 2811290 * )
NEW met1 ( 615710 1595110 ) ( 2811290 * )
NEW met2 ( 590410 1497700 ) M2M3_PR_M
NEW met2 ( 615710 1497700 ) M2M3_PR_M
NEW met1 ( 615710 1595110 ) M1M2_PR
NEW met1 ( 2811290 1595110 ) M1M2_PR
NEW met2 ( 2811290 1568420 ) M2M3_PR_M ;
- read_data_from_mem\[124\] ( core0 data_from_mem[124] ) ( chip_controller rd_data_out[124] ) + USE SIGNAL
+ ROUTED met2 ( 592710 58310 ) ( * 1500420 0 )
NEW met2 ( 2757930 86530 ) ( * 100300 0 )
NEW met1 ( 2180170 86530 ) ( 2757930 * )
NEW met1 ( 592710 58310 ) ( 2180170 * )
NEW met2 ( 2180170 58310 ) ( * 86530 )
NEW met1 ( 592710 58310 ) M1M2_PR
NEW met1 ( 2180170 86530 ) M1M2_PR
NEW met1 ( 2757930 86530 ) M1M2_PR
NEW met1 ( 2180170 58310 ) M1M2_PR ;
- read_data_from_mem\[125\] ( core0 data_from_mem[125] ) ( chip_controller rd_data_out[125] ) + USE SIGNAL
+ ROUTED met2 ( 89470 62050 ) ( * 1890910 )
NEW met2 ( 2774030 100300 ) ( 2774490 * 0 )
NEW met1 ( 89470 62050 ) ( 2774030 * )
NEW met2 ( 2774030 62050 ) ( * 100300 )
NEW met2 ( 186530 1890910 ) ( * 1893460 )
NEW met3 ( 186530 1893460 ) ( 201020 * 0 )
NEW met1 ( 89470 1890910 ) ( 186530 * )
NEW met1 ( 89470 62050 ) M1M2_PR
NEW met1 ( 89470 1890910 ) M1M2_PR
NEW met1 ( 2774030 62050 ) M1M2_PR
NEW met1 ( 186530 1890910 ) M1M2_PR
NEW met2 ( 186530 1893460 ) M2M3_PR_M ;
- read_data_from_mem\[126\] ( core0 data_from_mem[126] ) ( chip_controller rd_data_out[126] ) + USE SIGNAL
+ ROUTED met2 ( 1286850 1571140 ) ( * 1792650 )
NEW met3 ( 1286850 1571140 ) ( 1300420 * 0 )
NEW met2 ( 642850 1792650 ) ( * 1893630 )
NEW met1 ( 642850 1792650 ) ( 1286850 * )
NEW met3 ( 201020 1894140 ) ( * 1895500 0 )
NEW met3 ( 199410 1894140 ) ( 201020 * )
NEW met2 ( 199410 1893630 ) ( * 1894140 )
NEW met1 ( 199410 1893630 ) ( 642850 * )
NEW met2 ( 1286850 1571140 ) M2M3_PR_M
NEW met1 ( 1286850 1792650 ) M1M2_PR
NEW met1 ( 642850 1792650 ) M1M2_PR
NEW met1 ( 642850 1893630 ) M1M2_PR
NEW met2 ( 199410 1894140 ) M2M3_PR_M
NEW met1 ( 199410 1893630 ) M1M2_PR ;
- read_data_from_mem\[127\] ( core0 data_from_mem[127] ) ( chip_controller rd_data_out[127] ) + USE SIGNAL
+ ROUTED met2 ( 2787830 1725000 ) ( 2791050 * )
NEW met2 ( 2787830 1725000 ) ( * 1777350 )
NEW met3 ( 199410 1896860 ) ( 201020 * 0 )
NEW met2 ( 199410 1894650 ) ( * 1896860 )
NEW met2 ( 2791050 1599700 ) ( 2792890 * 0 )
NEW met2 ( 2791050 1599700 ) ( * 1725000 )
NEW met1 ( 621230 1777350 ) ( 2787830 * )
NEW met1 ( 199410 1894650 ) ( 621230 * )
NEW met2 ( 621230 1777350 ) ( * 1894650 )
NEW met1 ( 2787830 1777350 ) M1M2_PR
NEW met2 ( 199410 1896860 ) M2M3_PR_M
NEW met1 ( 199410 1894650 ) M1M2_PR
NEW met1 ( 621230 1777350 ) M1M2_PR
NEW met1 ( 621230 1894650 ) M1M2_PR ;
- read_data_from_mem\[12\] ( core0 data_from_mem[12] ) ( chip_controller rd_data_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 281290 1899580 0 ) ( * 1924230 )
NEW met2 ( 1283630 333540 ) ( * 337790 )
NEW met1 ( 617090 337790 ) ( 1283630 * )
NEW met3 ( 1283630 333540 ) ( 1300420 * 0 )
NEW met1 ( 281290 1924230 ) ( 617090 * )
NEW met2 ( 617090 337790 ) ( * 1924230 )
NEW met1 ( 281290 1924230 ) M1M2_PR
NEW met1 ( 1283630 337790 ) M1M2_PR
NEW met2 ( 1283630 333540 ) M2M3_PR_M
NEW met1 ( 617090 337790 ) M1M2_PR
NEW met1 ( 617090 1924230 ) M1M2_PR ;
- read_data_from_mem\[13\] ( core0 data_from_mem[13] ) ( chip_controller rd_data_out[13] ) + USE SIGNAL
+ ROUTED met1 ( 2808990 1556010 ) ( 2810370 * )
NEW met2 ( 302450 1486140 ) ( * 1500420 0 )
NEW met3 ( 2799100 526660 0 ) ( 2810370 * )
NEW met3 ( 302450 1486140 ) ( 622610 * )
NEW met2 ( 622610 1486140 ) ( * 1589670 )
NEW met2 ( 2810370 526660 ) ( * 1556010 )
NEW met2 ( 2809450 1582700 ) ( * 1589670 )
NEW met2 ( 2808990 1582700 ) ( 2809450 * )
NEW met1 ( 622610 1589670 ) ( 2809450 * )
NEW met2 ( 2808990 1556010 ) ( * 1582700 )
NEW met1 ( 2808990 1556010 ) M1M2_PR
NEW met1 ( 2810370 1556010 ) M1M2_PR
NEW met2 ( 302450 1486140 ) M2M3_PR_M
NEW met2 ( 2810370 526660 ) M2M3_PR_M
NEW met2 ( 622610 1486140 ) M2M3_PR_M
NEW met1 ( 622610 1589670 ) M1M2_PR
NEW met1 ( 2809450 1589670 ) M1M2_PR ;
- read_data_from_mem\[14\] ( core0 data_from_mem[14] ) ( chip_controller rd_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 1542150 103020 ) ( 1543990 * 0 )
NEW met2 ( 1542150 102850 ) ( * 103020 )
NEW li1 ( 1542150 102850 ) ( * 104550 )
NEW met2 ( 309810 1492260 ) ( 310270 * )
NEW met2 ( 309810 1492260 ) ( * 1500420 )
NEW met2 ( 308890 1500420 0 ) ( 309810 * )
NEW met2 ( 310270 104550 ) ( * 1492260 )
NEW met1 ( 310270 104550 ) ( 1542150 * )
NEW met1 ( 310270 104550 ) M1M2_PR
NEW li1 ( 1542150 102850 ) L1M1_PR_MR
NEW met1 ( 1542150 102850 ) M1M2_PR
NEW li1 ( 1542150 104550 ) L1M1_PR_MR
NEW met1 ( 1542150 102850 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[15\] ( core0 data_from_mem[15] ) ( chip_controller rd_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1608030 ) ( * 1613300 )
NEW met3 ( 189750 1613300 ) ( 201020 * 0 )
NEW met2 ( 1260630 1469310 ) ( * 1621290 )
NEW met2 ( 1733050 1599700 0 ) ( * 1621290 )
NEW met2 ( 146050 1469310 ) ( * 1608030 )
NEW met1 ( 146050 1608030 ) ( 189750 * )
NEW met1 ( 1260630 1621290 ) ( 1733050 * )
NEW met1 ( 146050 1469310 ) ( 1260630 * )
NEW met1 ( 1260630 1469310 ) M1M2_PR
NEW met1 ( 189750 1608030 ) M1M2_PR
NEW met2 ( 189750 1613300 ) M2M3_PR_M
NEW met1 ( 1260630 1621290 ) M1M2_PR
NEW met1 ( 1733050 1621290 ) M1M2_PR
NEW met1 ( 146050 1469310 ) M1M2_PR
NEW met1 ( 146050 1608030 ) M1M2_PR ;
- read_data_from_mem\[16\] ( core0 data_from_mem[16] ) ( chip_controller rd_data_out[16] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 589220 0 ) ( 2816350 * )
NEW met2 ( 1262470 1460130 ) ( * 1590010 )
NEW met2 ( 2816350 589220 ) ( * 1590010 )
NEW met1 ( 326370 1460130 ) ( 1262470 * )
NEW met2 ( 326370 1460130 ) ( * 1500420 0 )
NEW met1 ( 1262470 1590010 ) ( 2816350 * )
NEW met1 ( 1262470 1460130 ) M1M2_PR
NEW met2 ( 2816350 589220 ) M2M3_PR_M
NEW met1 ( 1262470 1590010 ) M1M2_PR
NEW met1 ( 2816350 1590010 ) M1M2_PR
NEW met1 ( 326370 1460130 ) M1M2_PR ;
- read_data_from_mem\[17\] ( core0 data_from_mem[17] ) ( chip_controller rd_data_out[17] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 446420 ) ( * 448290 )
NEW met2 ( 336030 1500420 0 ) ( 337410 * )
NEW met2 ( 337410 448290 ) ( * 1500420 )
NEW met1 ( 337410 448290 ) ( 1283630 * )
NEW met3 ( 1283630 446420 ) ( 1300420 * 0 )
NEW met1 ( 1283630 448290 ) M1M2_PR
NEW met2 ( 1283630 446420 ) M2M3_PR_M
NEW met1 ( 337410 448290 ) M1M2_PR ;
- read_data_from_mem\[18\] ( core0 data_from_mem[18] ) ( chip_controller rd_data_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 1645190 83810 ) ( * 100300 0 )
NEW met1 ( 1338600 83130 ) ( * 83810 )
NEW met1 ( 350750 113730 ) ( 1298810 * )
NEW met1 ( 1338600 83810 ) ( 1645190 * )
NEW met1 ( 345690 1490050 ) ( 350750 * )
NEW met2 ( 345690 1490050 ) ( * 1500420 0 )
NEW met2 ( 350750 113730 ) ( * 1490050 )
NEW met1 ( 1317900 83130 ) ( 1338600 * )
NEW met1 ( 1298810 83470 ) ( 1317900 * )
NEW met1 ( 1317900 83130 ) ( * 83470 )
NEW met2 ( 1298810 83470 ) ( * 113730 )
NEW met1 ( 1645190 83810 ) M1M2_PR
NEW met1 ( 350750 113730 ) M1M2_PR
NEW met1 ( 1298810 113730 ) M1M2_PR
NEW met1 ( 350750 1490050 ) M1M2_PR
NEW met1 ( 345690 1490050 ) M1M2_PR
NEW met1 ( 1298810 83470 ) M1M2_PR ;
- read_data_from_mem\[19\] ( core0 data_from_mem[19] ) ( chip_controller rd_data_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 318550 1899580 0 ) ( * 1903830 )
NEW met2 ( 1802050 1599700 0 ) ( * 1612110 )
NEW met1 ( 622150 1612110 ) ( 1802050 * )
NEW met1 ( 607890 1903490 ) ( * 1903830 )
NEW met1 ( 607890 1903490 ) ( 622150 * )
NEW met1 ( 318550 1903830 ) ( 607890 * )
NEW met2 ( 622150 1612110 ) ( * 1903490 )
NEW met1 ( 318550 1903830 ) M1M2_PR
NEW met1 ( 622150 1612110 ) M1M2_PR
NEW met1 ( 1802050 1612110 ) M1M2_PR
NEW met1 ( 622150 1903490 ) M1M2_PR ;
- read_data_from_mem\[1\] ( core0 data_from_mem[1] ) ( chip_controller rd_data_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 213670 1899580 0 ) ( * 1923890 )
NEW met2 ( 1444170 1599700 0 ) ( * 1613130 )
NEW met1 ( 623990 1613130 ) ( 1444170 * )
NEW met1 ( 213670 1923890 ) ( 623990 * )
NEW met2 ( 623990 1613130 ) ( * 1923890 )
NEW met1 ( 213670 1923890 ) M1M2_PR
NEW met1 ( 1444170 1613130 ) M1M2_PR
NEW met1 ( 623990 1613130 ) M1M2_PR
NEW met1 ( 623990 1923890 ) M1M2_PR ;
- read_data_from_mem\[20\] ( core0 data_from_mem[20] ) ( chip_controller rd_data_out[20] ) + USE SIGNAL
+ ROUTED met1 ( 358570 114070 ) ( 1298350 * )
NEW met2 ( 1679230 84150 ) ( * 100300 0 )
NEW met1 ( 352130 1489370 ) ( 358570 * )
NEW met2 ( 352130 1489370 ) ( * 1500420 0 )
NEW met2 ( 358570 114070 ) ( * 1489370 )
NEW met2 ( 1298350 84150 ) ( * 114070 )
NEW met1 ( 1298350 84150 ) ( 1679230 * )
NEW met1 ( 358570 114070 ) M1M2_PR
NEW met1 ( 1298350 114070 ) M1M2_PR
NEW met1 ( 1679230 84150 ) M1M2_PR
NEW met1 ( 358570 1489370 ) M1M2_PR
NEW met1 ( 352130 1489370 ) M1M2_PR
NEW met1 ( 1298350 84150 ) M1M2_PR ;
- read_data_from_mem\[21\] ( core0 data_from_mem[21] ) ( chip_controller rd_data_out[21] ) + USE SIGNAL
+ ROUTED met3 ( 198950 1639140 ) ( 201020 * 0 )
NEW met1 ( 196190 1604290 ) ( 198950 * )
NEW met2 ( 198950 1604290 ) ( * 1639140 )
NEW met2 ( 1289150 577660 ) ( * 969170 )
NEW met1 ( 196190 969170 ) ( 1289150 * )
NEW met3 ( 1289150 577660 ) ( 1300420 * 0 )
NEW met2 ( 196190 969170 ) ( * 1604290 )
NEW met1 ( 196190 969170 ) M1M2_PR
NEW met2 ( 198950 1639140 ) M2M3_PR_M
NEW met2 ( 1289150 577660 ) M2M3_PR_M
NEW met1 ( 1289150 969170 ) M1M2_PR
NEW met1 ( 196190 1604290 ) M1M2_PR
NEW met1 ( 198950 1604290 ) M1M2_PR ;
- read_data_from_mem\[22\] ( core0 data_from_mem[22] ) ( chip_controller rd_data_out[22] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 735420 0 ) ( 2808530 * )
NEW met2 ( 2808530 735420 ) ( * 736950 )
NEW met1 ( 2808530 736950 ) ( 2824170 * )
NEW met2 ( 2824170 736950 ) ( * 1652910 )
NEW met1 ( 343850 1945990 ) ( 622610 * )
NEW met1 ( 622610 1652910 ) ( 2824170 * )
NEW met2 ( 342470 1899580 0 ) ( 343850 * )
NEW met2 ( 343850 1899580 ) ( * 1945990 )
NEW met2 ( 622610 1652910 ) ( * 1945990 )
NEW met1 ( 2824170 1652910 ) M1M2_PR
NEW met2 ( 2808530 735420 ) M2M3_PR_M
NEW met1 ( 2808530 736950 ) M1M2_PR
NEW met1 ( 2824170 736950 ) M1M2_PR
NEW met1 ( 343850 1945990 ) M1M2_PR
NEW met1 ( 622610 1652910 ) M1M2_PR
NEW met1 ( 622610 1945990 ) M1M2_PR ;
- read_data_from_mem\[23\] ( core0 data_from_mem[23] ) ( chip_controller rd_data_out[23] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1643900 0 ) ( 608350 * )
NEW met2 ( 608350 1642370 ) ( * 1643900 )
NEW met2 ( 1829190 1599700 0 ) ( * 1619250 )
NEW met1 ( 608350 1642370 ) ( 1790550 * )
NEW met1 ( 1821600 1619250 ) ( 1829190 * )
NEW met1 ( 1790550 1619590 ) ( 1821600 * )
NEW met1 ( 1821600 1619250 ) ( * 1619590 )
NEW met2 ( 1790550 1619590 ) ( * 1642370 )
NEW met2 ( 608350 1643900 ) M2M3_PR_M
NEW met1 ( 608350 1642370 ) M1M2_PR
NEW met1 ( 1829190 1619250 ) M1M2_PR
NEW met1 ( 1790550 1642370 ) M1M2_PR
NEW met1 ( 1790550 1619590 ) M1M2_PR ;
- read_data_from_mem\[24\] ( core0 data_from_mem[24] ) ( chip_controller rd_data_out[24] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1654780 0 ) ( 609270 * )
NEW met2 ( 609270 1649510 ) ( * 1654780 )
NEW met2 ( 1283630 634100 ) ( * 634610 )
NEW met1 ( 609270 1649510 ) ( 914250 * )
NEW met2 ( 914250 634610 ) ( * 1649510 )
NEW met1 ( 914250 634610 ) ( 1283630 * )
NEW met3 ( 1283630 634100 ) ( 1300420 * 0 )
NEW met2 ( 609270 1654780 ) M2M3_PR_M
NEW met1 ( 609270 1649510 ) M1M2_PR
NEW met1 ( 1283630 634610 ) M1M2_PR
NEW met2 ( 1283630 634100 ) M2M3_PR_M
NEW met1 ( 914250 1649510 ) M1M2_PR
NEW met1 ( 914250 634610 ) M1M2_PR ;
- read_data_from_mem\[25\] ( core0 data_from_mem[25] ) ( chip_controller rd_data_out[25] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1649170 ) ( * 1651380 )
NEW met3 ( 189750 1651380 ) ( 201020 * 0 )
NEW met2 ( 1746390 94690 ) ( * 100300 0 )
NEW met2 ( 108790 94690 ) ( * 1649170 )
NEW met1 ( 108790 1649170 ) ( 189750 * )
NEW met1 ( 108790 94690 ) ( 1746390 * )
NEW met1 ( 108790 94690 ) M1M2_PR
NEW met1 ( 108790 1649170 ) M1M2_PR
NEW met1 ( 189750 1649170 ) M1M2_PR
NEW met2 ( 189750 1651380 ) M2M3_PR_M
NEW met1 ( 1746390 94690 ) M1M2_PR ;
- read_data_from_mem\[26\] ( core0 data_from_mem[26] ) ( chip_controller rd_data_out[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1665660 0 ) ( 608350 * )
NEW met2 ( 608350 1659370 ) ( * 1665660 )
NEW met2 ( 1287310 727260 ) ( * 1659370 )
NEW met1 ( 608350 1659370 ) ( 1287310 * )
NEW met3 ( 1287310 727260 ) ( 1300420 * 0 )
NEW met2 ( 608350 1665660 ) M2M3_PR_M
NEW met1 ( 608350 1659370 ) M1M2_PR
NEW met1 ( 1287310 1659370 ) M1M2_PR
NEW met2 ( 1287310 727260 ) M2M3_PR_M ;
- read_data_from_mem\[27\] ( core0 data_from_mem[27] ) ( chip_controller rd_data_out[27] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1671100 0 ) ( 608350 * )
NEW met2 ( 608350 1669910 ) ( * 1671100 )
NEW met1 ( 608350 1669910 ) ( 1883930 * )
NEW met2 ( 1883930 1599700 ) ( 1884390 * 0 )
NEW met2 ( 1883930 1599700 ) ( * 1669910 )
NEW met2 ( 608350 1671100 ) M2M3_PR_M
NEW met1 ( 608350 1669910 ) M1M2_PR
NEW met1 ( 1883930 1669910 ) M1M2_PR ;
- read_data_from_mem\[28\] ( core0 data_from_mem[28] ) ( chip_controller rd_data_out[28] ) + USE SIGNAL
+ ROUTED met1 ( 395830 1488350 ) ( 399970 * )
NEW met2 ( 395830 1488350 ) ( * 1500420 0 )
NEW met2 ( 399970 751910 ) ( * 1488350 )
NEW met2 ( 1283630 746300 ) ( * 751910 )
NEW met1 ( 399970 751910 ) ( 1283630 * )
NEW met3 ( 1283630 746300 ) ( 1300420 * 0 )
NEW met1 ( 399970 751910 ) M1M2_PR
NEW met1 ( 399970 1488350 ) M1M2_PR
NEW met1 ( 395830 1488350 ) M1M2_PR
NEW met1 ( 1283630 751910 ) M1M2_PR
NEW met2 ( 1283630 746300 ) M2M3_PR_M ;
- read_data_from_mem\[29\] ( core0 data_from_mem[29] ) ( chip_controller rd_data_out[29] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1686060 0 ) ( 608350 * )
NEW met2 ( 608350 1683850 ) ( * 1686060 )
NEW met2 ( 1245910 76330 ) ( * 1683850 )
NEW met2 ( 1808030 100300 ) ( 1814010 * 0 )
NEW met1 ( 608350 1683850 ) ( 1245910 * )
NEW met2 ( 1808030 76330 ) ( * 100300 )
NEW met1 ( 1245910 76330 ) ( 1808030 * )
NEW met2 ( 608350 1686060 ) M2M3_PR_M
NEW met1 ( 608350 1683850 ) M1M2_PR
NEW met1 ( 1245910 76330 ) M1M2_PR
NEW met1 ( 1245910 1683850 ) M1M2_PR
NEW met1 ( 1808030 76330 ) M1M2_PR ;
- read_data_from_mem\[2\] ( core0 data_from_mem[2] ) ( chip_controller rd_data_out[2] ) + USE SIGNAL
+ ROUTED met3 ( 189290 1521500 ) ( 201020 * 0 )
NEW met2 ( 990610 165410 ) ( * 1466250 )
NEW met2 ( 1283630 164900 ) ( * 165410 )
NEW met1 ( 990610 165410 ) ( 1283630 * )
NEW met3 ( 1283630 164900 ) ( 1300420 * 0 )
NEW met2 ( 189290 1511100 ) ( * 1521500 )
NEW met2 ( 189290 1511100 ) ( 189750 * )
NEW met2 ( 189750 1510620 ) ( * 1511100 )
NEW met2 ( 189750 1510620 ) ( 190210 * )
NEW met2 ( 190210 1504500 ) ( * 1510620 )
NEW met2 ( 190210 1504500 ) ( 190670 * )
NEW met2 ( 190670 1466250 ) ( * 1504500 )
NEW met1 ( 190670 1466250 ) ( 990610 * )
NEW met1 ( 990610 1466250 ) M1M2_PR
NEW met2 ( 189290 1521500 ) M2M3_PR_M
NEW met1 ( 990610 165410 ) M1M2_PR
NEW met1 ( 1283630 165410 ) M1M2_PR
NEW met2 ( 1283630 164900 ) M2M3_PR_M
NEW met1 ( 190670 1466250 ) M1M2_PR ;
- read_data_from_mem\[30\] ( core0 data_from_mem[30] ) ( chip_controller rd_data_out[30] ) + USE SIGNAL
+ ROUTED met2 ( 405490 1481890 ) ( * 1500420 0 )
NEW met2 ( 1280870 1481890 ) ( * 1609050 )
NEW met2 ( 1953390 1599700 0 ) ( * 1609050 )
NEW met1 ( 405490 1481890 ) ( 1280870 * )
NEW met1 ( 1280870 1609050 ) ( 1953390 * )
NEW met1 ( 405490 1481890 ) M1M2_PR
NEW met1 ( 1280870 1481890 ) M1M2_PR
NEW met1 ( 1280870 1609050 ) M1M2_PR
NEW met1 ( 1953390 1609050 ) M1M2_PR ;
- read_data_from_mem\[31\] ( core0 data_from_mem[31] ) ( chip_controller rd_data_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 1847590 89590 ) ( * 100300 0 )
NEW met2 ( 95450 89590 ) ( * 1683850 )
NEW met2 ( 190210 1683850 ) ( * 1688100 )
NEW met3 ( 190210 1688100 ) ( 201020 * 0 )
NEW met1 ( 95450 1683850 ) ( 190210 * )
NEW met1 ( 95450 89590 ) ( 1847590 * )
NEW met1 ( 95450 89590 ) M1M2_PR
NEW met1 ( 1847590 89590 ) M1M2_PR
NEW met1 ( 95450 1683850 ) M1M2_PR
NEW met1 ( 190210 1683850 ) M1M2_PR
NEW met2 ( 190210 1688100 ) M2M3_PR_M ;
- read_data_from_mem\[32\] ( core0 data_from_mem[32] ) ( chip_controller rd_data_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 880940 0 ) ( 2808530 * )
NEW met2 ( 2808530 880940 ) ( * 882810 )
NEW met1 ( 2808530 882810 ) ( 2817270 * )
NEW met3 ( 192050 1692180 ) ( 201020 * 0 )
NEW met2 ( 1261550 1468970 ) ( * 1615850 )
NEW met2 ( 2817270 882810 ) ( * 1615850 )
NEW met1 ( 1261550 1615850 ) ( 2817270 * )
NEW met2 ( 192050 1468970 ) ( * 1692180 )
NEW met1 ( 192050 1468970 ) ( 1261550 * )
NEW met1 ( 1261550 1468970 ) M1M2_PR
NEW met2 ( 2808530 880940 ) M2M3_PR_M
NEW met1 ( 2808530 882810 ) M1M2_PR
NEW met1 ( 2817270 882810 ) M1M2_PR
NEW met2 ( 192050 1692180 ) M2M3_PR_M
NEW met1 ( 1261550 1615850 ) M1M2_PR
NEW met1 ( 2817270 1615850 ) M1M2_PR
NEW met1 ( 192050 1468970 ) M1M2_PR ;
- read_data_from_mem\[33\] ( core0 data_from_mem[33] ) ( chip_controller rd_data_out[33] ) + USE SIGNAL
+ ROUTED met2 ( 1864610 97070 ) ( * 100300 0 )
NEW met3 ( 195730 1695580 ) ( 201020 * 0 )
NEW met2 ( 195730 97070 ) ( * 1695580 )
NEW met1 ( 195730 97070 ) ( 1864610 * )
NEW met1 ( 195730 97070 ) M1M2_PR
NEW met1 ( 1864610 97070 ) M1M2_PR
NEW met2 ( 195730 1695580 ) M2M3_PR_M ;
- read_data_from_mem\[34\] ( core0 data_from_mem[34] ) ( chip_controller rd_data_out[34] ) + USE SIGNAL
+ ROUTED met2 ( 392610 1899580 0 ) ( * 1922530 )
NEW met2 ( 2021930 1599700 0 ) ( * 1608540 )
NEW met3 ( 623530 1608540 ) ( 2021930 * )
NEW met1 ( 392610 1922530 ) ( 623530 * )
NEW met2 ( 623530 1608540 ) ( * 1922530 )
NEW met1 ( 392610 1922530 ) M1M2_PR
NEW met2 ( 2021930 1608540 ) M2M3_PR_M
NEW met2 ( 623530 1608540 ) M2M3_PR_M
NEW met1 ( 623530 1922530 ) M1M2_PR ;
- read_data_from_mem\[35\] ( core0 data_from_mem[35] ) ( chip_controller rd_data_out[35] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1698130 ) ( * 1701020 )
NEW met3 ( 189290 1701020 ) ( 201020 * 0 )
NEW met2 ( 1260170 1462170 ) ( * 1621630 )
NEW met2 ( 2049530 1599700 0 ) ( * 1621630 )
NEW met1 ( 133630 1462170 ) ( 1260170 * )
NEW met2 ( 133630 1462170 ) ( * 1698130 )
NEW met1 ( 133630 1698130 ) ( 189290 * )
NEW met1 ( 1260170 1621630 ) ( 2049530 * )
NEW met1 ( 1260170 1462170 ) M1M2_PR
NEW met1 ( 189290 1698130 ) M1M2_PR
NEW met2 ( 189290 1701020 ) M2M3_PR_M
NEW met1 ( 1260170 1621630 ) M1M2_PR
NEW met1 ( 2049530 1621630 ) M1M2_PR
NEW met1 ( 133630 1462170 ) M1M2_PR
NEW met1 ( 133630 1698130 ) M1M2_PR ;
- read_data_from_mem\[36\] ( core0 data_from_mem[36] ) ( chip_controller rd_data_out[36] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1706460 0 ) ( 609270 * )
NEW met2 ( 609270 1701190 ) ( * 1706460 )
NEW met2 ( 1287770 802740 ) ( * 1701190 )
NEW met3 ( 1287770 802740 ) ( 1300420 * 0 )
NEW met1 ( 609270 1701190 ) ( 1287770 * )
NEW met2 ( 1287770 802740 ) M2M3_PR_M
NEW met2 ( 609270 1706460 ) M2M3_PR_M
NEW met1 ( 609270 1701190 ) M1M2_PR
NEW met1 ( 1287770 1701190 ) M1M2_PR ;
- read_data_from_mem\[37\] ( core0 data_from_mem[37] ) ( chip_controller rd_data_out[37] ) + USE SIGNAL
+ ROUTED met2 ( 400890 1899580 0 ) ( * 1900770 )
NEW li1 ( 587190 1900770 ) ( * 1903150 )
NEW met1 ( 587190 1903150 ) ( 590410 * )
NEW li1 ( 590410 1902130 ) ( * 1903150 )
NEW met2 ( 1915210 86530 ) ( * 100300 0 )
NEW met1 ( 400890 1900770 ) ( 587190 * )
NEW li1 ( 612950 1898390 ) ( * 1902130 )
NEW li1 ( 612950 1898390 ) ( 614330 * )
NEW li1 ( 614330 1898390 ) ( * 1898730 )
NEW met1 ( 614330 1898730 ) ( 618930 * )
NEW met1 ( 590410 1902130 ) ( 612950 * )
NEW met1 ( 618930 86530 ) ( 1915210 * )
NEW met2 ( 618930 86530 ) ( * 1898730 )
NEW met1 ( 400890 1900770 ) M1M2_PR
NEW li1 ( 587190 1900770 ) L1M1_PR_MR
NEW li1 ( 587190 1903150 ) L1M1_PR_MR
NEW li1 ( 590410 1903150 ) L1M1_PR_MR
NEW li1 ( 590410 1902130 ) L1M1_PR_MR
NEW met1 ( 618930 86530 ) M1M2_PR
NEW met1 ( 1915210 86530 ) M1M2_PR
NEW li1 ( 612950 1902130 ) L1M1_PR_MR
NEW li1 ( 614330 1898730 ) L1M1_PR_MR
NEW met1 ( 618930 1898730 ) M1M2_PR ;
- read_data_from_mem\[38\] ( core0 data_from_mem[38] ) ( chip_controller rd_data_out[38] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1711900 0 ) ( 609270 * )
NEW met2 ( 609270 1707650 ) ( * 1711900 )
NEW met2 ( 1288230 821780 ) ( * 1707650 )
NEW met1 ( 609270 1707650 ) ( 1288230 * )
NEW met3 ( 1288230 821780 ) ( 1290300 * )
NEW met3 ( 1290300 821100 ) ( * 821780 )
NEW met3 ( 1290300 821100 ) ( 1300420 * 0 )
NEW met2 ( 609270 1711900 ) M2M3_PR_M
NEW met1 ( 609270 1707650 ) M1M2_PR
NEW met2 ( 1288230 821780 ) M2M3_PR_M
NEW met1 ( 1288230 1707650 ) M1M2_PR ;
- read_data_from_mem\[39\] ( core0 data_from_mem[39] ) ( chip_controller rd_data_out[39] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1713260 0 ) ( 608350 * )
NEW met2 ( 608350 1711390 ) ( * 1713260 )
NEW met2 ( 2077130 1599700 0 ) ( * 1612450 )
NEW met1 ( 2073450 1612450 ) ( 2077130 * )
NEW met1 ( 608350 1711390 ) ( 2073450 * )
NEW met2 ( 2073450 1612450 ) ( * 1711390 )
NEW met2 ( 608350 1713260 ) M2M3_PR_M
NEW met1 ( 608350 1711390 ) M1M2_PR
NEW met1 ( 2077130 1612450 ) M1M2_PR
NEW met1 ( 2073450 1612450 ) M1M2_PR
NEW met1 ( 2073450 1711390 ) M1M2_PR ;
- read_data_from_mem\[3\] ( core0 data_from_mem[3] ) ( chip_controller rd_data_out[3] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 193460 0 ) ( 2809450 * )
NEW met3 ( 199180 1911820 ) ( 224710 * )
NEW met2 ( 224710 1899580 0 ) ( * 1911820 )
NEW met2 ( 2809450 105060 ) ( * 193460 )
NEW met4 ( 199180 105060 ) ( * 1911820 )
NEW met3 ( 199180 105060 ) ( 2809450 * )
NEW met3 ( 199180 105060 ) M3M4_PR_M
NEW met2 ( 2809450 105060 ) M2M3_PR_M
NEW met2 ( 2809450 193460 ) M2M3_PR_M
NEW met3 ( 199180 1911820 ) M3M4_PR_M
NEW met2 ( 224710 1911820 ) M2M3_PR_M ;
- read_data_from_mem\[40\] ( core0 data_from_mem[40] ) ( chip_controller rd_data_out[40] ) + USE SIGNAL
+ ROUTED met2 ( 1948790 84490 ) ( * 100300 0 )
NEW met1 ( 423430 1466590 ) ( 1211410 * )
NEW met2 ( 423430 1466590 ) ( * 1500420 0 )
NEW met2 ( 1211410 84490 ) ( * 1466590 )
NEW met1 ( 1211410 84490 ) ( 1948790 * )
NEW met1 ( 1948790 84490 ) M1M2_PR
NEW met1 ( 423430 1466590 ) M1M2_PR
NEW met1 ( 1211410 84490 ) M1M2_PR
NEW met1 ( 1211410 1466590 ) M1M2_PR ;
- read_data_from_mem\[41\] ( core0 data_from_mem[41] ) ( chip_controller rd_data_out[41] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1718190 ) ( * 1720060 )
NEW met3 ( 190210 1720060 ) ( 201020 * 0 )
NEW met2 ( 1261090 1469650 ) ( * 1615510 )
NEW met3 ( 2799100 943500 0 ) ( 2812210 * )
NEW met2 ( 2812210 943500 ) ( * 943670 )
NEW met1 ( 2812210 943670 ) ( 2826010 * )
NEW met2 ( 2826010 943670 ) ( * 1615510 )
NEW met2 ( 140530 1469650 ) ( * 1718190 )
NEW met1 ( 140530 1718190 ) ( 190210 * )
NEW met1 ( 1261090 1615510 ) ( 2826010 * )
NEW met1 ( 140530 1469650 ) ( 1261090 * )
NEW met1 ( 1261090 1469650 ) M1M2_PR
NEW met1 ( 190210 1718190 ) M1M2_PR
NEW met2 ( 190210 1720060 ) M2M3_PR_M
NEW met1 ( 1261090 1615510 ) M1M2_PR
NEW met2 ( 2812210 943500 ) M2M3_PR_M
NEW met1 ( 2812210 943670 ) M1M2_PR
NEW met1 ( 2826010 943670 ) M1M2_PR
NEW met1 ( 2826010 1615510 ) M1M2_PR
NEW met1 ( 140530 1469650 ) M1M2_PR
NEW met1 ( 140530 1718190 ) M1M2_PR ;
- read_data_from_mem\[42\] ( core0 data_from_mem[42] ) ( chip_controller rd_data_out[42] ) + USE SIGNAL
+ ROUTED met2 ( 1959830 100300 ) ( 1965350 * 0 )
NEW met2 ( 411930 1899580 0 ) ( * 1911310 )
NEW met1 ( 411930 1911310 ) ( 413310 * )
NEW met2 ( 413310 1911310 ) ( * 1935110 )
NEW met2 ( 1959830 74630 ) ( * 100300 )
NEW met1 ( 605130 134810 ) ( 1286390 * )
NEW met1 ( 413310 1935110 ) ( 605130 * )
NEW met3 ( 1285700 86020 ) ( 1286390 * )
NEW met3 ( 1285700 83300 ) ( * 86020 )
NEW met3 ( 1285010 83300 ) ( 1285700 * )
NEW met2 ( 1285010 74630 ) ( * 83300 )
NEW met2 ( 1286390 86020 ) ( * 134810 )
NEW met1 ( 1285010 74630 ) ( 1959830 * )
NEW met2 ( 605130 134810 ) ( * 1935110 )
NEW met1 ( 413310 1935110 ) M1M2_PR
NEW met1 ( 411930 1911310 ) M1M2_PR
NEW met1 ( 413310 1911310 ) M1M2_PR
NEW met1 ( 605130 134810 ) M1M2_PR
NEW met1 ( 1286390 134810 ) M1M2_PR
NEW met1 ( 1959830 74630 ) M1M2_PR
NEW met1 ( 605130 1935110 ) M1M2_PR
NEW met2 ( 1286390 86020 ) M2M3_PR_M
NEW met2 ( 1285010 83300 ) M2M3_PR_M
NEW met1 ( 1285010 74630 ) M1M2_PR ;
- read_data_from_mem\[43\] ( core0 data_from_mem[43] ) ( chip_controller rd_data_out[43] ) + USE SIGNAL
+ ROUTED met2 ( 412850 1899580 ) ( 413770 * 0 )
NEW met2 ( 412850 1899580 ) ( * 1942590 )
NEW met2 ( 1980530 100300 ) ( 1982370 * 0 )
NEW met2 ( 1304790 147900 ) ( * 148070 )
NEW met2 ( 1304790 147900 ) ( 1305710 * )
NEW met2 ( 1305710 131100 ) ( * 147900 )
NEW met2 ( 1305710 131100 ) ( 1306170 * )
NEW met1 ( 640090 148070 ) ( 1304790 * )
NEW met2 ( 1980530 71910 ) ( * 100300 )
NEW met1 ( 412850 1942590 ) ( 640090 * )
NEW met2 ( 1306170 71910 ) ( * 131100 )
NEW met1 ( 1306170 71910 ) ( 1980530 * )
NEW met2 ( 640090 148070 ) ( * 1942590 )
NEW met1 ( 412850 1942590 ) M1M2_PR
NEW met1 ( 640090 148070 ) M1M2_PR
NEW met1 ( 1304790 148070 ) M1M2_PR
NEW met1 ( 1980530 71910 ) M1M2_PR
NEW met1 ( 640090 1942590 ) M1M2_PR
NEW met1 ( 1306170 71910 ) M1M2_PR ;
- read_data_from_mem\[44\] ( core0 data_from_mem[44] ) ( chip_controller rd_data_out[44] ) + USE SIGNAL
+ ROUTED met2 ( 418370 1899580 0 ) ( * 1908590 )
NEW met2 ( 2104730 1599700 0 ) ( * 1609220 )
NEW met3 ( 696670 1609220 ) ( 2104730 * )
NEW met1 ( 418370 1908590 ) ( 696670 * )
NEW met2 ( 696670 1609220 ) ( * 1908590 )
NEW met1 ( 418370 1908590 ) M1M2_PR
NEW met2 ( 696670 1609220 ) M2M3_PR_M
NEW met2 ( 2104730 1609220 ) M2M3_PR_M
NEW met1 ( 696670 1908590 ) M1M2_PR ;
- read_data_from_mem\[45\] ( core0 data_from_mem[45] ) ( chip_controller rd_data_out[45] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1722780 0 ) ( 608810 * )
NEW met2 ( 608810 1718190 ) ( * 1722780 )
NEW met2 ( 2118530 1599700 0 ) ( * 1619250 )
NEW met1 ( 2107950 1619250 ) ( 2118530 * )
NEW met1 ( 608810 1718190 ) ( 2107950 * )
NEW met2 ( 2107950 1619250 ) ( * 1718190 )
NEW met2 ( 608810 1722780 ) M2M3_PR_M
NEW met1 ( 608810 1718190 ) M1M2_PR
NEW met1 ( 2118530 1619250 ) M1M2_PR
NEW met1 ( 2107950 1619250 ) M1M2_PR
NEW met1 ( 2107950 1718190 ) M1M2_PR ;
- read_data_from_mem\[46\] ( core0 data_from_mem[46] ) ( chip_controller rd_data_out[46] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 877540 ) ( * 883150 )
NEW met2 ( 672290 883150 ) ( * 1920830 )
NEW met1 ( 672290 883150 ) ( 1283630 * )
NEW met3 ( 1283630 877540 ) ( 1300420 * 0 )
NEW met2 ( 424810 1899580 0 ) ( * 1920830 )
NEW met1 ( 424810 1920830 ) ( 672290 * )
NEW met1 ( 672290 883150 ) M1M2_PR
NEW met1 ( 672290 1920830 ) M1M2_PR
NEW met1 ( 1283630 883150 ) M1M2_PR
NEW met2 ( 1283630 877540 ) M2M3_PR_M
NEW met1 ( 424810 1920830 ) M1M2_PR ;
- read_data_from_mem\[47\] ( core0 data_from_mem[47] ) ( chip_controller rd_data_out[47] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 896580 ) ( * 896750 )
NEW met1 ( 651590 896750 ) ( 1283630 * )
NEW met3 ( 1283630 896580 ) ( 1300420 * 0 )
NEW met2 ( 426650 1899580 0 ) ( 427570 * )
NEW met2 ( 427570 1899580 ) ( * 1922870 )
NEW met2 ( 651590 896750 ) ( * 1922870 )
NEW met1 ( 427570 1922870 ) ( 651590 * )
NEW met1 ( 1283630 896750 ) M1M2_PR
NEW met2 ( 1283630 896580 ) M2M3_PR_M
NEW met1 ( 427570 1922870 ) M1M2_PR
NEW met1 ( 651590 896750 ) M1M2_PR
NEW met1 ( 651590 1922870 ) M1M2_PR ;
- read_data_from_mem\[48\] ( core0 data_from_mem[48] ) ( chip_controller rd_data_out[48] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1726180 0 ) ( 608350 * )
NEW met2 ( 608350 1725330 ) ( * 1726180 )
NEW met3 ( 2799100 964580 0 ) ( 2814510 * )
NEW met2 ( 2814510 959990 ) ( * 964580 )
NEW met1 ( 2814510 959990 ) ( 2843950 * )
NEW met1 ( 608350 1725330 ) ( 2843950 * )
NEW met2 ( 2843950 959990 ) ( * 1725330 )
NEW met2 ( 608350 1726180 ) M2M3_PR_M
NEW met1 ( 608350 1725330 ) M1M2_PR
NEW met2 ( 2814510 964580 ) M2M3_PR_M
NEW met1 ( 2814510 959990 ) M1M2_PR
NEW met1 ( 2843950 959990 ) M1M2_PR
NEW met1 ( 2843950 1725330 ) M1M2_PR ;
- read_data_from_mem\[49\] ( core0 data_from_mem[49] ) ( chip_controller rd_data_out[49] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1728220 0 ) ( 608810 * )
NEW met2 ( 608810 1725670 ) ( * 1728220 )
NEW met2 ( 1262470 1700850 ) ( * 1725670 )
NEW met2 ( 2143370 1599700 ) ( 2145670 * 0 )
NEW met2 ( 2143370 1599700 ) ( * 1700850 )
NEW met1 ( 608810 1725670 ) ( 1262470 * )
NEW met1 ( 1262470 1700850 ) ( 2143370 * )
NEW met2 ( 608810 1728220 ) M2M3_PR_M
NEW met1 ( 608810 1725670 ) M1M2_PR
NEW met1 ( 1262470 1725670 ) M1M2_PR
NEW met1 ( 1262470 1700850 ) M1M2_PR
NEW met1 ( 2143370 1700850 ) M1M2_PR ;
- read_data_from_mem\[4\] ( core0 data_from_mem[4] ) ( chip_controller rd_data_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 249090 1495490 ) ( * 1500420 0 )
NEW met1 ( 249090 1495490 ) ( 1297890 * )
NEW met2 ( 1297890 1495490 ) ( * 1609730 )
NEW met2 ( 1526510 1599700 0 ) ( * 1609730 )
NEW met1 ( 1297890 1609730 ) ( 1526510 * )
NEW met1 ( 249090 1495490 ) M1M2_PR
NEW met1 ( 1297890 1495490 ) M1M2_PR
NEW met1 ( 1297890 1609730 ) M1M2_PR
NEW met1 ( 1526510 1609730 ) M1M2_PR ;
- read_data_from_mem\[50\] ( core0 data_from_mem[50] ) ( chip_controller rd_data_out[50] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 984980 0 ) ( 2808530 * )
NEW met2 ( 2808530 984980 ) ( * 985150 )
NEW met1 ( 2808530 985150 ) ( 2818190 * )
NEW met2 ( 2818190 985150 ) ( * 1653250 )
NEW met1 ( 649750 1653250 ) ( 2818190 * )
NEW met2 ( 437690 1899580 0 ) ( 439070 * )
NEW met2 ( 439070 1899580 ) ( * 1910460 )
NEW met2 ( 439070 1910460 ) ( 440910 * )
NEW met2 ( 440910 1910460 ) ( * 1938510 )
NEW met2 ( 649750 1653250 ) ( * 1938510 )
NEW met1 ( 440910 1938510 ) ( 649750 * )
NEW met2 ( 2808530 984980 ) M2M3_PR_M
NEW met1 ( 2808530 985150 ) M1M2_PR
NEW met1 ( 2818190 985150 ) M1M2_PR
NEW met1 ( 2818190 1653250 ) M1M2_PR
NEW met1 ( 440910 1938510 ) M1M2_PR
NEW met1 ( 649750 1653250 ) M1M2_PR
NEW met1 ( 649750 1938510 ) M1M2_PR ;
- read_data_from_mem\[51\] ( core0 data_from_mem[51] ) ( chip_controller rd_data_out[51] ) + USE SIGNAL
+ ROUTED li1 ( 493350 1484950 ) ( * 1488010 )
NEW li1 ( 577530 1483590 ) ( * 1484950 )
NEW li1 ( 464370 1488010 ) ( * 1489030 )
NEW met1 ( 437690 1489030 ) ( 464370 * )
NEW met2 ( 437690 1489030 ) ( * 1500420 0 )
NEW met1 ( 464370 1488010 ) ( 493350 * )
NEW met1 ( 493350 1484950 ) ( 577530 * )
NEW met1 ( 577530 1483590 ) ( 620770 * )
NEW met2 ( 620770 1483590 ) ( * 1595790 )
NEW li1 ( 2171430 1595790 ) ( * 1597830 )
NEW met2 ( 2171430 1597660 ) ( * 1597830 )
NEW met2 ( 2171430 1597660 ) ( 2173270 * 0 )
NEW met1 ( 620770 1595790 ) ( 2171430 * )
NEW li1 ( 493350 1488010 ) L1M1_PR_MR
NEW li1 ( 493350 1484950 ) L1M1_PR_MR
NEW li1 ( 577530 1484950 ) L1M1_PR_MR
NEW li1 ( 577530 1483590 ) L1M1_PR_MR
NEW li1 ( 464370 1488010 ) L1M1_PR_MR
NEW li1 ( 464370 1489030 ) L1M1_PR_MR
NEW met1 ( 437690 1489030 ) M1M2_PR
NEW met1 ( 620770 1483590 ) M1M2_PR
NEW met1 ( 620770 1595790 ) M1M2_PR
NEW li1 ( 2171430 1595790 ) L1M1_PR_MR
NEW li1 ( 2171430 1597830 ) L1M1_PR_MR
NEW met1 ( 2171430 1597830 ) M1M2_PR
NEW met1 ( 2171430 1597830 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[52\] ( core0 data_from_mem[52] ) ( chip_controller rd_data_out[52] ) + USE SIGNAL
+ ROUTED met2 ( 1252810 1493450 ) ( * 1613470 )
NEW met2 ( 442750 1493450 ) ( * 1500420 0 )
NEW met1 ( 442750 1493450 ) ( 1252810 * )
NEW met2 ( 2200870 1599700 0 ) ( * 1613470 )
NEW met1 ( 1252810 1613470 ) ( 2200870 * )
NEW met1 ( 1252810 1493450 ) M1M2_PR
NEW met1 ( 1252810 1613470 ) M1M2_PR
NEW met1 ( 442750 1493450 ) M1M2_PR
NEW met1 ( 2200870 1613470 ) M1M2_PR ;
- read_data_from_mem\[53\] ( core0 data_from_mem[53] ) ( chip_controller rd_data_out[53] ) + USE SIGNAL
+ ROUTED met3 ( 191130 1741140 ) ( 201020 * 0 )
NEW met2 ( 191130 1741140 ) ( * 1773300 )
NEW met2 ( 190670 1773300 ) ( 191130 * )
NEW met2 ( 669990 1655630 ) ( * 1892950 )
NEW met2 ( 2212370 1599700 ) ( 2214670 * 0 )
NEW met2 ( 2212370 1599700 ) ( * 1655630 )
NEW met1 ( 669990 1655630 ) ( 2212370 * )
NEW met1 ( 190670 1892610 ) ( 199870 * )
NEW met1 ( 199870 1892610 ) ( * 1892950 )
NEW met2 ( 190670 1773300 ) ( * 1892610 )
NEW met1 ( 199870 1892950 ) ( 669990 * )
NEW met2 ( 191130 1741140 ) M2M3_PR_M
NEW met1 ( 669990 1655630 ) M1M2_PR
NEW met1 ( 2212370 1655630 ) M1M2_PR
NEW met1 ( 669990 1892950 ) M1M2_PR
NEW met1 ( 190670 1892610 ) M1M2_PR ;
- read_data_from_mem\[54\] ( core0 data_from_mem[54] ) ( chip_controller rd_data_out[54] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1741140 0 ) ( 608810 * )
NEW met2 ( 608810 1738930 ) ( * 1741140 )
NEW met3 ( 2797260 1028500 ) ( 2797490 * )
NEW met3 ( 2797260 1027140 0 ) ( * 1028500 )
NEW met1 ( 608810 1738930 ) ( 2797490 * )
NEW met2 ( 2797490 1028500 ) ( * 1738930 )
NEW met2 ( 608810 1741140 ) M2M3_PR_M
NEW met1 ( 608810 1738930 ) M1M2_PR
NEW met1 ( 2797490 1738930 ) M1M2_PR
NEW met2 ( 2797490 1028500 ) M2M3_PR_M ;
- read_data_from_mem\[55\] ( core0 data_from_mem[55] ) ( chip_controller rd_data_out[55] ) + USE SIGNAL
+ ROUTED met1 ( 664470 1893970 ) ( 665390 * )
NEW met2 ( 664470 917490 ) ( * 1893970 )
NEW met2 ( 665390 1893970 ) ( * 1918790 )
NEW met2 ( 1283630 914940 ) ( * 917490 )
NEW met2 ( 449190 1899580 0 ) ( * 1918790 )
NEW met1 ( 664470 917490 ) ( 1283630 * )
NEW met3 ( 1283630 914940 ) ( 1300420 * 0 )
NEW met1 ( 449190 1918790 ) ( 665390 * )
NEW met1 ( 665390 1918790 ) M1M2_PR
NEW met1 ( 664470 917490 ) M1M2_PR
NEW met1 ( 664470 1893970 ) M1M2_PR
NEW met1 ( 665390 1893970 ) M1M2_PR
NEW met1 ( 1283630 917490 ) M1M2_PR
NEW met2 ( 1283630 914940 ) M2M3_PR_M
NEW met1 ( 449190 1918790 ) M1M2_PR ;
- read_data_from_mem\[56\] ( core0 data_from_mem[56] ) ( chip_controller rd_data_out[56] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 933980 ) ( * 938230 )
NEW met2 ( 452410 1899580 0 ) ( * 1918450 )
NEW met1 ( 627670 938230 ) ( 1283630 * )
NEW met3 ( 1283630 933980 ) ( 1300420 * 0 )
NEW met1 ( 452410 1918450 ) ( 627670 * )
NEW met2 ( 627670 938230 ) ( * 1918450 )
NEW met1 ( 1283630 938230 ) M1M2_PR
NEW met2 ( 1283630 933980 ) M2M3_PR_M
NEW met1 ( 452410 1918450 ) M1M2_PR
NEW met1 ( 627670 938230 ) M1M2_PR
NEW met1 ( 627670 1918450 ) M1M2_PR ;
- read_data_from_mem\[57\] ( core0 data_from_mem[57] ) ( chip_controller rd_data_out[57] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1746580 0 ) ( 608810 * )
NEW met2 ( 608810 1741990 ) ( * 1746580 )
NEW met2 ( 1288690 971380 ) ( * 1741990 )
NEW met1 ( 608810 1741990 ) ( 1288690 * )
NEW met3 ( 1288690 971380 ) ( 1300420 * 0 )
NEW met2 ( 608810 1746580 ) M2M3_PR_M
NEW met1 ( 608810 1741990 ) M1M2_PR
NEW met2 ( 1288690 971380 ) M2M3_PR_M
NEW met1 ( 1288690 1741990 ) M1M2_PR ;
- read_data_from_mem\[58\] ( core0 data_from_mem[58] ) ( chip_controller rd_data_out[58] ) + USE SIGNAL
+ ROUTED met1 ( 1284090 127330 ) ( 1285470 * )
NEW met2 ( 2151190 85170 ) ( * 100300 0 )
NEW li1 ( 469430 1911310 ) ( * 1914030 )
NEW met1 ( 469430 1914030 ) ( 504390 * )
NEW met2 ( 504390 1902300 ) ( * 1914030 )
NEW met2 ( 1284090 127330 ) ( * 147900 )
NEW met2 ( 457010 1899580 0 ) ( * 1911310 )
NEW met1 ( 457010 1911310 ) ( 469430 * )
NEW met3 ( 504390 1902300 ) ( 517500 * )
NEW met3 ( 517500 1901620 ) ( * 1902300 )
NEW met3 ( 517500 1901620 ) ( 561660 * )
NEW met4 ( 561660 147900 ) ( * 1901620 )
NEW met3 ( 561660 147900 ) ( 1284090 * )
NEW met2 ( 1285010 92140 ) ( 1285470 * )
NEW met2 ( 1285010 84150 ) ( * 92140 )
NEW met1 ( 1285010 84150 ) ( 1286850 * )
NEW li1 ( 1286850 84150 ) ( 1289150 * )
NEW met1 ( 1289150 84150 ) ( 1290070 * )
NEW met1 ( 1290070 83810 ) ( * 84150 )
NEW met1 ( 1290070 83810 ) ( 1306630 * )
NEW li1 ( 1306630 83810 ) ( * 85170 )
NEW met2 ( 1285470 92140 ) ( * 127330 )
NEW met1 ( 1306630 85170 ) ( 2151190 * )
NEW met1 ( 1284090 127330 ) M1M2_PR
NEW met1 ( 1285470 127330 ) M1M2_PR
NEW met1 ( 2151190 85170 ) M1M2_PR
NEW li1 ( 469430 1911310 ) L1M1_PR_MR
NEW li1 ( 469430 1914030 ) L1M1_PR_MR
NEW met1 ( 504390 1914030 ) M1M2_PR
NEW met2 ( 504390 1902300 ) M2M3_PR_M
NEW met2 ( 1284090 147900 ) M2M3_PR_M
NEW met1 ( 457010 1911310 ) M1M2_PR
NEW met3 ( 561660 147900 ) M3M4_PR_M
NEW met3 ( 561660 1901620 ) M3M4_PR_M
NEW met1 ( 1285010 84150 ) M1M2_PR
NEW li1 ( 1286850 84150 ) L1M1_PR_MR
NEW li1 ( 1289150 84150 ) L1M1_PR_MR
NEW li1 ( 1306630 83810 ) L1M1_PR_MR
NEW li1 ( 1306630 85170 ) L1M1_PR_MR ;
- read_data_from_mem\[59\] ( core0 data_from_mem[59] ) ( chip_controller rd_data_out[59] ) + USE SIGNAL
+ ROUTED met2 ( 503930 1902980 ) ( * 1911650 )
NEW met2 ( 2167750 86700 ) ( * 100300 0 )
NEW met2 ( 462070 1899580 0 ) ( * 1911650 )
NEW met1 ( 462070 1911650 ) ( 503930 * )
NEW met3 ( 535900 1902300 ) ( * 1902980 )
NEW met3 ( 535900 1902300 ) ( 555220 * )
NEW met3 ( 503930 1902980 ) ( 535900 * )
NEW met4 ( 555220 86700 ) ( * 1902300 )
NEW met3 ( 555220 86700 ) ( 2167750 * )
NEW met1 ( 503930 1911650 ) M1M2_PR
NEW met2 ( 503930 1902980 ) M2M3_PR_M
NEW met3 ( 555220 86700 ) M3M4_PR_M
NEW met2 ( 2167750 86700 ) M2M3_PR_M
NEW met1 ( 462070 1911650 ) M1M2_PR
NEW met3 ( 555220 1902300 ) M3M4_PR_M ;
- read_data_from_mem\[5\] ( core0 data_from_mem[5] ) ( chip_controller rd_data_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 221340 ) ( * 227630 )
NEW met1 ( 255070 227630 ) ( 1283630 * )
NEW met3 ( 1283630 221340 ) ( 1300420 * 0 )
NEW met1 ( 252310 1490390 ) ( 255070 * )
NEW met2 ( 252310 1490390 ) ( * 1500420 0 )
NEW met2 ( 255070 227630 ) ( * 1490390 )
NEW met1 ( 1283630 227630 ) M1M2_PR
NEW met2 ( 1283630 221340 ) M2M3_PR_M
NEW met1 ( 255070 227630 ) M1M2_PR
NEW met1 ( 255070 1490390 ) M1M2_PR
NEW met1 ( 252310 1490390 ) M1M2_PR ;
- read_data_from_mem\[60\] ( core0 data_from_mem[60] ) ( chip_controller rd_data_out[60] ) + USE SIGNAL
+ ROUTED met2 ( 2228470 1599700 0 ) ( * 1610750 )
NEW met2 ( 458850 1497530 ) ( * 1500420 0 )
NEW met1 ( 458850 1497530 ) ( 1204050 * )
NEW met2 ( 1204050 1497530 ) ( * 1610750 )
NEW met1 ( 1204050 1610750 ) ( 2228470 * )
NEW met1 ( 2228470 1610750 ) M1M2_PR
NEW met1 ( 458850 1497530 ) M1M2_PR
NEW met1 ( 1204050 1497530 ) M1M2_PR
NEW met1 ( 1204050 1610750 ) M1M2_PR ;
- read_data_from_mem\[61\] ( core0 data_from_mem[61] ) ( chip_controller rd_data_out[61] ) + USE SIGNAL
+ ROUTED met2 ( 2242270 1599700 0 ) ( * 1609900 )
NEW met2 ( 466670 1899580 0 ) ( * 1923210 )
NEW met3 ( 637330 1609900 ) ( 2242270 * )
NEW met1 ( 466670 1923210 ) ( 637330 * )
NEW met2 ( 637330 1609900 ) ( * 1923210 )
NEW met2 ( 2242270 1609900 ) M2M3_PR_M
NEW met1 ( 466670 1923210 ) M1M2_PR
NEW met2 ( 637330 1609900 ) M2M3_PR_M
NEW met1 ( 637330 1923210 ) M1M2_PR ;
- read_data_from_mem\[62\] ( core0 data_from_mem[62] ) ( chip_controller rd_data_out[62] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1752020 0 ) ( 609270 * )
NEW met2 ( 609270 1745730 ) ( * 1752020 )
NEW met2 ( 2149350 1659370 ) ( * 1745730 )
NEW met2 ( 2253770 1599700 ) ( 2256070 * 0 )
NEW met2 ( 2253770 1599700 ) ( * 1659370 )
NEW met1 ( 609270 1745730 ) ( 2149350 * )
NEW met1 ( 2149350 1659370 ) ( 2253770 * )
NEW met2 ( 609270 1752020 ) M2M3_PR_M
NEW met1 ( 609270 1745730 ) M1M2_PR
NEW met1 ( 2149350 1659370 ) M1M2_PR
NEW met1 ( 2149350 1745730 ) M1M2_PR
NEW met1 ( 2253770 1659370 ) M1M2_PR ;
- read_data_from_mem\[63\] ( core0 data_from_mem[63] ) ( chip_controller rd_data_out[63] ) + USE SIGNAL
+ ROUTED met2 ( 2215130 100300 ) ( 2218350 * 0 )
NEW met2 ( 1169550 71570 ) ( * 1753210 )
NEW met2 ( 2215130 71570 ) ( * 100300 )
NEW met1 ( 1169550 71570 ) ( 2215130 * )
NEW met3 ( 599380 1757460 0 ) ( 612490 * )
NEW met2 ( 612490 1753210 ) ( * 1757460 )
NEW met1 ( 612490 1753210 ) ( 1169550 * )
NEW met1 ( 1169550 1753210 ) M1M2_PR
NEW met1 ( 1169550 71570 ) M1M2_PR
NEW met1 ( 2215130 71570 ) M1M2_PR
NEW met2 ( 612490 1757460 ) M2M3_PR_M
NEW met1 ( 612490 1753210 ) M1M2_PR ;
- read_data_from_mem\[64\] ( core0 data_from_mem[64] ) ( chip_controller rd_data_out[64] ) + USE SIGNAL
+ ROUTED met2 ( 1831950 1612110 ) ( * 1760010 )
NEW met2 ( 2283670 1599700 0 ) ( * 1612110 )
NEW met1 ( 1831950 1612110 ) ( 2283670 * )
NEW met3 ( 599380 1759500 0 ) ( 613870 * )
NEW met2 ( 613870 1759500 ) ( * 1760010 )
NEW met1 ( 613870 1760010 ) ( 1831950 * )
NEW met1 ( 1831950 1760010 ) M1M2_PR
NEW met1 ( 1831950 1612110 ) M1M2_PR
NEW met1 ( 2283670 1612110 ) M1M2_PR
NEW met2 ( 613870 1759500 ) M2M3_PR_M
NEW met1 ( 613870 1760010 ) M1M2_PR ;
- read_data_from_mem\[65\] ( core0 data_from_mem[65] ) ( chip_controller rd_data_out[65] ) + USE SIGNAL
+ ROUTED met2 ( 1873350 1611770 ) ( * 1759670 )
NEW met2 ( 2297470 1599700 0 ) ( * 1611770 )
NEW met1 ( 1873350 1611770 ) ( 2297470 * )
NEW met3 ( 599380 1761540 0 ) ( 612490 * )
NEW met2 ( 612490 1759670 ) ( * 1761540 )
NEW met1 ( 612490 1759670 ) ( 1873350 * )
NEW met1 ( 1873350 1759670 ) M1M2_PR
NEW met1 ( 1873350 1611770 ) M1M2_PR
NEW met1 ( 2297470 1611770 ) M1M2_PR
NEW met2 ( 612490 1761540 ) M2M3_PR_M
NEW met1 ( 612490 1759670 ) M1M2_PR ;
- read_data_from_mem\[66\] ( core0 data_from_mem[66] ) ( chip_controller rd_data_out[66] ) + USE SIGNAL
+ ROUTED met2 ( 469890 1458770 ) ( * 1500420 0 )
NEW met2 ( 990150 86190 ) ( * 1458770 )
NEW met1 ( 469890 1458770 ) ( 990150 * )
NEW met2 ( 2268950 86190 ) ( * 100300 0 )
NEW met1 ( 990150 86190 ) ( 2268950 * )
NEW met1 ( 469890 1458770 ) M1M2_PR
NEW met1 ( 990150 86190 ) M1M2_PR
NEW met1 ( 990150 1458770 ) M1M2_PR
NEW met1 ( 2268950 86190 ) M1M2_PR ;
- read_data_from_mem\[67\] ( core0 data_from_mem[67] ) ( chip_controller rd_data_out[67] ) + USE SIGNAL
+ ROUTED met2 ( 481390 1899580 0 ) ( * 1900940 )
NEW met4 ( 571780 88060 ) ( * 1904340 )
NEW met2 ( 2302530 88060 ) ( * 100300 0 )
NEW met2 ( 541650 1900940 ) ( * 1904340 )
NEW met3 ( 481390 1900940 ) ( 541650 * )
NEW met3 ( 541650 1904340 ) ( 571780 * )
NEW met3 ( 571780 88060 ) ( 2302530 * )
NEW met3 ( 571780 88060 ) M3M4_PR_M
NEW met2 ( 481390 1900940 ) M2M3_PR_M
NEW met3 ( 571780 1904340 ) M3M4_PR_M
NEW met2 ( 2302530 88060 ) M2M3_PR_M
NEW met2 ( 541650 1900940 ) M2M3_PR_M
NEW met2 ( 541650 1904340 ) M2M3_PR_M ;
- read_data_from_mem\[68\] ( core0 data_from_mem[68] ) ( chip_controller rd_data_out[68] ) + USE SIGNAL
+ ROUTED met3 ( 190210 1772420 ) ( 201020 * 0 )
NEW met2 ( 684250 1655290 ) ( * 1892610 )
NEW met2 ( 2308970 1599700 ) ( 2310810 * 0 )
NEW met2 ( 2308970 1599700 ) ( * 1655290 )
NEW met1 ( 684250 1655290 ) ( 2308970 * )
NEW met1 ( 190210 1854870 ) ( 191590 * )
NEW met2 ( 191590 1854870 ) ( * 1892270 )
NEW met1 ( 191590 1892270 ) ( 200330 * )
NEW met1 ( 200330 1892270 ) ( * 1892610 )
NEW met2 ( 190210 1772420 ) ( * 1854870 )
NEW met1 ( 200330 1892610 ) ( 684250 * )
NEW met2 ( 190210 1772420 ) M2M3_PR_M
NEW met1 ( 684250 1655290 ) M1M2_PR
NEW met1 ( 2308970 1655290 ) M1M2_PR
NEW met1 ( 684250 1892610 ) M1M2_PR
NEW met1 ( 190210 1854870 ) M1M2_PR
NEW met1 ( 191590 1854870 ) M1M2_PR
NEW met1 ( 191590 1892270 ) M1M2_PR ;
- read_data_from_mem\[69\] ( core0 data_from_mem[69] ) ( chip_controller rd_data_out[69] ) + USE SIGNAL
+ ROUTED met2 ( 484610 1899580 0 ) ( * 1919810 )
NEW met2 ( 2338410 1599700 0 ) ( * 1613980 )
NEW met2 ( 658490 1613980 ) ( * 1919810 )
NEW met3 ( 658490 1613980 ) ( 2338410 * )
NEW met1 ( 484610 1919810 ) ( 658490 * )
NEW met1 ( 484610 1919810 ) M1M2_PR
NEW met2 ( 2338410 1613980 ) M2M3_PR_M
NEW met1 ( 658490 1919810 ) M1M2_PR
NEW met2 ( 658490 1613980 ) M2M3_PR_M ;
- read_data_from_mem\[6\] ( core0 data_from_mem[6] ) ( chip_controller rd_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 240380 ) ( * 241230 )
NEW met2 ( 261970 241230 ) ( * 1483500 )
NEW met2 ( 261510 1483500 ) ( 261970 * )
NEW met2 ( 261510 1483500 ) ( * 1500420 )
NEW met2 ( 260130 1500420 0 ) ( 261510 * )
NEW met1 ( 261970 241230 ) ( 1283630 * )
NEW met3 ( 1283630 240380 ) ( 1300420 * 0 )
NEW met1 ( 1283630 241230 ) M1M2_PR
NEW met2 ( 1283630 240380 ) M2M3_PR_M
NEW met1 ( 261970 241230 ) M1M2_PR ;
- read_data_from_mem\[70\] ( core0 data_from_mem[70] ) ( chip_controller rd_data_out[70] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1068620 0 ) ( 2805310 * )
NEW met3 ( 195730 1781260 ) ( 201020 * 0 )
NEW met1 ( 698510 1654610 ) ( 2805310 * )
NEW met2 ( 195730 1873060 ) ( 196190 * )
NEW met2 ( 195730 1781260 ) ( * 1873060 )
NEW met2 ( 196190 1873060 ) ( * 1918620 )
NEW met2 ( 2805310 1068620 ) ( * 1654610 )
NEW met3 ( 196190 1918620 ) ( 698510 * )
NEW met2 ( 698510 1654610 ) ( * 1918620 )
NEW met2 ( 196190 1918620 ) M2M3_PR_M
NEW met1 ( 698510 1654610 ) M1M2_PR
NEW met2 ( 2805310 1068620 ) M2M3_PR_M
NEW met1 ( 2805310 1654610 ) M1M2_PR
NEW met2 ( 195730 1781260 ) M2M3_PR_M
NEW met2 ( 698510 1918620 ) M2M3_PR_M ;
- read_data_from_mem\[71\] ( core0 data_from_mem[71] ) ( chip_controller rd_data_out[71] ) + USE SIGNAL
+ ROUTED met2 ( 1289150 1083580 ) ( * 1763410 )
NEW met3 ( 1289150 1083580 ) ( 1300420 * 0 )
NEW met3 ( 599380 1772420 0 ) ( 609270 * )
NEW met2 ( 609270 1763410 ) ( * 1772420 )
NEW met1 ( 609270 1763410 ) ( 1289150 * )
NEW met2 ( 1289150 1083580 ) M2M3_PR_M
NEW met1 ( 1289150 1763410 ) M1M2_PR
NEW met2 ( 609270 1772420 ) M2M3_PR_M
NEW met1 ( 609270 1763410 ) M1M2_PR ;
- read_data_from_mem\[72\] ( core0 data_from_mem[72] ) ( chip_controller rd_data_out[72] ) + USE SIGNAL
+ ROUTED met1 ( 479550 1490390 ) ( 482310 * )
NEW met2 ( 479550 1490390 ) ( * 1500420 0 )
NEW met2 ( 482310 1124550 ) ( * 1490390 )
NEW met2 ( 1283630 1121660 ) ( * 1124550 )
NEW met1 ( 482310 1124550 ) ( 1283630 * )
NEW met3 ( 1283630 1121660 ) ( 1300420 * 0 )
NEW met1 ( 482310 1124550 ) M1M2_PR
NEW met1 ( 482310 1490390 ) M1M2_PR
NEW met1 ( 479550 1490390 ) M1M2_PR
NEW met1 ( 1283630 1124550 ) M1M2_PR
NEW met2 ( 1283630 1121660 ) M2M3_PR_M ;
- read_data_from_mem\[73\] ( core0 data_from_mem[73] ) ( chip_controller rd_data_out[73] ) + USE SIGNAL
+ ROUTED met2 ( 2336570 85510 ) ( * 100300 0 )
NEW met2 ( 481390 1459110 ) ( * 1500420 0 )
NEW met1 ( 481390 1459110 ) ( 1294670 * )
NEW met1 ( 1294670 85170 ) ( 1306170 * )
NEW met1 ( 1306170 85170 ) ( * 85510 )
NEW met2 ( 1294670 85170 ) ( * 1459110 )
NEW met1 ( 1306170 85510 ) ( 2336570 * )
NEW met1 ( 481390 1459110 ) M1M2_PR
NEW met1 ( 2336570 85510 ) M1M2_PR
NEW met1 ( 1294670 1459110 ) M1M2_PR
NEW met1 ( 1294670 85170 ) M1M2_PR ;
- read_data_from_mem\[74\] ( core0 data_from_mem[74] ) ( chip_controller rd_data_out[74] ) + USE SIGNAL
+ ROUTED met2 ( 495650 1899580 0 ) ( 496570 * )
NEW met2 ( 496570 1899580 ) ( * 1900260 )
NEW met2 ( 578910 1900260 ) ( * 1903660 )
NEW met2 ( 2353130 87380 ) ( * 100300 0 )
NEW met3 ( 496570 1900260 ) ( 578910 * )
NEW met2 ( 608350 1903150 ) ( * 1903660 )
NEW met3 ( 578910 1903660 ) ( 608350 * )
NEW met2 ( 702650 1903150 ) ( * 1905700 )
NEW met3 ( 702650 1905700 ) ( 703340 * )
NEW met4 ( 703340 1905700 ) ( 705180 * )
NEW met1 ( 608350 1903150 ) ( 702650 * )
NEW met3 ( 708860 87380 ) ( 2353130 * )
NEW met4 ( 705180 1897500 ) ( * 1905700 )
NEW met4 ( 705180 1897500 ) ( 708860 * )
NEW met4 ( 708860 87380 ) ( * 1897500 )
NEW met3 ( 708860 87380 ) M3M4_PR_M
NEW met2 ( 496570 1900260 ) M2M3_PR_M
NEW met2 ( 578910 1900260 ) M2M3_PR_M
NEW met2 ( 578910 1903660 ) M2M3_PR_M
NEW met2 ( 2353130 87380 ) M2M3_PR_M
NEW met2 ( 608350 1903660 ) M2M3_PR_M
NEW met1 ( 608350 1903150 ) M1M2_PR
NEW met1 ( 702650 1903150 ) M1M2_PR
NEW met2 ( 702650 1905700 ) M2M3_PR_M
NEW met3 ( 703340 1905700 ) M3M4_PR_M ;
- read_data_from_mem\[75\] ( core0 data_from_mem[75] ) ( chip_controller rd_data_out[75] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1089700 0 ) ( 2808530 * )
NEW met2 ( 2808530 1089700 ) ( * 1089870 )
NEW met1 ( 2808530 1089870 ) ( 2818650 * )
NEW li1 ( 497950 1895670 ) ( * 1900090 )
NEW met2 ( 497950 1899580 ) ( * 1900090 )
NEW met2 ( 497490 1899580 0 ) ( 497950 * )
NEW met2 ( 670450 1654270 ) ( * 1895670 )
NEW met2 ( 2818650 1089870 ) ( * 1654270 )
NEW met1 ( 670450 1654270 ) ( 2818650 * )
NEW met1 ( 497950 1895670 ) ( 670450 * )
NEW met1 ( 670450 1654270 ) M1M2_PR
NEW met2 ( 2808530 1089700 ) M2M3_PR_M
NEW met1 ( 2808530 1089870 ) M1M2_PR
NEW met1 ( 2818650 1089870 ) M1M2_PR
NEW met1 ( 2818650 1654270 ) M1M2_PR
NEW li1 ( 497950 1895670 ) L1M1_PR_MR
NEW li1 ( 497950 1900090 ) L1M1_PR_MR
NEW met1 ( 497950 1900090 ) M1M2_PR
NEW met1 ( 670450 1895670 ) M1M2_PR
NEW met1 ( 497950 1900090 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[76\] ( core0 data_from_mem[76] ) ( chip_controller rd_data_out[76] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1158890 ) ( * 1159060 )
NEW met2 ( 803850 1158890 ) ( * 1781090 )
NEW met1 ( 803850 1158890 ) ( 1283630 * )
NEW met3 ( 1283630 1159060 ) ( 1300420 * 0 )
NEW met3 ( 599380 1781260 0 ) ( 613870 * )
NEW met2 ( 613870 1781090 ) ( * 1781260 )
NEW met1 ( 613870 1781090 ) ( 803850 * )
NEW met1 ( 803850 1158890 ) M1M2_PR
NEW met1 ( 1283630 1158890 ) M1M2_PR
NEW met2 ( 1283630 1159060 ) M2M3_PR_M
NEW met1 ( 803850 1781090 ) M1M2_PR
NEW met2 ( 613870 1781260 ) M2M3_PR_M
NEW met1 ( 613870 1781090 ) M1M2_PR ;
- read_data_from_mem\[77\] ( core0 data_from_mem[77] ) ( chip_controller rd_data_out[77] ) + USE SIGNAL
+ ROUTED li1 ( 504850 1897710 ) ( * 1900090 )
NEW met2 ( 504850 1899580 ) ( * 1900090 )
NEW met2 ( 503930 1899580 0 ) ( 504850 * )
NEW met3 ( 2799100 1110100 0 ) ( 2805770 * )
NEW met1 ( 656650 1653930 ) ( 2805770 * )
NEW met2 ( 656650 1653930 ) ( * 1897710 )
NEW met2 ( 2805770 1110100 ) ( * 1653930 )
NEW met1 ( 504850 1897710 ) ( 656650 * )
NEW met1 ( 2805770 1653930 ) M1M2_PR
NEW li1 ( 504850 1897710 ) L1M1_PR_MR
NEW li1 ( 504850 1900090 ) L1M1_PR_MR
NEW met1 ( 504850 1900090 ) M1M2_PR
NEW met2 ( 2805770 1110100 ) M2M3_PR_M
NEW met1 ( 656650 1653930 ) M1M2_PR
NEW met1 ( 656650 1897710 ) M1M2_PR
NEW met1 ( 504850 1900090 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[78\] ( core0 data_from_mem[78] ) ( chip_controller rd_data_out[78] ) + USE SIGNAL
+ ROUTED met2 ( 1163110 64090 ) ( * 1780750 )
NEW met2 ( 2366930 100300 ) ( 2370150 * 0 )
NEW met2 ( 2366930 64090 ) ( * 100300 )
NEW met1 ( 1163110 64090 ) ( 2366930 * )
NEW met3 ( 599380 1786700 0 ) ( 609730 * )
NEW met2 ( 609730 1780750 ) ( * 1786700 )
NEW met1 ( 609730 1780750 ) ( 1163110 * )
NEW met1 ( 1163110 64090 ) M1M2_PR
NEW met1 ( 1163110 1780750 ) M1M2_PR
NEW met1 ( 2366930 64090 ) M1M2_PR
NEW met2 ( 609730 1786700 ) M2M3_PR_M
NEW met1 ( 609730 1780750 ) M1M2_PR ;
- read_data_from_mem\[79\] ( core0 data_from_mem[79] ) ( chip_controller rd_data_out[79] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1196460 ) ( * 1200370 )
NEW met2 ( 811670 1200370 ) ( * 1787210 )
NEW met1 ( 811670 1200370 ) ( 1283630 * )
NEW met3 ( 1283630 1196460 ) ( 1300420 * 0 )
NEW met3 ( 599380 1790780 0 ) ( 612490 * )
NEW met2 ( 612490 1787210 ) ( * 1790780 )
NEW met1 ( 612490 1787210 ) ( 811670 * )
NEW met1 ( 1283630 1200370 ) M1M2_PR
NEW met2 ( 1283630 1196460 ) M2M3_PR_M
NEW met1 ( 811670 1200370 ) M1M2_PR
NEW met1 ( 811670 1787210 ) M1M2_PR
NEW met2 ( 612490 1790780 ) M2M3_PR_M
NEW met1 ( 612490 1787210 ) M1M2_PR ;
- read_data_from_mem\[7\] ( core0 data_from_mem[7] ) ( chip_controller rd_data_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 116150 98430 ) ( * 1913860 )
NEW met2 ( 1409210 98430 ) ( * 100300 0 )
NEW met2 ( 252310 1899580 0 ) ( * 1913860 )
NEW met3 ( 116150 1913860 ) ( 252310 * )
NEW met1 ( 116150 98430 ) ( 1409210 * )
NEW met1 ( 116150 98430 ) M1M2_PR
NEW met2 ( 116150 1913860 ) M2M3_PR_M
NEW met1 ( 1409210 98430 ) M1M2_PR
NEW met2 ( 252310 1913860 ) M2M3_PR_M ;
- read_data_from_mem\[80\] ( core0 data_from_mem[80] ) ( chip_controller rd_data_out[80] ) + USE SIGNAL
+ ROUTED met2 ( 508990 1899580 0 ) ( 509910 * )
NEW met2 ( 509910 1899580 ) ( * 1912330 )
NEW met2 ( 2405570 1599700 ) ( 2407410 * 0 )
NEW met2 ( 2401430 1725000 ) ( 2405570 * )
NEW met2 ( 2405570 1599700 ) ( * 1725000 )
NEW met2 ( 2401430 1725000 ) ( * 1783810 )
NEW met1 ( 613870 1808290 ) ( * 1809650 )
NEW met1 ( 509910 1912330 ) ( 613870 * )
NEW li1 ( 613870 1783810 ) ( * 1800470 )
NEW met2 ( 613870 1800470 ) ( * 1808290 )
NEW met1 ( 613870 1783810 ) ( 2401430 * )
NEW met2 ( 613870 1809650 ) ( * 1912330 )
NEW met1 ( 509910 1912330 ) M1M2_PR
NEW met1 ( 2401430 1783810 ) M1M2_PR
NEW met1 ( 613870 1808290 ) M1M2_PR
NEW met1 ( 613870 1809650 ) M1M2_PR
NEW met1 ( 613870 1912330 ) M1M2_PR
NEW li1 ( 613870 1800470 ) L1M1_PR_MR
NEW met1 ( 613870 1800470 ) M1M2_PR
NEW li1 ( 613870 1783810 ) L1M1_PR_MR
NEW met1 ( 613870 1800470 ) RECT ( 0 -70 355 70 ) ;
- read_data_from_mem\[81\] ( core0 data_from_mem[81] ) ( chip_controller rd_data_out[81] ) + USE SIGNAL
+ ROUTED met3 ( 2797950 1152940 ) ( 2798180 * )
NEW met3 ( 2798180 1151580 0 ) ( * 1152940 )
NEW met2 ( 2797950 1152940 ) ( * 1777010 )
NEW met3 ( 599380 1796220 0 ) ( 609270 * )
NEW met2 ( 609270 1777010 ) ( * 1796220 )
NEW met1 ( 609270 1777010 ) ( 2797950 * )
NEW met2 ( 2797950 1152940 ) M2M3_PR_M
NEW met1 ( 2797950 1777010 ) M1M2_PR
NEW met2 ( 609270 1796220 ) M2M3_PR_M
NEW met1 ( 609270 1777010 ) M1M2_PR ;
- read_data_from_mem\[82\] ( core0 data_from_mem[82] ) ( chip_controller rd_data_out[82] ) + USE SIGNAL
+ ROUTED met2 ( 513590 1899580 0 ) ( * 1925930 )
NEW met2 ( 1283630 1214820 ) ( * 1221110 )
NEW met1 ( 699890 1221110 ) ( 1283630 * )
NEW met3 ( 1283630 1214820 ) ( 1300420 * 0 )
NEW met1 ( 513590 1925930 ) ( 699890 * )
NEW met2 ( 699890 1221110 ) ( * 1925930 )
NEW met1 ( 513590 1925930 ) M1M2_PR
NEW met1 ( 699890 1221110 ) M1M2_PR
NEW met1 ( 1283630 1221110 ) M1M2_PR
NEW met2 ( 1283630 1214820 ) M2M3_PR_M
NEW met1 ( 699890 1925930 ) M1M2_PR ;
- read_data_from_mem\[83\] ( core0 data_from_mem[83] ) ( chip_controller rd_data_out[83] ) + USE SIGNAL
+ ROUTED met2 ( 2793350 1242000 ) ( 2794270 * )
NEW met1 ( 643310 1631830 ) ( 2793350 * )
NEW li1 ( 519110 1896010 ) ( * 1900090 )
NEW met2 ( 519110 1899580 ) ( * 1900090 )
NEW met2 ( 518650 1899580 0 ) ( 519110 * )
NEW met2 ( 643310 1631830 ) ( * 1896010 )
NEW met2 ( 2793350 1242000 ) ( * 1631830 )
NEW met1 ( 519110 1896010 ) ( 643310 * )
NEW met2 ( 2794270 1195270 ) ( 2794730 * )
NEW met1 ( 2794730 1195270 ) ( 2798410 * )
NEW met2 ( 2798410 1195100 ) ( * 1195270 )
NEW met3 ( 2798180 1195100 ) ( 2798410 * )
NEW met3 ( 2798180 1193740 0 ) ( * 1195100 )
NEW met2 ( 2794270 1195270 ) ( * 1242000 )
NEW met1 ( 2793350 1631830 ) M1M2_PR
NEW met1 ( 643310 1631830 ) M1M2_PR
NEW li1 ( 519110 1896010 ) L1M1_PR_MR
NEW li1 ( 519110 1900090 ) L1M1_PR_MR
NEW met1 ( 519110 1900090 ) M1M2_PR
NEW met1 ( 643310 1896010 ) M1M2_PR
NEW met1 ( 2794730 1195270 ) M1M2_PR
NEW met1 ( 2798410 1195270 ) M1M2_PR
NEW met2 ( 2798410 1195100 ) M2M3_PR_M
NEW met1 ( 519110 1900090 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[84\] ( core0 data_from_mem[84] ) ( chip_controller rd_data_out[84] ) + USE SIGNAL
+ ROUTED met1 ( 189750 1818490 ) ( 191130 * )
NEW met2 ( 191130 1809140 ) ( * 1818490 )
NEW met3 ( 191130 1809140 ) ( 201020 * 0 )
NEW met2 ( 643770 1613300 ) ( * 1910970 )
NEW met2 ( 2462610 1599700 0 ) ( * 1613300 )
NEW met3 ( 643770 1613300 ) ( 2462610 * )
NEW met1 ( 186990 1894650 ) ( 190210 * )
NEW met2 ( 190210 1855380 ) ( * 1894650 )
NEW met2 ( 189750 1855380 ) ( 190210 * )
NEW met2 ( 186990 1894650 ) ( * 1910970 )
NEW met2 ( 189750 1818490 ) ( * 1855380 )
NEW met1 ( 186990 1910970 ) ( 643770 * )
NEW met1 ( 189750 1818490 ) M1M2_PR
NEW met1 ( 191130 1818490 ) M1M2_PR
NEW met2 ( 191130 1809140 ) M2M3_PR_M
NEW met1 ( 186990 1910970 ) M1M2_PR
NEW met2 ( 643770 1613300 ) M2M3_PR_M
NEW met1 ( 643770 1910970 ) M1M2_PR
NEW met2 ( 2462610 1613300 ) M2M3_PR_M
NEW met1 ( 186990 1894650 ) M1M2_PR
NEW met1 ( 190210 1894650 ) M1M2_PR ;
- read_data_from_mem\[85\] ( core0 data_from_mem[85] ) ( chip_controller rd_data_out[85] ) + USE SIGNAL
+ ROUTED met1 ( 196190 1845690 ) ( 198030 * )
NEW met3 ( 198030 1813220 ) ( 201020 * 0 )
NEW met2 ( 198030 1813220 ) ( * 1845690 )
NEW met2 ( 2826930 1214310 ) ( * 1652570 )
NEW met1 ( 606970 1652570 ) ( 2826930 * )
NEW met1 ( 196190 1872550 ) ( 198030 * )
NEW met2 ( 198030 1872550 ) ( * 1894820 )
NEW met2 ( 198030 1894820 ) ( 198490 * )
NEW met2 ( 196190 1845690 ) ( * 1872550 )
NEW met2 ( 198490 1894820 ) ( * 1930690 )
NEW met3 ( 2799100 1214140 0 ) ( 2808530 * )
NEW met2 ( 2808530 1214140 ) ( * 1214310 )
NEW met1 ( 2808530 1214310 ) ( 2826930 * )
NEW met2 ( 606970 1904340 ) ( * 1930690 )
NEW met2 ( 606970 1904340 ) ( 607430 * )
NEW met2 ( 607430 1902300 ) ( * 1904340 )
NEW met2 ( 606970 1902300 ) ( 607430 * )
NEW met1 ( 198490 1930690 ) ( 606970 * )
NEW met2 ( 606970 1652570 ) ( * 1902300 )
NEW met1 ( 196190 1845690 ) M1M2_PR
NEW met1 ( 198030 1845690 ) M1M2_PR
NEW met1 ( 198490 1930690 ) M1M2_PR
NEW met1 ( 606970 1652570 ) M1M2_PR
NEW met1 ( 2826930 1652570 ) M1M2_PR
NEW met2 ( 198030 1813220 ) M2M3_PR_M
NEW met1 ( 2826930 1214310 ) M1M2_PR
NEW met1 ( 196190 1872550 ) M1M2_PR
NEW met1 ( 198030 1872550 ) M1M2_PR
NEW met2 ( 2808530 1214140 ) M2M3_PR_M
NEW met1 ( 2808530 1214310 ) M1M2_PR
NEW met1 ( 606970 1930690 ) M1M2_PR ;
- read_data_from_mem\[86\] ( core0 data_from_mem[86] ) ( chip_controller rd_data_out[86] ) + USE SIGNAL
+ ROUTED met2 ( 503930 1485630 ) ( * 1500420 0 )
NEW li1 ( 566030 1485290 ) ( * 1485630 )
NEW li1 ( 566030 1485290 ) ( 566950 * )
NEW li1 ( 566950 1485290 ) ( * 1489370 )
NEW met1 ( 503930 1485630 ) ( 566030 * )
NEW met1 ( 566950 1489370 ) ( 623070 * )
NEW met2 ( 623070 1489370 ) ( * 1615170 )
NEW met2 ( 2475950 1599700 0 ) ( * 1615170 )
NEW met1 ( 623070 1615170 ) ( 2475950 * )
NEW met1 ( 503930 1485630 ) M1M2_PR
NEW li1 ( 566030 1485630 ) L1M1_PR_MR
NEW li1 ( 566950 1489370 ) L1M1_PR_MR
NEW met1 ( 623070 1489370 ) M1M2_PR
NEW met1 ( 623070 1615170 ) M1M2_PR
NEW met1 ( 2475950 1615170 ) M1M2_PR ;
- read_data_from_mem\[87\] ( core0 data_from_mem[87] ) ( chip_controller rd_data_out[87] ) + USE SIGNAL
+ ROUTED met2 ( 2415230 100300 ) ( 2420750 * 0 )
NEW met2 ( 872850 68170 ) ( * 1801490 )
NEW met2 ( 2415230 68170 ) ( * 100300 )
NEW met3 ( 599380 1803700 0 ) ( 613410 * )
NEW met2 ( 613410 1801490 ) ( * 1803700 )
NEW met1 ( 613410 1801490 ) ( 872850 * )
NEW met1 ( 872850 68170 ) ( 2415230 * )
NEW met1 ( 872850 68170 ) M1M2_PR
NEW met1 ( 872850 1801490 ) M1M2_PR
NEW met1 ( 2415230 68170 ) M1M2_PR
NEW met2 ( 613410 1803700 ) M2M3_PR_M
NEW met1 ( 613410 1801490 ) M1M2_PR ;
- read_data_from_mem\[88\] ( core0 data_from_mem[88] ) ( chip_controller rd_data_out[88] ) + USE SIGNAL
+ ROUTED met3 ( 2799100 1256300 0 ) ( 2813130 * )
NEW met2 ( 2813130 1256300 ) ( * 1258170 )
NEW met1 ( 2813130 1258170 ) ( 2830610 * )
NEW met2 ( 2830610 1258170 ) ( * 1797410 )
NEW met3 ( 599380 1807100 0 ) ( 609270 * )
NEW met2 ( 609270 1797410 ) ( * 1807100 )
NEW met1 ( 609270 1797410 ) ( 2830610 * )
NEW met2 ( 2813130 1256300 ) M2M3_PR_M
NEW met1 ( 2813130 1258170 ) M1M2_PR
NEW met1 ( 2830610 1258170 ) M1M2_PR
NEW met1 ( 2830610 1797410 ) M1M2_PR
NEW met2 ( 609270 1807100 ) M2M3_PR_M
NEW met1 ( 609270 1797410 ) M1M2_PR ;
- read_data_from_mem\[89\] ( core0 data_from_mem[89] ) ( chip_controller rd_data_out[89] ) + USE SIGNAL
+ ROUTED met2 ( 512210 1458430 ) ( * 1500420 0 )
NEW met1 ( 512210 1458430 ) ( 1024650 * )
NEW met2 ( 2454330 86870 ) ( * 100300 0 )
NEW met2 ( 1024650 86870 ) ( * 1458430 )
NEW met1 ( 1024650 86870 ) ( 2454330 * )
NEW met1 ( 512210 1458430 ) M1M2_PR
NEW met1 ( 1024650 86870 ) M1M2_PR
NEW met1 ( 1024650 1458430 ) M1M2_PR
NEW met1 ( 2454330 86870 ) M1M2_PR ;
- read_data_from_mem\[8\] ( core0 data_from_mem[8] ) ( chip_controller rd_data_out[8] ) + USE SIGNAL
+ ROUTED met3 ( 184690 1567740 ) ( 201020 * 0 )
NEW met2 ( 1262010 1460810 ) ( * 1590690 )
NEW met1 ( 2795650 344930 ) ( 2797030 * )
NEW met2 ( 2797030 342380 ) ( * 344930 )
NEW met3 ( 2797030 342380 ) ( 2797260 * )
NEW met3 ( 2797260 339660 0 ) ( * 342380 )
NEW met1 ( 184690 1460810 ) ( 1262010 * )
NEW met2 ( 184690 1460810 ) ( * 1567740 )
NEW met1 ( 1262010 1590690 ) ( 2795650 * )
NEW met2 ( 2795650 344930 ) ( * 1590690 )
NEW met1 ( 184690 1460810 ) M1M2_PR
NEW met2 ( 184690 1567740 ) M2M3_PR_M
NEW met1 ( 1262010 1460810 ) M1M2_PR
NEW met1 ( 1262010 1590690 ) M1M2_PR
NEW met1 ( 2795650 344930 ) M1M2_PR
NEW met1 ( 2797030 344930 ) M1M2_PR
NEW met2 ( 2797030 342380 ) M2M3_PR_M
NEW met1 ( 2795650 1590690 ) M1M2_PR ;
- read_data_from_mem\[90\] ( core0 data_from_mem[90] ) ( chip_controller rd_data_out[90] ) + USE SIGNAL
+ ROUTED met2 ( 516810 1490050 ) ( * 1500420 0 )
NEW li1 ( 566490 1490050 ) ( 567410 * )
NEW li1 ( 567410 1486990 ) ( * 1490050 )
NEW li1 ( 567410 1486990 ) ( 567870 * )
NEW met1 ( 567870 1486990 ) ( 603290 * )
NEW met2 ( 603290 1486990 ) ( * 1600550 )
NEW met2 ( 2517350 1599700 0 ) ( * 1600550 )
NEW met1 ( 516810 1490050 ) ( 566490 * )
NEW met1 ( 603290 1600550 ) ( 2517350 * )
NEW met1 ( 516810 1490050 ) M1M2_PR
NEW li1 ( 566490 1490050 ) L1M1_PR_MR
NEW li1 ( 567870 1486990 ) L1M1_PR_MR
NEW met1 ( 603290 1486990 ) M1M2_PR
NEW met1 ( 603290 1600550 ) M1M2_PR
NEW met1 ( 2517350 1600550 ) M1M2_PR ;
- read_data_from_mem\[91\] ( core0 data_from_mem[91] ) ( chip_controller rd_data_out[91] ) + USE SIGNAL
+ ROUTED met2 ( 997050 88570 ) ( * 1800130 )
NEW met2 ( 2471350 88570 ) ( * 100300 0 )
NEW met1 ( 997050 88570 ) ( 2471350 * )
NEW met1 ( 627900 1800130 ) ( 997050 * )
NEW met3 ( 599380 1814580 0 ) ( 610190 * )
NEW met2 ( 610190 1801150 ) ( * 1814580 )
NEW met1 ( 610190 1800810 ) ( * 1801150 )
NEW met1 ( 610190 1800810 ) ( 627900 * )
NEW met1 ( 627900 1800130 ) ( * 1800810 )
NEW met1 ( 997050 88570 ) M1M2_PR
NEW met1 ( 997050 1800130 ) M1M2_PR
NEW met1 ( 2471350 88570 ) M1M2_PR
NEW met2 ( 610190 1814580 ) M2M3_PR_M
NEW met1 ( 610190 1801150 ) M1M2_PR ;
- read_data_from_mem\[92\] ( core0 data_from_mem[92] ) ( chip_controller rd_data_out[92] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1252900 ) ( * 1255790 )
NEW met2 ( 685630 1255790 ) ( * 1919470 )
NEW met1 ( 685630 1255790 ) ( 1283630 * )
NEW met3 ( 1283630 1252900 ) ( 1300420 * 0 )
NEW met2 ( 536130 1899580 0 ) ( * 1919470 )
NEW met1 ( 536130 1919470 ) ( 685630 * )
NEW met1 ( 685630 1255790 ) M1M2_PR
NEW met1 ( 685630 1919470 ) M1M2_PR
NEW met1 ( 1283630 1255790 ) M1M2_PR
NEW met2 ( 1283630 1252900 ) M2M3_PR_M
NEW met1 ( 536130 1919470 ) M1M2_PR ;
- read_data_from_mem\[93\] ( core0 data_from_mem[93] ) ( chip_controller rd_data_out[93] ) + USE SIGNAL
+ ROUTED met2 ( 537970 1899580 0 ) ( * 1916410 )
NEW met2 ( 2556450 1599700 ) ( 2558750 * 0 )
NEW met2 ( 2553230 1725000 ) ( * 1755930 )
NEW met2 ( 2553230 1725000 ) ( 2556450 * )
NEW met2 ( 2556450 1599700 ) ( * 1725000 )
NEW met1 ( 537970 1916410 ) ( 605590 * )
NEW met1 ( 603290 1755930 ) ( 2553230 * )
NEW met1 ( 603290 1855550 ) ( 605590 * )
NEW met2 ( 603290 1755930 ) ( * 1855550 )
NEW met2 ( 605590 1855550 ) ( * 1916410 )
NEW met1 ( 2553230 1755930 ) M1M2_PR
NEW met1 ( 537970 1916410 ) M1M2_PR
NEW met1 ( 605590 1916410 ) M1M2_PR
NEW met1 ( 603290 1755930 ) M1M2_PR
NEW met1 ( 603290 1855550 ) M1M2_PR
NEW met1 ( 605590 1855550 ) M1M2_PR ;
- read_data_from_mem\[94\] ( core0 data_from_mem[94] ) ( chip_controller rd_data_out[94] ) + USE SIGNAL
+ ROUTED li1 ( 1289610 1803190 ) ( * 1805910 )
NEW met2 ( 1289610 1271260 ) ( * 1803190 )
NEW met3 ( 1289610 1271260 ) ( 1300420 * 0 )
NEW met3 ( 599380 1822060 0 ) ( 613410 * )
NEW met2 ( 613410 1821890 ) ( * 1822060 )
NEW met2 ( 698050 1805910 ) ( * 1821890 )
NEW met1 ( 613410 1821890 ) ( 698050 * )
NEW met1 ( 698050 1805910 ) ( 1289610 * )
NEW met2 ( 1289610 1271260 ) M2M3_PR_M
NEW li1 ( 1289610 1805910 ) L1M1_PR_MR
NEW li1 ( 1289610 1803190 ) L1M1_PR_MR
NEW met1 ( 1289610 1803190 ) M1M2_PR
NEW met2 ( 613410 1822060 ) M2M3_PR_M
NEW met1 ( 613410 1821890 ) M1M2_PR
NEW met1 ( 698050 1821890 ) M1M2_PR
NEW met1 ( 698050 1805910 ) M1M2_PR
NEW met1 ( 1289610 1803190 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[95\] ( core0 data_from_mem[95] ) ( chip_controller rd_data_out[95] ) + USE SIGNAL
+ ROUTED met2 ( 2504930 88740 ) ( * 100300 0 )
NEW met3 ( 541650 1899580 ) ( 544180 * )
NEW met2 ( 541190 1899580 0 ) ( 541650 * )
NEW met4 ( 544180 88740 ) ( * 1899580 )
NEW met3 ( 544180 88740 ) ( 2504930 * )
NEW met2 ( 2504930 88740 ) M2M3_PR_M
NEW met3 ( 544180 88740 ) M3M4_PR_M
NEW met3 ( 544180 1899580 ) M3M4_PR_M
NEW met2 ( 541650 1899580 ) M2M3_PR_M ;
- read_data_from_mem\[96\] ( core0 data_from_mem[96] ) ( chip_controller rd_data_out[96] ) + USE SIGNAL
+ ROUTED met2 ( 191590 1822740 ) ( 192510 * )
NEW met2 ( 192510 1822740 ) ( * 1828860 )
NEW met3 ( 192510 1828860 ) ( 201020 * 0 )
NEW met1 ( 191590 1608370 ) ( 192050 * )
NEW met1 ( 192050 1607690 ) ( * 1608370 )
NEW met1 ( 192050 1607690 ) ( 194810 * )
NEW met2 ( 194810 1603610 ) ( * 1607690 )
NEW met1 ( 194810 1603610 ) ( 198950 * )
NEW met2 ( 191590 1608370 ) ( * 1822740 )
NEW met2 ( 1283630 1327700 ) ( * 1331610 )
NEW met1 ( 198950 1331610 ) ( 1283630 * )
NEW met3 ( 1283630 1327700 ) ( 1300420 * 0 )
NEW met2 ( 198950 1331610 ) ( * 1603610 )
NEW met2 ( 192510 1828860 ) M2M3_PR_M
NEW met1 ( 198950 1331610 ) M1M2_PR
NEW met1 ( 191590 1608370 ) M1M2_PR
NEW met1 ( 194810 1607690 ) M1M2_PR
NEW met1 ( 194810 1603610 ) M1M2_PR
NEW met1 ( 198950 1603610 ) M1M2_PR
NEW met1 ( 1283630 1331610 ) M1M2_PR
NEW met2 ( 1283630 1327700 ) M2M3_PR_M ;
- read_data_from_mem\[97\] ( core0 data_from_mem[97] ) ( chip_controller rd_data_out[97] ) + USE SIGNAL
+ ROUTED met2 ( 566490 1483590 ) ( * 1489370 )
NEW met1 ( 566490 1483590 ) ( 577070 * )
NEW li1 ( 577070 1483590 ) ( * 1486310 )
NEW met1 ( 577070 1486310 ) ( 586270 * )
NEW li1 ( 586270 1484950 ) ( * 1486310 )
NEW met1 ( 586270 1484950 ) ( 606510 * )
NEW met2 ( 606510 1484950 ) ( * 1607350 )
NEW met2 ( 2600150 1599700 0 ) ( * 1607350 )
NEW met1 ( 560510 1488690 ) ( * 1489370 )
NEW met1 ( 537970 1488690 ) ( 560510 * )
NEW met2 ( 537970 1488690 ) ( * 1500420 0 )
NEW met1 ( 560510 1489370 ) ( 566490 * )
NEW met1 ( 606510 1607350 ) ( 2600150 * )
NEW met1 ( 566490 1489370 ) M1M2_PR
NEW met1 ( 566490 1483590 ) M1M2_PR
NEW li1 ( 577070 1483590 ) L1M1_PR_MR
NEW li1 ( 577070 1486310 ) L1M1_PR_MR
NEW li1 ( 586270 1486310 ) L1M1_PR_MR
NEW li1 ( 586270 1484950 ) L1M1_PR_MR
NEW met1 ( 606510 1484950 ) M1M2_PR
NEW met1 ( 606510 1607350 ) M1M2_PR
NEW met1 ( 2600150 1607350 ) M1M2_PR
NEW met1 ( 537970 1488690 ) M1M2_PR ;
- read_data_from_mem\[98\] ( core0 data_from_mem[98] ) ( chip_controller rd_data_out[98] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1346060 ) ( * 1352350 )
NEW li1 ( 796950 1803190 ) ( * 1806590 )
NEW met2 ( 796950 1352350 ) ( * 1803190 )
NEW met1 ( 796950 1352350 ) ( 1283630 * )
NEW met3 ( 1283630 1346060 ) ( 1300420 * 0 )
NEW met3 ( 599380 1830900 0 ) ( 607430 * )
NEW met2 ( 607430 1806590 ) ( * 1830900 )
NEW met1 ( 607430 1806590 ) ( 796950 * )
NEW met1 ( 796950 1352350 ) M1M2_PR
NEW met1 ( 1283630 1352350 ) M1M2_PR
NEW met2 ( 1283630 1346060 ) M2M3_PR_M
NEW li1 ( 796950 1806590 ) L1M1_PR_MR
NEW li1 ( 796950 1803190 ) L1M1_PR_MR
NEW met1 ( 796950 1803190 ) M1M2_PR
NEW met2 ( 607430 1830900 ) M2M3_PR_M
NEW met1 ( 607430 1806590 ) M1M2_PR
NEW met1 ( 796950 1803190 ) RECT ( -355 -70 0 70 ) ;
- read_data_from_mem\[99\] ( core0 data_from_mem[99] ) ( chip_controller rd_data_out[99] ) + USE SIGNAL
+ ROUTED met2 ( 676890 1778030 ) ( * 1828690 )
NEW met3 ( 2799100 1297780 0 ) ( 2812210 * )
NEW met1 ( 676890 1778030 ) ( 2812210 * )
NEW met2 ( 2812210 1297780 ) ( * 1778030 )
NEW met3 ( 599380 1832940 0 ) ( 613410 * )
NEW met2 ( 613410 1828690 ) ( * 1832940 )
NEW met1 ( 613410 1828690 ) ( 676890 * )
NEW met1 ( 676890 1828690 ) M1M2_PR
NEW met1 ( 676890 1778030 ) M1M2_PR
NEW met2 ( 2812210 1297780 ) M2M3_PR_M
NEW met1 ( 2812210 1778030 ) M1M2_PR
NEW met2 ( 613410 1832940 ) M2M3_PR_M
NEW met1 ( 613410 1828690 ) M1M2_PR ;
- read_data_from_mem\[9\] ( core0 data_from_mem[9] ) ( chip_controller rd_data_out[9] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1567060 0 ) ( 609730 * )
NEW met2 ( 609730 1567060 ) ( * 1579980 )
NEW met3 ( 608350 1579980 ) ( 609730 * )
NEW met1 ( 608350 1585590 ) ( 610190 * )
NEW met2 ( 610190 1585590 ) ( * 1594430 )
NEW met2 ( 608350 1579980 ) ( * 1585590 )
NEW met3 ( 2799100 422620 0 ) ( 2814510 * )
NEW met2 ( 2814510 422620 ) ( * 427550 )
NEW met1 ( 2814510 427550 ) ( 2843490 * )
NEW met2 ( 2843490 427550 ) ( * 1594430 )
NEW met1 ( 610190 1594430 ) ( 2843490 * )
NEW met2 ( 609730 1567060 ) M2M3_PR_M
NEW met2 ( 609730 1579980 ) M2M3_PR_M
NEW met2 ( 608350 1579980 ) M2M3_PR_M
NEW met1 ( 608350 1585590 ) M1M2_PR
NEW met1 ( 610190 1585590 ) M1M2_PR
NEW met1 ( 610190 1594430 ) M1M2_PR
NEW met2 ( 2814510 422620 ) M2M3_PR_M
NEW met1 ( 2814510 427550 ) M1M2_PR
NEW met1 ( 2843490 427550 ) M1M2_PR
NEW met1 ( 2843490 1594430 ) M1M2_PR ;
- read_enable_to_Elpis ( chip_controller read_enable_to_Elpis ) + USE SIGNAL ;
- read_interactive_req_core0 ( core0 read_interactive_req ) ( chip_controller read_interactive_req_core0 ) + USE SIGNAL
+ ROUTED met2 ( 216890 1482570 ) ( * 1500420 0 )
NEW met2 ( 1347570 1599700 0 ) ( * 1613810 )
NEW met1 ( 216890 1482570 ) ( 1294670 * )
NEW met2 ( 1294670 1482570 ) ( * 1613810 )
NEW met1 ( 1294670 1613810 ) ( 1347570 * )
NEW met1 ( 216890 1482570 ) M1M2_PR
NEW met1 ( 1347570 1613810 ) M1M2_PR
NEW met1 ( 1294670 1482570 ) M1M2_PR
NEW met1 ( 1294670 1613810 ) M1M2_PR ;
- read_value_to_Elpis\[0\] ( chip_controller read_value_to_Elpis[0] ) + USE SIGNAL ;
- read_value_to_Elpis\[10\] ( chip_controller read_value_to_Elpis[10] ) + USE SIGNAL ;
- read_value_to_Elpis\[11\] ( chip_controller read_value_to_Elpis[11] ) + USE SIGNAL ;
- read_value_to_Elpis\[12\] ( chip_controller read_value_to_Elpis[12] ) + USE SIGNAL ;
- read_value_to_Elpis\[13\] ( chip_controller read_value_to_Elpis[13] ) + USE SIGNAL ;
- read_value_to_Elpis\[14\] ( chip_controller read_value_to_Elpis[14] ) + USE SIGNAL ;
- read_value_to_Elpis\[15\] ( chip_controller read_value_to_Elpis[15] ) + USE SIGNAL ;
- read_value_to_Elpis\[16\] ( chip_controller read_value_to_Elpis[16] ) + USE SIGNAL ;
- read_value_to_Elpis\[17\] ( chip_controller read_value_to_Elpis[17] ) + USE SIGNAL ;
- read_value_to_Elpis\[18\] ( chip_controller read_value_to_Elpis[18] ) + USE SIGNAL ;
- read_value_to_Elpis\[19\] ( chip_controller read_value_to_Elpis[19] ) + USE SIGNAL ;
- read_value_to_Elpis\[1\] ( chip_controller read_value_to_Elpis[1] ) + USE SIGNAL ;
- read_value_to_Elpis\[20\] ( chip_controller read_value_to_Elpis[20] ) + USE SIGNAL ;
- read_value_to_Elpis\[21\] ( chip_controller read_value_to_Elpis[21] ) + USE SIGNAL ;
- read_value_to_Elpis\[22\] ( chip_controller read_value_to_Elpis[22] ) + USE SIGNAL ;
- read_value_to_Elpis\[23\] ( chip_controller read_value_to_Elpis[23] ) + USE SIGNAL ;
- read_value_to_Elpis\[24\] ( chip_controller read_value_to_Elpis[24] ) + USE SIGNAL ;
- read_value_to_Elpis\[25\] ( chip_controller read_value_to_Elpis[25] ) + USE SIGNAL ;
- read_value_to_Elpis\[26\] ( chip_controller read_value_to_Elpis[26] ) + USE SIGNAL ;
- read_value_to_Elpis\[27\] ( chip_controller read_value_to_Elpis[27] ) + USE SIGNAL ;
- read_value_to_Elpis\[28\] ( chip_controller read_value_to_Elpis[28] ) + USE SIGNAL ;
- read_value_to_Elpis\[29\] ( chip_controller read_value_to_Elpis[29] ) + USE SIGNAL ;
- read_value_to_Elpis\[2\] ( chip_controller read_value_to_Elpis[2] ) + USE SIGNAL ;
- read_value_to_Elpis\[30\] ( chip_controller read_value_to_Elpis[30] ) + USE SIGNAL ;
- read_value_to_Elpis\[31\] ( chip_controller read_value_to_Elpis[31] ) + USE SIGNAL ;
- read_value_to_Elpis\[3\] ( chip_controller read_value_to_Elpis[3] ) + USE SIGNAL ;
- read_value_to_Elpis\[4\] ( chip_controller read_value_to_Elpis[4] ) + USE SIGNAL ;
- read_value_to_Elpis\[5\] ( chip_controller read_value_to_Elpis[5] ) + USE SIGNAL ;
- read_value_to_Elpis\[6\] ( chip_controller read_value_to_Elpis[6] ) + USE SIGNAL ;
- read_value_to_Elpis\[7\] ( chip_controller read_value_to_Elpis[7] ) + USE SIGNAL ;
- read_value_to_Elpis\[8\] ( chip_controller read_value_to_Elpis[8] ) + USE SIGNAL ;
- read_value_to_Elpis\[9\] ( chip_controller read_value_to_Elpis[9] ) + USE SIGNAL ;
- req_out_core0 ( core0 hex_req ) ( chip_controller req_out_core0 ) + USE SIGNAL
+ ROUTED met2 ( 213670 1495150 ) ( * 1500420 0 )
NEW met2 ( 1361370 1599700 0 ) ( * 1610070 )
NEW met1 ( 213670 1495150 ) ( 1232110 * )
NEW met2 ( 1232110 1495150 ) ( * 1610070 )
NEW met1 ( 1232110 1610070 ) ( 1361370 * )
NEW met1 ( 213670 1495150 ) M1M2_PR
NEW met1 ( 1361370 1610070 ) M1M2_PR
NEW met1 ( 1232110 1495150 ) M1M2_PR
NEW met1 ( 1232110 1610070 ) M1M2_PR ;
- reset_core ( core0 rst ) ( chip_controller reset_core ) + USE SIGNAL
+ ROUTED met2 ( 207230 1495830 ) ( * 1500420 0 )
NEW met2 ( 1272590 1495830 ) ( * 1614490 )
NEW met1 ( 207230 1495830 ) ( 1272590 * )
NEW met2 ( 1319970 1599700 0 ) ( * 1614490 )
NEW met1 ( 1272590 1614490 ) ( 1319970 * )
NEW met1 ( 207230 1495830 ) M1M2_PR
NEW met1 ( 1272590 1495830 ) M1M2_PR
NEW met1 ( 1272590 1614490 ) M1M2_PR
NEW met1 ( 1319970 1614490 ) M1M2_PR ;
- rst ( chip_controller rst ) + USE SIGNAL ;
- spare_wen0_to_sram ( custom_sram spare_wen0_to_sram ) ( chip_controller spare_wen0_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 687470 2062100 ) ( 700580 * 0 )
NEW met3 ( 599380 1504500 0 ) ( 608350 * )
NEW met2 ( 608350 1504500 ) ( * 1510790 )
NEW met2 ( 687470 1802850 ) ( * 2062100 )
NEW met1 ( 608350 1510790 ) ( 710930 * )
NEW met1 ( 687470 1802850 ) ( 710930 * )
NEW met2 ( 710930 1510790 ) ( * 1802850 )
NEW met2 ( 687470 2062100 ) M2M3_PR_M
NEW met2 ( 608350 1504500 ) M2M3_PR_M
NEW met1 ( 608350 1510790 ) M1M2_PR
NEW met1 ( 687470 1802850 ) M1M2_PR
NEW met1 ( 710930 1510790 ) M1M2_PR
NEW met1 ( 710930 1802850 ) M1M2_PR ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( chip_controller wb_clk_i ) + USE SIGNAL
+ ROUTED met2 ( 2990 1700 0 ) ( * 17510 )
NEW met1 ( 2990 17510 ) ( 6670 * )
NEW met2 ( 6670 17510 ) ( * 1486990 )
NEW met2 ( 200790 1486990 ) ( * 1500420 0 )
NEW met1 ( 6670 1486990 ) ( 200790 * )
NEW met1 ( 2990 17510 ) M1M2_PR
NEW met1 ( 6670 17510 ) M1M2_PR
NEW met1 ( 6670 1486990 ) M1M2_PR
NEW met1 ( 200790 1486990 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( chip_controller wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 1700 0 ) ( * 17510 )
NEW met1 ( 8510 17510 ) ( 13570 * )
NEW met2 ( 13570 17510 ) ( * 1487330 )
NEW met2 ( 202170 1487330 ) ( * 1500420 0 )
NEW met1 ( 13570 1487330 ) ( 202170 * )
NEW met1 ( 8510 17510 ) M1M2_PR
NEW met1 ( 13570 17510 ) M1M2_PR
NEW met1 ( 13570 1487330 ) M1M2_PR
NEW met1 ( 202170 1487330 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) + USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) + USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) + USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) + USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) + USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) + USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) + USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) + USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) + USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) + USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) + USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) + USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) + USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) + USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) + USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) + USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) + USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) + USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) + USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) + USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) + USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) + USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) + USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) + USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) + USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) + USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) + USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) + USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) + USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) + USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) + USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) + USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) + USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) + USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) + USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) + USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) + USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) + USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) + USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) + USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) + USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) + USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) + USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) + USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) + USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) + USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) + USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) + USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) + USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) + USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) + USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) + USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) + USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) + USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) + USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) + USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) + USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) + USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) + USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) + USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) + USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) + USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) + USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( chip_controller wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 49910 1700 0 ) ( * 17510 )
NEW met1 ( 49910 17510 ) ( 54970 * )
NEW met2 ( 54970 17510 ) ( * 1504330 )
NEW met2 ( 186530 1504330 ) ( * 1509260 )
NEW met3 ( 186530 1509260 ) ( 201020 * 0 )
NEW met1 ( 54970 1504330 ) ( 186530 * )
NEW met1 ( 49910 17510 ) M1M2_PR
NEW met1 ( 54970 17510 ) M1M2_PR
NEW met1 ( 54970 1504330 ) M1M2_PR
NEW met1 ( 186530 1504330 ) M1M2_PR
NEW met2 ( 186530 1509260 ) M2M3_PR_M ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( chip_controller wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met1 ( 182850 1556690 ) ( 186070 * )
NEW met1 ( 184230 1515550 ) ( 186070 * )
NEW li1 ( 207230 1498550 ) ( * 1499570 )
NEW met2 ( 186070 1515550 ) ( * 1556690 )
NEW met2 ( 250010 82800 ) ( 250930 * )
NEW met2 ( 250930 1700 0 ) ( * 82800 )
NEW met1 ( 207230 1499570 ) ( 250010 * )
NEW met2 ( 250010 82800 ) ( * 1499570 )
NEW met2 ( 268410 1899580 0 ) ( * 1911990 )
NEW met1 ( 182850 1911990 ) ( 268410 * )
NEW met2 ( 184230 1498550 ) ( * 1515550 )
NEW met1 ( 184230 1498550 ) ( 207230 * )
NEW met2 ( 182850 1556690 ) ( * 1911990 )
NEW met1 ( 182850 1556690 ) M1M2_PR
NEW met1 ( 186070 1556690 ) M1M2_PR
NEW met1 ( 186070 1515550 ) M1M2_PR
NEW met1 ( 184230 1515550 ) M1M2_PR
NEW li1 ( 207230 1498550 ) L1M1_PR_MR
NEW li1 ( 207230 1499570 ) L1M1_PR_MR
NEW met1 ( 182850 1911990 ) M1M2_PR
NEW met1 ( 250010 1499570 ) M1M2_PR
NEW met1 ( 268410 1911990 ) M1M2_PR
NEW met1 ( 184230 1498550 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( chip_controller wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met1 ( 286350 1489030 ) ( 294170 * )
NEW met2 ( 294170 1489030 ) ( * 1500420 0 )
NEW met2 ( 286350 19890 ) ( * 1489030 )
NEW met2 ( 268870 1700 0 ) ( * 19890 )
NEW met1 ( 268870 19890 ) ( 286350 * )
NEW met1 ( 286350 19890 ) M1M2_PR
NEW met1 ( 286350 1489030 ) M1M2_PR
NEW met1 ( 294170 1489030 ) M1M2_PR
NEW met1 ( 268870 19890 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( chip_controller wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 1700 0 ) ( * 17850 )
NEW met3 ( 599380 1585420 0 ) ( 601450 * )
NEW met2 ( 601450 17850 ) ( * 1585420 )
NEW met1 ( 286350 17850 ) ( 601450 * )
NEW met1 ( 286350 17850 ) M1M2_PR
NEW met1 ( 601450 17850 ) M1M2_PR
NEW met2 ( 601450 1585420 ) M2M3_PR_M ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( chip_controller wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 304290 1700 0 ) ( * 18190 )
NEW met3 ( 599380 1596300 0 ) ( 600990 * )
NEW met2 ( 600990 18190 ) ( * 1596300 )
NEW met1 ( 304290 18190 ) ( 600990 * )
NEW met1 ( 304290 18190 ) M1M2_PR
NEW met1 ( 600990 18190 ) M1M2_PR
NEW met2 ( 600990 1596300 ) M2M3_PR_M ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( chip_controller wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1601230 ) ( * 1605820 )
NEW met3 ( 189750 1605820 ) ( 201020 * 0 )
NEW met2 ( 318550 82800 ) ( 321770 * )
NEW met2 ( 321770 1700 0 ) ( * 82800 )
NEW met2 ( 318550 82800 ) ( * 1493790 )
NEW met2 ( 158470 1493790 ) ( * 1601230 )
NEW met1 ( 158470 1601230 ) ( 189750 * )
NEW met1 ( 158470 1493790 ) ( 318550 * )
NEW met1 ( 189750 1601230 ) M1M2_PR
NEW met2 ( 189750 1605820 ) M2M3_PR_M
NEW met1 ( 318550 1493790 ) M1M2_PR
NEW met1 ( 158470 1493790 ) M1M2_PR
NEW met1 ( 158470 1601230 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( chip_controller wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 316710 1486310 ) ( * 1500420 0 )
NEW met2 ( 338330 82800 ) ( 339710 * )
NEW met2 ( 339710 1700 0 ) ( * 82800 )
NEW met1 ( 316710 1486310 ) ( 338330 * )
NEW met2 ( 338330 82800 ) ( * 1486310 )
NEW met1 ( 316710 1486310 ) M1M2_PR
NEW met1 ( 338330 1486310 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( chip_controller wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 357650 1700 0 ) ( * 18530 )
NEW met1 ( 330050 18530 ) ( 357650 * )
NEW met2 ( 330050 18530 ) ( * 1483500 )
NEW met2 ( 329130 1483500 ) ( 330050 * )
NEW met2 ( 329130 1483500 ) ( * 1500420 )
NEW met2 ( 328210 1500420 0 ) ( 329130 * )
NEW met1 ( 357650 18530 ) M1M2_PR
NEW met1 ( 330050 18530 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( chip_controller wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 305670 1899580 0 ) ( * 1914540 )
NEW met2 ( 372830 82800 ) ( 375130 * )
NEW met2 ( 375130 1700 0 ) ( * 82800 )
NEW met2 ( 372830 82800 ) ( * 1465740 )
NEW met3 ( 206540 1914540 ) ( 305670 * )
NEW met3 ( 206540 1465740 ) ( 372830 * )
NEW met4 ( 206540 1465740 ) ( * 1914540 )
NEW met2 ( 372830 1465740 ) M2M3_PR_M
NEW met3 ( 206540 1914540 ) M3M4_PR_M
NEW met2 ( 305670 1914540 ) M2M3_PR_M
NEW met3 ( 206540 1465740 ) M3M4_PR_M ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( chip_controller wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 393070 1700 0 ) ( * 18530 )
NEW met3 ( 599380 1627580 0 ) ( 600530 * )
NEW met2 ( 600530 18530 ) ( * 1627580 )
NEW met1 ( 393070 18530 ) ( 600530 * )
NEW met1 ( 393070 18530 ) M1M2_PR
NEW met1 ( 600530 18530 ) M1M2_PR
NEW met2 ( 600530 1627580 ) M2M3_PR_M ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( chip_controller wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 182850 1556180 ) ( 183310 * )
NEW met2 ( 319930 1899580 0 ) ( * 1916410 )
NEW met2 ( 408250 82800 ) ( 410550 * )
NEW met2 ( 410550 1700 0 ) ( * 82800 )
NEW met2 ( 408250 82800 ) ( * 1483500 )
NEW li1 ( 407790 1500250 ) ( * 1501610 )
NEW met2 ( 407790 1483500 ) ( * 1500250 )
NEW met2 ( 407790 1483500 ) ( 408250 * )
NEW met1 ( 183310 1916410 ) ( 319930 * )
NEW met2 ( 182850 1501610 ) ( * 1556180 )
NEW met1 ( 182850 1501610 ) ( 407790 * )
NEW met2 ( 183310 1556180 ) ( * 1916410 )
NEW met1 ( 183310 1916410 ) M1M2_PR
NEW met1 ( 319930 1916410 ) M1M2_PR
NEW li1 ( 407790 1501610 ) L1M1_PR_MR
NEW li1 ( 407790 1500250 ) L1M1_PR_MR
NEW met1 ( 407790 1500250 ) M1M2_PR
NEW met1 ( 182850 1501610 ) M1M2_PR
NEW met1 ( 407790 1500250 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( chip_controller wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 608350 1477130 ) ( * 1483500 )
NEW met3 ( 599380 1513340 0 ) ( 608350 * )
NEW met2 ( 608350 1511300 ) ( * 1513340 )
NEW met2 ( 608350 1511300 ) ( 608810 * )
NEW met2 ( 608810 1483500 ) ( * 1511300 )
NEW met2 ( 608350 1483500 ) ( 608810 * )
NEW met2 ( 73830 1700 0 ) ( * 34500 )
NEW met2 ( 73830 34500 ) ( 75670 * )
NEW met2 ( 75670 34500 ) ( * 1477130 )
NEW met1 ( 75670 1477130 ) ( 608350 * )
NEW met1 ( 608350 1477130 ) M1M2_PR
NEW met2 ( 608350 1513340 ) M2M3_PR_M
NEW met1 ( 75670 1477130 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( chip_controller wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 428490 1700 0 ) ( * 16660 )
NEW met3 ( 428490 16660 ) ( 532220 * )
NEW met2 ( 329590 1899580 0 ) ( * 1907740 )
NEW met3 ( 329590 1907740 ) ( 532220 * )
NEW met4 ( 532220 16660 ) ( * 1907740 )
NEW met2 ( 428490 16660 ) M2M3_PR_M
NEW met3 ( 532220 16660 ) M3M4_PR_M
NEW met2 ( 329590 1907740 ) M2M3_PR_M
NEW met3 ( 532220 1907740 ) M3M4_PR_M ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( chip_controller wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 445970 1700 0 ) ( * 17340 )
NEW met3 ( 445970 17340 ) ( 538660 * )
NEW met2 ( 336030 1899580 0 ) ( 336950 * )
NEW met3 ( 336950 1899580 ) ( 538660 * )
NEW met4 ( 538660 17340 ) ( * 1899580 )
NEW met2 ( 445970 17340 ) M2M3_PR_M
NEW met3 ( 538660 17340 ) M3M4_PR_M
NEW met2 ( 336950 1899580 ) M2M3_PR_M
NEW met3 ( 538660 1899580 ) M3M4_PR_M ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( chip_controller wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 189750 1642370 ) ( * 1642540 )
NEW met3 ( 189750 1642540 ) ( 201020 * 0 )
NEW met1 ( 151570 1642370 ) ( 189750 * )
NEW met2 ( 151570 1488010 ) ( * 1642370 )
NEW met2 ( 463910 1700 0 ) ( * 1488010 )
NEW met1 ( 151570 1488010 ) ( 463910 * )
NEW met1 ( 189750 1642370 ) M1M2_PR
NEW met2 ( 189750 1642540 ) M2M3_PR_M
NEW met1 ( 151570 1642370 ) M1M2_PR
NEW met1 ( 151570 1488010 ) M1M2_PR
NEW met1 ( 463910 1488010 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( chip_controller wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 481390 1700 0 ) ( * 18020 )
NEW met3 ( 481390 18020 ) ( 545100 * )
NEW met2 ( 350750 1899580 0 ) ( * 1905700 )
NEW met3 ( 350750 1905700 ) ( 545100 * )
NEW met4 ( 545100 18020 ) ( * 1905700 )
NEW met2 ( 481390 18020 ) M2M3_PR_M
NEW met3 ( 545100 18020 ) M3M4_PR_M
NEW met2 ( 350750 1905700 ) M2M3_PR_M
NEW met3 ( 545100 1905700 ) M3M4_PR_M ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( chip_controller wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 499330 1700 0 ) ( * 19890 )
NEW met1 ( 376510 1490390 ) ( 379270 * )
NEW met2 ( 376510 1490390 ) ( * 1500420 0 )
NEW met2 ( 379270 19890 ) ( * 1490390 )
NEW met1 ( 379270 19890 ) ( 499330 * )
NEW met1 ( 379270 19890 ) M1M2_PR
NEW met1 ( 499330 19890 ) M1M2_PR
NEW met1 ( 379270 1490390 ) M1M2_PR
NEW met1 ( 376510 1490390 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( chip_controller wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1649510 ) ( * 1653420 )
NEW met3 ( 190670 1653420 ) ( 201020 * 0 )
NEW met2 ( 516810 1700 0 ) ( * 16490 )
NEW met1 ( 510830 16490 ) ( 516810 * )
NEW met2 ( 510830 16490 ) ( * 1470330 )
NEW met1 ( 137770 1649510 ) ( 190670 * )
NEW met2 ( 137770 1470330 ) ( * 1649510 )
NEW met1 ( 137770 1470330 ) ( 510830 * )
NEW met1 ( 190670 1649510 ) M1M2_PR
NEW met2 ( 190670 1653420 ) M2M3_PR_M
NEW met1 ( 516810 16490 ) M1M2_PR
NEW met1 ( 510830 16490 ) M1M2_PR
NEW met1 ( 510830 1470330 ) M1M2_PR
NEW met1 ( 137770 1470330 ) M1M2_PR
NEW met1 ( 137770 1649510 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( chip_controller wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met3 ( 599380 1667700 0 ) ( 601910 * )
NEW met2 ( 601910 1501610 ) ( * 1667700 )
NEW met2 ( 534750 1700 0 ) ( * 34500 )
NEW met2 ( 534750 34500 ) ( 535670 * )
NEW li1 ( 535670 1498890 ) ( * 1501610 )
NEW met2 ( 535670 34500 ) ( * 1498890 )
NEW met1 ( 535670 1501610 ) ( 601910 * )
NEW met2 ( 601910 1667700 ) M2M3_PR_M
NEW met1 ( 601910 1501610 ) M1M2_PR
NEW li1 ( 535670 1498890 ) L1M1_PR_MR
NEW met1 ( 535670 1498890 ) M1M2_PR
NEW li1 ( 535670 1501610 ) L1M1_PR_MR
NEW met1 ( 535670 1498890 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( chip_controller wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met1 ( 389390 1490390 ) ( 393070 * )
NEW met2 ( 389390 1490390 ) ( * 1500420 0 )
NEW met2 ( 393070 19550 ) ( * 1490390 )
NEW met2 ( 552690 1700 0 ) ( * 19550 )
NEW met1 ( 393070 19550 ) ( 552690 * )
NEW met1 ( 393070 19550 ) M1M2_PR
NEW met1 ( 393070 1490390 ) M1M2_PR
NEW met1 ( 389390 1490390 ) M1M2_PR
NEW met1 ( 552690 19550 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( chip_controller wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 570170 1700 0 ) ( * 15130 )
NEW met1 ( 570170 15130 ) ( 599610 * )
NEW met1 ( 599610 1498210 ) ( 609730 * )
NEW met2 ( 609730 1498210 ) ( * 1531700 )
NEW met3 ( 607430 1531700 ) ( 609730 * )
NEW met2 ( 599610 15130 ) ( * 1498210 )
NEW met3 ( 599380 1682660 0 ) ( 607430 * )
NEW met2 ( 607430 1531700 ) ( * 1682660 )
NEW met1 ( 570170 15130 ) M1M2_PR
NEW met1 ( 599610 15130 ) M1M2_PR
NEW met1 ( 599610 1498210 ) M1M2_PR
NEW met1 ( 609730 1498210 ) M1M2_PR
NEW met2 ( 609730 1531700 ) M2M3_PR_M
NEW met2 ( 607430 1531700 ) M2M3_PR_M
NEW met2 ( 607430 1682660 ) M2M3_PR_M ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( chip_controller wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 1700 0 ) ( * 18870 )
NEW met1 ( 400890 1488350 ) ( 405950 * )
NEW met2 ( 400890 1488350 ) ( * 1500420 0 )
NEW met2 ( 405950 18870 ) ( * 1488350 )
NEW met1 ( 405950 18870 ) ( 588110 * )
NEW met1 ( 405950 18870 ) M1M2_PR
NEW met1 ( 588110 18870 ) M1M2_PR
NEW met1 ( 405950 1488350 ) M1M2_PR
NEW met1 ( 400890 1488350 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( chip_controller wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 1700 0 ) ( * 17510 )
NEW met1 ( 97290 17510 ) ( 106950 * )
NEW met2 ( 106950 17510 ) ( * 1914710 )
NEW met2 ( 218730 1899580 ) ( 220110 * 0 )
NEW met2 ( 218730 1899580 ) ( * 1914710 )
NEW met1 ( 106950 1914710 ) ( 218730 * )
NEW met1 ( 97290 17510 ) M1M2_PR
NEW met1 ( 106950 17510 ) M1M2_PR
NEW met1 ( 106950 1914710 ) M1M2_PR
NEW met1 ( 218730 1914710 ) M1M2_PR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( chip_controller wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 1700 0 ) ( * 17510 )
NEW met2 ( 187450 1531020 ) ( 187910 * )
NEW met2 ( 187910 1516570 ) ( * 1531020 )
NEW met2 ( 217350 17510 ) ( * 1498210 )
NEW met2 ( 187450 1531020 ) ( * 1580100 )
NEW met2 ( 187450 1580100 ) ( 187910 * )
NEW met3 ( 187910 1683340 ) ( 201020 * 0 )
NEW met2 ( 187910 1580100 ) ( * 1683340 )
NEW met1 ( 217350 17510 ) ( 605590 * )
NEW met1 ( 187910 1509090 ) ( 188830 * )
NEW met2 ( 188830 1498210 ) ( * 1509090 )
NEW li1 ( 187910 1509090 ) ( * 1516570 )
NEW met1 ( 188830 1498210 ) ( 217350 * )
NEW met1 ( 217350 17510 ) M1M2_PR
NEW met1 ( 605590 17510 ) M1M2_PR
NEW li1 ( 187910 1516570 ) L1M1_PR_MR
NEW met1 ( 187910 1516570 ) M1M2_PR
NEW met1 ( 217350 1498210 ) M1M2_PR
NEW met2 ( 187910 1683340 ) M2M3_PR_M
NEW li1 ( 187910 1509090 ) L1M1_PR_MR
NEW met1 ( 188830 1509090 ) M1M2_PR
NEW met1 ( 188830 1498210 ) M1M2_PR
NEW met1 ( 187910 1516570 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( chip_controller wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 190670 1684190 ) ( * 1690140 )
NEW met3 ( 190670 1690140 ) ( 201020 * 0 )
NEW met2 ( 140070 1470670 ) ( * 1684190 )
NEW met1 ( 140070 1684190 ) ( 190670 * )
NEW met2 ( 621230 82800 ) ( 623530 * )
NEW met2 ( 623530 1700 0 ) ( * 82800 )
NEW met2 ( 621230 82800 ) ( * 1470670 )
NEW met1 ( 140070 1470670 ) ( 621230 * )
NEW met1 ( 190670 1684190 ) M1M2_PR
NEW met2 ( 190670 1690140 ) M2M3_PR_M
NEW met1 ( 140070 1470670 ) M1M2_PR
NEW met1 ( 621230 1470670 ) M1M2_PR
NEW met1 ( 140070 1684190 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( chip_controller wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 1700 0 ) ( * 17510 )
NEW met1 ( 121210 17510 ) ( 127190 * )
NEW met2 ( 127190 17510 ) ( * 1488350 )
NEW met2 ( 240810 1488350 ) ( * 1500420 0 )
NEW met1 ( 127190 1488350 ) ( 240810 * )
NEW met1 ( 121210 17510 ) M1M2_PR
NEW met1 ( 127190 17510 ) M1M2_PR
NEW met1 ( 127190 1488350 ) M1M2_PR
NEW met1 ( 240810 1488350 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( chip_controller wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 144670 1700 0 ) ( * 1912670 )
NEW met2 ( 234370 1899580 0 ) ( * 1912670 )
NEW met1 ( 144670 1912670 ) ( 234370 * )
NEW met1 ( 144670 1912670 ) M1M2_PR
NEW met1 ( 234370 1912670 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( chip_controller wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 189290 1545810 ) ( * 1550060 )
NEW met3 ( 189290 1550060 ) ( 201020 * 0 )
NEW met2 ( 114770 17850 ) ( * 1545810 )
NEW met2 ( 162150 1700 0 ) ( * 17850 )
NEW met1 ( 114770 17850 ) ( 162150 * )
NEW met1 ( 114770 1545810 ) ( 189290 * )
NEW met1 ( 114770 17850 ) M1M2_PR
NEW met1 ( 114770 1545810 ) M1M2_PR
NEW met1 ( 189290 1545810 ) M1M2_PR
NEW met2 ( 189290 1550060 ) M2M3_PR_M
NEW met1 ( 162150 17850 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( chip_controller wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 1700 0 ) ( * 17510 )
NEW met1 ( 180090 17510 ) ( 186070 * )
NEW met2 ( 186070 17510 ) ( * 60010 )
NEW met1 ( 186070 60010 ) ( 238050 * )
NEW met1 ( 238050 1486310 ) ( 261970 * )
NEW met2 ( 261970 1486310 ) ( * 1500420 0 )
NEW met2 ( 238050 60010 ) ( * 1486310 )
NEW met1 ( 180090 17510 ) M1M2_PR
NEW met1 ( 186070 17510 ) M1M2_PR
NEW met1 ( 186070 60010 ) M1M2_PR
NEW met1 ( 238050 60010 ) M1M2_PR
NEW met1 ( 238050 1486310 ) M1M2_PR
NEW met1 ( 261970 1486310 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( chip_controller wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 198030 1700 0 ) ( * 17850 )
NEW met1 ( 198030 17850 ) ( 258750 * )
NEW met1 ( 258750 239190 ) ( 268410 * )
NEW met2 ( 258750 17850 ) ( * 239190 )
NEW met2 ( 268410 239190 ) ( * 1500420 0 )
NEW met1 ( 198030 17850 ) M1M2_PR
NEW met1 ( 258750 17850 ) M1M2_PR
NEW met1 ( 258750 239190 ) M1M2_PR
NEW met1 ( 268410 239190 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( chip_controller wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 214130 82800 ) ( 215510 * )
NEW met2 ( 215510 1700 0 ) ( * 82800 )
NEW met2 ( 214130 82800 ) ( * 1498890 )
NEW met2 ( 260130 1899580 0 ) ( * 1916070 )
NEW met1 ( 161230 1916070 ) ( 260130 * )
NEW met1 ( 161230 1498890 ) ( 214130 * )
NEW met2 ( 161230 1498890 ) ( * 1916070 )
NEW met1 ( 214130 1498890 ) M1M2_PR
NEW met1 ( 161230 1916070 ) M1M2_PR
NEW met1 ( 260130 1916070 ) M1M2_PR
NEW met1 ( 161230 1498890 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( chip_controller wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 187910 1573690 ) ( * 1577940 )
NEW met3 ( 187910 1577940 ) ( 201020 * 0 )
NEW met1 ( 171350 1573690 ) ( 187910 * )
NEW met2 ( 227930 82800 ) ( 233450 * )
NEW met2 ( 233450 1700 0 ) ( * 82800 )
NEW met2 ( 227930 82800 ) ( * 1499230 )
NEW met2 ( 171350 1499230 ) ( * 1573690 )
NEW met1 ( 171350 1499230 ) ( 227930 * )
NEW met1 ( 187910 1573690 ) M1M2_PR
NEW met2 ( 187910 1577940 ) M2M3_PR_M
NEW met1 ( 171350 1573690 ) M1M2_PR
NEW met1 ( 227930 1499230 ) M1M2_PR
NEW met1 ( 171350 1499230 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) + USE SIGNAL ;
- we_to_sram ( custom_sram we ) ( chip_controller we_to_sram ) + USE SIGNAL
+ ROUTED met3 ( 599380 1501100 0 ) ( 607430 * )
NEW met2 ( 607430 1500250 ) ( * 1501100 )
NEW met2 ( 683790 1900770 ) ( * 1912500 )
NEW met1 ( 607430 1500250 ) ( 629970 * )
NEW met1 ( 629970 1900770 ) ( 683790 * )
NEW met3 ( 683790 1912500 ) ( 700580 * 0 )
NEW met2 ( 629970 1500250 ) ( * 1900770 )
NEW met2 ( 607430 1501100 ) M2M3_PR_M
NEW met1 ( 607430 1500250 ) M1M2_PR
NEW met1 ( 683790 1900770 ) M1M2_PR
NEW met2 ( 683790 1912500 ) M2M3_PR_M
NEW met1 ( 629970 1500250 ) M1M2_PR
NEW met1 ( 629970 1900770 ) M1M2_PR ;
END NETS
END DESIGN