blob: 5f6f75aa73edc04edfb3ffeea8929681bd243175 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN chip_controller ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 200000 200000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 410 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 410 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 410 BY 1 STEP 460 0 ;
TRACKS X 230 DO 435 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER li1 ;
TRACKS X 170 DO 588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER met1 ;
TRACKS X 230 DO 435 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 435 STEP 460 LAYER met2 ;
TRACKS X 340 DO 294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 294 STEP 680 LAYER met3 ;
TRACKS X 460 DO 217 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 217 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 59 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 59 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 28 STEP 6900 ;
GCELLGRID Y 0 DO 29 STEP 6900 ;
VIAS 3 ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 4066 ;
- ANTENNA_0 sky130_fd_sc_hd__diode_2 + PLACED ( 188140 57120 ) FS ;
- ANTENNA_1 sky130_fd_sc_hd__diode_2 + PLACED ( 188140 43520 ) N ;
- ANTENNA_2 sky130_fd_sc_hd__diode_2 + PLACED ( 188140 171360 ) FS ;
- ANTENNA_3 sky130_fd_sc_hd__diode_2 + PLACED ( 188140 138720 ) FS ;
- ANTENNA_4 sky130_fd_sc_hd__diode_2 + PLACED ( 9660 176800 ) FS ;
- FILLER_0_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 10880 ) N ;
- FILLER_0_113 sky130_fd_sc_hd__fill_2 + PLACED ( 57500 10880 ) N ;
- FILLER_0_119 sky130_fd_sc_hd__decap_8 + PLACED ( 60260 10880 ) N ;
- FILLER_0_131 sky130_fd_sc_hd__decap_8 + PLACED ( 65780 10880 ) N ;
- FILLER_0_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 10880 ) N ;
- FILLER_0_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 10880 ) N ;
- FILLER_0_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 10880 ) N ;
- FILLER_0_155 sky130_fd_sc_hd__decap_4 + PLACED ( 76820 10880 ) N ;
- FILLER_0_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 10880 ) N ;
- FILLER_0_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 10880 ) N ;
- FILLER_0_173 sky130_fd_sc_hd__decap_4 + PLACED ( 85100 10880 ) N ;
- FILLER_0_181 sky130_fd_sc_hd__decap_6 + PLACED ( 88780 10880 ) N ;
- FILLER_0_191 sky130_fd_sc_hd__decap_4 + PLACED ( 93380 10880 ) N ;
- FILLER_0_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 10880 ) N ;
- FILLER_0_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 10880 ) N ;
- FILLER_0_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 10880 ) N ;
- FILLER_0_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 10880 ) N ;
- FILLER_0_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 10880 ) N ;
- FILLER_0_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 10880 ) N ;
- FILLER_0_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 10880 ) N ;
- FILLER_0_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 10880 ) N ;
- FILLER_0_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 10880 ) N ;
- FILLER_0_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 10880 ) N ;
- FILLER_0_253 sky130_fd_sc_hd__fill_2 + PLACED ( 121900 10880 ) N ;
- FILLER_0_259 sky130_fd_sc_hd__decap_4 + PLACED ( 124660 10880 ) N ;
- FILLER_0_263 sky130_fd_sc_hd__fill_1 + PLACED ( 126500 10880 ) N ;
- FILLER_0_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 10880 ) N ;
- FILLER_0_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 10880 ) N ;
- FILLER_0_271 sky130_fd_sc_hd__fill_1 + PLACED ( 130180 10880 ) N ;
- FILLER_0_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 10880 ) N ;
- FILLER_0_285 sky130_fd_sc_hd__decap_4 + PLACED ( 136620 10880 ) N ;
- FILLER_0_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 10880 ) N ;
- FILLER_0_299 sky130_fd_sc_hd__decap_8 + PLACED ( 143060 10880 ) N ;
- FILLER_0_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 10880 ) N ;
- FILLER_0_312 sky130_fd_sc_hd__decap_4 + PLACED ( 149040 10880 ) N ;
- FILLER_0_316 sky130_fd_sc_hd__fill_1 + PLACED ( 150880 10880 ) N ;
- FILLER_0_327 sky130_fd_sc_hd__decap_8 + PLACED ( 155940 10880 ) N ;
- FILLER_0_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 10880 ) N ;
- FILLER_0_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 10880 ) N ;
- FILLER_0_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 10880 ) N ;
- FILLER_0_353 sky130_fd_sc_hd__decap_4 + PLACED ( 167900 10880 ) N ;
- FILLER_0_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 10880 ) N ;
- FILLER_0_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 10880 ) N ;
- FILLER_0_369 sky130_fd_sc_hd__fill_1 + PLACED ( 175260 10880 ) N ;
- FILLER_0_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 10880 ) N ;
- FILLER_0_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 10880 ) N ;
- FILLER_0_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 10880 ) N ;
- FILLER_0_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 10880 ) N ;
- FILLER_0_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 10880 ) N ;
- FILLER_0_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 10880 ) N ;
- FILLER_0_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 10880 ) N ;
- FILLER_0_65 sky130_fd_sc_hd__decap_6 + PLACED ( 35420 10880 ) N ;
- FILLER_0_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 10880 ) N ;
- FILLER_0_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 10880 ) N ;
- FILLER_0_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 10880 ) N ;
- FILLER_0_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 10880 ) N ;
- FILLER_0_89 sky130_fd_sc_hd__fill_1 + PLACED ( 46460 10880 ) N ;
- FILLER_0_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 10880 ) N ;
- FILLER_10_103 sky130_fd_sc_hd__fill_1 + PLACED ( 52900 38080 ) N ;
- FILLER_10_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 38080 ) N ;
- FILLER_10_116 sky130_fd_sc_hd__decap_12 + PLACED ( 58880 38080 ) N ;
- FILLER_10_128 sky130_fd_sc_hd__decap_12 + PLACED ( 64400 38080 ) N ;
- FILLER_10_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 38080 ) N ;
- FILLER_10_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 38080 ) N ;
- FILLER_10_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 38080 ) N ;
- FILLER_10_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 38080 ) N ;
- FILLER_10_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 38080 ) N ;
- FILLER_10_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 38080 ) N ;
- FILLER_10_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 38080 ) N ;
- FILLER_10_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 38080 ) N ;
- FILLER_10_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 38080 ) N ;
- FILLER_10_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 38080 ) N ;
- FILLER_10_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 38080 ) N ;
- FILLER_10_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 38080 ) N ;
- FILLER_10_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 38080 ) N ;
- FILLER_10_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 38080 ) N ;
- FILLER_10_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 38080 ) N ;
- FILLER_10_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 38080 ) N ;
- FILLER_10_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 38080 ) N ;
- FILLER_10_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 38080 ) N ;
- FILLER_10_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 38080 ) N ;
- FILLER_10_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 38080 ) N ;
- FILLER_10_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 38080 ) N ;
- FILLER_10_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 38080 ) N ;
- FILLER_10_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 38080 ) N ;
- FILLER_10_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 38080 ) N ;
- FILLER_10_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 38080 ) N ;
- FILLER_10_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 38080 ) N ;
- FILLER_10_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 38080 ) N ;
- FILLER_10_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 38080 ) N ;
- FILLER_10_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 38080 ) N ;
- FILLER_10_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 38080 ) N ;
- FILLER_10_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 38080 ) N ;
- FILLER_10_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 38080 ) N ;
- FILLER_10_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 38080 ) N ;
- FILLER_10_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 38080 ) N ;
- FILLER_10_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 38080 ) N ;
- FILLER_10_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 38080 ) N ;
- FILLER_10_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 38080 ) N ;
- FILLER_10_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 38080 ) N ;
- FILLER_10_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 38080 ) N ;
- FILLER_10_97 sky130_fd_sc_hd__decap_6 + PLACED ( 50140 38080 ) N ;
- FILLER_11_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 40800 ) FS ;
- FILLER_11_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 40800 ) FS ;
- FILLER_11_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 40800 ) FS ;
- FILLER_11_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 40800 ) FS ;
- FILLER_11_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 40800 ) FS ;
- FILLER_11_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 40800 ) FS ;
- FILLER_11_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 40800 ) FS ;
- FILLER_11_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 40800 ) FS ;
- FILLER_11_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 40800 ) FS ;
- FILLER_11_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 40800 ) FS ;
- FILLER_11_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 40800 ) FS ;
- FILLER_11_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 40800 ) FS ;
- FILLER_11_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 40800 ) FS ;
- FILLER_11_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 40800 ) FS ;
- FILLER_11_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 40800 ) FS ;
- FILLER_11_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 40800 ) FS ;
- FILLER_11_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 40800 ) FS ;
- FILLER_11_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 40800 ) FS ;
- FILLER_11_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 40800 ) FS ;
- FILLER_11_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 40800 ) FS ;
- FILLER_11_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 40800 ) FS ;
- FILLER_11_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 40800 ) FS ;
- FILLER_11_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 40800 ) FS ;
- FILLER_11_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 40800 ) FS ;
- FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) FS ;
- FILLER_11_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 40800 ) FS ;
- FILLER_11_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 40800 ) FS ;
- FILLER_11_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 40800 ) FS ;
- FILLER_11_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 40800 ) FS ;
- FILLER_11_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 40800 ) FS ;
- FILLER_11_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 40800 ) FS ;
- FILLER_11_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 40800 ) FS ;
- FILLER_11_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 40800 ) FS ;
- FILLER_11_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 40800 ) FS ;
- FILLER_11_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 40800 ) FS ;
- FILLER_11_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 40800 ) FS ;
- FILLER_11_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 40800 ) FS ;
- FILLER_11_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 40800 ) FS ;
- FILLER_11_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 40800 ) FS ;
- FILLER_11_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 40800 ) FS ;
- FILLER_11_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 40800 ) FS ;
- FILLER_11_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 40800 ) FS ;
- FILLER_11_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 40800 ) FS ;
- FILLER_11_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 40800 ) FS ;
- FILLER_12_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 43520 ) N ;
- FILLER_12_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 43520 ) N ;
- FILLER_12_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 43520 ) N ;
- FILLER_12_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 43520 ) N ;
- FILLER_12_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 43520 ) N ;
- FILLER_12_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 43520 ) N ;
- FILLER_12_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 43520 ) N ;
- FILLER_12_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 43520 ) N ;
- FILLER_12_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 43520 ) N ;
- FILLER_12_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 43520 ) N ;
- FILLER_12_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 43520 ) N ;
- FILLER_12_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 43520 ) N ;
- FILLER_12_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 43520 ) N ;
- FILLER_12_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 43520 ) N ;
- FILLER_12_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 43520 ) N ;
- FILLER_12_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 43520 ) N ;
- FILLER_12_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 43520 ) N ;
- FILLER_12_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 43520 ) N ;
- FILLER_12_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 43520 ) N ;
- FILLER_12_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 43520 ) N ;
- FILLER_12_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 43520 ) N ;
- FILLER_12_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 43520 ) N ;
- FILLER_12_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 43520 ) N ;
- FILLER_12_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 43520 ) N ;
- FILLER_12_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 43520 ) N ;
- FILLER_12_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 43520 ) N ;
- FILLER_12_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 43520 ) N ;
- FILLER_12_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 43520 ) N ;
- FILLER_12_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 43520 ) N ;
- FILLER_12_395 sky130_fd_sc_hd__fill_2 + PLACED ( 187220 43520 ) N ;
- FILLER_12_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 43520 ) N ;
- FILLER_12_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 43520 ) N ;
- FILLER_12_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 43520 ) N ;
- FILLER_12_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 43520 ) N ;
- FILLER_12_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 43520 ) N ;
- FILLER_12_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 43520 ) N ;
- FILLER_12_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 43520 ) N ;
- FILLER_12_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 43520 ) N ;
- FILLER_13_10 sky130_fd_sc_hd__decap_12 + PLACED ( 10120 46240 ) FS ;
- FILLER_13_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 46240 ) FS ;
- FILLER_13_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 46240 ) FS ;
- FILLER_13_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 46240 ) FS ;
- FILLER_13_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 46240 ) FS ;
- FILLER_13_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 46240 ) FS ;
- FILLER_13_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 46240 ) FS ;
- FILLER_13_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 46240 ) FS ;
- FILLER_13_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 46240 ) FS ;
- FILLER_13_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 46240 ) FS ;
- FILLER_13_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 46240 ) FS ;
- FILLER_13_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 46240 ) FS ;
- FILLER_13_22 sky130_fd_sc_hd__decap_12 + PLACED ( 15640 46240 ) FS ;
- FILLER_13_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 46240 ) FS ;
- FILLER_13_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 46240 ) FS ;
- FILLER_13_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 46240 ) FS ;
- FILLER_13_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 46240 ) FS ;
- FILLER_13_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 46240 ) FS ;
- FILLER_13_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 46240 ) FS ;
- FILLER_13_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 46240 ) FS ;
- FILLER_13_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 46240 ) FS ;
- FILLER_13_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 46240 ) FS ;
- FILLER_13_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 46240 ) FS ;
- FILLER_13_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 46240 ) FS ;
- FILLER_13_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 46240 ) FS ;
- FILLER_13_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 46240 ) FS ;
- FILLER_13_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 46240 ) FS ;
- FILLER_13_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 46240 ) FS ;
- FILLER_13_34 sky130_fd_sc_hd__decap_12 + PLACED ( 21160 46240 ) FS ;
- FILLER_13_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 46240 ) FS ;
- FILLER_13_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 46240 ) FS ;
- FILLER_13_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 46240 ) FS ;
- FILLER_13_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 46240 ) FS ;
- FILLER_13_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 46240 ) FS ;
- FILLER_13_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 46240 ) FS ;
- FILLER_13_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 46240 ) FS ;
- FILLER_13_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 46240 ) FS ;
- FILLER_13_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 46240 ) FS ;
- FILLER_13_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 46240 ) FS ;
- FILLER_13_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 46240 ) FS ;
- FILLER_13_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 46240 ) FS ;
- FILLER_13_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 46240 ) FS ;
- FILLER_14_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 48960 ) N ;
- FILLER_14_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 48960 ) N ;
- FILLER_14_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 48960 ) N ;
- FILLER_14_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 48960 ) N ;
- FILLER_14_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 48960 ) N ;
- FILLER_14_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 48960 ) N ;
- FILLER_14_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 48960 ) N ;
- FILLER_14_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 48960 ) N ;
- FILLER_14_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 48960 ) N ;
- FILLER_14_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 48960 ) N ;
- FILLER_14_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 48960 ) N ;
- FILLER_14_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 48960 ) N ;
- FILLER_14_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 48960 ) N ;
- FILLER_14_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 48960 ) N ;
- FILLER_14_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 48960 ) N ;
- FILLER_14_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 48960 ) N ;
- FILLER_14_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 48960 ) N ;
- FILLER_14_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 48960 ) N ;
- FILLER_14_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 48960 ) N ;
- FILLER_14_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 48960 ) N ;
- FILLER_14_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 48960 ) N ;
- FILLER_14_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 48960 ) N ;
- FILLER_14_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 48960 ) N ;
- FILLER_14_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 48960 ) N ;
- FILLER_14_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 48960 ) N ;
- FILLER_14_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 48960 ) N ;
- FILLER_14_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 48960 ) N ;
- FILLER_14_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 48960 ) N ;
- FILLER_14_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 48960 ) N ;
- FILLER_14_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 48960 ) N ;
- FILLER_14_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 48960 ) N ;
- FILLER_14_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 48960 ) N ;
- FILLER_14_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 48960 ) N ;
- FILLER_14_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 48960 ) N ;
- FILLER_14_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 48960 ) N ;
- FILLER_14_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 48960 ) N ;
- FILLER_14_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 48960 ) N ;
- FILLER_14_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 48960 ) N ;
- FILLER_14_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 48960 ) N ;
- FILLER_14_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 48960 ) N ;
- FILLER_14_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 48960 ) N ;
- FILLER_15_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 51680 ) FS ;
- FILLER_15_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 51680 ) FS ;
- FILLER_15_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 51680 ) FS ;
- FILLER_15_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 51680 ) FS ;
- FILLER_15_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 51680 ) FS ;
- FILLER_15_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 51680 ) FS ;
- FILLER_15_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 51680 ) FS ;
- FILLER_15_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 51680 ) FS ;
- FILLER_15_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 51680 ) FS ;
- FILLER_15_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 51680 ) FS ;
- FILLER_15_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 51680 ) FS ;
- FILLER_15_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 51680 ) FS ;
- FILLER_15_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 51680 ) FS ;
- FILLER_15_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 51680 ) FS ;
- FILLER_15_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 51680 ) FS ;
- FILLER_15_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 51680 ) FS ;
- FILLER_15_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 51680 ) FS ;
- FILLER_15_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 51680 ) FS ;
- FILLER_15_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 51680 ) FS ;
- FILLER_15_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 51680 ) FS ;
- FILLER_15_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 51680 ) FS ;
- FILLER_15_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 51680 ) FS ;
- FILLER_15_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 51680 ) FS ;
- FILLER_15_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 51680 ) FS ;
- FILLER_15_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 51680 ) FS ;
- FILLER_15_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 51680 ) FS ;
- FILLER_15_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 51680 ) FS ;
- FILLER_15_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 51680 ) FS ;
- FILLER_15_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 51680 ) FS ;
- FILLER_15_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 51680 ) FS ;
- FILLER_15_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 51680 ) FS ;
- FILLER_15_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 51680 ) FS ;
- FILLER_15_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 51680 ) FS ;
- FILLER_15_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 51680 ) FS ;
- FILLER_15_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 51680 ) FS ;
- FILLER_15_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 51680 ) FS ;
- FILLER_15_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 51680 ) FS ;
- FILLER_15_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 51680 ) FS ;
- FILLER_16_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 54400 ) N ;
- FILLER_16_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 54400 ) N ;
- FILLER_16_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 54400 ) N ;
- FILLER_16_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 54400 ) N ;
- FILLER_16_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 54400 ) N ;
- FILLER_16_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 54400 ) N ;
- FILLER_16_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 54400 ) N ;
- FILLER_16_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 54400 ) N ;
- FILLER_16_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 54400 ) N ;
- FILLER_16_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 54400 ) N ;
- FILLER_16_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 54400 ) N ;
- FILLER_16_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 54400 ) N ;
- FILLER_16_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 54400 ) N ;
- FILLER_16_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 54400 ) N ;
- FILLER_16_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 54400 ) N ;
- FILLER_16_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 54400 ) N ;
- FILLER_16_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 54400 ) N ;
- FILLER_16_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 54400 ) N ;
- FILLER_16_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 54400 ) N ;
- FILLER_16_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 54400 ) N ;
- FILLER_16_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 54400 ) N ;
- FILLER_16_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 54400 ) N ;
- FILLER_16_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 54400 ) N ;
- FILLER_16_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 54400 ) N ;
- FILLER_16_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 54400 ) N ;
- FILLER_16_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 54400 ) N ;
- FILLER_16_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 54400 ) N ;
- FILLER_16_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 54400 ) N ;
- FILLER_16_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 54400 ) N ;
- FILLER_16_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 54400 ) N ;
- FILLER_16_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 54400 ) N ;
- FILLER_16_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 54400 ) N ;
- FILLER_16_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 54400 ) N ;
- FILLER_16_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 54400 ) N ;
- FILLER_16_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 54400 ) N ;
- FILLER_16_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 54400 ) N ;
- FILLER_16_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 54400 ) N ;
- FILLER_16_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 54400 ) N ;
- FILLER_16_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 54400 ) N ;
- FILLER_17_108 sky130_fd_sc_hd__decap_4 + PLACED ( 55200 57120 ) FS ;
- FILLER_17_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 57120 ) FS ;
- FILLER_17_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 57120 ) FS ;
- FILLER_17_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 57120 ) FS ;
- FILLER_17_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 57120 ) FS ;
- FILLER_17_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 57120 ) FS ;
- FILLER_17_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 57120 ) FS ;
- FILLER_17_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 57120 ) FS ;
- FILLER_17_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 57120 ) FS ;
- FILLER_17_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 57120 ) FS ;
- FILLER_17_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 57120 ) FS ;
- FILLER_17_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 57120 ) FS ;
- FILLER_17_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 57120 ) FS ;
- FILLER_17_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 57120 ) FS ;
- FILLER_17_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 57120 ) FS ;
- FILLER_17_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 57120 ) FS ;
- FILLER_17_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 57120 ) FS ;
- FILLER_17_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 57120 ) FS ;
- FILLER_17_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 57120 ) FS ;
- FILLER_17_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 57120 ) FS ;
- FILLER_17_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 57120 ) FS ;
- FILLER_17_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 57120 ) FS ;
- FILLER_17_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 57120 ) FS ;
- FILLER_17_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 57120 ) FS ;
- FILLER_17_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 57120 ) FS ;
- FILLER_17_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 57120 ) FS ;
- FILLER_17_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 57120 ) FS ;
- FILLER_17_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 57120 ) FS ;
- FILLER_17_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 57120 ) FS ;
- FILLER_17_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 57120 ) FS ;
- FILLER_17_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 57120 ) FS ;
- FILLER_17_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 57120 ) FS ;
- FILLER_17_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 57120 ) FS ;
- FILLER_17_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 57120 ) FS ;
- FILLER_17_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 57120 ) FS ;
- FILLER_17_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 57120 ) FS ;
- FILLER_17_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 57120 ) FS ;
- FILLER_17_60 sky130_fd_sc_hd__decap_12 + PLACED ( 33120 57120 ) FS ;
- FILLER_17_72 sky130_fd_sc_hd__decap_12 + PLACED ( 38640 57120 ) FS ;
- FILLER_17_84 sky130_fd_sc_hd__decap_12 + PLACED ( 44160 57120 ) FS ;
- FILLER_17_96 sky130_fd_sc_hd__decap_12 + PLACED ( 49680 57120 ) FS ;
- FILLER_18_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 59840 ) N ;
- FILLER_18_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 59840 ) N ;
- FILLER_18_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 59840 ) N ;
- FILLER_18_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 59840 ) N ;
- FILLER_18_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 59840 ) N ;
- FILLER_18_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 59840 ) N ;
- FILLER_18_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 59840 ) N ;
- FILLER_18_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 59840 ) N ;
- FILLER_18_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 59840 ) N ;
- FILLER_18_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 59840 ) N ;
- FILLER_18_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 59840 ) N ;
- FILLER_18_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 59840 ) N ;
- FILLER_18_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 59840 ) N ;
- FILLER_18_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 59840 ) N ;
- FILLER_18_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 59840 ) N ;
- FILLER_18_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 59840 ) N ;
- FILLER_18_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 59840 ) N ;
- FILLER_18_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 59840 ) N ;
- FILLER_18_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 59840 ) N ;
- FILLER_18_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 59840 ) N ;
- FILLER_18_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 59840 ) N ;
- FILLER_18_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 59840 ) N ;
- FILLER_18_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 59840 ) N ;
- FILLER_18_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 59840 ) N ;
- FILLER_18_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 59840 ) N ;
- FILLER_18_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 59840 ) N ;
- FILLER_18_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 59840 ) N ;
- FILLER_18_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 59840 ) N ;
- FILLER_18_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 59840 ) N ;
- FILLER_18_397 sky130_fd_sc_hd__decap_3 + PLACED ( 188140 59840 ) N ;
- FILLER_18_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 59840 ) N ;
- FILLER_18_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 59840 ) N ;
- FILLER_18_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 59840 ) N ;
- FILLER_18_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 59840 ) N ;
- FILLER_18_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 59840 ) N ;
- FILLER_18_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 59840 ) N ;
- FILLER_18_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 59840 ) N ;
- FILLER_18_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 62560 ) FS ;
- FILLER_19_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 62560 ) FS ;
- FILLER_19_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 62560 ) FS ;
- FILLER_19_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 62560 ) FS ;
- FILLER_19_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 62560 ) FS ;
- FILLER_19_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 62560 ) FS ;
- FILLER_19_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 62560 ) FS ;
- FILLER_19_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 62560 ) FS ;
- FILLER_19_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 62560 ) FS ;
- FILLER_19_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 62560 ) FS ;
- FILLER_19_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 62560 ) FS ;
- FILLER_19_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 62560 ) FS ;
- FILLER_19_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 62560 ) FS ;
- FILLER_19_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 62560 ) FS ;
- FILLER_19_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 62560 ) FS ;
- FILLER_19_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 62560 ) FS ;
- FILLER_19_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 62560 ) FS ;
- FILLER_19_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 62560 ) FS ;
- FILLER_19_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 62560 ) FS ;
- FILLER_19_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 62560 ) FS ;
- FILLER_19_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 62560 ) FS ;
- FILLER_19_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 62560 ) FS ;
- FILLER_19_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 62560 ) FS ;
- FILLER_19_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 62560 ) FS ;
- FILLER_19_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 62560 ) FS ;
- FILLER_19_405 sky130_fd_sc_hd__fill_2 + PLACED ( 191820 62560 ) FS ;
- FILLER_19_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 62560 ) FS ;
- FILLER_19_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 62560 ) FS ;
- FILLER_19_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 62560 ) FS ;
- FILLER_19_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 62560 ) FS ;
- FILLER_1_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 13600 ) FS ;
- FILLER_1_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 13600 ) FS ;
- FILLER_1_117 sky130_fd_sc_hd__decap_6 + PLACED ( 59340 13600 ) FS ;
- FILLER_1_126 sky130_fd_sc_hd__decap_4 + PLACED ( 63480 13600 ) FS ;
- FILLER_1_13 sky130_fd_sc_hd__decap_8 + PLACED ( 11500 13600 ) FS ;
- FILLER_1_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 13600 ) FS ;
- FILLER_1_143 sky130_fd_sc_hd__decap_6 + PLACED ( 71300 13600 ) FS ;
- FILLER_1_153 sky130_fd_sc_hd__decap_6 + PLACED ( 75900 13600 ) FS ;
- FILLER_1_159 sky130_fd_sc_hd__fill_1 + PLACED ( 78660 13600 ) FS ;
- FILLER_1_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 13600 ) FS ;
- FILLER_1_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 13600 ) FS ;
- FILLER_1_172 sky130_fd_sc_hd__decap_6 + PLACED ( 84640 13600 ) FS ;
- FILLER_1_181 sky130_fd_sc_hd__decap_4 + PLACED ( 88780 13600 ) FS ;
- FILLER_1_185 sky130_fd_sc_hd__fill_1 + PLACED ( 90620 13600 ) FS ;
- FILLER_1_189 sky130_fd_sc_hd__decap_4 + PLACED ( 92460 13600 ) FS ;
- FILLER_1_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 13600 ) FS ;
- FILLER_1_21 sky130_fd_sc_hd__fill_2 + PLACED ( 15180 13600 ) FS ;
- FILLER_1_211 sky130_fd_sc_hd__decap_4 + PLACED ( 102580 13600 ) FS ;
- FILLER_1_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 13600 ) FS ;
- FILLER_1_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 13600 ) FS ;
- FILLER_1_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 13600 ) FS ;
- FILLER_1_233 sky130_fd_sc_hd__decap_6 + PLACED ( 112700 13600 ) FS ;
- FILLER_1_243 sky130_fd_sc_hd__decap_6 + PLACED ( 117300 13600 ) FS ;
- FILLER_1_253 sky130_fd_sc_hd__decap_4 + PLACED ( 121900 13600 ) FS ;
- FILLER_1_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 13600 ) FS ;
- FILLER_1_268 sky130_fd_sc_hd__decap_4 + PLACED ( 128800 13600 ) FS ;
- FILLER_1_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 13600 ) FS ;
- FILLER_1_281 sky130_fd_sc_hd__decap_6 + PLACED ( 134780 13600 ) FS ;
- FILLER_1_297 sky130_fd_sc_hd__decap_4 + PLACED ( 142140 13600 ) FS ;
- FILLER_1_305 sky130_fd_sc_hd__decap_4 + PLACED ( 145820 13600 ) FS ;
- FILLER_1_312 sky130_fd_sc_hd__decap_6 + PLACED ( 149040 13600 ) FS ;
- FILLER_1_318 sky130_fd_sc_hd__fill_1 + PLACED ( 151800 13600 ) FS ;
- FILLER_1_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 13600 ) FS ;
- FILLER_1_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 13600 ) FS ;
- FILLER_1_330 sky130_fd_sc_hd__decap_6 + PLACED ( 157320 13600 ) FS ;
- FILLER_1_340 sky130_fd_sc_hd__decap_4 + PLACED ( 161920 13600 ) FS ;
- FILLER_1_347 sky130_fd_sc_hd__decap_4 + PLACED ( 165140 13600 ) FS ;
- FILLER_1_351 sky130_fd_sc_hd__fill_1 + PLACED ( 166980 13600 ) FS ;
- FILLER_1_355 sky130_fd_sc_hd__decap_8 + PLACED ( 168820 13600 ) FS ;
- FILLER_1_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 13600 ) FS ;
- FILLER_1_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 13600 ) FS ;
- FILLER_1_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 13600 ) FS ;
- FILLER_1_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 13600 ) FS ;
- FILLER_1_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 13600 ) FS ;
- FILLER_1_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 13600 ) FS ;
- FILLER_1_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 13600 ) FS ;
- FILLER_1_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 13600 ) FS ;
- FILLER_1_61 sky130_fd_sc_hd__decap_6 + PLACED ( 33580 13600 ) FS ;
- FILLER_1_71 sky130_fd_sc_hd__decap_4 + PLACED ( 38180 13600 ) FS ;
- FILLER_1_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 13600 ) FS ;
- FILLER_1_86 sky130_fd_sc_hd__decap_4 + PLACED ( 45080 13600 ) FS ;
- FILLER_1_93 sky130_fd_sc_hd__decap_8 + PLACED ( 48300 13600 ) FS ;
- FILLER_20_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 65280 ) N ;
- FILLER_20_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 65280 ) N ;
- FILLER_20_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 65280 ) N ;
- FILLER_20_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 65280 ) N ;
- FILLER_20_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 65280 ) N ;
- FILLER_20_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 65280 ) N ;
- FILLER_20_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 65280 ) N ;
- FILLER_20_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 65280 ) N ;
- FILLER_20_219 sky130_fd_sc_hd__decap_12 + PLACED ( 106260 65280 ) N ;
- FILLER_20_231 sky130_fd_sc_hd__decap_12 + PLACED ( 111780 65280 ) N ;
- FILLER_20_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 65280 ) N ;
- FILLER_20_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 65280 ) N ;
- FILLER_20_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 65280 ) N ;
- FILLER_20_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 65280 ) N ;
- FILLER_20_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 65280 ) N ;
- FILLER_20_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 65280 ) N ;
- FILLER_20_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 65280 ) N ;
- FILLER_20_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 65280 ) N ;
- FILLER_20_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 65280 ) N ;
- FILLER_20_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 65280 ) N ;
- FILLER_20_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 65280 ) N ;
- FILLER_20_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 65280 ) N ;
- FILLER_20_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 65280 ) N ;
- FILLER_20_345 sky130_fd_sc_hd__fill_1 + PLACED ( 164220 65280 ) N ;
- FILLER_20_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 65280 ) N ;
- FILLER_20_361 sky130_fd_sc_hd__decap_3 + PLACED ( 171580 65280 ) N ;
- FILLER_20_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 65280 ) N ;
- FILLER_20_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 65280 ) N ;
- FILLER_20_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 65280 ) N ;
- FILLER_20_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 65280 ) N ;
- FILLER_20_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 65280 ) N ;
- FILLER_20_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 65280 ) N ;
- FILLER_20_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 65280 ) N ;
- FILLER_20_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 65280 ) N ;
- FILLER_20_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 65280 ) N ;
- FILLER_20_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 65280 ) N ;
- FILLER_20_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 65280 ) N ;
- FILLER_21_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 68000 ) FS ;
- FILLER_21_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 68000 ) FS ;
- FILLER_21_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 68000 ) FS ;
- FILLER_21_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 68000 ) FS ;
- FILLER_21_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 68000 ) FS ;
- FILLER_21_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 68000 ) FS ;
- FILLER_21_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 68000 ) FS ;
- FILLER_21_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 68000 ) FS ;
- FILLER_21_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 68000 ) FS ;
- FILLER_21_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 68000 ) FS ;
- FILLER_21_249 sky130_fd_sc_hd__decap_8 + PLACED ( 120060 68000 ) FS ;
- FILLER_21_257 sky130_fd_sc_hd__fill_1 + PLACED ( 123740 68000 ) FS ;
- FILLER_21_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 68000 ) FS ;
- FILLER_21_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 68000 ) FS ;
- FILLER_21_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 68000 ) FS ;
- FILLER_21_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 68000 ) FS ;
- FILLER_21_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 68000 ) FS ;
- FILLER_21_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 68000 ) FS ;
- FILLER_21_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 68000 ) FS ;
- FILLER_21_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 68000 ) FS ;
- FILLER_21_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 68000 ) FS ;
- FILLER_21_340 sky130_fd_sc_hd__decap_12 + PLACED ( 161920 68000 ) FS ;
- FILLER_21_352 sky130_fd_sc_hd__decap_12 + PLACED ( 167440 68000 ) FS ;
- FILLER_21_364 sky130_fd_sc_hd__decap_12 + PLACED ( 172960 68000 ) FS ;
- FILLER_21_376 sky130_fd_sc_hd__decap_12 + PLACED ( 178480 68000 ) FS ;
- FILLER_21_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 68000 ) FS ;
- FILLER_21_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 68000 ) FS ;
- FILLER_21_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 68000 ) FS ;
- FILLER_21_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 68000 ) FS ;
- FILLER_21_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 68000 ) FS ;
- FILLER_21_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 68000 ) FS ;
- FILLER_21_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 68000 ) FS ;
- FILLER_21_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 68000 ) FS ;
- FILLER_21_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 68000 ) FS ;
- FILLER_21_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 68000 ) FS ;
- FILLER_22_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 70720 ) N ;
- FILLER_22_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 70720 ) N ;
- FILLER_22_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 70720 ) N ;
- FILLER_22_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 70720 ) N ;
- FILLER_22_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 70720 ) N ;
- FILLER_22_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 70720 ) N ;
- FILLER_22_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 70720 ) N ;
- FILLER_22_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 70720 ) N ;
- FILLER_22_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 70720 ) N ;
- FILLER_22_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 70720 ) N ;
- FILLER_22_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 70720 ) N ;
- FILLER_22_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 70720 ) N ;
- FILLER_22_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 70720 ) N ;
- FILLER_22_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 70720 ) N ;
- FILLER_22_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 70720 ) N ;
- FILLER_22_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 70720 ) N ;
- FILLER_22_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 70720 ) N ;
- FILLER_22_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 70720 ) N ;
- FILLER_22_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 70720 ) N ;
- FILLER_22_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 70720 ) N ;
- FILLER_22_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 70720 ) N ;
- FILLER_22_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 70720 ) N ;
- FILLER_22_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 70720 ) N ;
- FILLER_22_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 70720 ) N ;
- FILLER_22_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 70720 ) N ;
- FILLER_22_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 70720 ) N ;
- FILLER_22_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 70720 ) N ;
- FILLER_22_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 70720 ) N ;
- FILLER_22_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 70720 ) N ;
- FILLER_22_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 70720 ) N ;
- FILLER_22_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 70720 ) N ;
- FILLER_22_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 70720 ) N ;
- FILLER_22_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 70720 ) N ;
- FILLER_22_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 70720 ) N ;
- FILLER_23_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 73440 ) FS ;
- FILLER_23_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 73440 ) FS ;
- FILLER_23_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 73440 ) FS ;
- FILLER_23_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 73440 ) FS ;
- FILLER_23_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 73440 ) FS ;
- FILLER_23_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 73440 ) FS ;
- FILLER_23_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 73440 ) FS ;
- FILLER_23_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 73440 ) FS ;
- FILLER_23_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 73440 ) FS ;
- FILLER_23_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 73440 ) FS ;
- FILLER_23_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 73440 ) FS ;
- FILLER_23_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 73440 ) FS ;
- FILLER_23_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 73440 ) FS ;
- FILLER_23_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 73440 ) FS ;
- FILLER_23_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 73440 ) FS ;
- FILLER_23_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 73440 ) FS ;
- FILLER_23_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 73440 ) FS ;
- FILLER_23_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 73440 ) FS ;
- FILLER_23_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 73440 ) FS ;
- FILLER_23_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 73440 ) FS ;
- FILLER_23_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 73440 ) FS ;
- FILLER_23_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 73440 ) FS ;
- FILLER_23_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 73440 ) FS ;
- FILLER_23_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 73440 ) FS ;
- FILLER_23_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 73440 ) FS ;
- FILLER_23_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 73440 ) FS ;
- FILLER_23_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 73440 ) FS ;
- FILLER_23_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 73440 ) FS ;
- FILLER_23_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 73440 ) FS ;
- FILLER_23_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 73440 ) FS ;
- FILLER_23_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 73440 ) FS ;
- FILLER_23_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 73440 ) FS ;
- FILLER_23_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 73440 ) FS ;
- FILLER_23_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 73440 ) FS ;
- FILLER_23_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 73440 ) FS ;
- FILLER_23_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 73440 ) FS ;
- FILLER_23_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 73440 ) FS ;
- FILLER_24_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 76160 ) N ;
- FILLER_24_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 76160 ) N ;
- FILLER_24_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 76160 ) N ;
- FILLER_24_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 76160 ) N ;
- FILLER_24_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 76160 ) N ;
- FILLER_24_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 76160 ) N ;
- FILLER_24_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 76160 ) N ;
- FILLER_24_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 76160 ) N ;
- FILLER_24_206 sky130_fd_sc_hd__decap_12 + PLACED ( 100280 76160 ) N ;
- FILLER_24_218 sky130_fd_sc_hd__decap_12 + PLACED ( 105800 76160 ) N ;
- FILLER_24_230 sky130_fd_sc_hd__decap_12 + PLACED ( 111320 76160 ) N ;
- FILLER_24_242 sky130_fd_sc_hd__decap_8 + PLACED ( 116840 76160 ) N ;
- FILLER_24_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 76160 ) N ;
- FILLER_24_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 76160 ) N ;
- FILLER_24_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 76160 ) N ;
- FILLER_24_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 76160 ) N ;
- FILLER_24_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 76160 ) N ;
- FILLER_24_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 76160 ) N ;
- FILLER_24_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 76160 ) N ;
- FILLER_24_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 76160 ) N ;
- FILLER_24_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 76160 ) N ;
- FILLER_24_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 76160 ) N ;
- FILLER_24_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 76160 ) N ;
- FILLER_24_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 76160 ) N ;
- FILLER_24_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 76160 ) N ;
- FILLER_24_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 76160 ) N ;
- FILLER_24_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 76160 ) N ;
- FILLER_24_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 76160 ) N ;
- FILLER_24_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 76160 ) N ;
- FILLER_24_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 76160 ) N ;
- FILLER_24_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 76160 ) N ;
- FILLER_24_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 76160 ) N ;
- FILLER_24_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 76160 ) N ;
- FILLER_24_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 76160 ) N ;
- FILLER_24_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 76160 ) N ;
- FILLER_24_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 76160 ) N ;
- FILLER_24_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 76160 ) N ;
- FILLER_24_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 76160 ) N ;
- FILLER_24_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 76160 ) N ;
- FILLER_24_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 76160 ) N ;
- FILLER_25_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 78880 ) FS ;
- FILLER_25_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 78880 ) FS ;
- FILLER_25_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 78880 ) FS ;
- FILLER_25_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 78880 ) FS ;
- FILLER_25_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 78880 ) FS ;
- FILLER_25_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 78880 ) FS ;
- FILLER_25_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 78880 ) FS ;
- FILLER_25_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 78880 ) FS ;
- FILLER_25_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 78880 ) FS ;
- FILLER_25_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 78880 ) FS ;
- FILLER_25_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 78880 ) FS ;
- FILLER_25_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 78880 ) FS ;
- FILLER_25_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 78880 ) FS ;
- FILLER_25_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 78880 ) FS ;
- FILLER_25_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 78880 ) FS ;
- FILLER_25_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 78880 ) FS ;
- FILLER_25_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 78880 ) FS ;
- FILLER_25_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 78880 ) FS ;
- FILLER_25_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 78880 ) FS ;
- FILLER_25_293 sky130_fd_sc_hd__decap_4 + PLACED ( 140300 78880 ) FS ;
- FILLER_25_297 sky130_fd_sc_hd__fill_1 + PLACED ( 142140 78880 ) FS ;
- FILLER_25_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 78880 ) FS ;
- FILLER_25_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 78880 ) FS ;
- FILLER_25_302 sky130_fd_sc_hd__decap_12 + PLACED ( 144440 78880 ) FS ;
- FILLER_25_314 sky130_fd_sc_hd__decap_12 + PLACED ( 149960 78880 ) FS ;
- FILLER_25_326 sky130_fd_sc_hd__decap_8 + PLACED ( 155480 78880 ) FS ;
- FILLER_25_334 sky130_fd_sc_hd__fill_2 + PLACED ( 159160 78880 ) FS ;
- FILLER_25_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 78880 ) FS ;
- FILLER_25_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 78880 ) FS ;
- FILLER_25_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 78880 ) FS ;
- FILLER_25_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 78880 ) FS ;
- FILLER_25_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 78880 ) FS ;
- FILLER_25_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 78880 ) FS ;
- FILLER_25_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 78880 ) FS ;
- FILLER_25_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 78880 ) FS ;
- FILLER_25_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 78880 ) FS ;
- FILLER_25_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 78880 ) FS ;
- FILLER_25_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 78880 ) FS ;
- FILLER_25_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 78880 ) FS ;
- FILLER_25_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 78880 ) FS ;
- FILLER_26_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 81600 ) N ;
- FILLER_26_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 81600 ) N ;
- FILLER_26_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 81600 ) N ;
- FILLER_26_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 81600 ) N ;
- FILLER_26_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 81600 ) N ;
- FILLER_26_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 81600 ) N ;
- FILLER_26_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 81600 ) N ;
- FILLER_26_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 81600 ) N ;
- FILLER_26_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 81600 ) N ;
- FILLER_26_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 81600 ) N ;
- FILLER_26_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 81600 ) N ;
- FILLER_26_217 sky130_fd_sc_hd__decap_12 + PLACED ( 105340 81600 ) N ;
- FILLER_26_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 81600 ) N ;
- FILLER_26_241 sky130_fd_sc_hd__decap_8 + PLACED ( 116380 81600 ) N ;
- FILLER_26_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 81600 ) N ;
- FILLER_26_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 81600 ) N ;
- FILLER_26_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 81600 ) N ;
- FILLER_26_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 81600 ) N ;
- FILLER_26_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 81600 ) N ;
- FILLER_26_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 81600 ) N ;
- FILLER_26_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 81600 ) N ;
- FILLER_26_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 81600 ) N ;
- FILLER_26_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 81600 ) N ;
- FILLER_26_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 81600 ) N ;
- FILLER_26_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 81600 ) N ;
- FILLER_26_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 81600 ) N ;
- FILLER_26_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 81600 ) N ;
- FILLER_26_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 81600 ) N ;
- FILLER_26_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 81600 ) N ;
- FILLER_26_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 81600 ) N ;
- FILLER_26_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 81600 ) N ;
- FILLER_26_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 81600 ) N ;
- FILLER_26_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 81600 ) N ;
- FILLER_26_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 81600 ) N ;
- FILLER_26_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 81600 ) N ;
- FILLER_26_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 81600 ) N ;
- FILLER_26_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 81600 ) N ;
- FILLER_26_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 81600 ) N ;
- FILLER_26_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 81600 ) N ;
- FILLER_26_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 81600 ) N ;
- FILLER_26_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 81600 ) N ;
- FILLER_27_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 84320 ) FS ;
- FILLER_27_14 sky130_fd_sc_hd__decap_8 + PLACED ( 11960 84320 ) FS ;
- FILLER_27_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 84320 ) FS ;
- FILLER_27_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 84320 ) FS ;
- FILLER_27_193 sky130_fd_sc_hd__decap_6 + PLACED ( 94300 84320 ) FS ;
- FILLER_27_199 sky130_fd_sc_hd__fill_1 + PLACED ( 97060 84320 ) FS ;
- FILLER_27_204 sky130_fd_sc_hd__decap_12 + PLACED ( 99360 84320 ) FS ;
- FILLER_27_216 sky130_fd_sc_hd__decap_8 + PLACED ( 104880 84320 ) FS ;
- FILLER_27_22 sky130_fd_sc_hd__fill_1 + PLACED ( 15640 84320 ) FS ;
- FILLER_27_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 84320 ) FS ;
- FILLER_27_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 84320 ) FS ;
- FILLER_27_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 84320 ) FS ;
- FILLER_27_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 84320 ) FS ;
- FILLER_27_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 84320 ) FS ;
- FILLER_27_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 84320 ) FS ;
- FILLER_27_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 84320 ) FS ;
- FILLER_27_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 84320 ) FS ;
- FILLER_27_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 84320 ) FS ;
- FILLER_27_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 84320 ) FS ;
- FILLER_27_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 84320 ) FS ;
- FILLER_27_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 84320 ) FS ;
- FILLER_27_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 84320 ) FS ;
- FILLER_27_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 84320 ) FS ;
- FILLER_27_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 84320 ) FS ;
- FILLER_27_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 84320 ) FS ;
- FILLER_27_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 84320 ) FS ;
- FILLER_27_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 84320 ) FS ;
- FILLER_27_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 84320 ) FS ;
- FILLER_27_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 84320 ) FS ;
- FILLER_27_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 84320 ) FS ;
- FILLER_27_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 84320 ) FS ;
- FILLER_27_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 84320 ) FS ;
- FILLER_27_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 84320 ) FS ;
- FILLER_27_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 84320 ) FS ;
- FILLER_27_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 84320 ) FS ;
- FILLER_27_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 84320 ) FS ;
- FILLER_28_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 87040 ) N ;
- FILLER_28_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 87040 ) N ;
- FILLER_28_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 87040 ) N ;
- FILLER_28_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 87040 ) N ;
- FILLER_28_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 87040 ) N ;
- FILLER_28_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 87040 ) N ;
- FILLER_28_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 87040 ) N ;
- FILLER_28_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 87040 ) N ;
- FILLER_28_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 87040 ) N ;
- FILLER_28_217 sky130_fd_sc_hd__decap_12 + PLACED ( 105340 87040 ) N ;
- FILLER_28_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 87040 ) N ;
- FILLER_28_241 sky130_fd_sc_hd__decap_8 + PLACED ( 116380 87040 ) N ;
- FILLER_28_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 87040 ) N ;
- FILLER_28_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 87040 ) N ;
- FILLER_28_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 87040 ) N ;
- FILLER_28_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 87040 ) N ;
- FILLER_28_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 87040 ) N ;
- FILLER_28_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 87040 ) N ;
- FILLER_28_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 87040 ) N ;
- FILLER_28_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 87040 ) N ;
- FILLER_28_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 87040 ) N ;
- FILLER_28_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 87040 ) N ;
- FILLER_28_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 87040 ) N ;
- FILLER_28_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 87040 ) N ;
- FILLER_28_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 87040 ) N ;
- FILLER_28_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 87040 ) N ;
- FILLER_28_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 87040 ) N ;
- FILLER_28_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 87040 ) N ;
- FILLER_28_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 87040 ) N ;
- FILLER_28_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 87040 ) N ;
- FILLER_28_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 87040 ) N ;
- FILLER_28_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 87040 ) N ;
- FILLER_28_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 87040 ) N ;
- FILLER_28_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 87040 ) N ;
- FILLER_28_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 87040 ) N ;
- FILLER_29_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 89760 ) FS ;
- FILLER_29_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 89760 ) FS ;
- FILLER_29_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 89760 ) FS ;
- FILLER_29_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 89760 ) FS ;
- FILLER_29_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 89760 ) FS ;
- FILLER_29_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 89760 ) FS ;
- FILLER_29_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 89760 ) FS ;
- FILLER_29_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 89760 ) FS ;
- FILLER_29_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 89760 ) FS ;
- FILLER_29_193 sky130_fd_sc_hd__decap_6 + PLACED ( 94300 89760 ) FS ;
- FILLER_29_199 sky130_fd_sc_hd__fill_1 + PLACED ( 97060 89760 ) FS ;
- FILLER_29_204 sky130_fd_sc_hd__decap_4 + PLACED ( 99360 89760 ) FS ;
- FILLER_29_212 sky130_fd_sc_hd__decap_12 + PLACED ( 103040 89760 ) FS ;
- FILLER_29_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 89760 ) FS ;
- FILLER_29_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 89760 ) FS ;
- FILLER_29_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 89760 ) FS ;
- FILLER_29_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 89760 ) FS ;
- FILLER_29_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 89760 ) FS ;
- FILLER_29_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 89760 ) FS ;
- FILLER_29_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 89760 ) FS ;
- FILLER_29_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 89760 ) FS ;
- FILLER_29_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 89760 ) FS ;
- FILLER_29_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 89760 ) FS ;
- FILLER_29_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 89760 ) FS ;
- FILLER_29_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 89760 ) FS ;
- FILLER_29_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 89760 ) FS ;
- FILLER_29_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 89760 ) FS ;
- FILLER_29_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 89760 ) FS ;
- FILLER_29_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 89760 ) FS ;
- FILLER_29_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 89760 ) FS ;
- FILLER_29_361 sky130_fd_sc_hd__decap_4 + PLACED ( 171580 89760 ) FS ;
- FILLER_29_365 sky130_fd_sc_hd__fill_1 + PLACED ( 173420 89760 ) FS ;
- FILLER_29_369 sky130_fd_sc_hd__decap_12 + PLACED ( 175260 89760 ) FS ;
- FILLER_29_381 sky130_fd_sc_hd__decap_8 + PLACED ( 180780 89760 ) FS ;
- FILLER_29_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 89760 ) FS ;
- FILLER_29_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 89760 ) FS ;
- FILLER_29_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 89760 ) FS ;
- FILLER_29_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 89760 ) FS ;
- FILLER_29_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 89760 ) FS ;
- FILLER_29_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 89760 ) FS ;
- FILLER_29_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 89760 ) FS ;
- FILLER_29_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 89760 ) FS ;
- FILLER_29_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 89760 ) FS ;
- FILLER_2_101 sky130_fd_sc_hd__decap_8 + PLACED ( 51980 16320 ) N ;
- FILLER_2_112 sky130_fd_sc_hd__decap_4 + PLACED ( 57040 16320 ) N ;
- FILLER_2_116 sky130_fd_sc_hd__fill_1 + PLACED ( 58880 16320 ) N ;
- FILLER_2_120 sky130_fd_sc_hd__decap_12 + PLACED ( 60720 16320 ) N ;
- FILLER_2_132 sky130_fd_sc_hd__decap_8 + PLACED ( 66240 16320 ) N ;
- FILLER_2_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 16320 ) N ;
- FILLER_2_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 16320 ) N ;
- FILLER_2_151 sky130_fd_sc_hd__decap_6 + PLACED ( 74980 16320 ) N ;
- FILLER_2_157 sky130_fd_sc_hd__fill_1 + PLACED ( 77740 16320 ) N ;
- FILLER_2_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 16320 ) N ;
- FILLER_2_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 16320 ) N ;
- FILLER_2_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 16320 ) N ;
- FILLER_2_175 sky130_fd_sc_hd__fill_1 + PLACED ( 86020 16320 ) N ;
- FILLER_2_179 sky130_fd_sc_hd__decap_8 + PLACED ( 87860 16320 ) N ;
- FILLER_2_187 sky130_fd_sc_hd__fill_2 + PLACED ( 91540 16320 ) N ;
- FILLER_2_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 16320 ) N ;
- FILLER_2_197 sky130_fd_sc_hd__decap_3 + PLACED ( 96140 16320 ) N ;
- FILLER_2_203 sky130_fd_sc_hd__decap_8 + PLACED ( 98900 16320 ) N ;
- FILLER_2_215 sky130_fd_sc_hd__decap_4 + PLACED ( 104420 16320 ) N ;
- FILLER_2_222 sky130_fd_sc_hd__decap_4 + PLACED ( 107640 16320 ) N ;
- FILLER_2_229 sky130_fd_sc_hd__decap_8 + PLACED ( 110860 16320 ) N ;
- FILLER_2_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 16320 ) N ;
- FILLER_2_240 sky130_fd_sc_hd__decap_4 + PLACED ( 115920 16320 ) N ;
- FILLER_2_247 sky130_fd_sc_hd__decap_4 + PLACED ( 119140 16320 ) N ;
- FILLER_2_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 16320 ) N ;
- FILLER_2_256 sky130_fd_sc_hd__decap_6 + PLACED ( 123280 16320 ) N ;
- FILLER_2_265 sky130_fd_sc_hd__decap_4 + PLACED ( 127420 16320 ) N ;
- FILLER_2_269 sky130_fd_sc_hd__fill_1 + PLACED ( 129260 16320 ) N ;
- FILLER_2_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 16320 ) N ;
- FILLER_2_273 sky130_fd_sc_hd__decap_12 + PLACED ( 131100 16320 ) N ;
- FILLER_2_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 16320 ) N ;
- FILLER_2_304 sky130_fd_sc_hd__decap_4 + PLACED ( 145360 16320 ) N ;
- FILLER_2_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 16320 ) N ;
- FILLER_2_316 sky130_fd_sc_hd__decap_8 + PLACED ( 150880 16320 ) N ;
- FILLER_2_324 sky130_fd_sc_hd__fill_2 + PLACED ( 154560 16320 ) N ;
- FILLER_2_329 sky130_fd_sc_hd__decap_4 + PLACED ( 156860 16320 ) N ;
- FILLER_2_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 16320 ) N ;
- FILLER_2_333 sky130_fd_sc_hd__fill_1 + PLACED ( 158700 16320 ) N ;
- FILLER_2_337 sky130_fd_sc_hd__decap_6 + PLACED ( 160540 16320 ) N ;
- FILLER_2_346 sky130_fd_sc_hd__decap_12 + PLACED ( 164680 16320 ) N ;
- FILLER_2_358 sky130_fd_sc_hd__decap_6 + PLACED ( 170200 16320 ) N ;
- FILLER_2_368 sky130_fd_sc_hd__decap_4 + PLACED ( 174800 16320 ) N ;
- FILLER_2_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 16320 ) N ;
- FILLER_2_383 sky130_fd_sc_hd__decap_4 + PLACED ( 181700 16320 ) N ;
- FILLER_2_391 sky130_fd_sc_hd__decap_8 + PLACED ( 185380 16320 ) N ;
- FILLER_2_40 sky130_fd_sc_hd__decap_6 + PLACED ( 23920 16320 ) N ;
- FILLER_2_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 16320 ) N ;
- FILLER_2_46 sky130_fd_sc_hd__fill_1 + PLACED ( 26680 16320 ) N ;
- FILLER_2_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 16320 ) N ;
- FILLER_2_58 sky130_fd_sc_hd__decap_4 + PLACED ( 32200 16320 ) N ;
- FILLER_2_65 sky130_fd_sc_hd__decap_8 + PLACED ( 35420 16320 ) N ;
- FILLER_2_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 16320 ) N ;
- FILLER_2_73 sky130_fd_sc_hd__decap_3 + PLACED ( 39100 16320 ) N ;
- FILLER_2_79 sky130_fd_sc_hd__decap_4 + PLACED ( 41860 16320 ) N ;
- FILLER_2_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 16320 ) N ;
- FILLER_2_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 16320 ) N ;
- FILLER_2_94 sky130_fd_sc_hd__decap_4 + PLACED ( 48760 16320 ) N ;
- FILLER_30_10 sky130_fd_sc_hd__decap_12 + PLACED ( 10120 92480 ) N ;
- FILLER_30_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 92480 ) N ;
- FILLER_30_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 92480 ) N ;
- FILLER_30_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 92480 ) N ;
- FILLER_30_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 92480 ) N ;
- FILLER_30_177 sky130_fd_sc_hd__decap_8 + PLACED ( 86940 92480 ) N ;
- FILLER_30_185 sky130_fd_sc_hd__decap_3 + PLACED ( 90620 92480 ) N ;
- FILLER_30_192 sky130_fd_sc_hd__decap_4 + PLACED ( 93840 92480 ) N ;
- FILLER_30_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 92480 ) N ;
- FILLER_30_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 92480 ) N ;
- FILLER_30_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 92480 ) N ;
- FILLER_30_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 92480 ) N ;
- FILLER_30_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 92480 ) N ;
- FILLER_30_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 92480 ) N ;
- FILLER_30_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 92480 ) N ;
- FILLER_30_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 92480 ) N ;
- FILLER_30_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 92480 ) N ;
- FILLER_30_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 92480 ) N ;
- FILLER_30_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 92480 ) N ;
- FILLER_30_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 92480 ) N ;
- FILLER_30_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 92480 ) N ;
- FILLER_30_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 92480 ) N ;
- FILLER_30_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 92480 ) N ;
- FILLER_30_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 92480 ) N ;
- FILLER_30_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 92480 ) N ;
- FILLER_30_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 92480 ) N ;
- FILLER_30_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 92480 ) N ;
- FILLER_30_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 92480 ) N ;
- FILLER_30_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 92480 ) N ;
- FILLER_30_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 92480 ) N ;
- FILLER_30_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 92480 ) N ;
- FILLER_30_377 sky130_fd_sc_hd__decap_8 + PLACED ( 178940 92480 ) N ;
- FILLER_30_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 92480 ) N ;
- FILLER_30_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 92480 ) N ;
- FILLER_30_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 92480 ) N ;
- FILLER_30_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 92480 ) N ;
- FILLER_30_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 92480 ) N ;
- FILLER_30_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 92480 ) N ;
- FILLER_30_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 92480 ) N ;
- FILLER_30_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 92480 ) N ;
- FILLER_31_106 sky130_fd_sc_hd__decap_6 + PLACED ( 54280 95200 ) FS ;
- FILLER_31_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 95200 ) FS ;
- FILLER_31_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 95200 ) FS ;
- FILLER_31_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 95200 ) FS ;
- FILLER_31_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 95200 ) FS ;
- FILLER_31_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 95200 ) FS ;
- FILLER_31_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_fd_sc_hd__decap_4 + PLACED ( 83260 95200 ) FS ;
- FILLER_31_177 sky130_fd_sc_hd__decap_6 + PLACED ( 86940 95200 ) FS ;
- FILLER_31_183 sky130_fd_sc_hd__fill_1 + PLACED ( 89700 95200 ) FS ;
- FILLER_31_188 sky130_fd_sc_hd__decap_4 + PLACED ( 92000 95200 ) FS ;
- FILLER_31_196 sky130_fd_sc_hd__decap_4 + PLACED ( 95680 95200 ) FS ;
- FILLER_31_204 sky130_fd_sc_hd__decap_4 + PLACED ( 99360 95200 ) FS ;
- FILLER_31_212 sky130_fd_sc_hd__decap_4 + PLACED ( 103040 95200 ) FS ;
- FILLER_31_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 95200 ) FS ;
- FILLER_31_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 95200 ) FS ;
- FILLER_31_233 sky130_fd_sc_hd__decap_4 + PLACED ( 112700 95200 ) FS ;
- FILLER_31_237 sky130_fd_sc_hd__fill_1 + PLACED ( 114540 95200 ) FS ;
- FILLER_31_242 sky130_fd_sc_hd__decap_12 + PLACED ( 116840 95200 ) FS ;
- FILLER_31_254 sky130_fd_sc_hd__decap_12 + PLACED ( 122360 95200 ) FS ;
- FILLER_31_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 95200 ) FS ;
- FILLER_31_266 sky130_fd_sc_hd__decap_12 + PLACED ( 127880 95200 ) FS ;
- FILLER_31_278 sky130_fd_sc_hd__fill_2 + PLACED ( 133400 95200 ) FS ;
- FILLER_31_281 sky130_fd_sc_hd__decap_4 + PLACED ( 134780 95200 ) FS ;
- FILLER_31_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 95200 ) FS ;
- FILLER_31_301 sky130_fd_sc_hd__decap_12 + PLACED ( 143980 95200 ) FS ;
- FILLER_31_313 sky130_fd_sc_hd__decap_12 + PLACED ( 149500 95200 ) FS ;
- FILLER_31_325 sky130_fd_sc_hd__decap_8 + PLACED ( 155020 95200 ) FS ;
- FILLER_31_333 sky130_fd_sc_hd__decap_3 + PLACED ( 158700 95200 ) FS ;
- FILLER_31_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 95200 ) FS ;
- FILLER_31_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 95200 ) FS ;
- FILLER_31_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 95200 ) FS ;
- FILLER_31_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 95200 ) FS ;
- FILLER_31_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 95200 ) FS ;
- FILLER_31_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 95200 ) FS ;
- FILLER_31_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 95200 ) FS ;
- FILLER_31_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 95200 ) FS ;
- FILLER_31_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 95200 ) FS ;
- FILLER_31_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 95200 ) FS ;
- FILLER_31_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 95200 ) FS ;
- FILLER_31_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 95200 ) FS ;
- FILLER_31_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 95200 ) FS ;
- FILLER_31_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 95200 ) FS ;
- FILLER_31_89 sky130_fd_sc_hd__fill_1 + PLACED ( 46460 95200 ) FS ;
- FILLER_31_94 sky130_fd_sc_hd__decap_12 + PLACED ( 48760 95200 ) FS ;
- FILLER_32_108 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 97920 ) N ;
- FILLER_32_11 sky130_fd_sc_hd__decap_6 + PLACED ( 10580 97920 ) N ;
- FILLER_32_116 sky130_fd_sc_hd__fill_2 + PLACED ( 58880 97920 ) N ;
- FILLER_32_122 sky130_fd_sc_hd__decap_12 + PLACED ( 61640 97920 ) N ;
- FILLER_32_134 sky130_fd_sc_hd__decap_6 + PLACED ( 67160 97920 ) N ;
- FILLER_32_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 97920 ) N ;
- FILLER_32_145 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 97920 ) N ;
- FILLER_32_150 sky130_fd_sc_hd__decap_8 + PLACED ( 74520 97920 ) N ;
- FILLER_32_162 sky130_fd_sc_hd__decap_6 + PLACED ( 80040 97920 ) N ;
- FILLER_32_172 sky130_fd_sc_hd__decap_8 + PLACED ( 84640 97920 ) N ;
- FILLER_32_180 sky130_fd_sc_hd__fill_1 + PLACED ( 88320 97920 ) N ;
- FILLER_32_185 sky130_fd_sc_hd__decap_8 + PLACED ( 90620 97920 ) N ;
- FILLER_32_193 sky130_fd_sc_hd__decap_3 + PLACED ( 94300 97920 ) N ;
- FILLER_32_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 97920 ) N ;
- FILLER_32_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 97920 ) N ;
- FILLER_32_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 97920 ) N ;
- FILLER_32_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 97920 ) N ;
- FILLER_32_221 sky130_fd_sc_hd__decap_4 + PLACED ( 107180 97920 ) N ;
- FILLER_32_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 97920 ) N ;
- FILLER_32_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 97920 ) N ;
- FILLER_32_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 97920 ) N ;
- FILLER_32_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 97920 ) N ;
- FILLER_32_257 sky130_fd_sc_hd__decap_12 + PLACED ( 123740 97920 ) N ;
- FILLER_32_269 sky130_fd_sc_hd__decap_4 + PLACED ( 129260 97920 ) N ;
- FILLER_32_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 97920 ) N ;
- FILLER_32_273 sky130_fd_sc_hd__fill_1 + PLACED ( 131100 97920 ) N ;
- FILLER_32_278 sky130_fd_sc_hd__decap_6 + PLACED ( 133400 97920 ) N ;
- FILLER_32_284 sky130_fd_sc_hd__fill_1 + PLACED ( 136160 97920 ) N ;
- FILLER_32_289 sky130_fd_sc_hd__decap_4 + PLACED ( 138460 97920 ) N ;
- FILLER_32_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 97920 ) N ;
- FILLER_32_293 sky130_fd_sc_hd__fill_1 + PLACED ( 140300 97920 ) N ;
- FILLER_32_298 sky130_fd_sc_hd__decap_8 + PLACED ( 142600 97920 ) N ;
- FILLER_32_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 97920 ) N ;
- FILLER_32_306 sky130_fd_sc_hd__fill_2 + PLACED ( 146280 97920 ) N ;
- FILLER_32_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 97920 ) N ;
- FILLER_32_317 sky130_fd_sc_hd__decap_4 + PLACED ( 151340 97920 ) N ;
- FILLER_32_321 sky130_fd_sc_hd__fill_1 + PLACED ( 153180 97920 ) N ;
- FILLER_32_326 sky130_fd_sc_hd__decap_12 + PLACED ( 155480 97920 ) N ;
- FILLER_32_338 sky130_fd_sc_hd__decap_8 + PLACED ( 161000 97920 ) N ;
- FILLER_32_350 sky130_fd_sc_hd__decap_12 + PLACED ( 166520 97920 ) N ;
- FILLER_32_362 sky130_fd_sc_hd__fill_2 + PLACED ( 172040 97920 ) N ;
- FILLER_32_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 97920 ) N ;
- FILLER_32_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 97920 ) N ;
- FILLER_32_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 97920 ) N ;
- FILLER_32_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 97920 ) N ;
- FILLER_32_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 97920 ) N ;
- FILLER_32_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 97920 ) N ;
- FILLER_32_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 97920 ) N ;
- FILLER_32_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 97920 ) N ;
- FILLER_32_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 97920 ) N ;
- FILLER_32_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 97920 ) N ;
- FILLER_32_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 97920 ) N ;
- FILLER_32_91 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 97920 ) N ;
- FILLER_32_96 sky130_fd_sc_hd__decap_8 + PLACED ( 49680 97920 ) N ;
- FILLER_33_10 sky130_fd_sc_hd__decap_4 + PLACED ( 10120 100640 ) FS ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 100640 ) FS ;
- FILLER_33_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 100640 ) FS ;
- FILLER_33_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 100640 ) FS ;
- FILLER_33_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 100640 ) FS ;
- FILLER_33_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 100640 ) FS ;
- FILLER_33_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 100640 ) FS ;
- FILLER_33_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 100640 ) FS ;
- FILLER_33_17 sky130_fd_sc_hd__decap_12 + PLACED ( 13340 100640 ) FS ;
- FILLER_33_181 sky130_fd_sc_hd__decap_3 + PLACED ( 88780 100640 ) FS ;
- FILLER_33_188 sky130_fd_sc_hd__decap_4 + PLACED ( 92000 100640 ) FS ;
- FILLER_33_196 sky130_fd_sc_hd__decap_4 + PLACED ( 95680 100640 ) FS ;
- FILLER_33_204 sky130_fd_sc_hd__decap_4 + PLACED ( 99360 100640 ) FS ;
- FILLER_33_212 sky130_fd_sc_hd__decap_4 + PLACED ( 103040 100640 ) FS ;
- FILLER_33_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 100640 ) FS ;
- FILLER_33_225 sky130_fd_sc_hd__decap_4 + PLACED ( 109020 100640 ) FS ;
- FILLER_33_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 100640 ) FS ;
- FILLER_33_245 sky130_fd_sc_hd__decap_12 + PLACED ( 118220 100640 ) FS ;
- FILLER_33_257 sky130_fd_sc_hd__decap_12 + PLACED ( 123740 100640 ) FS ;
- FILLER_33_269 sky130_fd_sc_hd__decap_8 + PLACED ( 129260 100640 ) FS ;
- FILLER_33_277 sky130_fd_sc_hd__decap_3 + PLACED ( 132940 100640 ) FS ;
- FILLER_33_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 100640 ) FS ;
- FILLER_33_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 100640 ) FS ;
- FILLER_33_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 100640 ) FS ;
- FILLER_33_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 100640 ) FS ;
- FILLER_33_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 100640 ) FS ;
- FILLER_33_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 100640 ) FS ;
- FILLER_33_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 100640 ) FS ;
- FILLER_33_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 100640 ) FS ;
- FILLER_33_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 100640 ) FS ;
- FILLER_33_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 100640 ) FS ;
- FILLER_33_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 100640 ) FS ;
- FILLER_33_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 100640 ) FS ;
- FILLER_33_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 100640 ) FS ;
- FILLER_33_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 100640 ) FS ;
- FILLER_33_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 100640 ) FS ;
- FILLER_33_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 100640 ) FS ;
- FILLER_33_53 sky130_fd_sc_hd__decap_3 + PLACED ( 29900 100640 ) FS ;
- FILLER_33_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 100640 ) FS ;
- FILLER_33_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 103360 ) N ;
- FILLER_34_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 103360 ) N ;
- FILLER_34_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 103360 ) N ;
- FILLER_34_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 103360 ) N ;
- FILLER_34_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 103360 ) N ;
- FILLER_34_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 103360 ) N ;
- FILLER_34_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 103360 ) N ;
- FILLER_34_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 103360 ) N ;
- FILLER_34_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 103360 ) N ;
- FILLER_34_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 103360 ) N ;
- FILLER_34_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 103360 ) N ;
- FILLER_34_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 103360 ) N ;
- FILLER_34_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 103360 ) N ;
- FILLER_34_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 103360 ) N ;
- FILLER_34_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 103360 ) N ;
- FILLER_34_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 103360 ) N ;
- FILLER_34_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 103360 ) N ;
- FILLER_34_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 103360 ) N ;
- FILLER_34_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 103360 ) N ;
- FILLER_34_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 103360 ) N ;
- FILLER_34_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 103360 ) N ;
- FILLER_34_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 103360 ) N ;
- FILLER_34_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 103360 ) N ;
- FILLER_34_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 103360 ) N ;
- FILLER_34_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 103360 ) N ;
- FILLER_34_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 103360 ) N ;
- FILLER_34_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 103360 ) N ;
- FILLER_34_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 103360 ) N ;
- FILLER_34_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 103360 ) N ;
- FILLER_34_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 103360 ) N ;
- FILLER_34_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 103360 ) N ;
- FILLER_34_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 103360 ) N ;
- FILLER_34_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 103360 ) N ;
- FILLER_34_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 103360 ) N ;
- FILLER_34_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 103360 ) N ;
- FILLER_34_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 103360 ) N ;
- FILLER_34_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 103360 ) N ;
- FILLER_34_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 106080 ) FS ;
- FILLER_35_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 106080 ) FS ;
- FILLER_35_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 106080 ) FS ;
- FILLER_35_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 106080 ) FS ;
- FILLER_35_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 106080 ) FS ;
- FILLER_35_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 106080 ) FS ;
- FILLER_35_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 106080 ) FS ;
- FILLER_35_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 106080 ) FS ;
- FILLER_35_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 106080 ) FS ;
- FILLER_35_205 sky130_fd_sc_hd__decap_4 + PLACED ( 99820 106080 ) FS ;
- FILLER_35_213 sky130_fd_sc_hd__decap_8 + PLACED ( 103500 106080 ) FS ;
- FILLER_35_221 sky130_fd_sc_hd__decap_3 + PLACED ( 107180 106080 ) FS ;
- FILLER_35_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 106080 ) FS ;
- FILLER_35_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 106080 ) FS ;
- FILLER_35_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 106080 ) FS ;
- FILLER_35_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 106080 ) FS ;
- FILLER_35_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 106080 ) FS ;
- FILLER_35_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 106080 ) FS ;
- FILLER_35_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 106080 ) FS ;
- FILLER_35_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 106080 ) FS ;
- FILLER_35_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 106080 ) FS ;
- FILLER_35_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 106080 ) FS ;
- FILLER_35_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 106080 ) FS ;
- FILLER_35_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 106080 ) FS ;
- FILLER_35_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 106080 ) FS ;
- FILLER_35_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 106080 ) FS ;
- FILLER_35_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 106080 ) FS ;
- FILLER_35_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 106080 ) FS ;
- FILLER_35_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 106080 ) FS ;
- FILLER_35_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 106080 ) FS ;
- FILLER_35_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 106080 ) FS ;
- FILLER_35_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 106080 ) FS ;
- FILLER_35_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 106080 ) FS ;
- FILLER_35_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 106080 ) FS ;
- FILLER_35_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 106080 ) FS ;
- FILLER_35_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 106080 ) FS ;
- FILLER_35_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 106080 ) FS ;
- FILLER_35_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 106080 ) FS ;
- FILLER_35_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 106080 ) FS ;
- FILLER_35_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 106080 ) FS ;
- FILLER_35_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 108800 ) N ;
- FILLER_36_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 108800 ) N ;
- FILLER_36_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 108800 ) N ;
- FILLER_36_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 108800 ) N ;
- FILLER_36_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 108800 ) N ;
- FILLER_36_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 108800 ) N ;
- FILLER_36_206 sky130_fd_sc_hd__decap_4 + PLACED ( 100280 108800 ) N ;
- FILLER_36_214 sky130_fd_sc_hd__decap_12 + PLACED ( 103960 108800 ) N ;
- FILLER_36_226 sky130_fd_sc_hd__decap_12 + PLACED ( 109480 108800 ) N ;
- FILLER_36_238 sky130_fd_sc_hd__decap_12 + PLACED ( 115000 108800 ) N ;
- FILLER_36_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 108800 ) N ;
- FILLER_36_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 108800 ) N ;
- FILLER_36_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 108800 ) N ;
- FILLER_36_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 108800 ) N ;
- FILLER_36_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 108800 ) N ;
- FILLER_36_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 108800 ) N ;
- FILLER_36_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 108800 ) N ;
- FILLER_36_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 108800 ) N ;
- FILLER_36_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 108800 ) N ;
- FILLER_36_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 108800 ) N ;
- FILLER_36_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 108800 ) N ;
- FILLER_36_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 108800 ) N ;
- FILLER_36_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 108800 ) N ;
- FILLER_36_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 108800 ) N ;
- FILLER_36_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 108800 ) N ;
- FILLER_36_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 108800 ) N ;
- FILLER_36_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 108800 ) N ;
- FILLER_36_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 108800 ) N ;
- FILLER_36_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 108800 ) N ;
- FILLER_36_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 108800 ) N ;
- FILLER_36_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 108800 ) N ;
- FILLER_36_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 108800 ) N ;
- FILLER_36_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 108800 ) N ;
- FILLER_36_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 111520 ) FS ;
- FILLER_37_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 111520 ) FS ;
- FILLER_37_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 111520 ) FS ;
- FILLER_37_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 111520 ) FS ;
- FILLER_37_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 111520 ) FS ;
- FILLER_37_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 111520 ) FS ;
- FILLER_37_193 sky130_fd_sc_hd__decap_8 + PLACED ( 94300 111520 ) FS ;
- FILLER_37_201 sky130_fd_sc_hd__fill_2 + PLACED ( 97980 111520 ) FS ;
- FILLER_37_207 sky130_fd_sc_hd__decap_12 + PLACED ( 100740 111520 ) FS ;
- FILLER_37_219 sky130_fd_sc_hd__decap_4 + PLACED ( 106260 111520 ) FS ;
- FILLER_37_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 111520 ) FS ;
- FILLER_37_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 111520 ) FS ;
- FILLER_37_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 111520 ) FS ;
- FILLER_37_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 111520 ) FS ;
- FILLER_37_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 111520 ) FS ;
- FILLER_37_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 111520 ) FS ;
- FILLER_37_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 111520 ) FS ;
- FILLER_37_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 111520 ) FS ;
- FILLER_37_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 111520 ) FS ;
- FILLER_37_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 111520 ) FS ;
- FILLER_37_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 111520 ) FS ;
- FILLER_37_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 111520 ) FS ;
- FILLER_37_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 111520 ) FS ;
- FILLER_37_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 111520 ) FS ;
- FILLER_37_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 111520 ) FS ;
- FILLER_37_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 111520 ) FS ;
- FILLER_37_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 111520 ) FS ;
- FILLER_37_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 111520 ) FS ;
- FILLER_37_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 111520 ) FS ;
- FILLER_37_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 111520 ) FS ;
- FILLER_37_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 111520 ) FS ;
- FILLER_37_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 111520 ) FS ;
- FILLER_37_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 111520 ) FS ;
- FILLER_37_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 111520 ) FS ;
- FILLER_37_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 111520 ) FS ;
- FILLER_37_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 111520 ) FS ;
- FILLER_37_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 111520 ) FS ;
- FILLER_37_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 114240 ) N ;
- FILLER_38_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 114240 ) N ;
- FILLER_38_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 114240 ) N ;
- FILLER_38_18 sky130_fd_sc_hd__decap_8 + PLACED ( 13800 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 114240 ) N ;
- FILLER_38_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 114240 ) N ;
- FILLER_38_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 114240 ) N ;
- FILLER_38_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 114240 ) N ;
- FILLER_38_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 114240 ) N ;
- FILLER_38_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 114240 ) N ;
- FILLER_38_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 114240 ) N ;
- FILLER_38_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 114240 ) N ;
- FILLER_38_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 114240 ) N ;
- FILLER_38_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 114240 ) N ;
- FILLER_38_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 114240 ) N ;
- FILLER_38_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 114240 ) N ;
- FILLER_38_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 114240 ) N ;
- FILLER_38_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 114240 ) N ;
- FILLER_38_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 114240 ) N ;
- FILLER_38_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 114240 ) N ;
- FILLER_38_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 114240 ) N ;
- FILLER_38_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 114240 ) N ;
- FILLER_38_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 114240 ) N ;
- FILLER_38_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 114240 ) N ;
- FILLER_38_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 114240 ) N ;
- FILLER_38_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 114240 ) N ;
- FILLER_38_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 114240 ) N ;
- FILLER_38_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 114240 ) N ;
- FILLER_38_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 114240 ) N ;
- FILLER_38_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 114240 ) N ;
- FILLER_38_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 114240 ) N ;
- FILLER_38_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 114240 ) N ;
- FILLER_38_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 114240 ) N ;
- FILLER_38_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 114240 ) N ;
- FILLER_38_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 114240 ) N ;
- FILLER_38_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 114240 ) N ;
- FILLER_38_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 114240 ) N ;
- FILLER_38_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 114240 ) N ;
- FILLER_39_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 116960 ) FS ;
- FILLER_39_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 116960 ) FS ;
- FILLER_39_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 116960 ) FS ;
- FILLER_39_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 116960 ) FS ;
- FILLER_39_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 116960 ) FS ;
- FILLER_39_193 sky130_fd_sc_hd__decap_8 + PLACED ( 94300 116960 ) FS ;
- FILLER_39_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 116960 ) FS ;
- FILLER_39_206 sky130_fd_sc_hd__decap_12 + PLACED ( 100280 116960 ) FS ;
- FILLER_39_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 116960 ) FS ;
- FILLER_39_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 116960 ) FS ;
- FILLER_39_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 116960 ) FS ;
- FILLER_39_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 116960 ) FS ;
- FILLER_39_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 116960 ) FS ;
- FILLER_39_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 116960 ) FS ;
- FILLER_39_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 116960 ) FS ;
- FILLER_39_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 116960 ) FS ;
- FILLER_39_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 116960 ) FS ;
- FILLER_39_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 116960 ) FS ;
- FILLER_39_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 116960 ) FS ;
- FILLER_39_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 116960 ) FS ;
- FILLER_39_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 116960 ) FS ;
- FILLER_39_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 116960 ) FS ;
- FILLER_39_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 116960 ) FS ;
- FILLER_39_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 116960 ) FS ;
- FILLER_39_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 116960 ) FS ;
- FILLER_39_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 116960 ) FS ;
- FILLER_39_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 116960 ) FS ;
- FILLER_39_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 116960 ) FS ;
- FILLER_39_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 116960 ) FS ;
- FILLER_39_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 116960 ) FS ;
- FILLER_39_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 116960 ) FS ;
- FILLER_39_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 116960 ) FS ;
- FILLER_39_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 116960 ) FS ;
- FILLER_39_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 116960 ) FS ;
- FILLER_39_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 116960 ) FS ;
- FILLER_3_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 19040 ) FS ;
- FILLER_3_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 19040 ) FS ;
- FILLER_3_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 19040 ) FS ;
- FILLER_3_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 19040 ) FS ;
- FILLER_3_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 19040 ) FS ;
- FILLER_3_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 19040 ) FS ;
- FILLER_3_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 19040 ) FS ;
- FILLER_3_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 19040 ) FS ;
- FILLER_3_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 19040 ) FS ;
- FILLER_3_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 19040 ) FS ;
- FILLER_3_181 sky130_fd_sc_hd__decap_8 + PLACED ( 88780 19040 ) FS ;
- FILLER_3_189 sky130_fd_sc_hd__fill_1 + PLACED ( 92460 19040 ) FS ;
- FILLER_3_19 sky130_fd_sc_hd__decap_4 + PLACED ( 14260 19040 ) FS ;
- FILLER_3_193 sky130_fd_sc_hd__decap_6 + PLACED ( 94300 19040 ) FS ;
- FILLER_3_203 sky130_fd_sc_hd__decap_8 + PLACED ( 98900 19040 ) FS ;
- FILLER_3_211 sky130_fd_sc_hd__fill_2 + PLACED ( 102580 19040 ) FS ;
- FILLER_3_216 sky130_fd_sc_hd__decap_8 + PLACED ( 104880 19040 ) FS ;
- FILLER_3_228 sky130_fd_sc_hd__decap_4 + PLACED ( 110400 19040 ) FS ;
- FILLER_3_235 sky130_fd_sc_hd__decap_6 + PLACED ( 113620 19040 ) FS ;
- FILLER_3_244 sky130_fd_sc_hd__decap_4 + PLACED ( 117760 19040 ) FS ;
- FILLER_3_251 sky130_fd_sc_hd__decap_12 + PLACED ( 120980 19040 ) FS ;
- FILLER_3_263 sky130_fd_sc_hd__decap_12 + PLACED ( 126500 19040 ) FS ;
- FILLER_3_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 19040 ) FS ;
- FILLER_3_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 19040 ) FS ;
- FILLER_3_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 19040 ) FS ;
- FILLER_3_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 19040 ) FS ;
- FILLER_3_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 19040 ) FS ;
- FILLER_3_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 19040 ) FS ;
- FILLER_3_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 19040 ) FS ;
- FILLER_3_317 sky130_fd_sc_hd__decap_8 + PLACED ( 151340 19040 ) FS ;
- FILLER_3_325 sky130_fd_sc_hd__fill_2 + PLACED ( 155020 19040 ) FS ;
- FILLER_3_330 sky130_fd_sc_hd__decap_6 + PLACED ( 157320 19040 ) FS ;
- FILLER_3_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 19040 ) FS ;
- FILLER_3_34 sky130_fd_sc_hd__decap_4 + PLACED ( 21160 19040 ) FS ;
- FILLER_3_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 19040 ) FS ;
- FILLER_3_361 sky130_fd_sc_hd__decap_8 + PLACED ( 171580 19040 ) FS ;
- FILLER_3_369 sky130_fd_sc_hd__fill_2 + PLACED ( 175260 19040 ) FS ;
- FILLER_3_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 19040 ) FS ;
- FILLER_3_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 19040 ) FS ;
- FILLER_3_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 19040 ) FS ;
- FILLER_3_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 19040 ) FS ;
- FILLER_3_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 19040 ) FS ;
- FILLER_3_41 sky130_fd_sc_hd__decap_4 + PLACED ( 24380 19040 ) FS ;
- FILLER_3_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 19040 ) FS ;
- FILLER_3_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 19040 ) FS ;
- FILLER_3_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 19040 ) FS ;
- FILLER_3_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 19040 ) FS ;
- FILLER_3_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 19040 ) FS ;
- FILLER_40_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 119680 ) N ;
- FILLER_40_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 119680 ) N ;
- FILLER_40_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 119680 ) N ;
- FILLER_40_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 119680 ) N ;
- FILLER_40_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 119680 ) N ;
- FILLER_40_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 119680 ) N ;
- FILLER_40_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 119680 ) N ;
- FILLER_40_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 119680 ) N ;
- FILLER_40_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 119680 ) N ;
- FILLER_40_206 sky130_fd_sc_hd__decap_12 + PLACED ( 100280 119680 ) N ;
- FILLER_40_218 sky130_fd_sc_hd__decap_12 + PLACED ( 105800 119680 ) N ;
- FILLER_40_230 sky130_fd_sc_hd__decap_12 + PLACED ( 111320 119680 ) N ;
- FILLER_40_242 sky130_fd_sc_hd__decap_8 + PLACED ( 116840 119680 ) N ;
- FILLER_40_250 sky130_fd_sc_hd__fill_2 + PLACED ( 120520 119680 ) N ;
- FILLER_40_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 119680 ) N ;
- FILLER_40_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 119680 ) N ;
- FILLER_40_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 119680 ) N ;
- FILLER_40_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 119680 ) N ;
- FILLER_40_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 119680 ) N ;
- FILLER_40_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 119680 ) N ;
- FILLER_40_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 119680 ) N ;
- FILLER_40_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 119680 ) N ;
- FILLER_40_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 119680 ) N ;
- FILLER_40_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 119680 ) N ;
- FILLER_40_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 119680 ) N ;
- FILLER_40_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 119680 ) N ;
- FILLER_40_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 119680 ) N ;
- FILLER_40_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 119680 ) N ;
- FILLER_40_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 119680 ) N ;
- FILLER_40_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 119680 ) N ;
- FILLER_40_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 119680 ) N ;
- FILLER_40_385 sky130_fd_sc_hd__fill_1 + PLACED ( 182620 119680 ) N ;
- FILLER_40_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 119680 ) N ;
- FILLER_40_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 119680 ) N ;
- FILLER_40_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 119680 ) N ;
- FILLER_40_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 119680 ) N ;
- FILLER_40_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 119680 ) N ;
- FILLER_40_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 119680 ) N ;
- FILLER_40_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 119680 ) N ;
- FILLER_40_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 119680 ) N ;
- FILLER_40_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 119680 ) N ;
- FILLER_41_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 122400 ) FS ;
- FILLER_41_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 122400 ) FS ;
- FILLER_41_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 122400 ) FS ;
- FILLER_41_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 122400 ) FS ;
- FILLER_41_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 122400 ) FS ;
- FILLER_41_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 122400 ) FS ;
- FILLER_41_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 122400 ) FS ;
- FILLER_41_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 122400 ) FS ;
- FILLER_41_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 122400 ) FS ;
- FILLER_41_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 122400 ) FS ;
- FILLER_41_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 122400 ) FS ;
- FILLER_41_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 122400 ) FS ;
- FILLER_41_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 122400 ) FS ;
- FILLER_41_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 122400 ) FS ;
- FILLER_41_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 122400 ) FS ;
- FILLER_41_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 122400 ) FS ;
- FILLER_41_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 122400 ) FS ;
- FILLER_41_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 122400 ) FS ;
- FILLER_41_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 122400 ) FS ;
- FILLER_41_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 122400 ) FS ;
- FILLER_41_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 122400 ) FS ;
- FILLER_41_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 122400 ) FS ;
- FILLER_41_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 122400 ) FS ;
- FILLER_41_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 122400 ) FS ;
- FILLER_41_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 122400 ) FS ;
- FILLER_41_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 122400 ) FS ;
- FILLER_41_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 122400 ) FS ;
- FILLER_41_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 122400 ) FS ;
- FILLER_41_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 122400 ) FS ;
- FILLER_41_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 122400 ) FS ;
- FILLER_41_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 122400 ) FS ;
- FILLER_41_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 122400 ) FS ;
- FILLER_41_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 122400 ) FS ;
- FILLER_41_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 122400 ) FS ;
- FILLER_41_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 122400 ) FS ;
- FILLER_41_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 122400 ) FS ;
- FILLER_41_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 122400 ) FS ;
- FILLER_41_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 122400 ) FS ;
- FILLER_41_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 122400 ) FS ;
- FILLER_42_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 125120 ) N ;
- FILLER_42_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 125120 ) N ;
- FILLER_42_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 125120 ) N ;
- FILLER_42_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 125120 ) N ;
- FILLER_42_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 125120 ) N ;
- FILLER_42_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 125120 ) N ;
- FILLER_42_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 125120 ) N ;
- FILLER_42_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 125120 ) N ;
- FILLER_42_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 125120 ) N ;
- FILLER_42_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 125120 ) N ;
- FILLER_42_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 125120 ) N ;
- FILLER_42_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 125120 ) N ;
- FILLER_42_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 125120 ) N ;
- FILLER_42_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 125120 ) N ;
- FILLER_42_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 125120 ) N ;
- FILLER_42_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 125120 ) N ;
- FILLER_42_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 125120 ) N ;
- FILLER_42_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 125120 ) N ;
- FILLER_42_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 125120 ) N ;
- FILLER_42_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 125120 ) N ;
- FILLER_42_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 125120 ) N ;
- FILLER_42_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 125120 ) N ;
- FILLER_42_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 125120 ) N ;
- FILLER_42_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 125120 ) N ;
- FILLER_42_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 125120 ) N ;
- FILLER_42_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 125120 ) N ;
- FILLER_42_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 125120 ) N ;
- FILLER_42_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 125120 ) N ;
- FILLER_42_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 125120 ) N ;
- FILLER_42_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 125120 ) N ;
- FILLER_42_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 125120 ) N ;
- FILLER_42_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 125120 ) N ;
- FILLER_42_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 125120 ) N ;
- FILLER_42_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 125120 ) N ;
- FILLER_42_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 125120 ) N ;
- FILLER_42_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 125120 ) N ;
- FILLER_42_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 125120 ) N ;
- FILLER_42_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 125120 ) N ;
- FILLER_42_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 125120 ) N ;
- FILLER_42_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 125120 ) N ;
- FILLER_43_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 127840 ) FS ;
- FILLER_43_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 127840 ) FS ;
- FILLER_43_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 127840 ) FS ;
- FILLER_43_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 127840 ) FS ;
- FILLER_43_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 127840 ) FS ;
- FILLER_43_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 127840 ) FS ;
- FILLER_43_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 127840 ) FS ;
- FILLER_43_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 127840 ) FS ;
- FILLER_43_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 127840 ) FS ;
- FILLER_43_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 127840 ) FS ;
- FILLER_43_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 127840 ) FS ;
- FILLER_43_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 127840 ) FS ;
- FILLER_43_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 127840 ) FS ;
- FILLER_43_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 127840 ) FS ;
- FILLER_43_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 127840 ) FS ;
- FILLER_43_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 127840 ) FS ;
- FILLER_43_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 127840 ) FS ;
- FILLER_43_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 127840 ) FS ;
- FILLER_43_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 127840 ) FS ;
- FILLER_43_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 127840 ) FS ;
- FILLER_43_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 127840 ) FS ;
- FILLER_43_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 127840 ) FS ;
- FILLER_43_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 127840 ) FS ;
- FILLER_43_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 127840 ) FS ;
- FILLER_43_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 127840 ) FS ;
- FILLER_43_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 127840 ) FS ;
- FILLER_43_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 127840 ) FS ;
- FILLER_43_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 127840 ) FS ;
- FILLER_43_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 127840 ) FS ;
- FILLER_43_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 127840 ) FS ;
- FILLER_43_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 127840 ) FS ;
- FILLER_43_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 127840 ) FS ;
- FILLER_43_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 127840 ) FS ;
- FILLER_43_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 127840 ) FS ;
- FILLER_43_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 127840 ) FS ;
- FILLER_43_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 127840 ) FS ;
- FILLER_43_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 127840 ) FS ;
- FILLER_43_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 127840 ) FS ;
- FILLER_43_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 127840 ) FS ;
- FILLER_43_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 127840 ) FS ;
- FILLER_44_10 sky130_fd_sc_hd__decap_4 + PLACED ( 10120 130560 ) N ;
- FILLER_44_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 130560 ) N ;
- FILLER_44_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 130560 ) N ;
- FILLER_44_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 130560 ) N ;
- FILLER_44_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 130560 ) N ;
- FILLER_44_17 sky130_fd_sc_hd__decap_8 + PLACED ( 13340 130560 ) N ;
- FILLER_44_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 130560 ) N ;
- FILLER_44_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 130560 ) N ;
- FILLER_44_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 130560 ) N ;
- FILLER_44_217 sky130_fd_sc_hd__decap_12 + PLACED ( 105340 130560 ) N ;
- FILLER_44_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 130560 ) N ;
- FILLER_44_241 sky130_fd_sc_hd__decap_8 + PLACED ( 116380 130560 ) N ;
- FILLER_44_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 130560 ) N ;
- FILLER_44_25 sky130_fd_sc_hd__decap_3 + PLACED ( 17020 130560 ) N ;
- FILLER_44_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 130560 ) N ;
- FILLER_44_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 130560 ) N ;
- FILLER_44_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 130560 ) N ;
- FILLER_44_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 130560 ) N ;
- FILLER_44_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 130560 ) N ;
- FILLER_44_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 130560 ) N ;
- FILLER_44_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 130560 ) N ;
- FILLER_44_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 130560 ) N ;
- FILLER_44_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 130560 ) N ;
- FILLER_44_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 130560 ) N ;
- FILLER_44_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 130560 ) N ;
- FILLER_44_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 130560 ) N ;
- FILLER_44_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 130560 ) N ;
- FILLER_44_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 130560 ) N ;
- FILLER_44_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 130560 ) N ;
- FILLER_44_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 130560 ) N ;
- FILLER_44_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 130560 ) N ;
- FILLER_44_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 130560 ) N ;
- FILLER_44_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 130560 ) N ;
- FILLER_44_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 130560 ) N ;
- FILLER_44_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 130560 ) N ;
- FILLER_44_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 130560 ) N ;
- FILLER_44_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 130560 ) N ;
- FILLER_44_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 130560 ) N ;
- FILLER_44_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 133280 ) FS ;
- FILLER_45_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 133280 ) FS ;
- FILLER_45_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 133280 ) FS ;
- FILLER_45_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 133280 ) FS ;
- FILLER_45_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 133280 ) FS ;
- FILLER_45_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 133280 ) FS ;
- FILLER_45_20 sky130_fd_sc_hd__decap_12 + PLACED ( 14720 133280 ) FS ;
- FILLER_45_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 133280 ) FS ;
- FILLER_45_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 133280 ) FS ;
- FILLER_45_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 133280 ) FS ;
- FILLER_45_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 133280 ) FS ;
- FILLER_45_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 133280 ) FS ;
- FILLER_45_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 133280 ) FS ;
- FILLER_45_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 133280 ) FS ;
- FILLER_45_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 133280 ) FS ;
- FILLER_45_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 133280 ) FS ;
- FILLER_45_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 133280 ) FS ;
- FILLER_45_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 133280 ) FS ;
- FILLER_45_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 133280 ) FS ;
- FILLER_45_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 133280 ) FS ;
- FILLER_45_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 133280 ) FS ;
- FILLER_45_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 133280 ) FS ;
- FILLER_45_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 133280 ) FS ;
- FILLER_45_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 133280 ) FS ;
- FILLER_45_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 133280 ) FS ;
- FILLER_45_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 133280 ) FS ;
- FILLER_45_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 133280 ) FS ;
- FILLER_45_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 133280 ) FS ;
- FILLER_45_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 133280 ) FS ;
- FILLER_45_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 133280 ) FS ;
- FILLER_45_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 133280 ) FS ;
- FILLER_45_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 133280 ) FS ;
- FILLER_45_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 133280 ) FS ;
- FILLER_45_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 133280 ) FS ;
- FILLER_45_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 136000 ) N ;
- FILLER_46_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 136000 ) N ;
- FILLER_46_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 136000 ) N ;
- FILLER_46_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 136000 ) N ;
- FILLER_46_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 136000 ) N ;
- FILLER_46_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 136000 ) N ;
- FILLER_46_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 136000 ) N ;
- FILLER_46_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 136000 ) N ;
- FILLER_46_197 sky130_fd_sc_hd__decap_8 + PLACED ( 96140 136000 ) N ;
- FILLER_46_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 136000 ) N ;
- FILLER_46_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 136000 ) N ;
- FILLER_46_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 136000 ) N ;
- FILLER_46_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 136000 ) N ;
- FILLER_46_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 136000 ) N ;
- FILLER_46_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 136000 ) N ;
- FILLER_46_26 sky130_fd_sc_hd__fill_2 + PLACED ( 17480 136000 ) N ;
- FILLER_46_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 136000 ) N ;
- FILLER_46_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 136000 ) N ;
- FILLER_46_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 136000 ) N ;
- FILLER_46_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 136000 ) N ;
- FILLER_46_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 136000 ) N ;
- FILLER_46_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 136000 ) N ;
- FILLER_46_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 136000 ) N ;
- FILLER_46_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 136000 ) N ;
- FILLER_46_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 136000 ) N ;
- FILLER_46_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 136000 ) N ;
- FILLER_46_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 136000 ) N ;
- FILLER_46_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 136000 ) N ;
- FILLER_46_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 136000 ) N ;
- FILLER_46_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 136000 ) N ;
- FILLER_46_389 sky130_fd_sc_hd__decap_12 + PLACED ( 184460 136000 ) N ;
- FILLER_46_401 sky130_fd_sc_hd__decap_6 + PLACED ( 189980 136000 ) N ;
- FILLER_46_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 136000 ) N ;
- FILLER_46_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 136000 ) N ;
- FILLER_46_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 136000 ) N ;
- FILLER_46_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 136000 ) N ;
- FILLER_46_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 136000 ) N ;
- FILLER_46_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 136000 ) N ;
- FILLER_46_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 136000 ) N ;
- FILLER_46_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 136000 ) N ;
- FILLER_47_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 138720 ) FS ;
- FILLER_47_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 138720 ) FS ;
- FILLER_47_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 138720 ) FS ;
- FILLER_47_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 138720 ) FS ;
- FILLER_47_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 138720 ) FS ;
- FILLER_47_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 138720 ) FS ;
- FILLER_47_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 138720 ) FS ;
- FILLER_47_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 138720 ) FS ;
- FILLER_47_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 138720 ) FS ;
- FILLER_47_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 138720 ) FS ;
- FILLER_47_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 138720 ) FS ;
- FILLER_47_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 138720 ) FS ;
- FILLER_47_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 138720 ) FS ;
- FILLER_47_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 138720 ) FS ;
- FILLER_47_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 138720 ) FS ;
- FILLER_47_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 138720 ) FS ;
- FILLER_47_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 138720 ) FS ;
- FILLER_47_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 138720 ) FS ;
- FILLER_47_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 138720 ) FS ;
- FILLER_47_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 138720 ) FS ;
- FILLER_47_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 138720 ) FS ;
- FILLER_47_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 138720 ) FS ;
- FILLER_47_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 138720 ) FS ;
- FILLER_47_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 138720 ) FS ;
- FILLER_47_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 138720 ) FS ;
- FILLER_47_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 138720 ) FS ;
- FILLER_47_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 138720 ) FS ;
- FILLER_47_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 138720 ) FS ;
- FILLER_47_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 138720 ) FS ;
- FILLER_47_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 138720 ) FS ;
- FILLER_47_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 138720 ) FS ;
- FILLER_47_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 138720 ) FS ;
- FILLER_47_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 138720 ) FS ;
- FILLER_47_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 138720 ) FS ;
- FILLER_47_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 138720 ) FS ;
- FILLER_47_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 138720 ) FS ;
- FILLER_47_6 sky130_fd_sc_hd__decap_12 + PLACED ( 8280 138720 ) FS ;
- FILLER_47_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 138720 ) FS ;
- FILLER_47_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 138720 ) FS ;
- FILLER_47_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 138720 ) FS ;
- FILLER_48_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 141440 ) N ;
- FILLER_48_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 141440 ) N ;
- FILLER_48_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 141440 ) N ;
- FILLER_48_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 141440 ) N ;
- FILLER_48_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 141440 ) N ;
- FILLER_48_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 141440 ) N ;
- FILLER_48_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 141440 ) N ;
- FILLER_48_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 141440 ) N ;
- FILLER_48_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 141440 ) N ;
- FILLER_48_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 141440 ) N ;
- FILLER_48_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 141440 ) N ;
- FILLER_48_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 141440 ) N ;
- FILLER_48_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 141440 ) N ;
- FILLER_48_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 141440 ) N ;
- FILLER_48_23 sky130_fd_sc_hd__decap_4 + PLACED ( 16100 141440 ) N ;
- FILLER_48_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 141440 ) N ;
- FILLER_48_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 141440 ) N ;
- FILLER_48_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 141440 ) N ;
- FILLER_48_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 141440 ) N ;
- FILLER_48_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 141440 ) N ;
- FILLER_48_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 141440 ) N ;
- FILLER_48_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 141440 ) N ;
- FILLER_48_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 141440 ) N ;
- FILLER_48_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 141440 ) N ;
- FILLER_48_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 141440 ) N ;
- FILLER_48_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 141440 ) N ;
- FILLER_48_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 141440 ) N ;
- FILLER_48_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 141440 ) N ;
- FILLER_48_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 141440 ) N ;
- FILLER_48_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 141440 ) N ;
- FILLER_48_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 141440 ) N ;
- FILLER_48_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 141440 ) N ;
- FILLER_48_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 141440 ) N ;
- FILLER_48_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 141440 ) N ;
- FILLER_48_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 141440 ) N ;
- FILLER_48_397 sky130_fd_sc_hd__decap_3 + PLACED ( 188140 141440 ) N ;
- FILLER_48_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 141440 ) N ;
- FILLER_48_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 141440 ) N ;
- FILLER_48_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 141440 ) N ;
- FILLER_48_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 141440 ) N ;
- FILLER_48_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 141440 ) N ;
- FILLER_48_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 141440 ) N ;
- FILLER_48_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 141440 ) N ;
- FILLER_49_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 144160 ) FS ;
- FILLER_49_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 144160 ) FS ;
- FILLER_49_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 144160 ) FS ;
- FILLER_49_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 144160 ) FS ;
- FILLER_49_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 144160 ) FS ;
- FILLER_49_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 144160 ) FS ;
- FILLER_49_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 144160 ) FS ;
- FILLER_49_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 144160 ) FS ;
- FILLER_49_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 144160 ) FS ;
- FILLER_49_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 144160 ) FS ;
- FILLER_49_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 144160 ) FS ;
- FILLER_49_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 144160 ) FS ;
- FILLER_49_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 144160 ) FS ;
- FILLER_49_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 144160 ) FS ;
- FILLER_49_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 144160 ) FS ;
- FILLER_49_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 144160 ) FS ;
- FILLER_49_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 144160 ) FS ;
- FILLER_49_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 144160 ) FS ;
- FILLER_49_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 144160 ) FS ;
- FILLER_49_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 144160 ) FS ;
- FILLER_49_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 144160 ) FS ;
- FILLER_49_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 144160 ) FS ;
- FILLER_49_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 144160 ) FS ;
- FILLER_49_337 sky130_fd_sc_hd__fill_1 + PLACED ( 160540 144160 ) FS ;
- FILLER_49_341 sky130_fd_sc_hd__decap_12 + PLACED ( 162380 144160 ) FS ;
- FILLER_49_353 sky130_fd_sc_hd__decap_12 + PLACED ( 167900 144160 ) FS ;
- FILLER_49_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 144160 ) FS ;
- FILLER_49_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 144160 ) FS ;
- FILLER_49_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 144160 ) FS ;
- FILLER_49_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 144160 ) FS ;
- FILLER_49_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 144160 ) FS ;
- FILLER_49_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 144160 ) FS ;
- FILLER_49_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 144160 ) FS ;
- FILLER_49_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 144160 ) FS ;
- FILLER_49_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 144160 ) FS ;
- FILLER_49_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 144160 ) FS ;
- FILLER_49_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 144160 ) FS ;
- FILLER_49_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 144160 ) FS ;
- FILLER_49_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 144160 ) FS ;
- FILLER_4_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 21760 ) N ;
- FILLER_4_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 21760 ) N ;
- FILLER_4_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 21760 ) N ;
- FILLER_4_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 21760 ) N ;
- FILLER_4_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 21760 ) N ;
- FILLER_4_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 21760 ) N ;
- FILLER_4_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 21760 ) N ;
- FILLER_4_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 21760 ) N ;
- FILLER_4_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 21760 ) N ;
- FILLER_4_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 21760 ) N ;
- FILLER_4_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 21760 ) N ;
- FILLER_4_197 sky130_fd_sc_hd__fill_1 + PLACED ( 96140 21760 ) N ;
- FILLER_4_201 sky130_fd_sc_hd__decap_12 + PLACED ( 97980 21760 ) N ;
- FILLER_4_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 21760 ) N ;
- FILLER_4_213 sky130_fd_sc_hd__fill_2 + PLACED ( 103500 21760 ) N ;
- FILLER_4_218 sky130_fd_sc_hd__decap_6 + PLACED ( 105800 21760 ) N ;
- FILLER_4_224 sky130_fd_sc_hd__fill_1 + PLACED ( 108560 21760 ) N ;
- FILLER_4_228 sky130_fd_sc_hd__decap_6 + PLACED ( 110400 21760 ) N ;
- FILLER_4_234 sky130_fd_sc_hd__fill_1 + PLACED ( 113160 21760 ) N ;
- FILLER_4_238 sky130_fd_sc_hd__decap_4 + PLACED ( 115000 21760 ) N ;
- FILLER_4_242 sky130_fd_sc_hd__fill_1 + PLACED ( 116840 21760 ) N ;
- FILLER_4_246 sky130_fd_sc_hd__decap_6 + PLACED ( 118680 21760 ) N ;
- FILLER_4_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 21760 ) N ;
- FILLER_4_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 21760 ) N ;
- FILLER_4_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 21760 ) N ;
- FILLER_4_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 21760 ) N ;
- FILLER_4_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 21760 ) N ;
- FILLER_4_29 sky130_fd_sc_hd__fill_1 + PLACED ( 18860 21760 ) N ;
- FILLER_4_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 21760 ) N ;
- FILLER_4_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 21760 ) N ;
- FILLER_4_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 21760 ) N ;
- FILLER_4_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 21760 ) N ;
- FILLER_4_33 sky130_fd_sc_hd__decap_4 + PLACED ( 20700 21760 ) N ;
- FILLER_4_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 21760 ) N ;
- FILLER_4_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 21760 ) N ;
- FILLER_4_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 21760 ) N ;
- FILLER_4_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 21760 ) N ;
- FILLER_4_365 sky130_fd_sc_hd__decap_4 + PLACED ( 173420 21760 ) N ;
- FILLER_4_369 sky130_fd_sc_hd__fill_1 + PLACED ( 175260 21760 ) N ;
- FILLER_4_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 21760 ) N ;
- FILLER_4_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 21760 ) N ;
- FILLER_4_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 21760 ) N ;
- FILLER_4_40 sky130_fd_sc_hd__decap_12 + PLACED ( 23920 21760 ) N ;
- FILLER_4_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 21760 ) N ;
- FILLER_4_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 21760 ) N ;
- FILLER_4_52 sky130_fd_sc_hd__decap_12 + PLACED ( 29440 21760 ) N ;
- FILLER_4_64 sky130_fd_sc_hd__decap_12 + PLACED ( 34960 21760 ) N ;
- FILLER_4_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 21760 ) N ;
- FILLER_4_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 21760 ) N ;
- FILLER_4_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 21760 ) N ;
- FILLER_50_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 146880 ) N ;
- FILLER_50_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 146880 ) N ;
- FILLER_50_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 146880 ) N ;
- FILLER_50_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 146880 ) N ;
- FILLER_50_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 146880 ) N ;
- FILLER_50_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 146880 ) N ;
- FILLER_50_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 146880 ) N ;
- FILLER_50_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 146880 ) N ;
- FILLER_50_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 146880 ) N ;
- FILLER_50_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 146880 ) N ;
- FILLER_50_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 146880 ) N ;
- FILLER_50_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 146880 ) N ;
- FILLER_50_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 146880 ) N ;
- FILLER_50_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 146880 ) N ;
- FILLER_50_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 146880 ) N ;
- FILLER_50_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 146880 ) N ;
- FILLER_50_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 146880 ) N ;
- FILLER_50_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 146880 ) N ;
- FILLER_50_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 146880 ) N ;
- FILLER_50_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 146880 ) N ;
- FILLER_50_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 146880 ) N ;
- FILLER_50_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 146880 ) N ;
- FILLER_50_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 146880 ) N ;
- FILLER_50_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 146880 ) N ;
- FILLER_50_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 146880 ) N ;
- FILLER_50_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 146880 ) N ;
- FILLER_50_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 146880 ) N ;
- FILLER_50_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 146880 ) N ;
- FILLER_50_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 146880 ) N ;
- FILLER_50_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 146880 ) N ;
- FILLER_50_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 146880 ) N ;
- FILLER_50_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 146880 ) N ;
- FILLER_50_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 146880 ) N ;
- FILLER_50_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 146880 ) N ;
- FILLER_50_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 146880 ) N ;
- FILLER_50_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 146880 ) N ;
- FILLER_50_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 146880 ) N ;
- FILLER_50_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 146880 ) N ;
- FILLER_50_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 146880 ) N ;
- FILLER_50_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 146880 ) N ;
- FILLER_50_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 146880 ) N ;
- FILLER_51_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 149600 ) FS ;
- FILLER_51_11 sky130_fd_sc_hd__decap_12 + PLACED ( 10580 149600 ) FS ;
- FILLER_51_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 149600 ) FS ;
- FILLER_51_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 149600 ) FS ;
- FILLER_51_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 149600 ) FS ;
- FILLER_51_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 149600 ) FS ;
- FILLER_51_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 149600 ) FS ;
- FILLER_51_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 149600 ) FS ;
- FILLER_51_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 149600 ) FS ;
- FILLER_51_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 149600 ) FS ;
- FILLER_51_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 149600 ) FS ;
- FILLER_51_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 149600 ) FS ;
- FILLER_51_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 149600 ) FS ;
- FILLER_51_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 149600 ) FS ;
- FILLER_51_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 149600 ) FS ;
- FILLER_51_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 149600 ) FS ;
- FILLER_51_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 149600 ) FS ;
- FILLER_51_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 149600 ) FS ;
- FILLER_51_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 149600 ) FS ;
- FILLER_51_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 149600 ) FS ;
- FILLER_51_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 149600 ) FS ;
- FILLER_51_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 149600 ) FS ;
- FILLER_51_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 149600 ) FS ;
- FILLER_51_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 149600 ) FS ;
- FILLER_51_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 149600 ) FS ;
- FILLER_51_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 149600 ) FS ;
- FILLER_51_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 149600 ) FS ;
- FILLER_51_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 149600 ) FS ;
- FILLER_51_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 149600 ) FS ;
- FILLER_51_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 149600 ) FS ;
- FILLER_51_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 149600 ) FS ;
- FILLER_51_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 149600 ) FS ;
- FILLER_51_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 149600 ) FS ;
- FILLER_51_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 149600 ) FS ;
- FILLER_51_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 149600 ) FS ;
- FILLER_51_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 149600 ) FS ;
- FILLER_51_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 149600 ) FS ;
- FILLER_51_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 149600 ) FS ;
- FILLER_51_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 149600 ) FS ;
- FILLER_51_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 149600 ) FS ;
- FILLER_51_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 149600 ) FS ;
- FILLER_51_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 149600 ) FS ;
- FILLER_51_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 149600 ) FS ;
- FILLER_51_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 149600 ) FS ;
- FILLER_52_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 152320 ) N ;
- FILLER_52_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 152320 ) N ;
- FILLER_52_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 152320 ) N ;
- FILLER_52_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 152320 ) N ;
- FILLER_52_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 152320 ) N ;
- FILLER_52_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 152320 ) N ;
- FILLER_52_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 152320 ) N ;
- FILLER_52_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 152320 ) N ;
- FILLER_52_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 152320 ) N ;
- FILLER_52_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 152320 ) N ;
- FILLER_52_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 152320 ) N ;
- FILLER_52_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 152320 ) N ;
- FILLER_52_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 152320 ) N ;
- FILLER_52_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 152320 ) N ;
- FILLER_52_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 152320 ) N ;
- FILLER_52_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 152320 ) N ;
- FILLER_52_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 152320 ) N ;
- FILLER_52_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 152320 ) N ;
- FILLER_52_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 152320 ) N ;
- FILLER_52_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 152320 ) N ;
- FILLER_52_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 152320 ) N ;
- FILLER_52_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 152320 ) N ;
- FILLER_52_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 152320 ) N ;
- FILLER_52_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 152320 ) N ;
- FILLER_52_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 152320 ) N ;
- FILLER_52_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 152320 ) N ;
- FILLER_52_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 152320 ) N ;
- FILLER_52_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 152320 ) N ;
- FILLER_52_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 152320 ) N ;
- FILLER_52_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 152320 ) N ;
- FILLER_52_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 152320 ) N ;
- FILLER_52_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 152320 ) N ;
- FILLER_52_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 152320 ) N ;
- FILLER_52_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 152320 ) N ;
- FILLER_52_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 152320 ) N ;
- FILLER_52_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 152320 ) N ;
- FILLER_52_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 152320 ) N ;
- FILLER_52_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 152320 ) N ;
- FILLER_52_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 152320 ) N ;
- FILLER_52_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 152320 ) N ;
- FILLER_52_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 152320 ) N ;
- FILLER_52_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 152320 ) N ;
- FILLER_52_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 152320 ) N ;
- FILLER_52_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 152320 ) N ;
- FILLER_53_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 155040 ) FS ;
- FILLER_53_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 155040 ) FS ;
- FILLER_53_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 155040 ) FS ;
- FILLER_53_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 155040 ) FS ;
- FILLER_53_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 155040 ) FS ;
- FILLER_53_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 155040 ) FS ;
- FILLER_53_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 155040 ) FS ;
- FILLER_53_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 155040 ) FS ;
- FILLER_53_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 155040 ) FS ;
- FILLER_53_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 155040 ) FS ;
- FILLER_53_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 155040 ) FS ;
- FILLER_53_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 155040 ) FS ;
- FILLER_53_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 155040 ) FS ;
- FILLER_53_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 155040 ) FS ;
- FILLER_53_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 155040 ) FS ;
- FILLER_53_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 155040 ) FS ;
- FILLER_53_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 155040 ) FS ;
- FILLER_53_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 155040 ) FS ;
- FILLER_53_261 sky130_fd_sc_hd__decap_4 + PLACED ( 125580 155040 ) FS ;
- FILLER_53_265 sky130_fd_sc_hd__fill_1 + PLACED ( 127420 155040 ) FS ;
- FILLER_53_270 sky130_fd_sc_hd__decap_8 + PLACED ( 129720 155040 ) FS ;
- FILLER_53_278 sky130_fd_sc_hd__fill_2 + PLACED ( 133400 155040 ) FS ;
- FILLER_53_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 155040 ) FS ;
- FILLER_53_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 155040 ) FS ;
- FILLER_53_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 155040 ) FS ;
- FILLER_53_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 155040 ) FS ;
- FILLER_53_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 155040 ) FS ;
- FILLER_53_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 155040 ) FS ;
- FILLER_53_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 155040 ) FS ;
- FILLER_53_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 155040 ) FS ;
- FILLER_53_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 155040 ) FS ;
- FILLER_53_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 155040 ) FS ;
- FILLER_53_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 155040 ) FS ;
- FILLER_53_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 155040 ) FS ;
- FILLER_53_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 155040 ) FS ;
- FILLER_53_393 sky130_fd_sc_hd__decap_12 + PLACED ( 186300 155040 ) FS ;
- FILLER_53_405 sky130_fd_sc_hd__fill_2 + PLACED ( 191820 155040 ) FS ;
- FILLER_53_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 155040 ) FS ;
- FILLER_53_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 155040 ) FS ;
- FILLER_53_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 155040 ) FS ;
- FILLER_53_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 155040 ) FS ;
- FILLER_53_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 155040 ) FS ;
- FILLER_53_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 155040 ) FS ;
- FILLER_53_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 155040 ) FS ;
- FILLER_54_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 157760 ) N ;
- FILLER_54_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 157760 ) N ;
- FILLER_54_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 157760 ) N ;
- FILLER_54_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 157760 ) N ;
- FILLER_54_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 157760 ) N ;
- FILLER_54_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 157760 ) N ;
- FILLER_54_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 157760 ) N ;
- FILLER_54_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 157760 ) N ;
- FILLER_54_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 157760 ) N ;
- FILLER_54_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 157760 ) N ;
- FILLER_54_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 157760 ) N ;
- FILLER_54_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 157760 ) N ;
- FILLER_54_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 157760 ) N ;
- FILLER_54_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 157760 ) N ;
- FILLER_54_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 157760 ) N ;
- FILLER_54_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 157760 ) N ;
- FILLER_54_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 157760 ) N ;
- FILLER_54_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 157760 ) N ;
- FILLER_54_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 157760 ) N ;
- FILLER_54_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 157760 ) N ;
- FILLER_54_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 157760 ) N ;
- FILLER_54_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 157760 ) N ;
- FILLER_54_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 157760 ) N ;
- FILLER_54_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 157760 ) N ;
- FILLER_54_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 157760 ) N ;
- FILLER_54_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 157760 ) N ;
- FILLER_54_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 157760 ) N ;
- FILLER_54_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 157760 ) N ;
- FILLER_54_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 157760 ) N ;
- FILLER_54_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 157760 ) N ;
- FILLER_54_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 157760 ) N ;
- FILLER_54_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 157760 ) N ;
- FILLER_54_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 157760 ) N ;
- FILLER_54_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 157760 ) N ;
- FILLER_54_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 157760 ) N ;
- FILLER_54_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 157760 ) N ;
- FILLER_54_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 157760 ) N ;
- FILLER_54_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 157760 ) N ;
- FILLER_54_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 157760 ) N ;
- FILLER_54_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 157760 ) N ;
- FILLER_54_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 157760 ) N ;
- FILLER_54_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 157760 ) N ;
- FILLER_54_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 157760 ) N ;
- FILLER_54_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 157760 ) N ;
- FILLER_54_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 157760 ) N ;
- FILLER_55_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 160480 ) FS ;
- FILLER_55_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 160480 ) FS ;
- FILLER_55_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 160480 ) FS ;
- FILLER_55_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 160480 ) FS ;
- FILLER_55_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 160480 ) FS ;
- FILLER_55_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 160480 ) FS ;
- FILLER_55_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 160480 ) FS ;
- FILLER_55_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 160480 ) FS ;
- FILLER_55_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 160480 ) FS ;
- FILLER_55_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 160480 ) FS ;
- FILLER_55_18 sky130_fd_sc_hd__decap_12 + PLACED ( 13800 160480 ) FS ;
- FILLER_55_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 160480 ) FS ;
- FILLER_55_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 160480 ) FS ;
- FILLER_55_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 160480 ) FS ;
- FILLER_55_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 160480 ) FS ;
- FILLER_55_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 160480 ) FS ;
- FILLER_55_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 160480 ) FS ;
- FILLER_55_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 160480 ) FS ;
- FILLER_55_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 160480 ) FS ;
- FILLER_55_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 160480 ) FS ;
- FILLER_55_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 160480 ) FS ;
- FILLER_55_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 160480 ) FS ;
- FILLER_55_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 160480 ) FS ;
- FILLER_55_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 160480 ) FS ;
- FILLER_55_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 160480 ) FS ;
- FILLER_55_30 sky130_fd_sc_hd__decap_12 + PLACED ( 19320 160480 ) FS ;
- FILLER_55_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 160480 ) FS ;
- FILLER_55_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 160480 ) FS ;
- FILLER_55_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 160480 ) FS ;
- FILLER_55_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 160480 ) FS ;
- FILLER_55_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 160480 ) FS ;
- FILLER_55_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 160480 ) FS ;
- FILLER_55_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 160480 ) FS ;
- FILLER_55_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 160480 ) FS ;
- FILLER_55_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 160480 ) FS ;
- FILLER_55_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 160480 ) FS ;
- FILLER_55_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 160480 ) FS ;
- FILLER_55_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 160480 ) FS ;
- FILLER_55_42 sky130_fd_sc_hd__decap_12 + PLACED ( 24840 160480 ) FS ;
- FILLER_55_54 sky130_fd_sc_hd__fill_2 + PLACED ( 30360 160480 ) FS ;
- FILLER_55_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 160480 ) FS ;
- FILLER_55_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 160480 ) FS ;
- FILLER_55_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 160480 ) FS ;
- FILLER_55_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 160480 ) FS ;
- FILLER_56_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 163200 ) N ;
- FILLER_56_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 163200 ) N ;
- FILLER_56_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 163200 ) N ;
- FILLER_56_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 163200 ) N ;
- FILLER_56_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 163200 ) N ;
- FILLER_56_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 163200 ) N ;
- FILLER_56_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 163200 ) N ;
- FILLER_56_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 163200 ) N ;
- FILLER_56_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 163200 ) N ;
- FILLER_56_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 163200 ) N ;
- FILLER_56_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 163200 ) N ;
- FILLER_56_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 163200 ) N ;
- FILLER_56_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 163200 ) N ;
- FILLER_56_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 163200 ) N ;
- FILLER_56_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 163200 ) N ;
- FILLER_56_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 163200 ) N ;
- FILLER_56_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 163200 ) N ;
- FILLER_56_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 163200 ) N ;
- FILLER_56_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 163200 ) N ;
- FILLER_56_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 163200 ) N ;
- FILLER_56_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 163200 ) N ;
- FILLER_56_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 163200 ) N ;
- FILLER_56_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 163200 ) N ;
- FILLER_56_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 163200 ) N ;
- FILLER_56_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 163200 ) N ;
- FILLER_56_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 163200 ) N ;
- FILLER_56_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 163200 ) N ;
- FILLER_56_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 163200 ) N ;
- FILLER_56_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 163200 ) N ;
- FILLER_56_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 163200 ) N ;
- FILLER_56_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 163200 ) N ;
- FILLER_56_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 163200 ) N ;
- FILLER_56_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 163200 ) N ;
- FILLER_56_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 163200 ) N ;
- FILLER_56_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 163200 ) N ;
- FILLER_56_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 163200 ) N ;
- FILLER_56_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 163200 ) N ;
- FILLER_56_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 163200 ) N ;
- FILLER_56_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 163200 ) N ;
- FILLER_56_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 163200 ) N ;
- FILLER_56_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 163200 ) N ;
- FILLER_56_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 163200 ) N ;
- FILLER_56_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 163200 ) N ;
- FILLER_56_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 163200 ) N ;
- FILLER_57_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 165920 ) FS ;
- FILLER_57_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 165920 ) FS ;
- FILLER_57_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 165920 ) FS ;
- FILLER_57_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 165920 ) FS ;
- FILLER_57_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 165920 ) FS ;
- FILLER_57_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 165920 ) FS ;
- FILLER_57_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 165920 ) FS ;
- FILLER_57_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 165920 ) FS ;
- FILLER_57_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 165920 ) FS ;
- FILLER_57_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 165920 ) FS ;
- FILLER_57_19 sky130_fd_sc_hd__decap_12 + PLACED ( 14260 165920 ) FS ;
- FILLER_57_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 165920 ) FS ;
- FILLER_57_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 165920 ) FS ;
- FILLER_57_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 165920 ) FS ;
- FILLER_57_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 165920 ) FS ;
- FILLER_57_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 165920 ) FS ;
- FILLER_57_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 165920 ) FS ;
- FILLER_57_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 165920 ) FS ;
- FILLER_57_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 165920 ) FS ;
- FILLER_57_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 165920 ) FS ;
- FILLER_57_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 165920 ) FS ;
- FILLER_57_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 165920 ) FS ;
- FILLER_57_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 165920 ) FS ;
- FILLER_57_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 165920 ) FS ;
- FILLER_57_31 sky130_fd_sc_hd__decap_12 + PLACED ( 19780 165920 ) FS ;
- FILLER_57_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 165920 ) FS ;
- FILLER_57_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 165920 ) FS ;
- FILLER_57_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 165920 ) FS ;
- FILLER_57_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 165920 ) FS ;
- FILLER_57_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 165920 ) FS ;
- FILLER_57_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 165920 ) FS ;
- FILLER_57_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 165920 ) FS ;
- FILLER_57_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 165920 ) FS ;
- FILLER_57_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 165920 ) FS ;
- FILLER_57_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 165920 ) FS ;
- FILLER_57_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 165920 ) FS ;
- FILLER_57_43 sky130_fd_sc_hd__decap_12 + PLACED ( 25300 165920 ) FS ;
- FILLER_57_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 165920 ) FS ;
- FILLER_57_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 165920 ) FS ;
- FILLER_57_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 165920 ) FS ;
- FILLER_57_7 sky130_fd_sc_hd__decap_12 + PLACED ( 8740 165920 ) FS ;
- FILLER_57_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 165920 ) FS ;
- FILLER_57_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 165920 ) FS ;
- FILLER_58_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 168640 ) N ;
- FILLER_58_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 168640 ) N ;
- FILLER_58_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 168640 ) N ;
- FILLER_58_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 168640 ) N ;
- FILLER_58_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 168640 ) N ;
- FILLER_58_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 168640 ) N ;
- FILLER_58_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 168640 ) N ;
- FILLER_58_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 168640 ) N ;
- FILLER_58_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 168640 ) N ;
- FILLER_58_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 168640 ) N ;
- FILLER_58_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 168640 ) N ;
- FILLER_58_197 sky130_fd_sc_hd__decap_6 + PLACED ( 96140 168640 ) N ;
- FILLER_58_20 sky130_fd_sc_hd__decap_8 + PLACED ( 14720 168640 ) N ;
- FILLER_58_215 sky130_fd_sc_hd__decap_12 + PLACED ( 104420 168640 ) N ;
- FILLER_58_227 sky130_fd_sc_hd__decap_12 + PLACED ( 109940 168640 ) N ;
- FILLER_58_239 sky130_fd_sc_hd__decap_12 + PLACED ( 115460 168640 ) N ;
- FILLER_58_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 168640 ) N ;
- FILLER_58_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 168640 ) N ;
- FILLER_58_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 168640 ) N ;
- FILLER_58_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 168640 ) N ;
- FILLER_58_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 168640 ) N ;
- FILLER_58_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 168640 ) N ;
- FILLER_58_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 168640 ) N ;
- FILLER_58_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 168640 ) N ;
- FILLER_58_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 168640 ) N ;
- FILLER_58_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 168640 ) N ;
- FILLER_58_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 168640 ) N ;
- FILLER_58_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 168640 ) N ;
- FILLER_58_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 168640 ) N ;
- FILLER_58_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 168640 ) N ;
- FILLER_58_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 168640 ) N ;
- FILLER_58_377 sky130_fd_sc_hd__decap_8 + PLACED ( 178940 168640 ) N ;
- FILLER_58_385 sky130_fd_sc_hd__fill_1 + PLACED ( 182620 168640 ) N ;
- FILLER_58_389 sky130_fd_sc_hd__decap_4 + PLACED ( 184460 168640 ) N ;
- FILLER_58_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 168640 ) N ;
- FILLER_58_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 168640 ) N ;
- FILLER_58_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 168640 ) N ;
- FILLER_58_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 168640 ) N ;
- FILLER_58_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 168640 ) N ;
- FILLER_58_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 168640 ) N ;
- FILLER_58_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 168640 ) N ;
- FILLER_58_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 168640 ) N ;
- FILLER_59_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 171360 ) FS ;
- FILLER_59_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 171360 ) FS ;
- FILLER_59_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 171360 ) FS ;
- FILLER_59_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 171360 ) FS ;
- FILLER_59_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 171360 ) FS ;
- FILLER_59_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 171360 ) FS ;
- FILLER_59_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 171360 ) FS ;
- FILLER_59_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 171360 ) FS ;
- FILLER_59_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 171360 ) FS ;
- FILLER_59_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 171360 ) FS ;
- FILLER_59_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 171360 ) FS ;
- FILLER_59_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 171360 ) FS ;
- FILLER_59_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 171360 ) FS ;
- FILLER_59_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 171360 ) FS ;
- FILLER_59_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 171360 ) FS ;
- FILLER_59_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 171360 ) FS ;
- FILLER_59_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 171360 ) FS ;
- FILLER_59_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 171360 ) FS ;
- FILLER_59_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 171360 ) FS ;
- FILLER_59_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 171360 ) FS ;
- FILLER_59_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 171360 ) FS ;
- FILLER_59_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 171360 ) FS ;
- FILLER_59_28 sky130_fd_sc_hd__decap_12 + PLACED ( 18400 171360 ) FS ;
- FILLER_59_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 171360 ) FS ;
- FILLER_59_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 171360 ) FS ;
- FILLER_59_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 171360 ) FS ;
- FILLER_59_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 171360 ) FS ;
- FILLER_59_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 171360 ) FS ;
- FILLER_59_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 171360 ) FS ;
- FILLER_59_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 171360 ) FS ;
- FILLER_59_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 171360 ) FS ;
- FILLER_59_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 171360 ) FS ;
- FILLER_59_373 sky130_fd_sc_hd__decap_4 + PLACED ( 177100 171360 ) FS ;
- FILLER_59_377 sky130_fd_sc_hd__fill_1 + PLACED ( 178940 171360 ) FS ;
- FILLER_59_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 171360 ) FS ;
- FILLER_59_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 171360 ) FS ;
- FILLER_59_393 sky130_fd_sc_hd__decap_4 + PLACED ( 186300 171360 ) FS ;
- FILLER_59_40 sky130_fd_sc_hd__decap_12 + PLACED ( 23920 171360 ) FS ;
- FILLER_59_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 171360 ) FS ;
- FILLER_59_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 171360 ) FS ;
- FILLER_59_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 171360 ) FS ;
- FILLER_59_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 171360 ) FS ;
- FILLER_59_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 171360 ) FS ;
- FILLER_59_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 171360 ) FS ;
- FILLER_59_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 171360 ) FS ;
- FILLER_5_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 24480 ) FS ;
- FILLER_5_11 sky130_fd_sc_hd__decap_4 + PLACED ( 10580 24480 ) FS ;
- FILLER_5_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 24480 ) FS ;
- FILLER_5_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 24480 ) FS ;
- FILLER_5_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 24480 ) FS ;
- FILLER_5_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 24480 ) FS ;
- FILLER_5_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 24480 ) FS ;
- FILLER_5_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 24480 ) FS ;
- FILLER_5_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 24480 ) FS ;
- FILLER_5_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 24480 ) FS ;
- FILLER_5_18 sky130_fd_sc_hd__decap_4 + PLACED ( 13800 24480 ) FS ;
- FILLER_5_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 24480 ) FS ;
- FILLER_5_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 24480 ) FS ;
- FILLER_5_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 24480 ) FS ;
- FILLER_5_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 24480 ) FS ;
- FILLER_5_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 24480 ) FS ;
- FILLER_5_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 24480 ) FS ;
- FILLER_5_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 24480 ) FS ;
- FILLER_5_25 sky130_fd_sc_hd__decap_4 + PLACED ( 17020 24480 ) FS ;
- FILLER_5_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 24480 ) FS ;
- FILLER_5_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 24480 ) FS ;
- FILLER_5_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 24480 ) FS ;
- FILLER_5_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 24480 ) FS ;
- FILLER_5_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 24480 ) FS ;
- FILLER_5_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 24480 ) FS ;
- FILLER_5_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 24480 ) FS ;
- FILLER_5_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 24480 ) FS ;
- FILLER_5_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 24480 ) FS ;
- FILLER_5_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 24480 ) FS ;
- FILLER_5_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 24480 ) FS ;
- FILLER_5_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 24480 ) FS ;
- FILLER_5_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 24480 ) FS ;
- FILLER_5_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 24480 ) FS ;
- FILLER_5_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 24480 ) FS ;
- FILLER_5_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 24480 ) FS ;
- FILLER_5_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 24480 ) FS ;
- FILLER_5_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 24480 ) FS ;
- FILLER_5_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 24480 ) FS ;
- FILLER_5_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 24480 ) FS ;
- FILLER_5_51 sky130_fd_sc_hd__decap_4 + PLACED ( 28980 24480 ) FS ;
- FILLER_5_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 24480 ) FS ;
- FILLER_5_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 24480 ) FS ;
- FILLER_5_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 24480 ) FS ;
- FILLER_5_7 sky130_fd_sc_hd__fill_1 + PLACED ( 8740 24480 ) FS ;
- FILLER_5_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 24480 ) FS ;
- FILLER_5_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 24480 ) FS ;
- FILLER_60_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 174080 ) N ;
- FILLER_60_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 174080 ) N ;
- FILLER_60_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 174080 ) N ;
- FILLER_60_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 174080 ) N ;
- FILLER_60_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 174080 ) N ;
- FILLER_60_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 174080 ) N ;
- FILLER_60_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 174080 ) N ;
- FILLER_60_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 174080 ) N ;
- FILLER_60_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 174080 ) N ;
- FILLER_60_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 174080 ) N ;
- FILLER_60_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 174080 ) N ;
- FILLER_60_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 174080 ) N ;
- FILLER_60_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 174080 ) N ;
- FILLER_60_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 174080 ) N ;
- FILLER_60_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 174080 ) N ;
- FILLER_60_233 sky130_fd_sc_hd__decap_6 + PLACED ( 112700 174080 ) N ;
- FILLER_60_239 sky130_fd_sc_hd__fill_1 + PLACED ( 115460 174080 ) N ;
- FILLER_60_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 174080 ) N ;
- FILLER_60_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 174080 ) N ;
- FILLER_60_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 174080 ) N ;
- FILLER_60_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 174080 ) N ;
- FILLER_60_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 174080 ) N ;
- FILLER_60_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 174080 ) N ;
- FILLER_60_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 174080 ) N ;
- FILLER_60_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 174080 ) N ;
- FILLER_60_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 174080 ) N ;
- FILLER_60_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 174080 ) N ;
- FILLER_60_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 174080 ) N ;
- FILLER_60_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 174080 ) N ;
- FILLER_60_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 174080 ) N ;
- FILLER_60_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 174080 ) N ;
- FILLER_60_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 174080 ) N ;
- FILLER_60_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 174080 ) N ;
- FILLER_60_365 sky130_fd_sc_hd__decap_6 + PLACED ( 173420 174080 ) N ;
- FILLER_60_374 sky130_fd_sc_hd__decap_4 + PLACED ( 177560 174080 ) N ;
- FILLER_60_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 174080 ) N ;
- FILLER_60_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 174080 ) N ;
- FILLER_60_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 174080 ) N ;
- FILLER_60_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 174080 ) N ;
- FILLER_60_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 174080 ) N ;
- FILLER_60_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 174080 ) N ;
- FILLER_60_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 174080 ) N ;
- FILLER_60_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 174080 ) N ;
- FILLER_60_80 sky130_fd_sc_hd__decap_4 + PLACED ( 42320 174080 ) N ;
- FILLER_60_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 174080 ) N ;
- FILLER_60_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 174080 ) N ;
- FILLER_61_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 176800 ) FS ;
- FILLER_61_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 176800 ) FS ;
- FILLER_61_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 176800 ) FS ;
- FILLER_61_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 176800 ) FS ;
- FILLER_61_137 sky130_fd_sc_hd__decap_4 + PLACED ( 68540 176800 ) FS ;
- FILLER_61_144 sky130_fd_sc_hd__decap_4 + PLACED ( 71760 176800 ) FS ;
- FILLER_61_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 176800 ) FS ;
- FILLER_61_151 sky130_fd_sc_hd__decap_8 + PLACED ( 74980 176800 ) FS ;
- FILLER_61_162 sky130_fd_sc_hd__decap_6 + PLACED ( 80040 176800 ) FS ;
- FILLER_61_172 sky130_fd_sc_hd__decap_8 + PLACED ( 84640 176800 ) FS ;
- FILLER_61_180 sky130_fd_sc_hd__decap_3 + PLACED ( 88320 176800 ) FS ;
- FILLER_61_186 sky130_fd_sc_hd__decap_8 + PLACED ( 91080 176800 ) FS ;
- FILLER_61_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 176800 ) FS ;
- FILLER_61_199 sky130_fd_sc_hd__decap_6 + PLACED ( 97060 176800 ) FS ;
- FILLER_61_208 sky130_fd_sc_hd__decap_12 + PLACED ( 101200 176800 ) FS ;
- FILLER_61_22 sky130_fd_sc_hd__decap_4 + PLACED ( 15640 176800 ) FS ;
- FILLER_61_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 176800 ) FS ;
- FILLER_61_225 sky130_fd_sc_hd__fill_2 + PLACED ( 109020 176800 ) FS ;
- FILLER_61_230 sky130_fd_sc_hd__decap_4 + PLACED ( 111320 176800 ) FS ;
- FILLER_61_237 sky130_fd_sc_hd__decap_4 + PLACED ( 114540 176800 ) FS ;
- FILLER_61_241 sky130_fd_sc_hd__fill_1 + PLACED ( 116380 176800 ) FS ;
- FILLER_61_245 sky130_fd_sc_hd__decap_4 + PLACED ( 118220 176800 ) FS ;
- FILLER_61_252 sky130_fd_sc_hd__decap_12 + PLACED ( 121440 176800 ) FS ;
- FILLER_61_264 sky130_fd_sc_hd__decap_12 + PLACED ( 126960 176800 ) FS ;
- FILLER_61_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 176800 ) FS ;
- FILLER_61_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 176800 ) FS ;
- FILLER_61_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 176800 ) FS ;
- FILLER_61_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 176800 ) FS ;
- FILLER_61_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 176800 ) FS ;
- FILLER_61_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 176800 ) FS ;
- FILLER_61_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 176800 ) FS ;
- FILLER_61_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 176800 ) FS ;
- FILLER_61_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 176800 ) FS ;
- FILLER_61_349 sky130_fd_sc_hd__decap_8 + PLACED ( 166060 176800 ) FS ;
- FILLER_61_357 sky130_fd_sc_hd__fill_2 + PLACED ( 169740 176800 ) FS ;
- FILLER_61_36 sky130_fd_sc_hd__decap_12 + PLACED ( 22080 176800 ) FS ;
- FILLER_61_362 sky130_fd_sc_hd__decap_4 + PLACED ( 172040 176800 ) FS ;
- FILLER_61_369 sky130_fd_sc_hd__decap_8 + PLACED ( 175260 176800 ) FS ;
- FILLER_61_381 sky130_fd_sc_hd__decap_4 + PLACED ( 180780 176800 ) FS ;
- FILLER_61_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 176800 ) FS ;
- FILLER_61_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 176800 ) FS ;
- FILLER_61_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 176800 ) FS ;
- FILLER_61_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 176800 ) FS ;
- FILLER_61_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 176800 ) FS ;
- FILLER_61_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 176800 ) FS ;
- FILLER_61_7 sky130_fd_sc_hd__fill_2 + PLACED ( 8740 176800 ) FS ;
- FILLER_61_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 176800 ) FS ;
- FILLER_61_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 176800 ) FS ;
- FILLER_62_101 sky130_fd_sc_hd__fill_1 + PLACED ( 51980 179520 ) N ;
- FILLER_62_105 sky130_fd_sc_hd__decap_4 + PLACED ( 53820 179520 ) N ;
- FILLER_62_112 sky130_fd_sc_hd__decap_12 + PLACED ( 57040 179520 ) N ;
- FILLER_62_127 sky130_fd_sc_hd__decap_4 + PLACED ( 63940 179520 ) N ;
- FILLER_62_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 179520 ) N ;
- FILLER_62_131 sky130_fd_sc_hd__fill_1 + PLACED ( 65780 179520 ) N ;
- FILLER_62_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 179520 ) N ;
- FILLER_62_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 179520 ) N ;
- FILLER_62_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 179520 ) N ;
- FILLER_62_145 sky130_fd_sc_hd__fill_1 + PLACED ( 72220 179520 ) N ;
- FILLER_62_149 sky130_fd_sc_hd__decap_4 + PLACED ( 74060 179520 ) N ;
- FILLER_62_156 sky130_fd_sc_hd__decap_4 + PLACED ( 77280 179520 ) N ;
- FILLER_62_163 sky130_fd_sc_hd__decap_4 + PLACED ( 80500 179520 ) N ;
- FILLER_62_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 179520 ) N ;
- FILLER_62_171 sky130_fd_sc_hd__decap_4 + PLACED ( 84180 179520 ) N ;
- FILLER_62_175 sky130_fd_sc_hd__fill_1 + PLACED ( 86020 179520 ) N ;
- FILLER_62_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 179520 ) N ;
- FILLER_62_186 sky130_fd_sc_hd__decap_8 + PLACED ( 91080 179520 ) N ;
- FILLER_62_194 sky130_fd_sc_hd__fill_2 + PLACED ( 94760 179520 ) N ;
- FILLER_62_197 sky130_fd_sc_hd__decap_3 + PLACED ( 96140 179520 ) N ;
- FILLER_62_203 sky130_fd_sc_hd__decap_4 + PLACED ( 98900 179520 ) N ;
- FILLER_62_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 179520 ) N ;
- FILLER_62_210 sky130_fd_sc_hd__decap_4 + PLACED ( 102120 179520 ) N ;
- FILLER_62_217 sky130_fd_sc_hd__decap_8 + PLACED ( 105340 179520 ) N ;
- FILLER_62_225 sky130_fd_sc_hd__fill_1 + PLACED ( 109020 179520 ) N ;
- FILLER_62_229 sky130_fd_sc_hd__decap_8 + PLACED ( 110860 179520 ) N ;
- FILLER_62_237 sky130_fd_sc_hd__fill_1 + PLACED ( 114540 179520 ) N ;
- FILLER_62_241 sky130_fd_sc_hd__decap_4 + PLACED ( 116380 179520 ) N ;
- FILLER_62_248 sky130_fd_sc_hd__decap_4 + PLACED ( 119600 179520 ) N ;
- FILLER_62_253 sky130_fd_sc_hd__decap_3 + PLACED ( 121900 179520 ) N ;
- FILLER_62_259 sky130_fd_sc_hd__decap_4 + PLACED ( 124660 179520 ) N ;
- FILLER_62_266 sky130_fd_sc_hd__decap_4 + PLACED ( 127880 179520 ) N ;
- FILLER_62_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 179520 ) N ;
- FILLER_62_273 sky130_fd_sc_hd__decap_8 + PLACED ( 131100 179520 ) N ;
- FILLER_62_281 sky130_fd_sc_hd__decap_3 + PLACED ( 134780 179520 ) N ;
- FILLER_62_287 sky130_fd_sc_hd__decap_12 + PLACED ( 137540 179520 ) N ;
- FILLER_62_299 sky130_fd_sc_hd__decap_8 + PLACED ( 143060 179520 ) N ;
- FILLER_62_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 179520 ) N ;
- FILLER_62_309 sky130_fd_sc_hd__decap_6 + PLACED ( 147660 179520 ) N ;
- FILLER_62_318 sky130_fd_sc_hd__decap_12 + PLACED ( 151800 179520 ) N ;
- FILLER_62_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 179520 ) N ;
- FILLER_62_330 sky130_fd_sc_hd__decap_12 + PLACED ( 157320 179520 ) N ;
- FILLER_62_342 sky130_fd_sc_hd__decap_4 + PLACED ( 162840 179520 ) N ;
- FILLER_62_346 sky130_fd_sc_hd__fill_1 + PLACED ( 164680 179520 ) N ;
- FILLER_62_350 sky130_fd_sc_hd__decap_6 + PLACED ( 166520 179520 ) N ;
- FILLER_62_356 sky130_fd_sc_hd__fill_1 + PLACED ( 169280 179520 ) N ;
- FILLER_62_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 179520 ) N ;
- FILLER_62_369 sky130_fd_sc_hd__decap_4 + PLACED ( 175260 179520 ) N ;
- FILLER_62_377 sky130_fd_sc_hd__decap_6 + PLACED ( 178940 179520 ) N ;
- FILLER_62_387 sky130_fd_sc_hd__decap_4 + PLACED ( 183540 179520 ) N ;
- FILLER_62_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 179520 ) N ;
- FILLER_62_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 179520 ) N ;
- FILLER_62_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 179520 ) N ;
- FILLER_62_47 sky130_fd_sc_hd__fill_1 + PLACED ( 27140 179520 ) N ;
- FILLER_62_51 sky130_fd_sc_hd__decap_6 + PLACED ( 28980 179520 ) N ;
- FILLER_62_57 sky130_fd_sc_hd__fill_1 + PLACED ( 31740 179520 ) N ;
- FILLER_62_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 179520 ) N ;
- FILLER_62_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 179520 ) N ;
- FILLER_62_81 sky130_fd_sc_hd__decap_3 + PLACED ( 42780 179520 ) N ;
- FILLER_62_85 sky130_fd_sc_hd__fill_1 + PLACED ( 44620 179520 ) N ;
- FILLER_62_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 179520 ) N ;
- FILLER_62_97 sky130_fd_sc_hd__decap_4 + PLACED ( 50140 179520 ) N ;
- FILLER_63_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 182240 ) FS ;
- FILLER_63_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 182240 ) FS ;
- FILLER_63_116 sky130_fd_sc_hd__decap_4 + PLACED ( 58880 182240 ) FS ;
- FILLER_63_123 sky130_fd_sc_hd__decap_6 + PLACED ( 62100 182240 ) FS ;
- FILLER_63_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 182240 ) FS ;
- FILLER_63_132 sky130_fd_sc_hd__decap_4 + PLACED ( 66240 182240 ) FS ;
- FILLER_63_140 sky130_fd_sc_hd__decap_8 + PLACED ( 69920 182240 ) FS ;
- FILLER_63_148 sky130_fd_sc_hd__fill_1 + PLACED ( 73600 182240 ) FS ;
- FILLER_63_153 sky130_fd_sc_hd__decap_4 + PLACED ( 75900 182240 ) FS ;
- FILLER_63_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 182240 ) FS ;
- FILLER_63_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 182240 ) FS ;
- FILLER_63_169 sky130_fd_sc_hd__decap_6 + PLACED ( 83260 182240 ) FS ;
- FILLER_63_185 sky130_fd_sc_hd__decap_4 + PLACED ( 90620 182240 ) FS ;
- FILLER_63_193 sky130_fd_sc_hd__decap_4 + PLACED ( 94300 182240 ) FS ;
- FILLER_63_200 sky130_fd_sc_hd__decap_8 + PLACED ( 97520 182240 ) FS ;
- FILLER_63_208 sky130_fd_sc_hd__fill_2 + PLACED ( 101200 182240 ) FS ;
- FILLER_63_21 sky130_fd_sc_hd__decap_4 + PLACED ( 15180 182240 ) FS ;
- FILLER_63_213 sky130_fd_sc_hd__decap_4 + PLACED ( 103500 182240 ) FS ;
- FILLER_63_220 sky130_fd_sc_hd__decap_4 + PLACED ( 106720 182240 ) FS ;
- FILLER_63_228 sky130_fd_sc_hd__decap_8 + PLACED ( 110400 182240 ) FS ;
- FILLER_63_236 sky130_fd_sc_hd__fill_1 + PLACED ( 114080 182240 ) FS ;
- FILLER_63_241 sky130_fd_sc_hd__decap_4 + PLACED ( 116380 182240 ) FS ;
- FILLER_63_245 sky130_fd_sc_hd__fill_1 + PLACED ( 118220 182240 ) FS ;
- FILLER_63_249 sky130_fd_sc_hd__decap_8 + PLACED ( 120060 182240 ) FS ;
- FILLER_63_267 sky130_fd_sc_hd__decap_4 + PLACED ( 128340 182240 ) FS ;
- FILLER_63_271 sky130_fd_sc_hd__fill_1 + PLACED ( 130180 182240 ) FS ;
- FILLER_63_275 sky130_fd_sc_hd__decap_4 + PLACED ( 132020 182240 ) FS ;
- FILLER_63_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 182240 ) FS ;
- FILLER_63_284 sky130_fd_sc_hd__decap_4 + PLACED ( 136160 182240 ) FS ;
- FILLER_63_288 sky130_fd_sc_hd__fill_1 + PLACED ( 138000 182240 ) FS ;
- FILLER_63_29 sky130_fd_sc_hd__decap_4 + PLACED ( 18860 182240 ) FS ;
- FILLER_63_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 182240 ) FS ;
- FILLER_63_309 sky130_fd_sc_hd__decap_4 + PLACED ( 147660 182240 ) FS ;
- FILLER_63_316 sky130_fd_sc_hd__decap_4 + PLACED ( 150880 182240 ) FS ;
- FILLER_63_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 182240 ) FS ;
- FILLER_63_331 sky130_fd_sc_hd__decap_4 + PLACED ( 157780 182240 ) FS ;
- FILLER_63_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 182240 ) FS ;
- FILLER_63_337 sky130_fd_sc_hd__decap_8 + PLACED ( 160540 182240 ) FS ;
- FILLER_63_345 sky130_fd_sc_hd__fill_1 + PLACED ( 164220 182240 ) FS ;
- FILLER_63_349 sky130_fd_sc_hd__decap_4 + PLACED ( 166060 182240 ) FS ;
- FILLER_63_357 sky130_fd_sc_hd__decap_8 + PLACED ( 169740 182240 ) FS ;
- FILLER_63_365 sky130_fd_sc_hd__fill_2 + PLACED ( 173420 182240 ) FS ;
- FILLER_63_37 sky130_fd_sc_hd__decap_4 + PLACED ( 22540 182240 ) FS ;
- FILLER_63_371 sky130_fd_sc_hd__decap_4 + PLACED ( 176180 182240 ) FS ;
- FILLER_63_375 sky130_fd_sc_hd__fill_1 + PLACED ( 178020 182240 ) FS ;
- FILLER_63_380 sky130_fd_sc_hd__decap_4 + PLACED ( 180320 182240 ) FS ;
- FILLER_63_388 sky130_fd_sc_hd__decap_4 + PLACED ( 184000 182240 ) FS ;
- FILLER_63_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 182240 ) FS ;
- FILLER_63_45 sky130_fd_sc_hd__decap_4 + PLACED ( 26220 182240 ) FS ;
- FILLER_63_52 sky130_fd_sc_hd__decap_4 + PLACED ( 29440 182240 ) FS ;
- FILLER_63_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 182240 ) FS ;
- FILLER_63_65 sky130_fd_sc_hd__decap_4 + PLACED ( 35420 182240 ) FS ;
- FILLER_63_79 sky130_fd_sc_hd__decap_8 + PLACED ( 41860 182240 ) FS ;
- FILLER_63_91 sky130_fd_sc_hd__decap_4 + PLACED ( 47380 182240 ) FS ;
- FILLER_63_99 sky130_fd_sc_hd__decap_4 + PLACED ( 51060 182240 ) FS ;
- FILLER_64_107 sky130_fd_sc_hd__decap_4 + PLACED ( 54740 184960 ) N ;
- FILLER_64_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 184960 ) N ;
- FILLER_64_117 sky130_fd_sc_hd__decap_8 + PLACED ( 59340 184960 ) N ;
- FILLER_64_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 184960 ) N ;
- FILLER_64_135 sky130_fd_sc_hd__decap_4 + PLACED ( 67620 184960 ) N ;
- FILLER_64_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 184960 ) N ;
- FILLER_64_141 sky130_fd_sc_hd__decap_4 + PLACED ( 70380 184960 ) N ;
- FILLER_64_149 sky130_fd_sc_hd__decap_8 + PLACED ( 74060 184960 ) N ;
- FILLER_64_157 sky130_fd_sc_hd__decap_3 + PLACED ( 77740 184960 ) N ;
- FILLER_64_164 sky130_fd_sc_hd__decap_4 + PLACED ( 80960 184960 ) N ;
- FILLER_64_179 sky130_fd_sc_hd__decap_4 + PLACED ( 87860 184960 ) N ;
- FILLER_64_187 sky130_fd_sc_hd__decap_8 + PLACED ( 91540 184960 ) N ;
- FILLER_64_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 184960 ) N ;
- FILLER_64_201 sky130_fd_sc_hd__decap_4 + PLACED ( 97980 184960 ) N ;
- FILLER_64_205 sky130_fd_sc_hd__fill_1 + PLACED ( 99820 184960 ) N ;
- FILLER_64_209 sky130_fd_sc_hd__decap_4 + PLACED ( 101660 184960 ) N ;
- FILLER_64_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 184960 ) N ;
- FILLER_64_216 sky130_fd_sc_hd__decap_8 + PLACED ( 104880 184960 ) N ;
- FILLER_64_225 sky130_fd_sc_hd__fill_1 + PLACED ( 109020 184960 ) N ;
- FILLER_64_229 sky130_fd_sc_hd__decap_4 + PLACED ( 110860 184960 ) N ;
- FILLER_64_243 sky130_fd_sc_hd__decap_8 + PLACED ( 117300 184960 ) N ;
- FILLER_64_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 184960 ) N ;
- FILLER_64_257 sky130_fd_sc_hd__decap_8 + PLACED ( 123740 184960 ) N ;
- FILLER_64_265 sky130_fd_sc_hd__fill_1 + PLACED ( 127420 184960 ) N ;
- FILLER_64_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 184960 ) N ;
- FILLER_64_276 sky130_fd_sc_hd__decap_4 + PLACED ( 132480 184960 ) N ;
- FILLER_64_285 sky130_fd_sc_hd__decap_6 + PLACED ( 136620 184960 ) N ;
- FILLER_64_295 sky130_fd_sc_hd__decap_4 + PLACED ( 141220 184960 ) N ;
- FILLER_64_303 sky130_fd_sc_hd__decap_4 + PLACED ( 144900 184960 ) N ;
- FILLER_64_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 184960 ) N ;
- FILLER_64_309 sky130_fd_sc_hd__fill_2 + PLACED ( 147660 184960 ) N ;
- FILLER_64_315 sky130_fd_sc_hd__decap_4 + PLACED ( 150420 184960 ) N ;
- FILLER_64_323 sky130_fd_sc_hd__decap_4 + PLACED ( 154100 184960 ) N ;
- FILLER_64_327 sky130_fd_sc_hd__fill_1 + PLACED ( 155940 184960 ) N ;
- FILLER_64_33 sky130_fd_sc_hd__decap_6 + PLACED ( 20700 184960 ) N ;
- FILLER_64_332 sky130_fd_sc_hd__decap_4 + PLACED ( 158240 184960 ) N ;
- FILLER_64_337 sky130_fd_sc_hd__decap_4 + PLACED ( 160540 184960 ) N ;
- FILLER_64_345 sky130_fd_sc_hd__decap_4 + PLACED ( 164220 184960 ) N ;
- FILLER_64_349 sky130_fd_sc_hd__fill_1 + PLACED ( 166060 184960 ) N ;
- FILLER_64_360 sky130_fd_sc_hd__decap_4 + PLACED ( 171120 184960 ) N ;
- FILLER_64_375 sky130_fd_sc_hd__decap_4 + PLACED ( 178020 184960 ) N ;
- FILLER_64_383 sky130_fd_sc_hd__decap_8 + PLACED ( 181700 184960 ) N ;
- FILLER_64_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 184960 ) N ;
- FILLER_64_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 184960 ) N ;
- FILLER_64_43 sky130_fd_sc_hd__decap_4 + PLACED ( 25300 184960 ) N ;
- FILLER_64_50 sky130_fd_sc_hd__decap_6 + PLACED ( 28520 184960 ) N ;
- FILLER_64_67 sky130_fd_sc_hd__decap_6 + PLACED ( 36340 184960 ) N ;
- FILLER_64_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 184960 ) N ;
- FILLER_64_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 184960 ) N ;
- FILLER_64_85 sky130_fd_sc_hd__decap_4 + PLACED ( 44620 184960 ) N ;
- FILLER_64_93 sky130_fd_sc_hd__decap_4 + PLACED ( 48300 184960 ) N ;
- FILLER_6_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 27200 ) N ;
- FILLER_6_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 27200 ) N ;
- FILLER_6_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 27200 ) N ;
- FILLER_6_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 27200 ) N ;
- FILLER_6_14 sky130_fd_sc_hd__decap_4 + PLACED ( 11960 27200 ) N ;
- FILLER_6_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 27200 ) N ;
- FILLER_6_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 27200 ) N ;
- FILLER_6_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 27200 ) N ;
- FILLER_6_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 27200 ) N ;
- FILLER_6_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 27200 ) N ;
- FILLER_6_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 27200 ) N ;
- FILLER_6_197 sky130_fd_sc_hd__decap_4 + PLACED ( 96140 27200 ) N ;
- FILLER_6_201 sky130_fd_sc_hd__fill_1 + PLACED ( 97980 27200 ) N ;
- FILLER_6_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 27200 ) N ;
- FILLER_6_21 sky130_fd_sc_hd__decap_6 + PLACED ( 15180 27200 ) N ;
- FILLER_6_217 sky130_fd_sc_hd__decap_12 + PLACED ( 105340 27200 ) N ;
- FILLER_6_229 sky130_fd_sc_hd__decap_12 + PLACED ( 110860 27200 ) N ;
- FILLER_6_241 sky130_fd_sc_hd__decap_8 + PLACED ( 116380 27200 ) N ;
- FILLER_6_249 sky130_fd_sc_hd__decap_3 + PLACED ( 120060 27200 ) N ;
- FILLER_6_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 27200 ) N ;
- FILLER_6_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 27200 ) N ;
- FILLER_6_27 sky130_fd_sc_hd__fill_1 + PLACED ( 17940 27200 ) N ;
- FILLER_6_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 27200 ) N ;
- FILLER_6_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 27200 ) N ;
- FILLER_6_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 27200 ) N ;
- FILLER_6_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 27200 ) N ;
- FILLER_6_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 27200 ) N ;
- FILLER_6_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 27200 ) N ;
- FILLER_6_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 27200 ) N ;
- FILLER_6_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 27200 ) N ;
- FILLER_6_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 27200 ) N ;
- FILLER_6_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 27200 ) N ;
- FILLER_6_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 27200 ) N ;
- FILLER_6_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 27200 ) N ;
- FILLER_6_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 27200 ) N ;
- FILLER_6_389 sky130_fd_sc_hd__decap_3 + PLACED ( 184460 27200 ) N ;
- FILLER_6_395 sky130_fd_sc_hd__decap_4 + PLACED ( 187220 27200 ) N ;
- FILLER_6_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 27200 ) N ;
- FILLER_6_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 27200 ) N ;
- FILLER_6_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 27200 ) N ;
- FILLER_6_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 27200 ) N ;
- FILLER_6_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 27200 ) N ;
- FILLER_6_7 sky130_fd_sc_hd__decap_4 + PLACED ( 8740 27200 ) N ;
- FILLER_6_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 27200 ) N ;
- FILLER_6_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 27200 ) N ;
- FILLER_6_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 27200 ) N ;
- FILLER_6_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 27200 ) N ;
- FILLER_7_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 29920 ) FS ;
- FILLER_7_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 29920 ) FS ;
- FILLER_7_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 29920 ) FS ;
- FILLER_7_13 sky130_fd_sc_hd__decap_4 + PLACED ( 11500 29920 ) FS ;
- FILLER_7_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 29920 ) FS ;
- FILLER_7_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 29920 ) FS ;
- FILLER_7_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 29920 ) FS ;
- FILLER_7_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 29920 ) FS ;
- FILLER_7_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 29920 ) FS ;
- FILLER_7_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 29920 ) FS ;
- FILLER_7_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 29920 ) FS ;
- FILLER_7_20 sky130_fd_sc_hd__decap_12 + PLACED ( 14720 29920 ) FS ;
- FILLER_7_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 29920 ) FS ;
- FILLER_7_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 29920 ) FS ;
- FILLER_7_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 29920 ) FS ;
- FILLER_7_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 29920 ) FS ;
- FILLER_7_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 29920 ) FS ;
- FILLER_7_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 29920 ) FS ;
- FILLER_7_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 29920 ) FS ;
- FILLER_7_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 29920 ) FS ;
- FILLER_7_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 29920 ) FS ;
- FILLER_7_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 29920 ) FS ;
- FILLER_7_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 29920 ) FS ;
- FILLER_7_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 29920 ) FS ;
- FILLER_7_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 29920 ) FS ;
- FILLER_7_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 29920 ) FS ;
- FILLER_7_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 29920 ) FS ;
- FILLER_7_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 29920 ) FS ;
- FILLER_7_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 29920 ) FS ;
- FILLER_7_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 29920 ) FS ;
- FILLER_7_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 29920 ) FS ;
- FILLER_7_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 29920 ) FS ;
- FILLER_7_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 29920 ) FS ;
- FILLER_7_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 29920 ) FS ;
- FILLER_7_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 29920 ) FS ;
- FILLER_7_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 29920 ) FS ;
- FILLER_7_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 29920 ) FS ;
- FILLER_7_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 29920 ) FS ;
- FILLER_7_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 29920 ) FS ;
- FILLER_8_10 sky130_fd_sc_hd__decap_12 + PLACED ( 10120 32640 ) N ;
- FILLER_8_109 sky130_fd_sc_hd__decap_12 + PLACED ( 55660 32640 ) N ;
- FILLER_8_121 sky130_fd_sc_hd__decap_12 + PLACED ( 61180 32640 ) N ;
- FILLER_8_133 sky130_fd_sc_hd__decap_6 + PLACED ( 66700 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + PLACED ( 69460 32640 ) N ;
- FILLER_8_141 sky130_fd_sc_hd__decap_12 + PLACED ( 70380 32640 ) N ;
- FILLER_8_153 sky130_fd_sc_hd__decap_12 + PLACED ( 75900 32640 ) N ;
- FILLER_8_165 sky130_fd_sc_hd__decap_12 + PLACED ( 81420 32640 ) N ;
- FILLER_8_177 sky130_fd_sc_hd__decap_12 + PLACED ( 86940 32640 ) N ;
- FILLER_8_189 sky130_fd_sc_hd__decap_6 + PLACED ( 92460 32640 ) N ;
- FILLER_8_195 sky130_fd_sc_hd__fill_1 + PLACED ( 95220 32640 ) N ;
- FILLER_8_197 sky130_fd_sc_hd__decap_12 + PLACED ( 96140 32640 ) N ;
- FILLER_8_209 sky130_fd_sc_hd__decap_12 + PLACED ( 101660 32640 ) N ;
- FILLER_8_22 sky130_fd_sc_hd__decap_6 + PLACED ( 15640 32640 ) N ;
- FILLER_8_221 sky130_fd_sc_hd__decap_12 + PLACED ( 107180 32640 ) N ;
- FILLER_8_233 sky130_fd_sc_hd__decap_12 + PLACED ( 112700 32640 ) N ;
- FILLER_8_245 sky130_fd_sc_hd__decap_6 + PLACED ( 118220 32640 ) N ;
- FILLER_8_251 sky130_fd_sc_hd__fill_1 + PLACED ( 120980 32640 ) N ;
- FILLER_8_253 sky130_fd_sc_hd__decap_12 + PLACED ( 121900 32640 ) N ;
- FILLER_8_265 sky130_fd_sc_hd__decap_12 + PLACED ( 127420 32640 ) N ;
- FILLER_8_277 sky130_fd_sc_hd__decap_12 + PLACED ( 132940 32640 ) N ;
- FILLER_8_289 sky130_fd_sc_hd__decap_12 + PLACED ( 138460 32640 ) N ;
- FILLER_8_29 sky130_fd_sc_hd__decap_12 + PLACED ( 18860 32640 ) N ;
- FILLER_8_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 32640 ) N ;
- FILLER_8_301 sky130_fd_sc_hd__decap_6 + PLACED ( 143980 32640 ) N ;
- FILLER_8_307 sky130_fd_sc_hd__fill_1 + PLACED ( 146740 32640 ) N ;
- FILLER_8_309 sky130_fd_sc_hd__decap_12 + PLACED ( 147660 32640 ) N ;
- FILLER_8_321 sky130_fd_sc_hd__decap_12 + PLACED ( 153180 32640 ) N ;
- FILLER_8_333 sky130_fd_sc_hd__decap_12 + PLACED ( 158700 32640 ) N ;
- FILLER_8_345 sky130_fd_sc_hd__decap_12 + PLACED ( 164220 32640 ) N ;
- FILLER_8_357 sky130_fd_sc_hd__decap_6 + PLACED ( 169740 32640 ) N ;
- FILLER_8_363 sky130_fd_sc_hd__fill_1 + PLACED ( 172500 32640 ) N ;
- FILLER_8_365 sky130_fd_sc_hd__decap_12 + PLACED ( 173420 32640 ) N ;
- FILLER_8_377 sky130_fd_sc_hd__decap_12 + PLACED ( 178940 32640 ) N ;
- FILLER_8_389 sky130_fd_sc_hd__decap_8 + PLACED ( 184460 32640 ) N ;
- FILLER_8_397 sky130_fd_sc_hd__fill_2 + PLACED ( 188140 32640 ) N ;
- FILLER_8_402 sky130_fd_sc_hd__decap_4 + PLACED ( 190440 32640 ) N ;
- FILLER_8_406 sky130_fd_sc_hd__fill_1 + PLACED ( 192280 32640 ) N ;
- FILLER_8_41 sky130_fd_sc_hd__decap_12 + PLACED ( 24380 32640 ) N ;
- FILLER_8_53 sky130_fd_sc_hd__decap_12 + PLACED ( 29900 32640 ) N ;
- FILLER_8_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 32640 ) N ;
- FILLER_8_77 sky130_fd_sc_hd__decap_6 + PLACED ( 40940 32640 ) N ;
- FILLER_8_83 sky130_fd_sc_hd__fill_1 + PLACED ( 43700 32640 ) N ;
- FILLER_8_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 32640 ) N ;
- FILLER_8_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 32640 ) N ;
- FILLER_9_105 sky130_fd_sc_hd__decap_6 + PLACED ( 53820 35360 ) FS ;
- FILLER_9_111 sky130_fd_sc_hd__fill_1 + PLACED ( 56580 35360 ) FS ;
- FILLER_9_113 sky130_fd_sc_hd__decap_12 + PLACED ( 57500 35360 ) FS ;
- FILLER_9_125 sky130_fd_sc_hd__decap_12 + PLACED ( 63020 35360 ) FS ;
- FILLER_9_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 35360 ) FS ;
- FILLER_9_137 sky130_fd_sc_hd__decap_12 + PLACED ( 68540 35360 ) FS ;
- FILLER_9_149 sky130_fd_sc_hd__decap_12 + PLACED ( 74060 35360 ) FS ;
- FILLER_9_161 sky130_fd_sc_hd__decap_6 + PLACED ( 79580 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_fd_sc_hd__decap_12 + PLACED ( 83260 35360 ) FS ;
- FILLER_9_181 sky130_fd_sc_hd__decap_12 + PLACED ( 88780 35360 ) FS ;
- FILLER_9_193 sky130_fd_sc_hd__decap_12 + PLACED ( 94300 35360 ) FS ;
- FILLER_9_205 sky130_fd_sc_hd__decap_12 + PLACED ( 99820 35360 ) FS ;
- FILLER_9_217 sky130_fd_sc_hd__decap_6 + PLACED ( 105340 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + PLACED ( 108100 35360 ) FS ;
- FILLER_9_225 sky130_fd_sc_hd__decap_12 + PLACED ( 109020 35360 ) FS ;
- FILLER_9_237 sky130_fd_sc_hd__decap_12 + PLACED ( 114540 35360 ) FS ;
- FILLER_9_249 sky130_fd_sc_hd__decap_12 + PLACED ( 120060 35360 ) FS ;
- FILLER_9_25 sky130_fd_sc_hd__decap_12 + PLACED ( 17020 35360 ) FS ;
- FILLER_9_261 sky130_fd_sc_hd__decap_12 + PLACED ( 125580 35360 ) FS ;
- FILLER_9_273 sky130_fd_sc_hd__decap_6 + PLACED ( 131100 35360 ) FS ;
- FILLER_9_279 sky130_fd_sc_hd__fill_1 + PLACED ( 133860 35360 ) FS ;
- FILLER_9_281 sky130_fd_sc_hd__decap_12 + PLACED ( 134780 35360 ) FS ;
- FILLER_9_293 sky130_fd_sc_hd__decap_12 + PLACED ( 140300 35360 ) FS ;
- FILLER_9_305 sky130_fd_sc_hd__decap_12 + PLACED ( 145820 35360 ) FS ;
- FILLER_9_317 sky130_fd_sc_hd__decap_12 + PLACED ( 151340 35360 ) FS ;
- FILLER_9_329 sky130_fd_sc_hd__decap_6 + PLACED ( 156860 35360 ) FS ;
- FILLER_9_335 sky130_fd_sc_hd__fill_1 + PLACED ( 159620 35360 ) FS ;
- FILLER_9_337 sky130_fd_sc_hd__decap_12 + PLACED ( 160540 35360 ) FS ;
- FILLER_9_349 sky130_fd_sc_hd__decap_12 + PLACED ( 166060 35360 ) FS ;
- FILLER_9_361 sky130_fd_sc_hd__decap_12 + PLACED ( 171580 35360 ) FS ;
- FILLER_9_37 sky130_fd_sc_hd__decap_12 + PLACED ( 22540 35360 ) FS ;
- FILLER_9_373 sky130_fd_sc_hd__decap_12 + PLACED ( 177100 35360 ) FS ;
- FILLER_9_385 sky130_fd_sc_hd__decap_6 + PLACED ( 182620 35360 ) FS ;
- FILLER_9_391 sky130_fd_sc_hd__fill_1 + PLACED ( 185380 35360 ) FS ;
- FILLER_9_393 sky130_fd_sc_hd__decap_6 + PLACED ( 186300 35360 ) FS ;
- FILLER_9_403 sky130_fd_sc_hd__decap_4 + PLACED ( 190900 35360 ) FS ;
- FILLER_9_49 sky130_fd_sc_hd__decap_6 + PLACED ( 28060 35360 ) FS ;
- FILLER_9_55 sky130_fd_sc_hd__fill_1 + PLACED ( 30820 35360 ) FS ;
- FILLER_9_57 sky130_fd_sc_hd__decap_12 + PLACED ( 31740 35360 ) FS ;
- FILLER_9_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 35360 ) FS ;
- FILLER_9_69 sky130_fd_sc_hd__decap_12 + PLACED ( 37260 35360 ) FS ;
- FILLER_9_81 sky130_fd_sc_hd__decap_12 + PLACED ( 42780 35360 ) FS ;
- FILLER_9_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 165920 ) S ;
- PHY_116 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 168640 ) N ;
- PHY_117 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 168640 ) FN ;
- PHY_118 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 171360 ) FS ;
- PHY_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 171360 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_120 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 174080 ) N ;
- PHY_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 174080 ) FN ;
- PHY_122 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 176800 ) FS ;
- PHY_123 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 176800 ) S ;
- PHY_124 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 179520 ) N ;
- PHY_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 179520 ) FN ;
- PHY_126 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 182240 ) FS ;
- PHY_127 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 182240 ) S ;
- PHY_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 184960 ) N ;
- PHY_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 184960 ) FN ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 27200 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 29920 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 192740 144160 ) S ;
- TAP_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 10880 ) N ;
- TAP_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 10880 ) N ;
- TAP_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 10880 ) N ;
- TAP_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 10880 ) N ;
- TAP_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 13600 ) FS ;
- TAP_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 13600 ) FS ;
- TAP_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 16320 ) N ;
- TAP_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 16320 ) N ;
- TAP_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 19040 ) FS ;
- TAP_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 19040 ) FS ;
- TAP_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 21760 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 21760 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 24480 ) FS ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 24480 ) FS ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 27200 ) N ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 27200 ) N ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 29920 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 29920 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 32640 ) N ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 32640 ) N ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 35360 ) FS ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 35360 ) FS ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 38080 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 38080 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 40800 ) FS ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 40800 ) FS ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 43520 ) N ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 43520 ) N ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 46240 ) FS ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 46240 ) FS ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 48960 ) N ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 48960 ) N ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 51680 ) FS ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 51680 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 54400 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 54400 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 57120 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 57120 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 59840 ) N ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 59840 ) N ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 62560 ) FS ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 62560 ) FS ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 65280 ) N ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 65280 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 68000 ) FS ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 68000 ) FS ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 70720 ) N ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 70720 ) N ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 73440 ) FS ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 73440 ) FS ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 76160 ) N ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 76160 ) N ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 78880 ) FS ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 78880 ) FS ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 81600 ) N ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 81600 ) N ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 84320 ) FS ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 84320 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 87040 ) N ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 87040 ) N ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 89760 ) FS ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 89760 ) FS ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 92480 ) N ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 92480 ) N ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 95200 ) FS ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 95200 ) FS ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 97920 ) N ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 97920 ) N ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 100640 ) FS ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 100640 ) FS ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 103360 ) N ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 103360 ) N ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 106080 ) FS ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 106080 ) FS ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 108800 ) N ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 108800 ) N ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 111520 ) FS ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 111520 ) FS ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 114240 ) N ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 114240 ) N ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 116960 ) FS ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 116960 ) FS ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 119680 ) N ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 119680 ) N ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 122400 ) FS ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 122400 ) FS ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 125120 ) N ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 125120 ) N ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 127840 ) FS ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 127840 ) FS ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 130560 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 130560 ) N ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 133280 ) FS ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 133280 ) FS ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 136000 ) N ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 136000 ) N ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 138720 ) FS ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 138720 ) FS ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 141440 ) N ;
- TAP_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 141440 ) N ;
- TAP_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 144160 ) FS ;
- TAP_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 144160 ) FS ;
- TAP_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 146880 ) N ;
- TAP_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 146880 ) N ;
- TAP_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 149600 ) FS ;
- TAP_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 149600 ) FS ;
- TAP_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 152320 ) N ;
- TAP_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 152320 ) N ;
- TAP_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- TAP_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 155040 ) FS ;
- TAP_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 155040 ) FS ;
- TAP_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 157760 ) N ;
- TAP_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 157760 ) N ;
- TAP_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 157760 ) N ;
- TAP_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 157760 ) N ;
- TAP_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 157760 ) N ;
- TAP_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 157760 ) N ;
- TAP_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 157760 ) N ;
- TAP_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 160480 ) FS ;
- TAP_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 160480 ) FS ;
- TAP_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 160480 ) FS ;
- TAP_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 160480 ) FS ;
- TAP_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 160480 ) FS ;
- TAP_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 160480 ) FS ;
- TAP_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 160480 ) FS ;
- TAP_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 163200 ) N ;
- TAP_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 163200 ) N ;
- TAP_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 163200 ) N ;
- TAP_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 163200 ) N ;
- TAP_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 163200 ) N ;
- TAP_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 163200 ) N ;
- TAP_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 163200 ) N ;
- TAP_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 165920 ) FS ;
- TAP_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 165920 ) FS ;
- TAP_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 165920 ) FS ;
- TAP_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 165920 ) FS ;
- TAP_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 165920 ) FS ;
- TAP_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 165920 ) FS ;
- TAP_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 165920 ) FS ;
- TAP_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 168640 ) N ;
- TAP_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 168640 ) N ;
- TAP_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 168640 ) N ;
- TAP_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 168640 ) N ;
- TAP_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 168640 ) N ;
- TAP_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 168640 ) N ;
- TAP_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 168640 ) N ;
- TAP_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 171360 ) FS ;
- TAP_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 171360 ) FS ;
- TAP_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 171360 ) FS ;
- TAP_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 171360 ) FS ;
- TAP_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 171360 ) FS ;
- TAP_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 171360 ) FS ;
- TAP_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 171360 ) FS ;
- TAP_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 174080 ) N ;
- TAP_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 174080 ) N ;
- TAP_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 174080 ) N ;
- TAP_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 174080 ) N ;
- TAP_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 174080 ) N ;
- TAP_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 174080 ) N ;
- TAP_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 174080 ) N ;
- TAP_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 176800 ) FS ;
- TAP_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 176800 ) FS ;
- TAP_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 176800 ) FS ;
- TAP_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 176800 ) FS ;
- TAP_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 176800 ) FS ;
- TAP_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 176800 ) FS ;
- TAP_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 176800 ) FS ;
- TAP_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 179520 ) N ;
- TAP_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 179520 ) N ;
- TAP_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 179520 ) N ;
- TAP_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 179520 ) N ;
- TAP_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 179520 ) N ;
- TAP_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 179520 ) N ;
- TAP_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 179520 ) N ;
- TAP_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 182240 ) FS ;
- TAP_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 182240 ) FS ;
- TAP_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 182240 ) FS ;
- TAP_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 182240 ) FS ;
- TAP_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 182240 ) FS ;
- TAP_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 182240 ) FS ;
- TAP_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 182240 ) FS ;
- TAP_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 184960 ) N ;
- TAP_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 184960 ) N ;
- TAP_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 184960 ) N ;
- TAP_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 184960 ) N ;
- TAP_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 184960 ) N ;
- TAP_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 184960 ) N ;
- TAP_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 184960 ) N ;
- TAP_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 184960 ) N ;
- TAP_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 184960 ) N ;
- TAP_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 184960 ) N ;
- TAP_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 184960 ) N ;
- TAP_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 184960 ) N ;
- TAP_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 184960 ) N ;
- TAP_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 184960 ) N ;
- _000_ sky130_fd_sc_hd__conb_1 + PLACED ( 43700 13600 ) FS ;
- _001_ sky130_fd_sc_hd__conb_1 + PLACED ( 127420 13600 ) FS ;
- _002_ sky130_fd_sc_hd__conb_1 + PLACED ( 185840 174080 ) N ;
- _003_ sky130_fd_sc_hd__conb_1 + PLACED ( 173420 16320 ) N ;
- _004_ sky130_fd_sc_hd__conb_1 + PLACED ( 185840 81600 ) N ;
- _005_ sky130_fd_sc_hd__conb_1 + PLACED ( 52440 179520 ) N ;
- _006_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 21760 ) N ;
- _007_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 133280 ) FS ;
- _008_ sky130_fd_sc_hd__conb_1 + PLACED ( 106260 16320 ) N ;
- _009_ sky130_fd_sc_hd__conb_1 + PLACED ( 14260 176800 ) FS ;
- _010_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 92480 ) N ;
- _011_ sky130_fd_sc_hd__conb_1 + PLACED ( 86480 179520 ) N ;
- _012_ sky130_fd_sc_hd__conb_1 + PLACED ( 126040 16320 ) N ;
- _013_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 174080 ) N ;
- _014_ sky130_fd_sc_hd__conb_1 + PLACED ( 19780 19040 ) FS ;
- _015_ sky130_fd_sc_hd__conb_1 + PLACED ( 65320 13600 ) FS ;
- _016_ sky130_fd_sc_hd__conb_1 + PLACED ( 62100 13600 ) FS ;
- _017_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 144160 ) FS ;
- _018_ sky130_fd_sc_hd__conb_1 + PLACED ( 18860 179520 ) N ;
- _019_ sky130_fd_sc_hd__conb_1 + PLACED ( 22540 16320 ) N ;
- _020_ sky130_fd_sc_hd__conb_1 + PLACED ( 178940 13600 ) FS ;
- _021_ sky130_fd_sc_hd__conb_1 + PLACED ( 167440 13600 ) FS ;
- _022_ sky130_fd_sc_hd__conb_1 + PLACED ( 70380 16320 ) N ;
- _023_ sky130_fd_sc_hd__conb_1 + PLACED ( 160540 13600 ) FS ;
- _024_ sky130_fd_sc_hd__conb_1 + PLACED ( 126960 10880 ) N ;
- _025_ sky130_fd_sc_hd__conb_1 + PLACED ( 72680 179520 ) N ;
- _026_ sky130_fd_sc_hd__conb_1 + PLACED ( 155940 13600 ) FS ;
- _027_ sky130_fd_sc_hd__conb_1 + PLACED ( 40480 16320 ) N ;
- _028_ sky130_fd_sc_hd__conb_1 + PLACED ( 185840 21760 ) N ;
- _029_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 73440 ) FS ;
- _030_ sky130_fd_sc_hd__conb_1 + PLACED ( 182620 19040 ) FS ;
- _031_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 65280 ) N ;
- _032_ sky130_fd_sc_hd__conb_1 + PLACED ( 96140 182240 ) FS ;
- _033_ sky130_fd_sc_hd__conb_1 + PLACED ( 152720 182240 ) FS ;
- _034_ sky130_fd_sc_hd__conb_1 + PLACED ( 23000 19040 ) FS ;
- _035_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 43520 ) N ;
- _036_ sky130_fd_sc_hd__conb_1 + PLACED ( 173880 176800 ) FS ;
- _037_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 24480 ) FS ;
- _038_ sky130_fd_sc_hd__conb_1 + PLACED ( 109020 182240 ) FS ;
- _039_ sky130_fd_sc_hd__conb_1 + PLACED ( 182620 176800 ) FS ;
- _040_ sky130_fd_sc_hd__conb_1 + PLACED ( 12420 24480 ) FS ;
- _041_ sky130_fd_sc_hd__conb_1 + PLACED ( 182620 174080 ) N ;
- _042_ sky130_fd_sc_hd__conb_1 + PLACED ( 87400 13600 ) FS ;
- _043_ sky130_fd_sc_hd__conb_1 + PLACED ( 109480 179520 ) N ;
- _044_ sky130_fd_sc_hd__conb_1 + PLACED ( 102120 182240 ) FS ;
- _045_ sky130_fd_sc_hd__conb_1 + PLACED ( 82800 179520 ) N ;
- _046_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 27200 ) N ;
- _047_ sky130_fd_sc_hd__conb_1 + PLACED ( 79120 13600 ) FS ;
- _048_ sky130_fd_sc_hd__conb_1 + PLACED ( 78200 16320 ) N ;
- _049_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 157760 ) N ;
- _050_ sky130_fd_sc_hd__conb_1 + PLACED ( 182620 171360 ) FS ;
- _051_ sky130_fd_sc_hd__conb_1 + PLACED ( 183080 168640 ) N ;
- _052_ sky130_fd_sc_hd__conb_1 + PLACED ( 19320 21760 ) N ;
- _053_ sky130_fd_sc_hd__conb_1 + PLACED ( 117760 16320 ) N ;
- _054_ sky130_fd_sc_hd__conb_1 + PLACED ( 185840 43520 ) N ;
- _055_ sky130_fd_sc_hd__conb_1 + PLACED ( 179400 19040 ) FS ;
- _056_ sky130_fd_sc_hd__conb_1 + PLACED ( 13340 168640 ) N ;
- _057_ sky130_fd_sc_hd__conb_1 + PLACED ( 73600 16320 ) N ;
- _058_ sky130_fd_sc_hd__conb_1 + PLACED ( 57500 182240 ) FS ;
- _059_ sky130_fd_sc_hd__conb_1 + PLACED ( 13340 133280 ) FS ;
- _060_ sky130_fd_sc_hd__conb_1 + PLACED ( 179400 171360 ) FS ;
- _061_ sky130_fd_sc_hd__conb_1 + PLACED ( 12420 111520 ) FS ;
- _062_ sky130_fd_sc_hd__conb_1 + PLACED ( 17480 176800 ) FS ;
- _063_ sky130_fd_sc_hd__conb_1 + PLACED ( 134780 182240 ) FS ;
- _064_ sky130_fd_sc_hd__conb_1 + PLACED ( 136160 179520 ) N ;
- _065_ sky130_fd_sc_hd__conb_1 + PLACED ( 83260 13600 ) FS ;
- _066_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 127840 ) FS ;
- _067_ sky130_fd_sc_hd__conb_1 + PLACED ( 12420 160480 ) FS ;
- _068_ sky130_fd_sc_hd__conb_1 + PLACED ( 176640 16320 ) N ;
- _069_ sky130_fd_sc_hd__conb_1 + PLACED ( 176180 19040 ) FS ;
- _070_ sky130_fd_sc_hd__conb_1 + PLACED ( 123280 179520 ) N ;
- _071_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 27200 ) N ;
- _072_ sky130_fd_sc_hd__conb_1 + PLACED ( 109480 16320 ) N ;
- _073_ sky130_fd_sc_hd__conb_1 + PLACED ( 28060 182240 ) FS ;
- _074_ sky130_fd_sc_hd__conb_1 + PLACED ( 64860 182240 ) FS ;
- _075_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 48960 ) N ;
- _076_ sky130_fd_sc_hd__conb_1 + PLACED ( 70380 176800 ) FS ;
- _077_ sky130_fd_sc_hd__conb_1 + PLACED ( 118680 182240 ) FS ;
- _078_ sky130_fd_sc_hd__conb_1 + PLACED ( 130640 182240 ) FS ;
- _079_ sky130_fd_sc_hd__conb_1 + PLACED ( 97520 16320 ) N ;
- _080_ sky130_fd_sc_hd__conb_1 + PLACED ( 149500 182240 ) FS ;
- _081_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 89760 ) FS ;
- _082_ sky130_fd_sc_hd__conb_1 + PLACED ( 114540 16320 ) N ;
- _083_ sky130_fd_sc_hd__conb_1 + PLACED ( 129720 16320 ) N ;
- _084_ sky130_fd_sc_hd__conb_1 + PLACED ( 46920 13600 ) FS ;
- _085_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 171360 ) FS ;
- _086_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 95200 ) FS ;
- _087_ sky130_fd_sc_hd__conb_1 + PLACED ( 13800 174080 ) N ;
- _088_ sky130_fd_sc_hd__conb_1 + PLACED ( 179400 174080 ) N ;
- _089_ sky130_fd_sc_hd__conb_1 + PLACED ( 97520 179520 ) N ;
- _090_ sky130_fd_sc_hd__conb_1 + PLACED ( 155480 16320 ) N ;
- _091_ sky130_fd_sc_hd__conb_1 + PLACED ( 27600 179520 ) N ;
- _092_ sky130_fd_sc_hd__conb_1 + PLACED ( 32200 179520 ) N ;
- _093_ sky130_fd_sc_hd__conb_1 + PLACED ( 75900 179520 ) N ;
- _094_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 51680 ) FS ;
- _095_ sky130_fd_sc_hd__conb_1 + PLACED ( 100280 184960 ) N ;
- _096_ sky130_fd_sc_hd__conb_1 + PLACED ( 176180 174080 ) N ;
- _097_ sky130_fd_sc_hd__conb_1 + PLACED ( 20700 176800 ) FS ;
- _098_ sky130_fd_sc_hd__conb_1 + PLACED ( 169740 179520 ) N ;
- _099_ sky130_fd_sc_hd__conb_1 + PLACED ( 159160 16320 ) N ;
- _100_ sky130_fd_sc_hd__conb_1 + PLACED ( 22080 179520 ) N ;
- _101_ sky130_fd_sc_hd__conb_1 + PLACED ( 170660 176800 ) FS ;
- _102_ sky130_fd_sc_hd__conb_1 + PLACED ( 9200 149600 ) FS ;
- _103_ sky130_fd_sc_hd__conb_1 + PLACED ( 79120 179520 ) N ;
- _104_ sky130_fd_sc_hd__conb_1 + PLACED ( 126500 179520 ) N ;
- _105_ sky130_fd_sc_hd__conb_1 + PLACED ( 182620 21760 ) N ;
- _106_ sky130_fd_sc_hd__conb_1 + PLACED ( 83260 176800 ) FS ;
- _107_ sky130_fd_sc_hd__conb_1 + PLACED ( 27140 184960 ) N ;
- _108_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 62560 ) FS ;
- _109_ sky130_fd_sc_hd__conb_1 + PLACED ( 60720 182240 ) FS ;
- _110_ sky130_fd_sc_hd__conb_1 + PLACED ( 118220 179520 ) N ;
- _111_ sky130_fd_sc_hd__conb_1 + PLACED ( 13800 171360 ) FS ;
- _112_ sky130_fd_sc_hd__conb_1 + PLACED ( 147660 13600 ) FS ;
- _113_ sky130_fd_sc_hd__conb_1 + PLACED ( 13800 27200 ) N ;
- _114_ sky130_fd_sc_hd__conb_1 + PLACED ( 175720 21760 ) N ;
- _115_ sky130_fd_sc_hd__conb_1 + PLACED ( 18860 174080 ) N ;
- _116_ sky130_fd_sc_hd__conb_1 + PLACED ( 115000 179520 ) N ;
- _117_ sky130_fd_sc_hd__conb_1 + PLACED ( 164680 182240 ) FS ;
- _118_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 116960 ) FS ;
- _119_ sky130_fd_sc_hd__conb_1 + PLACED ( 185840 27200 ) N ;
- _120_ sky130_fd_sc_hd__conb_1 + PLACED ( 66240 179520 ) N ;
- _121_ sky130_fd_sc_hd__conb_1 + PLACED ( 17020 171360 ) FS ;
- _122_ sky130_fd_sc_hd__conb_1 + PLACED ( 62560 179520 ) N ;
- _123_ sky130_fd_sc_hd__conb_1 + PLACED ( 30820 16320 ) N ;
- _124_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 32640 ) N ;
- _125_ sky130_fd_sc_hd__conb_1 + PLACED ( 189060 122400 ) FS ;
- _126_ sky130_fd_sc_hd__conb_1 + PLACED ( 105340 182240 ) FS ;
- _127_ sky130_fd_sc_hd__conb_1 + PLACED ( 91080 13600 ) FS ;
- _128_ sky130_fd_sc_hd__conb_1 + PLACED ( 10580 136000 ) N ;
- _129_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 100740 179520 ) N ;
- _130_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 116840 176800 ) FS ;
- _131_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 115000 95200 ) FS ;
- _132_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 82800 97920 ) N ;
- _133_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109020 19040 ) FS ;
- _134_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 94300 13600 ) FS ;
- _135_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 97920 ) N ;
- _136_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101660 97920 ) N ;
- _137_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 140760 97920 ) N ;
- _138_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 153640 97920 ) N ;
- _139_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97520 95200 ) FS ;
- _140_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175260 119680 ) N ;
- _141_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 47840 97920 ) N ;
- _142_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97520 89760 ) FS ;
- _143_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 108800 ) N ;
- _144_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 113160 176800 ) FS ;
- _145_ sky130_fd_sc_hd__buf_2 + PLACED ( 97520 100640 ) FS ;
- _146_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 124200 68000 ) FS ;
- _147_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 68080 182240 ) FS ;
- _148_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 89700 179520 ) N ;
- _149_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 78880 ) FS ;
- _150_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101200 95200 ) FS ;
- _151_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 97920 ) N ;
- _152_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 31740 57120 ) FS ;
- _153_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101200 100640 ) FS ;
- _154_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 100640 ) FS ;
- _155_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88780 97920 ) N ;
- _156_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 105340 97920 ) N ;
- _157_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 103360 ) N ;
- _158_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103500 184960 ) N ;
- _159_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 127880 155040 ) FS ;
- _160_ sky130_fd_sc_hd__buf_2 + PLACED ( 97980 81600 ) N ;
- _161_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 93840 100640 ) FS ;
- _162_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 131560 97920 ) N ;
- _163_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 185840 92480 ) N ;
- _164_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 109020 97920 ) N ;
- _165_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 92480 ) N ;
- _166_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 130560 ) N ;
- _167_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 92480 ) N ;
- _168_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 78880 ) FS ;
- _169_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 82800 16320 ) N ;
- _170_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 78200 97920 ) N ;
- _171_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 93840 95200 ) FS ;
- _172_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 173880 89760 ) FS ;
- _173_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 110860 95200 ) FS ;
- _174_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 106080 ) FS ;
- _175_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 164680 97920 ) N ;
- _176_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 16100 84320 ) FS ;
- _177_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101660 92480 ) N ;
- _178_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 59840 ) N ;
- _179_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 95680 176800 ) FS ;
- _180_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 160540 68000 ) FS ;
- _181_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92460 16320 ) N ;
- _182_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46920 95200 ) FS ;
- _183_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 144160 ) FS ;
- _184_ sky130_fd_sc_hd__buf_2 + PLACED ( 90160 95200 ) FS ;
- _185_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 102120 108800 ) N ;
- _186_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 86480 16320 ) N ;
- _187_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 164680 65280 ) N ;
- _188_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103960 179520 ) N ;
- _189_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 84320 ) FS ;
- _190_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97060 19040 ) FS ;
- _191_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97520 84320 ) FS ;
- _192_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101660 106080 ) FS ;
- _193_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101200 89760 ) FS ;
- _194_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15640 24480 ) FS ;
- _195_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 104880 95200 ) FS ;
- _196_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 101660 103360 ) N ;
- _197_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 103500 19040 ) FS ;
- _198_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 99820 136000 ) N ;
- _199_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92460 182240 ) FS ;
- _200_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 104880 100640 ) FS ;
- _201_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 85100 95200 ) FS ;
- _202_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 111520 ) FS ;
- _203_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 119680 ) N ;
- _204_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 110860 100640 ) FS ;
- _205_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 185840 97920 ) N ;
- _206_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 121900 16320 ) N ;
- _207_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 161000 144160 ) FS ;
- _208_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 53360 97920 ) N ;
- _209_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 50600 16320 ) N ;
- _210_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 189060 106080 ) FS ;
- _211_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 147660 10880 ) N ;
- _212_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 116960 ) FS ;
- _213_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 119600 19040 ) FS ;
- _214_ sky130_fd_sc_hd__buf_2 + PLACED ( 121900 97920 ) N ;
- _215_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 26220 19040 ) FS ;
- _216_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 120060 176800 ) FS ;
- _217_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 32640 ) N ;
- _218_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 90160 100640 ) FS ;
- _219_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 97920 ) N ;
- _220_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 97920 ) N ;
- _221_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 105340 92480 ) N ;
- _222_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 116380 19040 ) FS ;
- _223_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 46240 ) FS ;
- _224_ sky130_fd_sc_hd__buf_2 + PLACED ( 105340 103360 ) N ;
- _225_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 76160 ) N ;
- _226_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 13340 29920 ) FS ;
- _227_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11960 100640 ) FS ;
- _228_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 112240 19040 ) FS ;
- _229_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 111520 ) FS ;
- _230_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 95200 ) FS ;
- _231_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 94300 106080 ) FS ;
- _232_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 110860 13600 ) FS ;
- _233_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 130560 ) N ;
- _234_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98900 65280 ) N ;
- _235_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 96600 21760 ) N ;
- _236_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 115920 174080 ) N ;
- _237_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 72680 97920 ) N ;
- _238_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 92920 19040 ) FS ;
- _239_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149500 97920 ) N ;
- _240_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 112700 97920 ) N ;
- _241_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 92480 ) N ;
- _242_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97980 87040 ) N ;
- _243_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 59800 97920 ) N ;
- _244_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 98440 116960 ) FS ;
- _245_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 116380 97920 ) N ;
- _246_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 98440 27200 ) N ;
- _247_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 142600 78880 ) FS ;
- _248_ sky130_fd_sc_hd__mux2_4 + PLACED ( 98900 168640 ) N ;
- _249_ sky130_fd_sc_hd__mux2_4 + PLACED ( 53360 38080 ) N ;
- input1 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 31740 184960 ) N ;
- input10 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 73600 176800 ) FS ;
- input100 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 51680 ) FS ;
- input101 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 172500 13600 ) FS ;
- input102 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10120 35360 ) FS ;
- input103 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 165140 179520 ) N ;
- input104 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 19040 ) FS ;
- input105 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 143980 16320 ) N ;
- input106 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 29920 ) FS ;
- input107 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 23460 184960 ) N ;
- input108 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 97980 13600 ) FS ;
- input109 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 20700 10880 ) N ;
- input11 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 45080 179520 ) N ;
- input110 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 63020 184960 ) N ;
- input111 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 119680 ) N ;
- input112 sky130_fd_sc_hd__buf_2 + PLACED ( 185380 179520 ) N ;
- input113 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 69460 13600 ) FS ;
- input114 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 29920 ) FS ;
- input115 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 185840 76160 ) N ;
- input116 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 137540 13600 ) FS ;
- input117 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 13600 ) FS ;
- input118 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 130640 10880 ) N ;
- input119 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 55660 16320 ) N ;
- input12 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 78660 176800 ) FS ;
- input120 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 24480 ) FS ;
- input121 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 175720 10880 ) N ;
- input122 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 87040 ) N ;
- input123 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 150420 179520 ) N ;
- input124 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 182160 13600 ) FS ;
- input13 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 112700 184960 ) N ;
- input14 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 81600 ) N ;
- input15 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 152260 13600 ) FS ;
- input16 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 184960 ) N ;
- input17 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34040 16320 ) N ;
- input18 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 46460 184960 ) N ;
- input19 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 168640 ) N ;
- input2 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 13600 ) FS ;
- input20 sky130_fd_sc_hd__buf_2 + PLACED ( 174340 182240 ) FS ;
- input21 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 179520 ) N ;
- input22 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 83260 184960 ) N ;
- input23 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 70720 ) N ;
- input24 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 184960 ) N ;
- input25 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 129720 179520 ) N ;
- input26 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 78880 ) FS ;
- input27 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 160480 ) FS ;
- input28 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 127880 184960 ) N ;
- input29 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 92480 ) N ;
- input3 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 183080 119680 ) N ;
- input30 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 72220 184960 ) N ;
- input31 sky130_fd_sc_hd__buf_2 + PLACED ( 179860 184960 ) N ;
- input32 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11960 130560 ) N ;
- input33 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 104420 21760 ) N ;
- input34 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 182240 ) FS ;
- input35 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 13340 182240 ) FS ;
- input36 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 59340 16320 ) N ;
- input37 sky130_fd_sc_hd__buf_2 + PLACED ( 182160 182240 ) FS ;
- input38 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 184960 ) N ;
- input39 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 173420 184960 ) N ;
- input4 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 50600 10880 ) N ;
- input40 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 109940 10880 ) N ;
- input41 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 40800 ) FS ;
- input42 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 166520 184960 ) N ;
- input43 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 10580 84320 ) FS ;
- input44 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 163760 13600 ) FS ;
- input45 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 40800 ) FS ;
- input46 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 24480 ) FS ;
- input47 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 176800 ) FS ;
- input48 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 155940 19040 ) FS ;
- input49 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 138720 ) FS ;
- input5 sky130_fd_sc_hd__buf_2 + PLACED ( 167900 182240 ) FS ;
- input50 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 72220 10880 ) N ;
- input51 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 127840 ) FS ;
- input52 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 179400 10880 ) N ;
- input53 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 10880 ) N ;
- input54 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 55660 179520 ) N ;
- input55 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 134780 10880 ) N ;
- input56 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 182620 84320 ) FS ;
- input57 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 21760 ) N ;
- input58 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 89760 ) FS ;
- input59 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 141440 ) N ;
- input6 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 160480 ) FS ;
- input60 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 87040 ) N ;
- input61 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22540 21760 ) N ;
- input62 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 100640 ) FS ;
- input63 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 39100 184960 ) N ;
- input64 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109020 21760 ) N ;
- input65 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 133280 ) FS ;
- input66 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 38080 ) N ;
- input67 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 89700 176800 ) FS ;
- input68 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 16320 ) N ;
- input69 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 86020 182240 ) FS ;
- input7 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109480 184960 ) N ;
- input70 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 51980 13600 ) FS ;
- input71 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 176800 ) FS ;
- input72 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 102120 10880 ) N ;
- input73 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 182240 ) FS ;
- input74 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 10880 ) N ;
- input75 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 189520 141440 ) N ;
- input76 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8740 38080 ) N ;
- input77 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 138460 10880 ) N ;
- input78 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 47380 16320 ) N ;
- input79 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 189520 59840 ) N ;
- input8 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 162380 184960 ) N ;
- input80 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 149500 16320 ) N ;
- input81 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 185840 125120 ) N ;
- input82 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 117300 21760 ) N ;
- input83 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 123740 182240 ) FS ;
- input84 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 168640 ) N ;
- input85 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 24380 10880 ) N ;
- input86 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 163300 16320 ) N ;
- input87 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 50140 184960 ) N ;
- input88 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 151340 10880 ) N ;
- input89 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 37260 182240 ) FS ;
- input9 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 70720 ) N ;
- input90 sky130_fd_sc_hd__buf_2 + PLACED ( 52900 182240 ) FS ;
- input91 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109940 176800 ) FS ;
- input92 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 35360 ) FS ;
- input93 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 6900 179520 ) N ;
- input94 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 16100 13600 ) FS ;
- input95 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 6900 114240 ) N ;
- input96 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 165920 ) FS ;
- input97 sky130_fd_sc_hd__clkbuf_2 + PLACED ( 189060 149600 ) FS ;
- input98 sky130_fd_sc_hd__clkbuf_1 + PLACED ( 113620 21760 ) N ;
- input99 sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 186300 130560 ) N ;
- output125 sky130_fd_sc_hd__buf_2 + PLACED ( 143060 184960 ) N ;
- output126 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 144160 ) FS ;
- output127 sky130_fd_sc_hd__buf_2 + PLACED ( 173420 179520 ) N ;
- output128 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 171360 ) FS ;
- output129 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 84320 ) FS ;
- output130 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 108800 ) N ;
- output131 sky130_fd_sc_hd__buf_2 + PLACED ( 79120 184960 ) N ;
- output132 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 10880 ) N ;
- output133 sky130_fd_sc_hd__buf_2 + PLACED ( 122820 10880 ) N ;
- output134 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 184960 ) N ;
- output135 sky130_fd_sc_hd__buf_2 + PLACED ( 89700 184960 ) N ;
- output136 sky130_fd_sc_hd__buf_2 + PLACED ( 121900 184960 ) N ;
- output137 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 95200 ) FS ;
- output138 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 57120 ) FS ;
- output139 sky130_fd_sc_hd__buf_2 + PLACED ( 166060 10880 ) N ;
- output140 sky130_fd_sc_hd__buf_2 + PLACED ( 26220 13600 ) FS ;
- output141 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 146880 ) N ;
- output142 sky130_fd_sc_hd__buf_2 + PLACED ( 33580 182240 ) FS ;
- output143 sky130_fd_sc_hd__buf_2 + PLACED ( 181700 179520 ) N ;
- output144 sky130_fd_sc_hd__buf_2 + PLACED ( 178480 182240 ) FS ;
- output145 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 10880 ) N ;
- output146 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 10880 ) N ;
- output147 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 152320 ) N ;
- output148 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 176800 ) FS ;
- output149 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 95200 ) FS ;
- output150 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 19040 ) FS ;
- output151 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 54400 ) N ;
- output152 sky130_fd_sc_hd__buf_2 + PLACED ( 116380 10880 ) N ;
- output153 sky130_fd_sc_hd__buf_2 + PLACED ( 33580 10880 ) N ;
- output154 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 179520 ) N ;
- output155 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 73440 ) FS ;
- output156 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 76160 ) N ;
- output157 sky130_fd_sc_hd__buf_2 + PLACED ( 45540 182240 ) FS ;
- output158 sky130_fd_sc_hd__buf_2 + PLACED ( 86940 10880 ) N ;
- output159 sky130_fd_sc_hd__buf_2 + PLACED ( 78660 10880 ) N ;
- output160 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 43520 ) N ;
- output161 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 81600 ) N ;
- output162 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 136000 ) N ;
- output163 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 125120 ) N ;
- output164 sky130_fd_sc_hd__buf_2 + PLACED ( 162380 10880 ) N ;
- output165 sky130_fd_sc_hd__buf_2 + PLACED ( 20700 182240 ) FS ;
- output166 sky130_fd_sc_hd__buf_2 + PLACED ( 148580 184960 ) N ;
- output167 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 65280 ) N ;
- output168 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 16320 ) N ;
- output169 sky130_fd_sc_hd__buf_2 + PLACED ( 96140 184960 ) N ;
- output170 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 73440 ) FS ;
- output171 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 62560 ) FS ;
- output172 sky130_fd_sc_hd__buf_2 + PLACED ( 136620 16320 ) N ;
- output173 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 48960 ) N ;
- output174 sky130_fd_sc_hd__buf_2 + PLACED ( 74060 13600 ) FS ;
- output175 sky130_fd_sc_hd__buf_2 + PLACED ( 58420 10880 ) N ;
- output176 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 125120 ) N ;
- output177 sky130_fd_sc_hd__buf_2 + PLACED ( 49220 182240 ) FS ;
- output178 sky130_fd_sc_hd__buf_2 + PLACED ( 46920 10880 ) N ;
- output179 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 27200 ) N ;
- output180 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 174080 ) N ;
- output181 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 165920 ) FS ;
- output182 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 16320 ) N ;
- output183 sky130_fd_sc_hd__buf_2 + PLACED ( 38180 10880 ) N ;
- output184 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 97920 ) N ;
- output185 sky130_fd_sc_hd__buf_2 + PLACED ( 98440 10880 ) N ;
- output186 sky130_fd_sc_hd__buf_2 + PLACED ( 134780 184960 ) N ;
- output187 sky130_fd_sc_hd__buf_2 + PLACED ( 177100 179520 ) N ;
- output188 sky130_fd_sc_hd__buf_2 + PLACED ( 24380 182240 ) FS ;
- output189 sky130_fd_sc_hd__buf_2 + PLACED ( 27140 16320 ) N ;
- output190 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 114240 ) N ;
- output191 sky130_fd_sc_hd__buf_2 + PLACED ( 178940 176800 ) FS ;
- output192 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 116960 ) FS ;
- output193 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 163200 ) N ;
- output194 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 46240 ) FS ;
- output195 sky130_fd_sc_hd__buf_2 + PLACED ( 120060 13600 ) FS ;
- output196 sky130_fd_sc_hd__buf_2 + PLACED ( 156400 184960 ) N ;
- output197 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 184960 ) N ;
- output198 sky130_fd_sc_hd__buf_2 + PLACED ( 57500 13600 ) FS ;
- output199 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 111520 ) FS ;
- output200 sky130_fd_sc_hd__buf_2 + PLACED ( 143980 13600 ) FS ;
- output201 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 35360 ) FS ;
- output202 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 108800 ) N ;
- output203 sky130_fd_sc_hd__buf_2 + PLACED ( 179860 16320 ) N ;
- output204 sky130_fd_sc_hd__buf_2 + PLACED ( 31740 13600 ) FS ;
- output205 sky130_fd_sc_hd__buf_2 + PLACED ( 83260 10880 ) N ;
- output206 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 68000 ) FS ;
- output207 sky130_fd_sc_hd__buf_2 + PLACED ( 155940 182240 ) FS ;
- output208 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 174080 ) N ;
- output209 sky130_fd_sc_hd__buf_2 + PLACED ( 183540 16320 ) N ;
- output210 sky130_fd_sc_hd__buf_2 + PLACED ( 14260 16320 ) N ;
- output211 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 103360 ) N ;
- output212 sky130_fd_sc_hd__buf_2 + PLACED ( 12420 19040 ) FS ;
- output213 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 171360 ) FS ;
- output214 sky130_fd_sc_hd__buf_2 + PLACED ( 145820 182240 ) FS ;
- output215 sky130_fd_sc_hd__buf_2 + PLACED ( 16100 19040 ) FS ;
- output216 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 59840 ) N ;
- output217 sky130_fd_sc_hd__buf_2 + PLACED ( 115460 13600 ) FS ;
- output218 sky130_fd_sc_hd__buf_2 + PLACED ( 18860 16320 ) N ;
- output219 sky130_fd_sc_hd__buf_2 + PLACED ( 130640 13600 ) FS ;
- output220 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 103360 ) N ;
- output221 sky130_fd_sc_hd__buf_2 + PLACED ( 22540 13600 ) FS ;
- output222 sky130_fd_sc_hd__buf_2 + PLACED ( 74060 182240 ) FS ;
- output223 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 68000 ) FS ;
- output224 sky130_fd_sc_hd__buf_2 + PLACED ( 36340 13600 ) FS ;
- output225 sky130_fd_sc_hd__buf_2 + PLACED ( 13340 21760 ) N ;
- output226 sky130_fd_sc_hd__buf_2 + PLACED ( 114540 182240 ) FS ;
- output227 sky130_fd_sc_hd__buf_2 + PLACED ( 77740 182240 ) FS ;
- output228 sky130_fd_sc_hd__buf_2 + PLACED ( 91540 10880 ) N ;
- output229 sky130_fd_sc_hd__buf_2 + PLACED ( 152260 184960 ) N ;
- output230 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 24480 ) FS ;
- output231 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 155040 ) FS ;
- output232 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 146880 ) N ;
- output233 sky130_fd_sc_hd__buf_2 + PLACED ( 40020 13600 ) FS ;
- output234 sky130_fd_sc_hd__buf_2 + PLACED ( 63940 10880 ) N ;
- output235 sky130_fd_sc_hd__buf_2 + PLACED ( 104420 13600 ) FS ;
- output236 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 138720 ) FS ;
- output237 sky130_fd_sc_hd__buf_2 + PLACED ( 102580 16320 ) N ;
- output238 sky130_fd_sc_hd__buf_2 + PLACED ( 139380 184960 ) N ;
- output239 sky130_fd_sc_hd__buf_2 + PLACED ( 138460 182240 ) FS ;
- output240 sky130_fd_sc_hd__buf_2 + PLACED ( 17020 182240 ) FS ;
- output241 sky130_fd_sc_hd__buf_2 + PLACED ( 48300 179520 ) N ;
- output242 sky130_fd_sc_hd__buf_2 + PLACED ( 123740 13600 ) FS ;
- output243 sky130_fd_sc_hd__buf_2 + PLACED ( 6900 54400 ) N ;
- output244 sky130_fd_sc_hd__buf_2 + PLACED ( 10580 176800 ) FS ;
- output245 sky130_fd_sc_hd__buf_2 + PLACED ( 189060 76160 ) N ;
END COMPONENTS
PINS 543 ;
- addr_to_core_mem[0] + NET addr_to_core_mem[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142830 198000 ) N ;
- addr_to_core_mem[10] + NET addr_to_core_mem[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 144500 ) N ;
- addr_to_core_mem[11] + NET addr_to_core_mem[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 198000 ) N ;
- addr_to_core_mem[12] + NET addr_to_core_mem[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 171700 ) N ;
- addr_to_core_mem[13] + NET addr_to_core_mem[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 87380 ) N ;
- addr_to_core_mem[14] + NET addr_to_core_mem[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 107780 ) N ;
- addr_to_core_mem[15] + NET addr_to_core_mem[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 198000 ) N ;
- addr_to_core_mem[16] + NET addr_to_core_mem[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 12580 ) N ;
- addr_to_core_mem[17] + NET addr_to_core_mem[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 2000 ) N ;
- addr_to_core_mem[18] + NET addr_to_core_mem[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 188020 ) N ;
- addr_to_core_mem[19] + NET addr_to_core_mem[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 198000 ) N ;
- addr_to_core_mem[1] + NET addr_to_core_mem[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120750 198000 ) N ;
- addr_to_core_mem[2] + NET addr_to_core_mem[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 94180 ) N ;
- addr_to_core_mem[3] + NET addr_to_core_mem[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 57460 ) N ;
- addr_to_core_mem[4] + NET addr_to_core_mem[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165830 2000 ) N ;
- addr_to_core_mem[5] + NET addr_to_core_mem[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 2000 ) N ;
- addr_to_core_mem[6] + NET addr_to_core_mem[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 145860 ) N ;
- addr_to_core_mem[7] + NET addr_to_core_mem[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35190 198000 ) N ;
- addr_to_core_mem[8] + NET addr_to_core_mem[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 198000 ) N ;
- addr_to_core_mem[9] + NET addr_to_core_mem[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185150 198000 ) N ;
- clk + NET clk + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 8500 ) N ;
- data_to_core_mem[0] + NET data_to_core_mem[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 2000 ) N ;
- data_to_core_mem[10] + NET data_to_core_mem[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 152660 ) N ;
- data_to_core_mem[11] + NET data_to_core_mem[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 189380 ) N ;
- data_to_core_mem[12] + NET data_to_core_mem[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 98260 ) N ;
- data_to_core_mem[13] + NET data_to_core_mem[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 8500 ) N ;
- data_to_core_mem[14] + NET data_to_core_mem[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 54740 ) N ;
- data_to_core_mem[15] + NET data_to_core_mem[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 2000 ) N ;
- data_to_core_mem[16] + NET data_to_core_mem[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33350 2000 ) N ;
- data_to_core_mem[17] + NET data_to_core_mem[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 194820 ) N ;
- data_to_core_mem[18] + NET data_to_core_mem[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 72420 ) N ;
- data_to_core_mem[19] + NET data_to_core_mem[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 77860 ) N ;
- data_to_core_mem[1] + NET data_to_core_mem[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 198000 ) N ;
- data_to_core_mem[20] + NET data_to_core_mem[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86710 2000 ) N ;
- data_to_core_mem[21] + NET data_to_core_mem[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 2000 ) N ;
- data_to_core_mem[22] + NET data_to_core_mem[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 42500 ) N ;
- data_to_core_mem[23] + NET data_to_core_mem[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 87380 ) N ;
- data_to_core_mem[24] + NET data_to_core_mem[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 134980 ) N ;
- data_to_core_mem[25] + NET data_to_core_mem[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 125460 ) N ;
- data_to_core_mem[26] + NET data_to_core_mem[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163990 2000 ) N ;
- data_to_core_mem[27] + NET data_to_core_mem[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 198000 ) N ;
- data_to_core_mem[28] + NET data_to_core_mem[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 198000 ) N ;
- data_to_core_mem[29] + NET data_to_core_mem[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 64260 ) N ;
- data_to_core_mem[2] + NET data_to_core_mem[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 11220 ) N ;
- data_to_core_mem[30] + NET data_to_core_mem[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 198000 ) N ;
- data_to_core_mem[31] + NET data_to_core_mem[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 72420 ) N ;
- data_to_core_mem[3] + NET data_to_core_mem[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 61540 ) N ;
- data_to_core_mem[4] + NET data_to_core_mem[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136390 2000 ) N ;
- data_to_core_mem[5] + NET data_to_core_mem[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 49300 ) N ;
- data_to_core_mem[6] + NET data_to_core_mem[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 2000 ) N ;
- data_to_core_mem[7] + NET data_to_core_mem[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 2000 ) N ;
- data_to_core_mem[8] + NET data_to_core_mem[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 125460 ) N ;
- data_to_core_mem[9] + NET data_to_core_mem[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 198000 ) N ;
- is_loading_memory_into_core + NET is_loading_memory_into_core + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48990 2000 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 198000 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 2000 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145590 2000 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 2000 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 2000 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 198000 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 140420 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 34340 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 12580 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 61540 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 9860 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 2000 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 47940 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 122740 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 128180 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126270 2000 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 141780 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 2000 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117070 198000 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 2000 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163990 198000 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 105060 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 118660 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 2000 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139150 198000 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56350 198000 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 178500 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 198000 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 149940 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 197540 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92230 2000 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50830 2000 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 198000 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 159460 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 198000 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 198000 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 71060 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71070 198000 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46230 198000 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78430 198000 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177790 198000 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191590 2000 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60030 198000 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 88740 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 147220 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 198000 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 198000 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 2000 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 4420 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94990 2000 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112470 198000 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 81940 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 198000 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 81940 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 2000 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 192100 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31510 2000 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 198000 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 167620 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 198000 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 183940 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 198000 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 71060 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 198000 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 198000 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 79220 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 160820 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 198000 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 92820 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 198000 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 198000 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 130900 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104190 2000 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 186660 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 182580 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 2000 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182390 198000 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 198000 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171350 198000 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 2000 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 41140 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 198000 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 83300 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 2000 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 41140 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 1700 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99590 198000 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 2000 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 141780 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71990 2000 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 126820 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181470 2000 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162150 2000 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 198000 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 2000 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 83300 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 22100 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 88740 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 140420 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 90100 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 7140 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 99620 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38870 198000 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 2000 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 133620 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 39780 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89470 198000 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198950 2000 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85790 198000 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 2000 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 198900 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 2000 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195270 198000 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 7140 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 143140 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 39780 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 2000 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 2000 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 60180 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149270 2000 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 121380 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117070 2000 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 198000 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 167620 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24150 2000 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 2000 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49910 198000 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151110 2000 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 2000 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 54740 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 198000 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 188020 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 178500 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 198000 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160310 2000 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 198000 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192510 198000 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 151300 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 198000 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 84660 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 198000 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195270 2000 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82110 198000 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2990 198000 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 65620 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60950 198000 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121670 198000 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 198900 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 2000 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 28900 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53590 198000 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176870 2000 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 198000 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 198000 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 198000 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 118660 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 26180 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67390 198000 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9430 198000 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 23460 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 132260 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106030 2000 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 198000 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 95540 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87630 198000 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127190 2000 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 181220 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 30260 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 18020 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 2000 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65550 2000 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 145860 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 193460 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22310 2000 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 2000 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168590 2000 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68310 2000 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158470 2000 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 124100 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128110 2000 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73830 198000 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 2000 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41630 2000 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 340 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 75140 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 2000 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 66980 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 198000 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153870 198000 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108790 198000 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14950 2000 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 46580 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175030 198000 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 24820 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 198000 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 182580 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11270 2000 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 179860 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 2000 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110630 198000 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94070 2000 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103270 198000 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 198000 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 31620 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80270 2000 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79350 2000 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 163540 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 198000 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 171700 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 2000 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118910 2000 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 139060 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 46580 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184230 2000 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 170340 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 2000 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 198000 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 136340 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 174420 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 111860 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 198000 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133630 198000 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40710 2000 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 198000 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75670 2000 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 129540 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 162180 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185150 2000 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177790 2000 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 198000 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 2000 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107870 2000 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31510 198000 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124430 2000 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66470 198000 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 50660 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 198000 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 198000 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131790 198000 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 2000 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149270 198000 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 92820 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 2000 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 2000 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 193460 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44390 2000 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 177140 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 96900 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14030 198000 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 194820 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 198000 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156630 2000 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28750 198000 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33350 198000 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74750 198000 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 2000 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 198000 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 2000 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 66980 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 175780 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 168980 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 65620 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 198000 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 198000 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 2000 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 110500 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 56100 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 56100 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29670 2000 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156630 198000 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 32980 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 2000 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105110 198000 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 160820 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 2000 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95910 198000 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 164900 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56350 2000 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160310 198000 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 124100 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 198000 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 50660 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 2000 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198030 2000 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 102340 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157550 198000 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 38420 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 2000 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4830 198000 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186990 2000 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9430 2000 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 2000 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 109140 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 156740 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 37060 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16790 2000 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 20740 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111550 2000 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197110 198000 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6670 198000 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 76500 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 198000 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198950 198000 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 94180 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 173060 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 154020 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 2000 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 43860 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 18020 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 77860 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 198000 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123510 2000 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 130900 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 102340 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 196180 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 155380 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10350 198000 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 158100 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 2000 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59110 198000 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 80580 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43470 2000 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 2000 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 13940 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 136340 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134550 198000 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128110 198000 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 198000 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 91460 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25070 198000 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170430 2000 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92230 198000 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34270 2000 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 134980 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163070 198000 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152950 198000 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181470 198000 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189750 198000 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191590 198000 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95910 2000 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 198000 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5750 2000 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2070 2000 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24150 198000 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 156740 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194350 2000 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 198000 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 15300 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 45220 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 120020 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 23460 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83030 2000 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 113220 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 2000 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70150 2000 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16790 198000 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 113220 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 100980 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143750 2000 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40710 198000 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183310 2000 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 183940 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106950 198000 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 106420 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 2000 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146510 198000 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 3060 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93150 198000 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169510 2000 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30590 198000 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 2000 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 109140 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 16660 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 151300 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 2000 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 2000 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42550 198000 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 58820 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 177140 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 198000 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52670 198000 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 19380 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 198000 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 62900 ) N ;
- output_data_from_elpis_to_controller[0] + NET output_data_from_elpis_to_controller[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 198000 ) N ;
- output_data_from_elpis_to_controller[10] + NET output_data_from_elpis_to_controller[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 34340 ) N ;
- output_data_from_elpis_to_controller[11] + NET output_data_from_elpis_to_controller[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5750 198000 ) N ;
- output_data_from_elpis_to_controller[12] + NET output_data_from_elpis_to_controller[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15870 2000 ) N ;
- output_data_from_elpis_to_controller[13] + NET output_data_from_elpis_to_controller[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 114580 ) N ;
- output_data_from_elpis_to_controller[14] + NET output_data_from_elpis_to_controller[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 166260 ) N ;
- output_data_from_elpis_to_controller[15] + NET output_data_from_elpis_to_controller[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 148580 ) N ;
- output_data_from_elpis_to_controller[16] + NET output_data_from_elpis_to_controller[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113390 2000 ) N ;
- output_data_from_elpis_to_controller[17] + NET output_data_from_elpis_to_controller[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 129540 ) N ;
- output_data_from_elpis_to_controller[18] + NET output_data_from_elpis_to_controller[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 52020 ) N ;
- output_data_from_elpis_to_controller[19] + NET output_data_from_elpis_to_controller[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172270 2000 ) N ;
- output_data_from_elpis_to_controller[1] + NET output_data_from_elpis_to_controller[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 35700 ) N ;
- output_data_from_elpis_to_controller[20] + NET output_data_from_elpis_to_controller[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164910 198000 ) N ;
- output_data_from_elpis_to_controller[21] + NET output_data_from_elpis_to_controller[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 19380 ) N ;
- output_data_from_elpis_to_controller[22] + NET output_data_from_elpis_to_controller[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 2000 ) N ;
- output_data_from_elpis_to_controller[23] + NET output_data_from_elpis_to_controller[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 28900 ) N ;
- output_data_from_elpis_to_controller[24] + NET output_data_from_elpis_to_controller[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23230 198000 ) N ;
- output_data_from_elpis_to_controller[25] + NET output_data_from_elpis_to_controller[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97750 2000 ) N ;
- output_data_from_elpis_to_controller[26] + NET output_data_from_elpis_to_controller[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 2000 ) N ;
- output_data_from_elpis_to_controller[27] + NET output_data_from_elpis_to_controller[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62790 198000 ) N ;
- output_data_from_elpis_to_controller[28] + NET output_data_from_elpis_to_controller[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 120020 ) N ;
- output_data_from_elpis_to_controller[29] + NET output_data_from_elpis_to_controller[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187910 198000 ) N ;
- output_data_from_elpis_to_controller[2] + NET output_data_from_elpis_to_controller[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 2000 ) N ;
- output_data_from_elpis_to_controller[30] + NET output_data_from_elpis_to_controller[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 30260 ) N ;
- output_data_from_elpis_to_controller[31] + NET output_data_from_elpis_to_controller[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 76500 ) N ;
- output_data_from_elpis_to_controller[3] + NET output_data_from_elpis_to_controller[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 2000 ) N ;
- output_data_from_elpis_to_controller[4] + NET output_data_from_elpis_to_controller[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 13940 ) N ;
- output_data_from_elpis_to_controller[5] + NET output_data_from_elpis_to_controller[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131790 2000 ) N ;
- output_data_from_elpis_to_controller[6] + NET output_data_from_elpis_to_controller[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55430 2000 ) N ;
- output_data_from_elpis_to_controller[7] + NET output_data_from_elpis_to_controller[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8510 2000 ) N ;
- output_data_from_elpis_to_controller[8] + NET output_data_from_elpis_to_controller[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175950 2000 ) N ;
- output_data_from_elpis_to_controller[9] + NET output_data_from_elpis_to_controller[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 86020 ) N ;
- output_enabled_from_controller_to_pico + NET output_enabled_from_controller_to_pico + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63710 198000 ) N ;
- output_enabled_from_elpis_to_controller + NET output_enabled_from_elpis_to_controller + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 189380 ) N ;
- read_enable_to_Elpis + NET read_enable_to_Elpis + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 27540 ) N ;
- read_value_to_Elpis[0] + NET read_value_to_Elpis[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 173060 ) N ;
- read_value_to_Elpis[10] + NET read_value_to_Elpis[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 166260 ) N ;
- read_value_to_Elpis[11] + NET read_value_to_Elpis[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7590 2000 ) N ;
- read_value_to_Elpis[12] + NET read_value_to_Elpis[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37950 2000 ) N ;
- read_value_to_Elpis[13] + NET read_value_to_Elpis[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 98260 ) N ;
- read_value_to_Elpis[14] + NET read_value_to_Elpis[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99590 2000 ) N ;
- read_value_to_Elpis[15] + NET read_value_to_Elpis[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 198000 ) N ;
- read_value_to_Elpis[16] + NET read_value_to_Elpis[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173190 198000 ) N ;
- read_value_to_Elpis[17] + NET read_value_to_Elpis[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21390 198000 ) N ;
- read_value_to_Elpis[18] + NET read_value_to_Elpis[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26910 2000 ) N ;
- read_value_to_Elpis[19] + NET read_value_to_Elpis[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 115940 ) N ;
- read_value_to_Elpis[1] + NET read_value_to_Elpis[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 198000 ) N ;
- read_value_to_Elpis[20] + NET read_value_to_Elpis[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 117300 ) N ;
- read_value_to_Elpis[21] + NET read_value_to_Elpis[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 162180 ) N ;
- read_value_to_Elpis[22] + NET read_value_to_Elpis[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 45220 ) N ;
- read_value_to_Elpis[23] + NET read_value_to_Elpis[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119830 2000 ) N ;
- read_value_to_Elpis[24] + NET read_value_to_Elpis[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 198000 ) N ;
- read_value_to_Elpis[25] + NET read_value_to_Elpis[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57270 198000 ) N ;
- read_value_to_Elpis[26] + NET read_value_to_Elpis[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 2000 ) N ;
- read_value_to_Elpis[27] + NET read_value_to_Elpis[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 114580 ) N ;
- read_value_to_Elpis[28] + NET read_value_to_Elpis[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 2000 ) N ;
- read_value_to_Elpis[29] + NET read_value_to_Elpis[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 35700 ) N ;
- read_value_to_Elpis[2] + NET read_value_to_Elpis[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 107780 ) N ;
- read_value_to_Elpis[30] + NET read_value_to_Elpis[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179630 2000 ) N ;
- read_value_to_Elpis[31] + NET read_value_to_Elpis[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 2000 ) N ;
- read_value_to_Elpis[3] + NET read_value_to_Elpis[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84870 2000 ) N ;
- read_value_to_Elpis[4] + NET read_value_to_Elpis[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 68340 ) N ;
- read_value_to_Elpis[5] + NET read_value_to_Elpis[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155710 198000 ) N ;
- read_value_to_Elpis[6] + NET read_value_to_Elpis[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 185300 ) N ;
- read_value_to_Elpis[7] + NET read_value_to_Elpis[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180550 2000 ) N ;
- read_value_to_Elpis[8] + NET read_value_to_Elpis[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 2000 ) N ;
- read_value_to_Elpis[9] + NET read_value_to_Elpis[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 103700 ) N ;
- reset_core + NET reset_core + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3910 2000 ) N ;
- rst + NET rst + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 190740 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ LAYER met4 ( -154400 -88640 ) ( -152800 88640 )
+ FIXED ( 175440 99280 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ FIXED ( 98640 99280 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150190 198000 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190670 2000 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145590 198000 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 5780 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 60180 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115230 2000 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230 2000 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134550 2000 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 103700 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 3060 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72910 198000 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 69700 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36110 2000 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12190 2000 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114310 198000 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 198000 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 2000 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 198000 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 24820 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 155380 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 147220 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37030 2000 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63710 2000 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101430 2000 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 137700 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102350 2000 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140990 198000 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138230 198000 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17710 198000 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48070 198000 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120750 2000 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 53380 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2070 198000 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 198000 73780 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 200000 200000 ) ;
END BLOCKAGES
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met3 0 + SHAPE STRIPE ( 175440 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 187680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 187680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 187680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 182240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 182240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 182240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 176800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 176800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 176800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 171360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 171360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 171360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175440 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175440 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175440 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 175440 10640 ) ( 175440 187920 )
NEW met4 1600 + SHAPE STRIPE ( 21840 10640 ) ( 21840 187920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 194120 187680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 194120 182240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 194120 176800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 194120 171360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 194120 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 194120 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 194120 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 194120 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 194120 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 194120 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 194120 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 194120 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 194120 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 194120 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 194120 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 194120 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 194120 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 194120 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 194120 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 194120 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 194120 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 194120 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 194120 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 194120 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 194120 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 194120 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 194120 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 194120 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 194120 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 194120 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 194120 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 194120 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 194120 13600 ) ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met3 0 + SHAPE STRIPE ( 98640 184960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 184960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 184960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 179520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 179520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 179520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 174080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 174080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 174080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 168640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 168640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 168640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 163200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 163200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 163200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 157760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 157760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 157760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 152320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 152320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 152320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 146880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 146880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 146880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 141440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 141440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 141440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 136000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 136000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 136000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 130560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 130560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 130560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 125120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 125120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 125120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 119680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 119680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 119680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 114240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 114240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 114240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98640 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98640 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98640 10880 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 98640 10640 ) ( 98640 187920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 194120 184960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 194120 179520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 194120 174080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 194120 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 194120 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 194120 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 194120 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 194120 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 194120 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 194120 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 194120 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 194120 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 194120 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 194120 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 194120 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 194120 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 194120 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 194120 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 194120 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 194120 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 194120 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 194120 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 194120 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 194120 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 194120 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 194120 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 194120 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 194120 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 194120 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 194120 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 194120 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 194120 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 194120 10880 ) ;
END SPECIALNETS
NETS 786 ;
- addr_to_core_mem[0] ( PIN addr_to_core_mem[0] ) ( output125 X ) + USE SIGNAL
+ ROUTED met1 ( 142830 185470 ) ( 144210 * )
NEW met2 ( 142830 185470 ) ( * 196180 0 )
NEW li1 ( 144210 185470 ) L1M1_PR_MR
NEW met1 ( 142830 185470 ) M1M2_PR ;
- addr_to_core_mem[10] ( PIN addr_to_core_mem[10] ) ( output126 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 144500 0 ) ( 8050 * )
NEW met2 ( 8050 144500 ) ( * 145010 )
NEW met2 ( 8050 144500 ) M2M3_PR_M
NEW li1 ( 8050 145010 ) L1M1_PR_MR
NEW met1 ( 8050 145010 ) M1M2_PR
NEW met1 ( 8050 145010 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[11] ( PIN addr_to_core_mem[11] ) ( output127 X ) + USE SIGNAL
+ ROUTED met1 ( 169510 181730 ) ( 174570 * )
NEW met2 ( 169510 181730 ) ( * 196180 0 )
NEW li1 ( 174570 181730 ) L1M1_PR_MR
NEW met1 ( 169510 181730 ) M1M2_PR ;
- addr_to_core_mem[12] ( PIN addr_to_core_mem[12] ) ( output128 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 171700 0 ) ( 8050 * )
NEW met2 ( 8050 171700 ) ( * 171870 )
NEW met2 ( 8050 171700 ) M2M3_PR_M
NEW li1 ( 8050 171870 ) L1M1_PR_MR
NEW met1 ( 8050 171870 ) M1M2_PR
NEW met1 ( 8050 171870 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[13] ( PIN addr_to_core_mem[13] ) ( output129 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 86530 ) ( * 87380 )
NEW met3 ( 3220 87380 0 ) ( 8050 * )
NEW li1 ( 8050 86530 ) L1M1_PR_MR
NEW met1 ( 8050 86530 ) M1M2_PR
NEW met2 ( 8050 87380 ) M2M3_PR_M
NEW met1 ( 8050 86530 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[14] ( PIN addr_to_core_mem[14] ) ( output130 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 107780 ) ( * 109310 )
NEW met3 ( 190210 107780 ) ( 196420 * 0 )
NEW li1 ( 190210 109310 ) L1M1_PR_MR
NEW met1 ( 190210 109310 ) M1M2_PR
NEW met2 ( 190210 107780 ) M2M3_PR_M
NEW met1 ( 190210 109310 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[15] ( PIN addr_to_core_mem[15] ) ( output131 X ) + USE SIGNAL
+ ROUTED met1 ( 80270 185470 ) ( 81190 * )
NEW met2 ( 81190 185470 ) ( * 196180 0 )
NEW li1 ( 80270 185470 ) L1M1_PR_MR
NEW met1 ( 81190 185470 ) M1M2_PR ;
- addr_to_core_mem[16] ( PIN addr_to_core_mem[16] ) ( output132 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 12580 0 ) ( 8050 * )
NEW met2 ( 8050 12580 ) ( * 12750 )
NEW met2 ( 8050 12580 ) M2M3_PR_M
NEW li1 ( 8050 12750 ) L1M1_PR_MR
NEW met1 ( 8050 12750 ) M1M2_PR
NEW met1 ( 8050 12750 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[17] ( PIN addr_to_core_mem[17] ) ( output133 X ) + USE SIGNAL
+ ROUTED met2 ( 122590 3740 0 ) ( * 11390 )
NEW met1 ( 122590 11390 ) ( 123970 * )
NEW met1 ( 122590 11390 ) M1M2_PR
NEW li1 ( 123970 11390 ) L1M1_PR_MR ;
- addr_to_core_mem[18] ( PIN addr_to_core_mem[18] ) ( output134 X ) + USE SIGNAL
+ ROUTED met2 ( 20010 185470 ) ( * 188020 )
NEW met3 ( 3220 188020 0 ) ( 20010 * )
NEW li1 ( 20010 185470 ) L1M1_PR_MR
NEW met1 ( 20010 185470 ) M1M2_PR
NEW met2 ( 20010 188020 ) M2M3_PR_M
NEW met1 ( 20010 185470 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[19] ( PIN addr_to_core_mem[19] ) ( output135 X ) + USE SIGNAL
+ ROUTED met1 ( 84870 185470 ) ( 90850 * )
NEW met2 ( 84870 185470 ) ( * 196180 0 )
NEW li1 ( 90850 185470 ) L1M1_PR_MR
NEW met1 ( 84870 185470 ) M1M2_PR ;
- addr_to_core_mem[1] ( PIN addr_to_core_mem[1] ) ( output136 X ) + USE SIGNAL
+ ROUTED met1 ( 120750 185470 ) ( 123050 * )
NEW met2 ( 120750 185470 ) ( * 196180 0 )
NEW li1 ( 123050 185470 ) L1M1_PR_MR
NEW met1 ( 120750 185470 ) M1M2_PR ;
- addr_to_core_mem[2] ( PIN addr_to_core_mem[2] ) ( output137 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 94180 ) ( * 95710 )
NEW met3 ( 190210 94180 ) ( 196420 * 0 )
NEW li1 ( 190210 95710 ) L1M1_PR_MR
NEW met1 ( 190210 95710 ) M1M2_PR
NEW met2 ( 190210 94180 ) M2M3_PR_M
NEW met1 ( 190210 95710 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[3] ( PIN addr_to_core_mem[3] ) ( output138 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 57460 ) ( * 57630 )
NEW met3 ( 190210 57460 ) ( 196420 * 0 )
NEW li1 ( 190210 57630 ) L1M1_PR_MR
NEW met1 ( 190210 57630 ) M1M2_PR
NEW met2 ( 190210 57460 ) M2M3_PR_M
NEW met1 ( 190210 57630 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[4] ( PIN addr_to_core_mem[4] ) ( output139 X ) + USE SIGNAL
+ ROUTED met2 ( 165830 3740 0 ) ( * 11390 )
NEW met1 ( 165830 11390 ) ( 167210 * )
NEW met1 ( 165830 11390 ) M1M2_PR
NEW li1 ( 167210 11390 ) L1M1_PR_MR ;
- addr_to_core_mem[5] ( PIN addr_to_core_mem[5] ) ( output140 X ) + USE SIGNAL
+ ROUTED met2 ( 25990 3740 0 ) ( * 14110 )
NEW met1 ( 25990 14110 ) ( 27370 * )
NEW met1 ( 25990 14110 ) M1M2_PR
NEW li1 ( 27370 14110 ) L1M1_PR_MR ;
- addr_to_core_mem[6] ( PIN addr_to_core_mem[6] ) ( output141 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 145860 0 ) ( 8050 * )
NEW met2 ( 8050 145860 ) ( * 147390 )
NEW met2 ( 8050 145860 ) M2M3_PR_M
NEW li1 ( 8050 147390 ) L1M1_PR_MR
NEW met1 ( 8050 147390 ) M1M2_PR
NEW met1 ( 8050 147390 ) RECT ( -355 -70 0 70 ) ;
- addr_to_core_mem[7] ( PIN addr_to_core_mem[7] ) ( output142 X ) + USE SIGNAL
+ ROUTED met1 ( 34730 184450 ) ( 35190 * )
NEW met2 ( 35190 184450 ) ( * 196180 0 )
NEW li1 ( 34730 184450 ) L1M1_PR_MR
NEW met1 ( 35190 184450 ) M1M2_PR ;
- addr_to_core_mem[8] ( PIN addr_to_core_mem[8] ) ( output143 X ) + USE SIGNAL
+ ROUTED met1 ( 182850 181730 ) ( 184230 * )
NEW met2 ( 184230 181730 ) ( * 196180 0 )
NEW li1 ( 182850 181730 ) L1M1_PR_MR
NEW met1 ( 184230 181730 ) M1M2_PR ;
- addr_to_core_mem[9] ( PIN addr_to_core_mem[9] ) ( output144 X ) + USE SIGNAL
+ ROUTED met1 ( 179630 184450 ) ( 185150 * )
NEW met2 ( 185150 184450 ) ( * 196180 0 )
NEW li1 ( 179630 184450 ) L1M1_PR_MR
NEW met1 ( 185150 184450 ) M1M2_PR ;
- clk ( PIN clk ) ( output145 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 8500 0 ) ( 14030 * )
NEW met2 ( 14030 8500 ) ( * 11390 )
NEW met1 ( 11730 11390 ) ( 14030 * )
NEW met2 ( 14030 8500 ) M2M3_PR_M
NEW met1 ( 14030 11390 ) M1M2_PR
NEW li1 ( 11730 11390 ) L1M1_PR_MR ;
- data_to_core_mem[0] ( PIN data_to_core_mem[0] ) ( output146 X ) + USE SIGNAL
+ ROUTED met2 ( 4830 3740 0 ) ( * 11730 )
NEW met1 ( 4830 11730 ) ( 15410 * )
NEW met1 ( 15410 11390 ) ( * 11730 )
NEW met1 ( 4830 11730 ) M1M2_PR
NEW li1 ( 15410 11390 ) L1M1_PR_MR ;
- data_to_core_mem[10] ( PIN data_to_core_mem[10] ) ( output147 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 152660 ) ( * 152830 )
NEW met3 ( 190210 152660 ) ( 196420 * 0 )
NEW li1 ( 190210 152830 ) L1M1_PR_MR
NEW met1 ( 190210 152830 ) M1M2_PR
NEW met2 ( 190210 152660 ) M2M3_PR_M
NEW met1 ( 190210 152830 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[11] ( PIN data_to_core_mem[11] ) ( output148 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 189380 0 ) ( 8050 * )
NEW met2 ( 8050 179010 ) ( * 189380 )
NEW met2 ( 8050 189380 ) M2M3_PR_M
NEW li1 ( 8050 179010 ) L1M1_PR_MR
NEW met1 ( 8050 179010 ) M1M2_PR
NEW met1 ( 8050 179010 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[12] ( PIN data_to_core_mem[12] ) ( output149 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 97410 ) ( * 98260 )
NEW met3 ( 3220 98260 0 ) ( 8050 * )
NEW li1 ( 8050 97410 ) L1M1_PR_MR
NEW met1 ( 8050 97410 ) M1M2_PR
NEW met2 ( 8050 98260 ) M2M3_PR_M
NEW met1 ( 8050 97410 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[13] ( PIN data_to_core_mem[13] ) ( output150 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 19550 ) ( 194810 * )
NEW li1 ( 194810 8670 ) ( * 19550 )
NEW met2 ( 194810 8500 ) ( * 8670 )
NEW met3 ( 194810 8500 ) ( 196420 * 0 )
NEW li1 ( 190210 19550 ) L1M1_PR_MR
NEW li1 ( 194810 19550 ) L1M1_PR_MR
NEW li1 ( 194810 8670 ) L1M1_PR_MR
NEW met1 ( 194810 8670 ) M1M2_PR
NEW met2 ( 194810 8500 ) M2M3_PR_M
NEW met1 ( 194810 8670 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[14] ( PIN data_to_core_mem[14] ) ( output151 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 54740 ) ( * 54910 )
NEW met3 ( 190210 54740 ) ( 196420 * 0 )
NEW li1 ( 190210 54910 ) L1M1_PR_MR
NEW met1 ( 190210 54910 ) M1M2_PR
NEW met2 ( 190210 54740 ) M2M3_PR_M
NEW met1 ( 190210 54910 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[15] ( PIN data_to_core_mem[15] ) ( output152 X ) + USE SIGNAL
+ ROUTED met2 ( 112470 3740 0 ) ( * 11390 )
NEW met1 ( 112470 11390 ) ( 117530 * )
NEW met1 ( 112470 11390 ) M1M2_PR
NEW li1 ( 117530 11390 ) L1M1_PR_MR ;
- data_to_core_mem[16] ( PIN data_to_core_mem[16] ) ( output153 X ) + USE SIGNAL
+ ROUTED met2 ( 33350 3740 0 ) ( * 11390 )
NEW met1 ( 33350 11390 ) ( 34730 * )
NEW met1 ( 33350 11390 ) M1M2_PR
NEW li1 ( 34730 11390 ) L1M1_PR_MR ;
- data_to_core_mem[17] ( PIN data_to_core_mem[17] ) ( output154 X ) + USE SIGNAL
+ ROUTED met1 ( 14490 181730 ) ( 14950 * )
NEW met2 ( 14950 181730 ) ( * 194820 )
NEW met3 ( 3220 194820 0 ) ( 14950 * )
NEW li1 ( 14490 181730 ) L1M1_PR_MR
NEW met1 ( 14950 181730 ) M1M2_PR
NEW met2 ( 14950 194820 ) M2M3_PR_M ;
- data_to_core_mem[18] ( PIN data_to_core_mem[18] ) ( output155 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 72420 0 ) ( 8050 * )
NEW met2 ( 8050 72420 ) ( * 73950 )
NEW met2 ( 8050 72420 ) M2M3_PR_M
NEW li1 ( 8050 73950 ) L1M1_PR_MR
NEW met1 ( 8050 73950 ) M1M2_PR
NEW met1 ( 8050 73950 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[19] ( PIN data_to_core_mem[19] ) ( output156 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 77860 0 ) ( 8050 * )
NEW met2 ( 8050 77860 ) ( * 78030 )
NEW met2 ( 8050 77860 ) M2M3_PR_M
NEW li1 ( 8050 78030 ) L1M1_PR_MR
NEW met1 ( 8050 78030 ) M1M2_PR
NEW met1 ( 8050 78030 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[1] ( PIN data_to_core_mem[1] ) ( output157 X ) + USE SIGNAL
+ ROUTED met1 ( 45310 184450 ) ( 46690 * )
NEW met2 ( 45310 184450 ) ( * 196180 0 )
NEW li1 ( 46690 184450 ) L1M1_PR_MR
NEW met1 ( 45310 184450 ) M1M2_PR ;
- data_to_core_mem[20] ( PIN data_to_core_mem[20] ) ( output158 X ) + USE SIGNAL
+ ROUTED met2 ( 86710 3740 0 ) ( * 11390 )
NEW met1 ( 86710 11390 ) ( 88090 * )
NEW met1 ( 86710 11390 ) M1M2_PR
NEW li1 ( 88090 11390 ) L1M1_PR_MR ;
- data_to_core_mem[21] ( PIN data_to_core_mem[21] ) ( output159 X ) + USE SIGNAL
+ ROUTED met2 ( 76590 3740 0 ) ( * 11390 )
NEW met1 ( 76590 11390 ) ( 79810 * )
NEW met1 ( 76590 11390 ) M1M2_PR
NEW li1 ( 79810 11390 ) L1M1_PR_MR ;
- data_to_core_mem[22] ( PIN data_to_core_mem[22] ) ( output160 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 42500 ) ( * 44030 )
NEW met3 ( 190210 42500 ) ( 196420 * 0 )
NEW li1 ( 190210 44030 ) L1M1_PR_MR
NEW met1 ( 190210 44030 ) M1M2_PR
NEW met2 ( 190210 42500 ) M2M3_PR_M
NEW met1 ( 190210 44030 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[23] ( PIN data_to_core_mem[23] ) ( output161 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 83810 ) ( 194810 * )
NEW li1 ( 194810 83810 ) ( * 87210 )
NEW met2 ( 194810 87210 ) ( * 87380 )
NEW met3 ( 194810 87380 ) ( 196420 * 0 )
NEW li1 ( 190210 83810 ) L1M1_PR_MR
NEW li1 ( 194810 83810 ) L1M1_PR_MR
NEW li1 ( 194810 87210 ) L1M1_PR_MR
NEW met1 ( 194810 87210 ) M1M2_PR
NEW met2 ( 194810 87380 ) M2M3_PR_M
NEW met1 ( 194810 87210 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[24] ( PIN data_to_core_mem[24] ) ( output162 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 134980 0 ) ( 8050 * )
NEW met2 ( 8050 134980 ) ( * 136510 )
NEW met2 ( 8050 134980 ) M2M3_PR_M
NEW li1 ( 8050 136510 ) L1M1_PR_MR
NEW met1 ( 8050 136510 ) M1M2_PR
NEW met1 ( 8050 136510 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[25] ( PIN data_to_core_mem[25] ) ( output163 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 125460 0 ) ( 8050 * )
NEW met2 ( 8050 125460 ) ( * 125630 )
NEW met2 ( 8050 125460 ) M2M3_PR_M
NEW li1 ( 8050 125630 ) L1M1_PR_MR
NEW met1 ( 8050 125630 ) M1M2_PR
NEW met1 ( 8050 125630 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[26] ( PIN data_to_core_mem[26] ) ( output164 X ) + USE SIGNAL
+ ROUTED met2 ( 163990 3740 0 ) ( * 11390 )
NEW met1 ( 163530 11390 ) ( 163990 * )
NEW met1 ( 163990 11390 ) M1M2_PR
NEW li1 ( 163530 11390 ) L1M1_PR_MR ;
- data_to_core_mem[27] ( PIN data_to_core_mem[27] ) ( output165 X ) + USE SIGNAL
+ ROUTED met1 ( 20930 184450 ) ( 21850 * )
NEW met2 ( 20470 184450 ) ( 20930 * )
NEW met2 ( 20470 184450 ) ( * 196180 0 )
NEW li1 ( 21850 184450 ) L1M1_PR_MR
NEW met1 ( 20930 184450 ) M1M2_PR ;
- data_to_core_mem[28] ( PIN data_to_core_mem[28] ) ( output166 X ) + USE SIGNAL
+ ROUTED met1 ( 148350 185470 ) ( 149730 * )
NEW met2 ( 148350 185470 ) ( * 196180 0 )
NEW li1 ( 149730 185470 ) L1M1_PR_MR
NEW met1 ( 148350 185470 ) M1M2_PR ;
- data_to_core_mem[29] ( PIN data_to_core_mem[29] ) ( output167 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 64260 0 ) ( 8050 * )
NEW met2 ( 8050 64260 ) ( * 65790 )
NEW met2 ( 8050 64260 ) M2M3_PR_M
NEW li1 ( 8050 65790 ) L1M1_PR_MR
NEW met1 ( 8050 65790 ) M1M2_PR
NEW met1 ( 8050 65790 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[2] ( PIN data_to_core_mem[2] ) ( output168 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 11220 0 ) ( 7590 * )
NEW met2 ( 7590 11220 ) ( * 16830 )
NEW met1 ( 7590 16830 ) ( 8050 * )
NEW met2 ( 7590 11220 ) M2M3_PR_M
NEW met1 ( 7590 16830 ) M1M2_PR
NEW li1 ( 8050 16830 ) L1M1_PR_MR ;
- data_to_core_mem[30] ( PIN data_to_core_mem[30] ) ( output169 X ) + USE SIGNAL
+ ROUTED met1 ( 97290 185470 ) ( * 185810 )
NEW met1 ( 88550 185810 ) ( 97290 * )
NEW met2 ( 88550 185810 ) ( * 196180 0 )
NEW li1 ( 97290 185470 ) L1M1_PR_MR
NEW met1 ( 88550 185810 ) M1M2_PR ;
- data_to_core_mem[31] ( PIN data_to_core_mem[31] ) ( output170 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 72420 ) ( * 73950 )
NEW met3 ( 190210 72420 ) ( 196420 * 0 )
NEW li1 ( 190210 73950 ) L1M1_PR_MR
NEW met1 ( 190210 73950 ) M1M2_PR
NEW met2 ( 190210 72420 ) M2M3_PR_M
NEW met1 ( 190210 73950 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[3] ( PIN data_to_core_mem[3] ) ( output171 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 61540 0 ) ( 8050 * )
NEW met2 ( 8050 61540 ) ( * 63070 )
NEW met2 ( 8050 61540 ) M2M3_PR_M
NEW li1 ( 8050 63070 ) L1M1_PR_MR
NEW met1 ( 8050 63070 ) M1M2_PR
NEW met1 ( 8050 63070 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[4] ( PIN data_to_core_mem[4] ) ( output172 X ) + USE SIGNAL
+ ROUTED met2 ( 136390 3740 0 ) ( * 18530 )
NEW met1 ( 136390 18530 ) ( 137770 * )
NEW met1 ( 136390 18530 ) M1M2_PR
NEW li1 ( 137770 18530 ) L1M1_PR_MR ;
- data_to_core_mem[5] ( PIN data_to_core_mem[5] ) ( output173 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 49300 0 ) ( 8050 * )
NEW met2 ( 8050 49300 ) ( * 49470 )
NEW met2 ( 8050 49300 ) M2M3_PR_M
NEW li1 ( 8050 49470 ) L1M1_PR_MR
NEW met1 ( 8050 49470 ) M1M2_PR
NEW met1 ( 8050 49470 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[6] ( PIN data_to_core_mem[6] ) ( output174 X ) + USE SIGNAL
+ ROUTED met2 ( 73830 3740 0 ) ( * 7140 )
NEW met2 ( 73830 7140 ) ( 74290 * )
NEW met2 ( 74290 7140 ) ( * 14110 )
NEW met1 ( 74290 14110 ) ( 75210 * )
NEW met1 ( 74290 14110 ) M1M2_PR
NEW li1 ( 75210 14110 ) L1M1_PR_MR ;
- data_to_core_mem[7] ( PIN data_to_core_mem[7] ) ( output175 X ) + USE SIGNAL
+ ROUTED met2 ( 58190 3740 0 ) ( * 11390 )
NEW met1 ( 58190 11390 ) ( 59570 * )
NEW met1 ( 58190 11390 ) M1M2_PR
NEW li1 ( 59570 11390 ) L1M1_PR_MR ;
- data_to_core_mem[8] ( PIN data_to_core_mem[8] ) ( output176 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 125460 ) ( * 125630 )
NEW met3 ( 190210 125460 ) ( 196420 * 0 )
NEW li1 ( 190210 125630 ) L1M1_PR_MR
NEW met1 ( 190210 125630 ) M1M2_PR
NEW met2 ( 190210 125460 ) M2M3_PR_M
NEW met1 ( 190210 125630 ) RECT ( -355 -70 0 70 ) ;
- data_to_core_mem[9] ( PIN data_to_core_mem[9] ) ( output177 X ) + USE SIGNAL
+ ROUTED met1 ( 48990 184450 ) ( 50370 * )
NEW met2 ( 48990 184450 ) ( * 196180 0 )
NEW li1 ( 50370 184450 ) L1M1_PR_MR
NEW met1 ( 48990 184450 ) M1M2_PR ;
- is_loading_memory_into_core ( PIN is_loading_memory_into_core ) ( output178 X ) + USE SIGNAL
+ ROUTED met2 ( 48990 3740 0 ) ( * 11390 )
NEW met1 ( 48070 11390 ) ( 48990 * )
NEW met1 ( 48990 11390 ) M1M2_PR
NEW li1 ( 48070 11390 ) L1M1_PR_MR ;
- la_data_in[0] ( PIN la_data_in[0] ) ( input1 A ) + USE SIGNAL
+ ROUTED met1 ( 27830 186490 ) ( 31970 * )
NEW met2 ( 27830 186490 ) ( * 196180 0 )
NEW li1 ( 31970 186490 ) L1M1_PR_MR
NEW met1 ( 27830 186490 ) M1M2_PR ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) ( input2 A ) + USE SIGNAL
+ ROUTED met2 ( 192510 3740 0 ) ( * 15130 )
NEW met1 ( 189290 15130 ) ( 192510 * )
NEW met1 ( 192510 15130 ) M1M2_PR
NEW li1 ( 189290 15130 ) L1M1_PR_MR ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) ( input3 A ) + USE SIGNAL
+ ROUTED met2 ( 184230 118660 ) ( * 120870 )
NEW met3 ( 184230 118660 ) ( 196420 * 0 )
NEW li1 ( 184230 120870 ) L1M1_PR_MR
NEW met1 ( 184230 120870 ) M1M2_PR
NEW met2 ( 184230 118660 ) M2M3_PR_M
NEW met1 ( 184230 120870 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) ( input4 A ) + USE SIGNAL
+ ROUTED met2 ( 50830 3740 0 ) ( * 12070 )
NEW li1 ( 50830 12070 ) L1M1_PR_MR
NEW met1 ( 50830 12070 ) M1M2_PR
NEW met1 ( 50830 12070 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[13] ( PIN la_data_in[13] ) ( input5 A ) + USE SIGNAL
+ ROUTED met1 ( 167670 183770 ) ( 168130 * )
NEW met2 ( 167670 183770 ) ( * 196180 0 )
NEW li1 ( 168130 183770 ) L1M1_PR_MR
NEW met1 ( 167670 183770 ) M1M2_PR ;
- la_data_in[14] ( PIN la_data_in[14] ) ( input6 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 159460 0 ) ( 9430 * )
NEW met2 ( 9430 159460 ) ( * 162010 )
NEW met2 ( 9430 159460 ) M2M3_PR_M
NEW li1 ( 9430 162010 ) L1M1_PR_MR
NEW met1 ( 9430 162010 ) M1M2_PR
NEW met1 ( 9430 162010 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[15] ( PIN la_data_in[15] ) ( input7 A ) + USE SIGNAL
+ ROUTED met1 ( 110630 185810 ) ( * 186150 )
NEW met1 ( 110630 185810 ) ( 116150 * )
NEW met2 ( 116150 185810 ) ( * 196180 0 )
NEW li1 ( 110630 186150 ) L1M1_PR_MR
NEW met1 ( 116150 185810 ) M1M2_PR ;
- la_data_in[16] ( PIN la_data_in[16] ) ( input8 A ) + USE SIGNAL
+ ROUTED met1 ( 161230 186150 ) ( 163070 * )
NEW met2 ( 161230 186150 ) ( * 196180 0 )
NEW li1 ( 163070 186150 ) L1M1_PR_MR
NEW met1 ( 161230 186150 ) M1M2_PR ;
- la_data_in[17] ( PIN la_data_in[17] ) ( input9 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 71060 ) ( * 71910 )
NEW met3 ( 186530 71060 ) ( 196420 * 0 )
NEW li1 ( 186530 71910 ) L1M1_PR_MR
NEW met1 ( 186530 71910 ) M1M2_PR
NEW met2 ( 186530 71060 ) M2M3_PR_M
NEW met1 ( 186530 71910 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[18] ( PIN la_data_in[18] ) ( input10 A ) + USE SIGNAL
+ ROUTED met1 ( 74750 178330 ) ( * 178670 )
NEW met1 ( 71070 178670 ) ( 74750 * )
NEW met2 ( 71070 178670 ) ( * 196180 0 )
NEW li1 ( 74750 178330 ) L1M1_PR_MR
NEW met1 ( 71070 178670 ) M1M2_PR ;
- la_data_in[19] ( PIN la_data_in[19] ) ( input11 A ) + USE SIGNAL
+ ROUTED met2 ( 46230 180710 ) ( * 196180 0 )
NEW li1 ( 46230 180710 ) L1M1_PR_MR
NEW met1 ( 46230 180710 ) M1M2_PR
NEW met1 ( 46230 180710 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[1] ( PIN la_data_in[1] ) ( input12 A ) + USE SIGNAL
+ ROUTED met1 ( 78430 178330 ) ( 79810 * )
NEW met2 ( 78430 178330 ) ( * 196180 0 )
NEW li1 ( 79810 178330 ) L1M1_PR_MR
NEW met1 ( 78430 178330 ) M1M2_PR ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) ( input13 A ) + USE SIGNAL
+ ROUTED met1 ( 112470 186490 ) ( 112930 * )
NEW met2 ( 112470 186490 ) ( * 196180 0 )
NEW li1 ( 112930 186490 ) L1M1_PR_MR
NEW met1 ( 112470 186490 ) M1M2_PR ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) ( input14 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 81940 0 ) ( 8050 * )
NEW met2 ( 8050 81940 ) ( * 82790 )
NEW met2 ( 8050 81940 ) M2M3_PR_M
NEW li1 ( 8050 82790 ) L1M1_PR_MR
NEW met1 ( 8050 82790 ) M1M2_PR
NEW met1 ( 8050 82790 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[33] ( PIN la_data_in[33] ) ( input15 A ) + USE SIGNAL
+ ROUTED met2 ( 152030 3740 0 ) ( * 15130 )
NEW met1 ( 152030 15130 ) ( 152950 * )
NEW met1 ( 152030 15130 ) M1M2_PR
NEW li1 ( 152950 15130 ) L1M1_PR_MR ;
- la_data_in[34] ( PIN la_data_in[34] ) ( input16 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 192100 0 ) ( 6670 * )
NEW met2 ( 6670 186490 ) ( * 192100 )
NEW met1 ( 6670 186490 ) ( 7130 * )
NEW met2 ( 6670 192100 ) M2M3_PR_M
NEW met1 ( 6670 186490 ) M1M2_PR
NEW li1 ( 7130 186490 ) L1M1_PR_MR ;
- la_data_in[35] ( PIN la_data_in[35] ) ( input17 A ) + USE SIGNAL
+ ROUTED met2 ( 31510 3740 0 ) ( * 17170 )
NEW met1 ( 31510 17170 ) ( 34500 * )
NEW met1 ( 34500 17170 ) ( * 17510 )
NEW met1 ( 34500 17510 ) ( 35190 * )
NEW met1 ( 31510 17170 ) M1M2_PR
NEW li1 ( 35190 17510 ) L1M1_PR_MR ;
- la_data_in[36] ( PIN la_data_in[36] ) ( input18 A ) + USE SIGNAL
+ ROUTED met1 ( 44390 186150 ) ( 47150 * )
NEW met2 ( 44390 186150 ) ( * 196180 0 )
NEW li1 ( 47150 186150 ) L1M1_PR_MR
NEW met1 ( 44390 186150 ) M1M2_PR ;
- la_data_in[37] ( PIN la_data_in[37] ) ( input19 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 167620 0 ) ( 7130 * )
NEW met2 ( 7130 167620 ) ( * 169830 )
NEW met2 ( 7130 167620 ) M2M3_PR_M
NEW li1 ( 7130 169830 ) L1M1_PR_MR
NEW met1 ( 7130 169830 ) M1M2_PR
NEW met1 ( 7130 169830 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[38] ( PIN la_data_in[38] ) ( input20 A ) + USE SIGNAL
+ ROUTED met1 ( 174110 183770 ) ( 174570 * )
NEW met2 ( 174110 183770 ) ( * 196180 0 )
NEW li1 ( 174570 183770 ) L1M1_PR_MR
NEW met1 ( 174110 183770 ) M1M2_PR ;
- la_data_in[39] ( PIN la_data_in[39] ) ( input21 A ) + USE SIGNAL
+ ROUTED met2 ( 189290 180710 ) ( * 183940 )
NEW met3 ( 189290 183940 ) ( 196420 * 0 )
NEW li1 ( 189290 180710 ) L1M1_PR_MR
NEW met1 ( 189290 180710 ) M1M2_PR
NEW met2 ( 189290 183940 ) M2M3_PR_M
NEW met1 ( 189290 180710 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[3] ( PIN la_data_in[3] ) ( input22 A ) + USE SIGNAL
+ ROUTED met1 ( 80270 186490 ) ( 83490 * )
NEW met2 ( 80270 186490 ) ( * 196180 0 )
NEW li1 ( 83490 186490 ) L1M1_PR_MR
NEW met1 ( 80270 186490 ) M1M2_PR ;
- la_data_in[40] ( PIN la_data_in[40] ) ( input23 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 71060 0 ) ( 9430 * )
NEW met2 ( 9430 71060 ) ( * 71570 )
NEW met2 ( 9430 71060 ) M2M3_PR_M
NEW li1 ( 9430 71570 ) L1M1_PR_MR
NEW met1 ( 9430 71570 ) M1M2_PR
NEW met1 ( 9430 71570 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[41] ( PIN la_data_in[41] ) ( input24 A ) + USE SIGNAL
+ ROUTED met1 ( 186070 186490 ) ( 186530 * )
NEW met2 ( 186070 186490 ) ( * 196180 0 )
NEW li1 ( 186530 186490 ) L1M1_PR_MR
NEW met1 ( 186070 186490 ) M1M2_PR ;
- la_data_in[42] ( PIN la_data_in[42] ) ( input25 A ) + USE SIGNAL
+ ROUTED met1 ( 125810 180710 ) ( 130870 * )
NEW met2 ( 125810 180710 ) ( * 183940 )
NEW met2 ( 125350 183940 ) ( 125810 * )
NEW met2 ( 125350 183940 ) ( * 196180 0 )
NEW li1 ( 130870 180710 ) L1M1_PR_MR
NEW met1 ( 125810 180710 ) M1M2_PR ;
- la_data_in[43] ( PIN la_data_in[43] ) ( input26 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 79220 ) ( * 80070 )
NEW met3 ( 186530 79220 ) ( 196420 * 0 )
NEW li1 ( 186530 80070 ) L1M1_PR_MR
NEW met1 ( 186530 80070 ) M1M2_PR
NEW met2 ( 186530 79220 ) M2M3_PR_M
NEW met1 ( 186530 80070 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[44] ( PIN la_data_in[44] ) ( input27 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 160820 ) ( * 162010 )
NEW met3 ( 189750 160820 ) ( 196420 * 0 )
NEW li1 ( 189750 162010 ) L1M1_PR_MR
NEW met1 ( 189750 162010 ) M1M2_PR
NEW met2 ( 189750 160820 ) M2M3_PR_M
NEW met1 ( 189750 162010 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[45] ( PIN la_data_in[45] ) ( input28 A ) + USE SIGNAL
+ ROUTED met1 ( 128110 186490 ) ( 129030 * )
NEW met2 ( 129030 186490 ) ( * 196180 0 )
NEW li1 ( 128110 186490 ) L1M1_PR_MR
NEW met1 ( 129030 186490 ) M1M2_PR ;
- la_data_in[46] ( PIN la_data_in[46] ) ( input29 A ) + USE SIGNAL
+ ROUTED met2 ( 183770 92820 ) ( * 93670 )
NEW met3 ( 183770 92820 ) ( 196420 * 0 )
NEW li1 ( 183770 93670 ) L1M1_PR_MR
NEW met1 ( 183770 93670 ) M1M2_PR
NEW met2 ( 183770 92820 ) M2M3_PR_M
NEW met1 ( 183770 93670 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[47] ( PIN la_data_in[47] ) ( input30 A ) + USE SIGNAL
+ ROUTED met1 ( 70150 186150 ) ( 72910 * )
NEW met2 ( 70150 186150 ) ( * 196180 0 )
NEW li1 ( 72910 186150 ) L1M1_PR_MR
NEW met1 ( 70150 186150 ) M1M2_PR ;
- la_data_in[48] ( PIN la_data_in[48] ) ( input31 A ) + USE SIGNAL
+ ROUTED met1 ( 177330 186150 ) ( 180090 * )
NEW met2 ( 177330 186150 ) ( * 189380 )
NEW met2 ( 176870 189380 ) ( 177330 * )
NEW met2 ( 176870 189380 ) ( * 196180 0 )
NEW li1 ( 180090 186150 ) L1M1_PR_MR
NEW met1 ( 177330 186150 ) M1M2_PR ;
- la_data_in[49] ( PIN la_data_in[49] ) ( input32 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 130900 0 ) ( 14030 * )
NEW met1 ( 13110 131750 ) ( 14030 * )
NEW met2 ( 14030 130900 ) ( * 131750 )
NEW met2 ( 14030 130900 ) M2M3_PR_M
NEW met1 ( 14030 131750 ) M1M2_PR
NEW li1 ( 13110 131750 ) L1M1_PR_MR ;
- la_data_in[4] ( PIN la_data_in[4] ) ( input33 A ) + USE SIGNAL
+ ROUTED met2 ( 104190 3740 0 ) ( * 22950 )
NEW met1 ( 104190 22950 ) ( 105570 * )
NEW met1 ( 104190 22950 ) M1M2_PR
NEW li1 ( 105570 22950 ) L1M1_PR_MR ;
- la_data_in[50] ( PIN la_data_in[50] ) ( input34 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 186660 0 ) ( 7130 * )
NEW met2 ( 7130 183770 ) ( * 186660 )
NEW met2 ( 7130 186660 ) M2M3_PR_M
NEW li1 ( 7130 183770 ) L1M1_PR_MR
NEW met1 ( 7130 183770 ) M1M2_PR
NEW met1 ( 7130 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[51] ( PIN la_data_in[51] ) ( input35 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 182580 0 ) ( 14030 * )
NEW met2 ( 14030 182580 ) ( * 183770 )
NEW met2 ( 14030 182580 ) M2M3_PR_M
NEW li1 ( 14030 183770 ) L1M1_PR_MR
NEW met1 ( 14030 183770 ) M1M2_PR
NEW met1 ( 14030 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[52] ( PIN la_data_in[52] ) ( input36 A ) + USE SIGNAL
+ ROUTED met2 ( 59110 3740 0 ) ( * 17510 )
NEW met1 ( 59110 17510 ) ( 60490 * )
NEW met1 ( 59110 17510 ) M1M2_PR
NEW li1 ( 60490 17510 ) L1M1_PR_MR ;
- la_data_in[53] ( PIN la_data_in[53] ) ( input37 A ) + USE SIGNAL
+ ROUTED met2 ( 182390 183770 ) ( * 196180 0 )
NEW li1 ( 182390 183770 ) L1M1_PR_MR
NEW met1 ( 182390 183770 ) M1M2_PR
NEW met1 ( 182390 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[54] ( PIN la_data_in[54] ) ( input38 A ) + USE SIGNAL
+ ROUTED met1 ( 13570 185810 ) ( * 186150 )
NEW met1 ( 1150 185810 ) ( 13570 * )
NEW met2 ( 1150 185810 ) ( * 196180 0 )
NEW li1 ( 13570 186150 ) L1M1_PR_MR
NEW met1 ( 1150 185810 ) M1M2_PR ;
- la_data_in[55] ( PIN la_data_in[55] ) ( input39 A ) + USE SIGNAL
+ ROUTED met1 ( 171350 186490 ) ( 173650 * )
NEW met2 ( 171350 186490 ) ( * 196180 0 )
NEW li1 ( 173650 186490 ) L1M1_PR_MR
NEW met1 ( 171350 186490 ) M1M2_PR ;
- la_data_in[56] ( PIN la_data_in[56] ) ( input40 A ) + USE SIGNAL
+ ROUTED met2 ( 109710 3740 0 ) ( * 12070 )
NEW met1 ( 109710 12070 ) ( 110170 * )
NEW met1 ( 109710 12070 ) M1M2_PR
NEW li1 ( 110170 12070 ) L1M1_PR_MR ;
- la_data_in[57] ( PIN la_data_in[57] ) ( input41 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 41140 ) ( * 42330 )
NEW met3 ( 189750 41140 ) ( 196420 * 0 )
NEW li1 ( 189750 42330 ) L1M1_PR_MR
NEW met1 ( 189750 42330 ) M1M2_PR
NEW met2 ( 189750 41140 ) M2M3_PR_M
NEW met1 ( 189750 42330 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[58] ( PIN la_data_in[58] ) ( input42 A ) + USE SIGNAL
+ ROUTED met2 ( 166750 186490 ) ( * 196180 0 )
NEW li1 ( 166750 186490 ) L1M1_PR_MR
NEW met1 ( 166750 186490 ) M1M2_PR
NEW met1 ( 166750 186490 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[59] ( PIN la_data_in[59] ) ( input43 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 83300 0 ) ( 11730 * )
NEW met2 ( 11730 83300 ) ( * 85850 )
NEW met2 ( 11730 83300 ) M2M3_PR_M
NEW li1 ( 11730 85850 ) L1M1_PR_MR
NEW met1 ( 11730 85850 ) M1M2_PR
NEW met1 ( 11730 85850 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[5] ( PIN la_data_in[5] ) ( input44 A ) + USE SIGNAL
+ ROUTED met2 ( 159390 3740 0 ) ( * 15130 )
NEW met1 ( 159390 15130 ) ( 164910 * )
NEW met1 ( 159390 15130 ) M1M2_PR
NEW li1 ( 164910 15130 ) L1M1_PR_MR ;
- la_data_in[60] ( PIN la_data_in[60] ) ( input45 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 41140 0 ) ( 9430 * )
NEW met2 ( 9430 41140 ) ( * 42330 )
NEW met2 ( 9430 41140 ) M2M3_PR_M
NEW li1 ( 9430 42330 ) L1M1_PR_MR
NEW met1 ( 9430 42330 ) M1M2_PR
NEW met1 ( 9430 42330 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[61] ( PIN la_data_in[61] ) ( input46 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 1700 0 ) ( 20010 * )
NEW met2 ( 20010 1700 ) ( * 26010 )
NEW met2 ( 20010 1700 ) M2M3_PR_M
NEW li1 ( 20010 26010 ) L1M1_PR_MR
NEW met1 ( 20010 26010 ) M1M2_PR
NEW met1 ( 20010 26010 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[62] ( PIN la_data_in[62] ) ( input47 A ) + USE SIGNAL
+ ROUTED met1 ( 100970 178330 ) ( * 178670 )
NEW met1 ( 100050 178670 ) ( 100970 * )
NEW met2 ( 100050 178670 ) ( * 185980 )
NEW met2 ( 99590 185980 ) ( 100050 * )
NEW met2 ( 99590 185980 ) ( * 196180 0 )
NEW li1 ( 100970 178330 ) L1M1_PR_MR
NEW met1 ( 100050 178670 ) M1M2_PR ;
- la_data_in[63] ( PIN la_data_in[63] ) ( input48 A ) + USE SIGNAL
+ ROUTED met2 ( 155710 3740 0 ) ( * 20570 )
NEW met1 ( 155710 20570 ) ( 157090 * )
NEW met1 ( 155710 20570 ) M1M2_PR
NEW li1 ( 157090 20570 ) L1M1_PR_MR ;
- la_data_in[64] ( PIN la_data_in[64] ) ( input49 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 141780 0 ) ( 8050 * )
NEW met2 ( 8050 140250 ) ( * 141780 )
NEW met2 ( 8050 141780 ) M2M3_PR_M
NEW li1 ( 8050 140250 ) L1M1_PR_MR
NEW met1 ( 8050 140250 ) M1M2_PR
NEW met1 ( 8050 140250 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[65] ( PIN la_data_in[65] ) ( input50 A ) + USE SIGNAL
+ ROUTED met2 ( 71990 3740 0 ) ( * 12070 )
NEW met1 ( 71990 12070 ) ( 72450 * )
NEW met1 ( 71990 12070 ) M1M2_PR
NEW li1 ( 72450 12070 ) L1M1_PR_MR ;
- la_data_in[66] ( PIN la_data_in[66] ) ( input51 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 126820 ) ( * 129370 )
NEW met3 ( 189750 126820 ) ( 196420 * 0 )
NEW li1 ( 189750 129370 ) L1M1_PR_MR
NEW met1 ( 189750 129370 ) M1M2_PR
NEW met2 ( 189750 126820 ) M2M3_PR_M
NEW met1 ( 189750 129370 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[67] ( PIN la_data_in[67] ) ( input52 A ) + USE SIGNAL
+ ROUTED met2 ( 181470 3740 0 ) ( * 12410 )
NEW met1 ( 179630 12410 ) ( 181470 * )
NEW met1 ( 181470 12410 ) M1M2_PR
NEW li1 ( 179630 12410 ) L1M1_PR_MR ;
- la_data_in[68] ( PIN la_data_in[68] ) ( input53 A ) + USE SIGNAL
+ ROUTED met2 ( 162150 3740 0 ) ( * 11730 )
NEW met1 ( 162150 11730 ) ( 170890 * )
NEW met1 ( 170890 11730 ) ( * 12070 )
NEW met1 ( 162150 11730 ) M1M2_PR
NEW li1 ( 170890 12070 ) L1M1_PR_MR ;
- la_data_in[69] ( PIN la_data_in[69] ) ( input54 A ) + USE SIGNAL
+ ROUTED met1 ( 55430 180710 ) ( 56810 * )
NEW met2 ( 55430 180710 ) ( * 196180 0 )
NEW li1 ( 56810 180710 ) L1M1_PR_MR
NEW met1 ( 55430 180710 ) M1M2_PR ;
- la_data_in[6] ( PIN la_data_in[6] ) ( input55 A ) + USE SIGNAL
+ ROUTED met2 ( 133630 3740 0 ) ( * 11730 )
NEW met1 ( 133630 11730 ) ( 135470 * )
NEW met1 ( 133630 11730 ) M1M2_PR
NEW li1 ( 135470 11730 ) L1M1_PR_MR ;
- la_data_in[70] ( PIN la_data_in[70] ) ( input56 A ) + USE SIGNAL
+ ROUTED met2 ( 183770 83300 ) ( * 85850 )
NEW met3 ( 183770 83300 ) ( 196420 * 0 )
NEW li1 ( 183770 85850 ) L1M1_PR_MR
NEW met1 ( 183770 85850 ) M1M2_PR
NEW met2 ( 183770 83300 ) M2M3_PR_M
NEW met1 ( 183770 85850 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[71] ( PIN la_data_in[71] ) ( input57 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 22100 0 ) ( 7130 * )
NEW met2 ( 7130 22100 ) ( * 22950 )
NEW met2 ( 7130 22100 ) M2M3_PR_M
NEW li1 ( 7130 22950 ) L1M1_PR_MR
NEW met1 ( 7130 22950 ) M1M2_PR
NEW met1 ( 7130 22950 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[72] ( PIN la_data_in[72] ) ( input58 A ) + USE SIGNAL
+ ROUTED met1 ( 186530 90950 ) ( 186990 * )
NEW met2 ( 186990 88740 ) ( * 90950 )
NEW met3 ( 186990 88740 ) ( 196420 * 0 )
NEW li1 ( 186530 90950 ) L1M1_PR_MR
NEW met1 ( 186990 90950 ) M1M2_PR
NEW met2 ( 186990 88740 ) M2M3_PR_M ;
- la_data_in[73] ( PIN la_data_in[73] ) ( input59 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 140420 0 ) ( 9430 * )
NEW met2 ( 9430 140420 ) ( * 142290 )
NEW met2 ( 9430 140420 ) M2M3_PR_M
NEW li1 ( 9430 142290 ) L1M1_PR_MR
NEW met1 ( 9430 142290 ) M1M2_PR
NEW met1 ( 9430 142290 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[74] ( PIN la_data_in[74] ) ( input60 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 88570 ) ( * 90100 )
NEW met3 ( 186530 90100 ) ( 196420 * 0 )
NEW li1 ( 186530 88570 ) L1M1_PR_MR
NEW met1 ( 186530 88570 ) M1M2_PR
NEW met2 ( 186530 90100 ) M2M3_PR_M
NEW met1 ( 186530 88570 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[75] ( PIN la_data_in[75] ) ( input61 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 7140 0 ) ( 14030 * )
NEW met2 ( 14030 7140 ) ( * 7990 )
NEW met1 ( 14030 7990 ) ( 23690 * )
NEW met2 ( 23690 7990 ) ( * 22950 )
NEW met2 ( 14030 7140 ) M2M3_PR_M
NEW met1 ( 14030 7990 ) M1M2_PR
NEW met1 ( 23690 7990 ) M1M2_PR
NEW li1 ( 23690 22950 ) L1M1_PR_MR
NEW met1 ( 23690 22950 ) M1M2_PR
NEW met1 ( 23690 22950 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[76] ( PIN la_data_in[76] ) ( input62 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 99620 ) ( * 101830 )
NEW met3 ( 186530 99620 ) ( 196420 * 0 )
NEW li1 ( 186530 101830 ) L1M1_PR_MR
NEW met1 ( 186530 101830 ) M1M2_PR
NEW met2 ( 186530 99620 ) M2M3_PR_M
NEW met1 ( 186530 101830 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[77] ( PIN la_data_in[77] ) ( input63 A ) + USE SIGNAL
+ ROUTED met1 ( 38870 186150 ) ( 39790 * )
NEW met2 ( 38870 186150 ) ( * 196180 0 )
NEW li1 ( 39790 186150 ) L1M1_PR_MR
NEW met1 ( 38870 186150 ) M1M2_PR ;
- la_data_in[78] ( PIN la_data_in[78] ) ( input64 A ) + USE SIGNAL
+ ROUTED met2 ( 108790 3740 0 ) ( * 22950 )
NEW met1 ( 108790 22950 ) ( 110170 * )
NEW met1 ( 108790 22950 ) M1M2_PR
NEW li1 ( 110170 22950 ) L1M1_PR_MR ;
- la_data_in[79] ( PIN la_data_in[79] ) ( input65 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 133620 0 ) ( 7130 * )
NEW met2 ( 7130 133620 ) ( * 134470 )
NEW met2 ( 7130 133620 ) M2M3_PR_M
NEW li1 ( 7130 134470 ) L1M1_PR_MR
NEW met1 ( 7130 134470 ) M1M2_PR
NEW met1 ( 7130 134470 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[7] ( PIN la_data_in[7] ) ( input66 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 39270 ) ( * 39780 )
NEW met3 ( 189750 39780 ) ( 196420 * 0 )
NEW li1 ( 189750 39270 ) L1M1_PR_MR
NEW met1 ( 189750 39270 ) M1M2_PR
NEW met2 ( 189750 39780 ) M2M3_PR_M
NEW met1 ( 189750 39270 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[80] ( PIN la_data_in[80] ) ( input67 A ) + USE SIGNAL
+ ROUTED met1 ( 89470 178330 ) ( 90850 * )
NEW met2 ( 89470 178330 ) ( * 196180 0 )
NEW li1 ( 90850 178330 ) L1M1_PR_MR
NEW met1 ( 89470 178330 ) M1M2_PR ;
- la_data_in[81] ( PIN la_data_in[81] ) ( input68 A ) + USE SIGNAL
+ ROUTED met2 ( 198950 3740 0 ) ( * 17510 )
NEW met1 ( 189290 17510 ) ( 198950 * )
NEW met1 ( 198950 17510 ) M1M2_PR
NEW li1 ( 189290 17510 ) L1M1_PR_MR ;
- la_data_in[82] ( PIN la_data_in[82] ) ( input69 A ) + USE SIGNAL
+ ROUTED met1 ( 85790 183770 ) ( 86250 * )
NEW met2 ( 85790 183770 ) ( * 196180 0 )
NEW li1 ( 86250 183770 ) L1M1_PR_MR
NEW met1 ( 85790 183770 ) M1M2_PR ;
- la_data_in[83] ( PIN la_data_in[83] ) ( input70 A ) + USE SIGNAL
+ ROUTED met2 ( 51750 3740 0 ) ( * 15130 )
NEW met1 ( 51750 15130 ) ( 52670 * )
NEW met1 ( 51750 15130 ) M1M2_PR
NEW li1 ( 52670 15130 ) L1M1_PR_MR ;
- la_data_in[84] ( PIN la_data_in[84] ) ( input71 A ) + USE SIGNAL
+ ROUTED met1 ( 189750 178670 ) ( 195730 * )
NEW li1 ( 195730 178670 ) ( * 198730 )
NEW met1 ( 194810 198730 ) ( 195730 * )
NEW met2 ( 194810 198730 ) ( * 198900 )
NEW met3 ( 194810 198900 ) ( 196420 * 0 )
NEW li1 ( 189750 178670 ) L1M1_PR_MR
NEW li1 ( 195730 178670 ) L1M1_PR_MR
NEW li1 ( 195730 198730 ) L1M1_PR_MR
NEW met1 ( 194810 198730 ) M1M2_PR
NEW met2 ( 194810 198900 ) M2M3_PR_M ;
- la_data_in[85] ( PIN la_data_in[85] ) ( input72 A ) + USE SIGNAL
+ ROUTED met2 ( 105110 3740 0 ) ( * 12410 )
NEW met1 ( 102350 12410 ) ( 105110 * )
NEW met1 ( 105110 12410 ) M1M2_PR
NEW li1 ( 102350 12410 ) L1M1_PR_MR ;
- la_data_in[86] ( PIN la_data_in[86] ) ( input73 A ) + USE SIGNAL
+ ROUTED met1 ( 186530 183770 ) ( 195270 * )
NEW met2 ( 195270 183770 ) ( * 196180 0 )
NEW li1 ( 186530 183770 ) L1M1_PR_MR
NEW met1 ( 195270 183770 ) M1M2_PR ;
- la_data_in[87] ( PIN la_data_in[87] ) ( input74 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 7140 ) ( * 12070 )
NEW met3 ( 186530 7140 ) ( 196420 * 0 )
NEW li1 ( 186530 12070 ) L1M1_PR_MR
NEW met1 ( 186530 12070 ) M1M2_PR
NEW met2 ( 186530 7140 ) M2M3_PR_M
NEW met1 ( 186530 12070 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[88] ( PIN la_data_in[88] ) ( input75 A ) + USE SIGNAL
+ ROUTED met2 ( 190670 142630 ) ( * 143140 )
NEW met3 ( 190670 143140 ) ( 196420 * 0 )
NEW li1 ( 190670 142630 ) L1M1_PR_MR
NEW met1 ( 190670 142630 ) M1M2_PR
NEW met2 ( 190670 143140 ) M2M3_PR_M
NEW met1 ( 190670 142630 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[89] ( PIN la_data_in[89] ) ( input76 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 39780 0 ) ( 9430 * )
NEW met2 ( 9430 39270 ) ( * 39780 )
NEW met2 ( 9430 39780 ) M2M3_PR_M
NEW li1 ( 9430 39270 ) L1M1_PR_MR
NEW met1 ( 9430 39270 ) M1M2_PR
NEW met1 ( 9430 39270 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[8] ( PIN la_data_in[8] ) ( input77 A ) + USE SIGNAL
+ ROUTED met2 ( 138230 3740 0 ) ( * 12070 )
NEW met1 ( 138230 12070 ) ( 138690 * )
NEW met1 ( 138230 12070 ) M1M2_PR
NEW li1 ( 138690 12070 ) L1M1_PR_MR ;
- la_data_in[90] ( PIN la_data_in[90] ) ( input78 A ) + USE SIGNAL
+ ROUTED met2 ( 48070 3740 0 ) ( * 17510 )
NEW met1 ( 48070 17510 ) ( 48530 * )
NEW met1 ( 48070 17510 ) M1M2_PR
NEW li1 ( 48530 17510 ) L1M1_PR_MR ;
- la_data_in[91] ( PIN la_data_in[91] ) ( input79 A ) + USE SIGNAL
+ ROUTED met2 ( 190670 60180 ) ( * 61030 )
NEW met3 ( 190670 60180 ) ( 196420 * 0 )
NEW li1 ( 190670 61030 ) L1M1_PR_MR
NEW met1 ( 190670 61030 ) M1M2_PR
NEW met2 ( 190670 60180 ) M2M3_PR_M
NEW met1 ( 190670 61030 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[92] ( PIN la_data_in[92] ) ( input80 A ) + USE SIGNAL
+ ROUTED met2 ( 149270 3740 0 ) ( * 17510 )
NEW met1 ( 149270 17510 ) ( 150650 * )
NEW met1 ( 149270 17510 ) M1M2_PR
NEW li1 ( 150650 17510 ) L1M1_PR_MR ;
- la_data_in[93] ( PIN la_data_in[93] ) ( input81 A ) + USE SIGNAL
+ ROUTED met2 ( 186990 121380 ) ( * 126310 )
NEW met3 ( 186990 121380 ) ( 196420 * 0 )
NEW li1 ( 186990 126310 ) L1M1_PR_MR
NEW met1 ( 186990 126310 ) M1M2_PR
NEW met2 ( 186990 121380 ) M2M3_PR_M
NEW met1 ( 186990 126310 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[94] ( PIN la_data_in[94] ) ( input82 A ) + USE SIGNAL
+ ROUTED met2 ( 117070 3740 0 ) ( * 13940 )
NEW met2 ( 117070 13940 ) ( 117530 * )
NEW met2 ( 117530 13940 ) ( * 22950 )
NEW met1 ( 117530 22950 ) ( 118450 * )
NEW met1 ( 117530 22950 ) M1M2_PR
NEW li1 ( 118450 22950 ) L1M1_PR_MR ;
- la_data_in[95] ( PIN la_data_in[95] ) ( input83 A ) + USE SIGNAL
+ ROUTED met1 ( 123510 183770 ) ( 123970 * )
NEW met2 ( 123510 183770 ) ( * 196180 0 )
NEW li1 ( 123970 183770 ) L1M1_PR_MR
NEW met1 ( 123510 183770 ) M1M2_PR ;
- la_data_in[96] ( PIN la_data_in[96] ) ( input84 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 167620 ) ( * 169830 )
NEW met3 ( 186530 167620 ) ( 196420 * 0 )
NEW li1 ( 186530 169830 ) L1M1_PR_MR
NEW met1 ( 186530 169830 ) M1M2_PR
NEW met2 ( 186530 167620 ) M2M3_PR_M
NEW met1 ( 186530 169830 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[97] ( PIN la_data_in[97] ) ( input85 A ) + USE SIGNAL
+ ROUTED met2 ( 24150 3740 0 ) ( * 12070 )
NEW met1 ( 24150 12070 ) ( 24610 * )
NEW met1 ( 24150 12070 ) M1M2_PR
NEW li1 ( 24610 12070 ) L1M1_PR_MR ;
- la_data_in[98] ( PIN la_data_in[98] ) ( input86 A ) + USE SIGNAL
+ ROUTED met2 ( 163070 3740 0 ) ( * 17510 )
NEW met1 ( 163070 17510 ) ( 164450 * )
NEW met1 ( 163070 17510 ) M1M2_PR
NEW li1 ( 164450 17510 ) L1M1_PR_MR ;
- la_data_in[99] ( PIN la_data_in[99] ) ( input87 A ) + USE SIGNAL
+ ROUTED met1 ( 49910 186490 ) ( 50370 * )
NEW met2 ( 49910 186490 ) ( * 196180 0 )
NEW li1 ( 50370 186490 ) L1M1_PR_MR
NEW met1 ( 49910 186490 ) M1M2_PR ;
- la_data_in[9] ( PIN la_data_in[9] ) ( input88 A ) + USE SIGNAL
+ ROUTED met2 ( 151110 3740 0 ) ( * 12070 )
NEW met1 ( 151110 12070 ) ( 151570 * )
NEW met1 ( 151110 12070 ) M1M2_PR
NEW li1 ( 151570 12070 ) L1M1_PR_MR ;
- la_data_out[0] ( PIN la_data_out[0] ) ( _123_ LO ) + USE SIGNAL
+ ROUTED met2 ( 30590 3740 0 ) ( * 17510 )
NEW met1 ( 30590 17510 ) ( 31970 * )
NEW met1 ( 30590 17510 ) M1M2_PR
NEW li1 ( 31970 17510 ) L1M1_PR_MR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( _094_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 53210 ) ( * 54740 )
NEW met3 ( 3220 54740 0 ) ( 10350 * )
NEW li1 ( 10350 53210 ) L1M1_PR_MR
NEW met1 ( 10350 53210 ) M1M2_PR
NEW met2 ( 10350 54740 ) M2M3_PR_M
NEW met1 ( 10350 53210 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[101] ( PIN la_data_out[101] ) ( _095_ LO ) + USE SIGNAL
+ ROUTED met2 ( 101430 187170 ) ( * 196180 0 )
NEW li1 ( 101430 187170 ) L1M1_PR_MR
NEW met1 ( 101430 187170 ) M1M2_PR
NEW met1 ( 101430 187170 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[102] ( PIN la_data_out[102] ) ( _096_ LO ) + USE SIGNAL
+ ROUTED met1 ( 177330 175950 ) ( 177790 * )
NEW met2 ( 177790 175950 ) ( * 188020 )
NEW met3 ( 177790 188020 ) ( 196420 * 0 )
NEW li1 ( 177330 175950 ) L1M1_PR_MR
NEW met1 ( 177790 175950 ) M1M2_PR
NEW met2 ( 177790 188020 ) M2M3_PR_M ;
- la_data_out[103] ( PIN la_data_out[103] ) ( _097_ LO ) + USE SIGNAL
+ ROUTED met1 ( 20010 178330 ) ( 21850 * )
NEW met2 ( 20010 178330 ) ( * 178500 )
NEW met3 ( 3220 178500 0 ) ( 20010 * )
NEW li1 ( 21850 178330 ) L1M1_PR_MR
NEW met1 ( 20010 178330 ) M1M2_PR
NEW met2 ( 20010 178500 ) M2M3_PR_M ;
- la_data_out[104] ( PIN la_data_out[104] ) ( _098_ LO ) + USE SIGNAL
+ ROUTED met1 ( 170890 180370 ) ( * 180710 )
NEW met1 ( 170890 180370 ) ( 193430 * )
NEW met2 ( 193430 180370 ) ( * 196180 0 )
NEW li1 ( 170890 180710 ) L1M1_PR_MR
NEW met1 ( 193430 180370 ) M1M2_PR ;
- la_data_out[105] ( PIN la_data_out[105] ) ( _099_ LO ) + USE SIGNAL
+ ROUTED met2 ( 160310 3740 0 ) ( * 17510 )
NEW li1 ( 160310 17510 ) L1M1_PR_MR
NEW met1 ( 160310 17510 ) M1M2_PR
NEW met1 ( 160310 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[106] ( PIN la_data_out[106] ) ( _100_ LO ) + USE SIGNAL
+ ROUTED met1 ( 8510 179010 ) ( 23230 * )
NEW met2 ( 8510 179010 ) ( * 196180 0 )
NEW met2 ( 23230 179010 ) ( * 180710 )
NEW li1 ( 23230 180710 ) L1M1_PR_MR
NEW met1 ( 23230 180710 ) M1M2_PR
NEW met1 ( 8510 179010 ) M1M2_PR
NEW met1 ( 23230 179010 ) M1M2_PR
NEW met1 ( 23230 180710 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[107] ( PIN la_data_out[107] ) ( _101_ LO ) + USE SIGNAL
+ ROUTED met1 ( 171810 177990 ) ( 192510 * )
NEW met2 ( 192510 177990 ) ( * 196180 0 )
NEW li1 ( 171810 177990 ) L1M1_PR_MR
NEW met1 ( 192510 177990 ) M1M2_PR ;
- la_data_out[108] ( PIN la_data_out[108] ) ( _102_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 151130 ) ( * 151300 )
NEW met3 ( 3220 151300 0 ) ( 10350 * )
NEW li1 ( 10350 151130 ) L1M1_PR_MR
NEW met1 ( 10350 151130 ) M1M2_PR
NEW met2 ( 10350 151300 ) M2M3_PR_M
NEW met1 ( 10350 151130 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[109] ( PIN la_data_out[109] ) ( _103_ LO ) + USE SIGNAL
+ ROUTED met1 ( 77510 181730 ) ( 80270 * )
NEW met2 ( 77510 181730 ) ( * 196180 0 )
NEW li1 ( 80270 181730 ) L1M1_PR_MR
NEW met1 ( 77510 181730 ) M1M2_PR ;
- la_data_out[10] ( PIN la_data_out[10] ) ( _004_ LO ) + USE SIGNAL
+ ROUTED met2 ( 186990 83810 ) ( * 84660 )
NEW met3 ( 186990 84660 ) ( 196420 * 0 )
NEW li1 ( 186990 83810 ) L1M1_PR_MR
NEW met1 ( 186990 83810 ) M1M2_PR
NEW met2 ( 186990 84660 ) M2M3_PR_M
NEW met1 ( 186990 83810 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[110] ( PIN la_data_out[110] ) ( _104_ LO ) + USE SIGNAL
+ ROUTED met1 ( 127190 181730 ) ( 127650 * )
NEW met2 ( 127190 181730 ) ( * 196180 0 )
NEW li1 ( 127650 181730 ) L1M1_PR_MR
NEW met1 ( 127190 181730 ) M1M2_PR ;
- la_data_out[111] ( PIN la_data_out[111] ) ( _105_ LO ) + USE SIGNAL
+ ROUTED met2 ( 195270 3740 0 ) ( * 20230 )
NEW met1 ( 194810 20230 ) ( 195270 * )
NEW li1 ( 194810 20230 ) ( * 23630 )
NEW met1 ( 183770 23630 ) ( 194810 * )
NEW met1 ( 195270 20230 ) M1M2_PR
NEW li1 ( 194810 20230 ) L1M1_PR_MR
NEW li1 ( 194810 23630 ) L1M1_PR_MR
NEW li1 ( 183770 23630 ) L1M1_PR_MR ;
- la_data_out[112] ( PIN la_data_out[112] ) ( _106_ LO ) + USE SIGNAL
+ ROUTED met1 ( 82110 178330 ) ( 84410 * )
NEW met2 ( 82110 178330 ) ( * 196180 0 )
NEW li1 ( 84410 178330 ) L1M1_PR_MR
NEW met1 ( 82110 178330 ) M1M2_PR ;
- la_data_out[113] ( PIN la_data_out[113] ) ( _107_ LO ) + USE SIGNAL
+ ROUTED met1 ( 2990 187170 ) ( 28290 * )
NEW met2 ( 2990 187170 ) ( * 196180 0 )
NEW li1 ( 28290 187170 ) L1M1_PR_MR
NEW met1 ( 2990 187170 ) M1M2_PR ;
- la_data_out[114] ( PIN la_data_out[114] ) ( _108_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11730 64090 ) ( * 65620 )
NEW met3 ( 3220 65620 0 ) ( 11730 * )
NEW li1 ( 11730 64090 ) L1M1_PR_MR
NEW met1 ( 11730 64090 ) M1M2_PR
NEW met2 ( 11730 65620 ) M2M3_PR_M
NEW met1 ( 11730 64090 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[115] ( PIN la_data_out[115] ) ( _109_ LO ) + USE SIGNAL
+ ROUTED met1 ( 60950 183770 ) ( 61870 * )
NEW met2 ( 60950 183770 ) ( * 196180 0 )
NEW li1 ( 61870 183770 ) L1M1_PR_MR
NEW met1 ( 60950 183770 ) M1M2_PR ;
- la_data_out[116] ( PIN la_data_out[116] ) ( _110_ LO ) + USE SIGNAL
+ ROUTED met1 ( 119370 181730 ) ( 121670 * )
NEW met2 ( 121670 181730 ) ( * 196180 0 )
NEW li1 ( 119370 181730 ) L1M1_PR_MR
NEW met1 ( 121670 181730 ) M1M2_PR ;
- la_data_out[117] ( PIN la_data_out[117] ) ( _111_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 198900 0 ) ( 15410 * )
NEW met1 ( 14950 172210 ) ( 15410 * )
NEW met2 ( 15410 172210 ) ( * 198900 )
NEW met2 ( 15410 198900 ) M2M3_PR_M
NEW li1 ( 14950 172210 ) L1M1_PR_MR
NEW met1 ( 15410 172210 ) M1M2_PR ;
- la_data_out[118] ( PIN la_data_out[118] ) ( _112_ LO ) + USE SIGNAL
+ ROUTED met2 ( 147430 3740 0 ) ( * 14110 )
NEW met1 ( 147430 14110 ) ( 148810 * )
NEW met1 ( 147430 14110 ) M1M2_PR
NEW li1 ( 148810 14110 ) L1M1_PR_MR ;
- la_data_out[119] ( PIN la_data_out[119] ) ( _113_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 28900 0 ) ( 14950 * )
NEW met2 ( 14950 28730 ) ( * 28900 )
NEW met2 ( 14950 28900 ) M2M3_PR_M
NEW li1 ( 14950 28730 ) L1M1_PR_MR
NEW met1 ( 14950 28730 ) M1M2_PR
NEW met1 ( 14950 28730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[11] ( PIN la_data_out[11] ) ( _005_ LO ) + USE SIGNAL
+ ROUTED met2 ( 53590 181730 ) ( * 196180 0 )
NEW li1 ( 53590 181730 ) L1M1_PR_MR
NEW met1 ( 53590 181730 ) M1M2_PR
NEW met1 ( 53590 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[120] ( PIN la_data_out[120] ) ( _114_ LO ) + USE SIGNAL
+ ROUTED met2 ( 176870 3740 0 ) ( * 22950 )
NEW li1 ( 176870 22950 ) L1M1_PR_MR
NEW met1 ( 176870 22950 ) M1M2_PR
NEW met1 ( 176870 22950 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[121] ( PIN la_data_out[121] ) ( _115_ LO ) + USE SIGNAL
+ ROUTED met1 ( 19550 176290 ) ( 20010 * )
NEW met2 ( 19550 176290 ) ( * 196180 0 )
NEW li1 ( 20010 176290 ) L1M1_PR_MR
NEW met1 ( 19550 176290 ) M1M2_PR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( _116_ LO ) + USE SIGNAL
+ ROUTED met1 ( 116150 181730 ) ( 117990 * )
NEW met2 ( 117990 181730 ) ( * 196180 0 )
NEW li1 ( 116150 181730 ) L1M1_PR_MR
NEW met1 ( 117990 181730 ) M1M2_PR ;
- la_data_out[123] ( PIN la_data_out[123] ) ( _117_ LO ) + USE SIGNAL
+ ROUTED met1 ( 165830 183430 ) ( 180090 * )
NEW met2 ( 180090 183430 ) ( * 186660 )
NEW met2 ( 180090 186660 ) ( 180550 * )
NEW met2 ( 180550 186660 ) ( * 196180 0 )
NEW li1 ( 165830 183430 ) L1M1_PR_MR
NEW met1 ( 180090 183430 ) M1M2_PR ;
- la_data_out[124] ( PIN la_data_out[124] ) ( _118_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11730 118150 ) ( * 118660 )
NEW met3 ( 3220 118660 0 ) ( 11730 * )
NEW li1 ( 11730 118150 ) L1M1_PR_MR
NEW met1 ( 11730 118150 ) M1M2_PR
NEW met2 ( 11730 118660 ) M2M3_PR_M
NEW met1 ( 11730 118150 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[125] ( PIN la_data_out[125] ) ( _119_ LO ) + USE SIGNAL
+ ROUTED met2 ( 186990 26180 ) ( * 28390 )
NEW met3 ( 186990 26180 ) ( 196420 * 0 )
NEW li1 ( 186990 28390 ) L1M1_PR_MR
NEW met1 ( 186990 28390 ) M1M2_PR
NEW met2 ( 186990 26180 ) M2M3_PR_M
NEW met1 ( 186990 28390 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[126] ( PIN la_data_out[126] ) ( _120_ LO ) + USE SIGNAL
+ ROUTED met2 ( 67390 181730 ) ( * 196180 0 )
NEW li1 ( 67390 181730 ) L1M1_PR_MR
NEW met1 ( 67390 181730 ) M1M2_PR
NEW met1 ( 67390 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[127] ( PIN la_data_out[127] ) ( _121_ LO ) + USE SIGNAL
+ ROUTED met1 ( 9430 172890 ) ( 18170 * )
NEW met2 ( 9430 172890 ) ( * 196180 0 )
NEW li1 ( 18170 172890 ) L1M1_PR_MR
NEW met1 ( 9430 172890 ) M1M2_PR ;
- la_data_out[12] ( PIN la_data_out[12] ) ( _006_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 23290 ) ( * 23460 )
NEW met3 ( 190210 23460 ) ( 196420 * 0 )
NEW li1 ( 190210 23290 ) L1M1_PR_MR
NEW met1 ( 190210 23290 ) M1M2_PR
NEW met2 ( 190210 23460 ) M2M3_PR_M
NEW met1 ( 190210 23290 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[13] ( PIN la_data_out[13] ) ( _007_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 132260 ) ( * 133790 )
NEW met3 ( 190210 132260 ) ( 196420 * 0 )
NEW li1 ( 190210 133790 ) L1M1_PR_MR
NEW met1 ( 190210 133790 ) M1M2_PR
NEW met2 ( 190210 132260 ) M2M3_PR_M
NEW met1 ( 190210 133790 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[14] ( PIN la_data_out[14] ) ( _008_ LO ) + USE SIGNAL
+ ROUTED met2 ( 106030 3740 0 ) ( * 17510 )
NEW met1 ( 106030 17510 ) ( 107410 * )
NEW met1 ( 106030 17510 ) M1M2_PR
NEW li1 ( 107410 17510 ) L1M1_PR_MR ;
- la_data_out[15] ( PIN la_data_out[15] ) ( _009_ LO ) + USE SIGNAL
+ ROUTED met1 ( 12190 178330 ) ( 15410 * )
NEW met2 ( 12190 178330 ) ( * 196180 0 )
NEW li1 ( 15410 178330 ) L1M1_PR_MR
NEW met1 ( 12190 178330 ) M1M2_PR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( _010_ LO ) + USE SIGNAL
+ ROUTED met1 ( 190210 94690 ) ( 190670 * )
NEW met2 ( 190670 94690 ) ( * 95540 )
NEW met3 ( 190670 95540 ) ( 196420 * 0 )
NEW li1 ( 190210 94690 ) L1M1_PR_MR
NEW met1 ( 190670 94690 ) M1M2_PR
NEW met2 ( 190670 95540 ) M2M3_PR_M ;
- la_data_out[17] ( PIN la_data_out[17] ) ( _011_ LO ) + USE SIGNAL
+ ROUTED met2 ( 87630 181730 ) ( * 196180 0 )
NEW li1 ( 87630 181730 ) L1M1_PR_MR
NEW met1 ( 87630 181730 ) M1M2_PR
NEW met1 ( 87630 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[18] ( PIN la_data_out[18] ) ( _012_ LO ) + USE SIGNAL
+ ROUTED met2 ( 127190 3740 0 ) ( * 17510 )
NEW li1 ( 127190 17510 ) L1M1_PR_MR
NEW met1 ( 127190 17510 ) M1M2_PR
NEW met1 ( 127190 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[19] ( PIN la_data_out[19] ) ( _013_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 181220 0 ) ( 14950 * )
NEW met1 ( 11730 175610 ) ( 14950 * )
NEW met2 ( 14950 175610 ) ( * 181220 )
NEW met2 ( 14950 181220 ) M2M3_PR_M
NEW li1 ( 11730 175610 ) L1M1_PR_MR
NEW met1 ( 14950 175610 ) M1M2_PR ;
- la_data_out[1] ( PIN la_data_out[1] ) ( _124_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 30260 ) ( * 33830 )
NEW met3 ( 190210 30260 ) ( 196420 * 0 )
NEW li1 ( 190210 33830 ) L1M1_PR_MR
NEW met1 ( 190210 33830 ) M1M2_PR
NEW met2 ( 190210 30260 ) M2M3_PR_M
NEW met1 ( 190210 33830 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[20] ( PIN la_data_out[20] ) ( _014_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 18020 0 ) ( 17710 * )
NEW met2 ( 17710 18020 ) ( * 19550 )
NEW met1 ( 17710 19550 ) ( 20930 * )
NEW met2 ( 17710 18020 ) M2M3_PR_M
NEW met1 ( 17710 19550 ) M1M2_PR
NEW li1 ( 20930 19550 ) L1M1_PR_MR ;
- la_data_out[21] ( PIN la_data_out[21] ) ( _015_ LO ) + USE SIGNAL
+ ROUTED met2 ( 66470 3740 0 ) ( * 14110 )
NEW li1 ( 66470 14110 ) L1M1_PR_MR
NEW met1 ( 66470 14110 ) M1M2_PR
NEW met1 ( 66470 14110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[22] ( PIN la_data_out[22] ) ( _016_ LO ) + USE SIGNAL
+ ROUTED met2 ( 65550 3740 0 ) ( * 14110 )
NEW met1 ( 63250 14110 ) ( 65550 * )
NEW met1 ( 65550 14110 ) M1M2_PR
NEW li1 ( 63250 14110 ) L1M1_PR_MR ;
- la_data_out[23] ( PIN la_data_out[23] ) ( _017_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 145690 ) ( * 145860 )
NEW met3 ( 190210 145860 ) ( 196420 * 0 )
NEW li1 ( 190210 145690 ) L1M1_PR_MR
NEW met1 ( 190210 145690 ) M1M2_PR
NEW met2 ( 190210 145860 ) M2M3_PR_M
NEW met1 ( 190210 145690 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[24] ( PIN la_data_out[24] ) ( _018_ LO ) + USE SIGNAL
+ ROUTED met1 ( 18630 181730 ) ( 20010 * )
NEW met2 ( 18630 181730 ) ( * 193460 )
NEW met3 ( 3220 193460 0 ) ( 18630 * )
NEW li1 ( 20010 181730 ) L1M1_PR_MR
NEW met1 ( 18630 181730 ) M1M2_PR
NEW met2 ( 18630 193460 ) M2M3_PR_M ;
- la_data_out[25] ( PIN la_data_out[25] ) ( _019_ LO ) + USE SIGNAL
+ ROUTED met2 ( 22310 3740 0 ) ( * 9180 )
NEW met2 ( 22310 9180 ) ( 23230 * )
NEW met2 ( 23230 9180 ) ( * 17510 )
NEW met1 ( 23230 17510 ) ( 23690 * )
NEW met1 ( 23230 17510 ) M1M2_PR
NEW li1 ( 23690 17510 ) L1M1_PR_MR ;
- la_data_out[26] ( PIN la_data_out[26] ) ( _020_ LO ) + USE SIGNAL
+ ROUTED met2 ( 188830 3740 0 ) ( * 14110 )
NEW met1 ( 180090 14110 ) ( 188830 * )
NEW met1 ( 188830 14110 ) M1M2_PR
NEW li1 ( 180090 14110 ) L1M1_PR_MR ;
- la_data_out[27] ( PIN la_data_out[27] ) ( _021_ LO ) + USE SIGNAL
+ ROUTED met2 ( 168590 3740 0 ) ( * 14110 )
NEW li1 ( 168590 14110 ) L1M1_PR_MR
NEW met1 ( 168590 14110 ) M1M2_PR
NEW met1 ( 168590 14110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[28] ( PIN la_data_out[28] ) ( _022_ LO ) + USE SIGNAL
+ ROUTED met2 ( 68310 3740 0 ) ( * 17510 )
NEW met1 ( 68310 17510 ) ( 71530 * )
NEW met1 ( 68310 17510 ) M1M2_PR
NEW li1 ( 71530 17510 ) L1M1_PR_MR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( _023_ LO ) + USE SIGNAL
+ ROUTED met2 ( 158470 3740 0 ) ( * 14110 )
NEW met1 ( 158470 14110 ) ( 161690 * )
NEW met1 ( 158470 14110 ) M1M2_PR
NEW li1 ( 161690 14110 ) L1M1_PR_MR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( _125_ LO ) + USE SIGNAL
+ ROUTED met2 ( 190210 123930 ) ( * 124100 )
NEW met3 ( 190210 124100 ) ( 196420 * 0 )
NEW li1 ( 190210 123930 ) L1M1_PR_MR
NEW met1 ( 190210 123930 ) M1M2_PR
NEW met2 ( 190210 124100 ) M2M3_PR_M
NEW met1 ( 190210 123930 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[30] ( PIN la_data_out[30] ) ( _024_ LO ) + USE SIGNAL
+ ROUTED met2 ( 128110 3740 0 ) ( * 12070 )
NEW li1 ( 128110 12070 ) L1M1_PR_MR
NEW met1 ( 128110 12070 ) M1M2_PR
NEW met1 ( 128110 12070 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[31] ( PIN la_data_out[31] ) ( _025_ LO ) + USE SIGNAL
+ ROUTED met2 ( 73830 181730 ) ( * 196180 0 )
NEW li1 ( 73830 181730 ) L1M1_PR_MR
NEW met1 ( 73830 181730 ) M1M2_PR
NEW met1 ( 73830 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[32] ( PIN la_data_out[32] ) ( _026_ LO ) + USE SIGNAL
+ ROUTED met2 ( 154790 3740 0 ) ( * 14110 )
NEW met1 ( 154790 14110 ) ( 157090 * )
NEW met1 ( 154790 14110 ) M1M2_PR
NEW li1 ( 157090 14110 ) L1M1_PR_MR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( _027_ LO ) + USE SIGNAL
+ ROUTED met2 ( 41630 3740 0 ) ( * 17510 )
NEW li1 ( 41630 17510 ) L1M1_PR_MR
NEW met1 ( 41630 17510 ) M1M2_PR
NEW met1 ( 41630 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[34] ( PIN la_data_out[34] ) ( _028_ LO ) + USE SIGNAL
+ ROUTED met1 ( 186990 22950 ) ( 195270 * )
NEW li1 ( 195270 510 ) ( * 22950 )
NEW met1 ( 194810 510 ) ( 195270 * )
NEW met2 ( 194810 340 ) ( * 510 )
NEW met3 ( 194810 340 ) ( 196420 * 0 )
NEW li1 ( 186990 22950 ) L1M1_PR_MR
NEW li1 ( 195270 22950 ) L1M1_PR_MR
NEW li1 ( 195270 510 ) L1M1_PR_MR
NEW met1 ( 194810 510 ) M1M2_PR
NEW met2 ( 194810 340 ) M2M3_PR_M ;
- la_data_out[35] ( PIN la_data_out[35] ) ( _029_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11730 74970 ) ( * 75140 )
NEW met3 ( 3220 75140 0 ) ( 11730 * )
NEW li1 ( 11730 74970 ) L1M1_PR_MR
NEW met1 ( 11730 74970 ) M1M2_PR
NEW met2 ( 11730 75140 ) M2M3_PR_M
NEW met1 ( 11730 74970 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[36] ( PIN la_data_out[36] ) ( _030_ LO ) + USE SIGNAL
+ ROUTED met2 ( 187910 3740 0 ) ( * 19550 )
NEW met1 ( 183770 19550 ) ( 187910 * )
NEW met1 ( 187910 19550 ) M1M2_PR
NEW li1 ( 183770 19550 ) L1M1_PR_MR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( _031_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 66980 0 ) ( 11730 * )
NEW met2 ( 11730 66810 ) ( * 66980 )
NEW met2 ( 11730 66980 ) M2M3_PR_M
NEW li1 ( 11730 66810 ) L1M1_PR_MR
NEW met1 ( 11730 66810 ) M1M2_PR
NEW met1 ( 11730 66810 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[38] ( PIN la_data_out[38] ) ( _032_ LO ) + USE SIGNAL
+ ROUTED met1 ( 96830 183770 ) ( 97290 * )
NEW met2 ( 96830 183770 ) ( * 196180 0 )
NEW li1 ( 97290 183770 ) L1M1_PR_MR
NEW met1 ( 96830 183770 ) M1M2_PR ;
- la_data_out[39] ( PIN la_data_out[39] ) ( _033_ LO ) + USE SIGNAL
+ ROUTED met2 ( 153870 183770 ) ( * 196180 0 )
NEW li1 ( 153870 183770 ) L1M1_PR_MR
NEW met1 ( 153870 183770 ) M1M2_PR
NEW met1 ( 153870 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[3] ( PIN la_data_out[3] ) ( _126_ LO ) + USE SIGNAL
+ ROUTED met1 ( 106490 183770 ) ( 108790 * )
NEW met2 ( 108790 183770 ) ( * 196180 0 )
NEW li1 ( 106490 183770 ) L1M1_PR_MR
NEW met1 ( 108790 183770 ) M1M2_PR ;
- la_data_out[40] ( PIN la_data_out[40] ) ( _034_ LO ) + USE SIGNAL
+ ROUTED met2 ( 14950 3740 0 ) ( * 4420 )
NEW met2 ( 14950 4420 ) ( 15410 * )
NEW met2 ( 15410 4420 ) ( * 20060 )
NEW met2 ( 15410 20060 ) ( 16790 * )
NEW met2 ( 16790 20060 ) ( * 20570 )
NEW met1 ( 16790 20570 ) ( 24150 * )
NEW met1 ( 16790 20570 ) M1M2_PR
NEW li1 ( 24150 20570 ) L1M1_PR_MR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( _035_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 45730 ) ( * 46580 )
NEW met3 ( 3220 46580 0 ) ( 10350 * )
NEW li1 ( 10350 45730 ) L1M1_PR_MR
NEW met1 ( 10350 45730 ) M1M2_PR
NEW met2 ( 10350 46580 ) M2M3_PR_M
NEW met1 ( 10350 45730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[42] ( PIN la_data_out[42] ) ( _036_ LO ) + USE SIGNAL
+ ROUTED met1 ( 175030 178330 ) ( 176870 * )
NEW met2 ( 176870 178330 ) ( * 188700 )
NEW met2 ( 176410 188700 ) ( 176870 * )
NEW met2 ( 176410 188700 ) ( * 196180 )
NEW met2 ( 175490 196180 ) ( 176410 * )
NEW met2 ( 175490 195500 ) ( * 196180 )
NEW met2 ( 175030 195500 ) ( 175490 * )
NEW met2 ( 175030 195500 ) ( * 196180 0 )
NEW li1 ( 175030 178330 ) L1M1_PR_MR
NEW met1 ( 176870 178330 ) M1M2_PR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( _037_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 24820 0 ) ( 10350 * )
NEW met2 ( 10350 24820 ) ( * 24990 )
NEW met2 ( 10350 24820 ) M2M3_PR_M
NEW li1 ( 10350 24990 ) L1M1_PR_MR
NEW met1 ( 10350 24990 ) M1M2_PR
NEW met1 ( 10350 24990 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[44] ( PIN la_data_out[44] ) ( _038_ LO ) + USE SIGNAL
+ ROUTED met1 ( 109710 183770 ) ( 110170 * )
NEW met2 ( 109710 183770 ) ( * 196180 0 )
NEW li1 ( 110170 183770 ) L1M1_PR_MR
NEW met1 ( 109710 183770 ) M1M2_PR ;
- la_data_out[45] ( PIN la_data_out[45] ) ( _039_ LO ) + USE SIGNAL
+ ROUTED met1 ( 183770 178330 ) ( 185150 * )
NEW met2 ( 185150 178330 ) ( * 182580 )
NEW met3 ( 185150 182580 ) ( 196420 * 0 )
NEW li1 ( 183770 178330 ) L1M1_PR_MR
NEW met1 ( 185150 178330 ) M1M2_PR
NEW met2 ( 185150 182580 ) M2M3_PR_M ;
- la_data_out[46] ( PIN la_data_out[46] ) ( _040_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11270 3740 0 ) ( * 24990 )
NEW met1 ( 11270 24990 ) ( 13570 * )
NEW met1 ( 11270 24990 ) M1M2_PR
NEW li1 ( 13570 24990 ) L1M1_PR_MR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( _041_ LO ) + USE SIGNAL
+ ROUTED met2 ( 183770 175950 ) ( * 179860 )
NEW met3 ( 183770 179860 ) ( 196420 * 0 )
NEW li1 ( 183770 175950 ) L1M1_PR_MR
NEW met1 ( 183770 175950 ) M1M2_PR
NEW met2 ( 183770 179860 ) M2M3_PR_M
NEW met1 ( 183770 175950 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[48] ( PIN la_data_out[48] ) ( _042_ LO ) + USE SIGNAL
+ ROUTED met2 ( 88550 3740 0 ) ( * 14110 )
NEW li1 ( 88550 14110 ) L1M1_PR_MR
NEW met1 ( 88550 14110 ) M1M2_PR
NEW met1 ( 88550 14110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[49] ( PIN la_data_out[49] ) ( _043_ LO ) + USE SIGNAL
+ ROUTED met2 ( 110630 181730 ) ( * 196180 0 )
NEW li1 ( 110630 181730 ) L1M1_PR_MR
NEW met1 ( 110630 181730 ) M1M2_PR
NEW met1 ( 110630 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[4] ( PIN la_data_out[4] ) ( _127_ LO ) + USE SIGNAL
+ ROUTED met2 ( 94070 3740 0 ) ( * 14110 )
NEW met1 ( 92230 14110 ) ( 94070 * )
NEW met1 ( 94070 14110 ) M1M2_PR
NEW li1 ( 92230 14110 ) L1M1_PR_MR ;
- la_data_out[50] ( PIN la_data_out[50] ) ( _044_ LO ) + USE SIGNAL
+ ROUTED met2 ( 103270 183770 ) ( * 196180 0 )
NEW li1 ( 103270 183770 ) L1M1_PR_MR
NEW met1 ( 103270 183770 ) M1M2_PR
NEW met1 ( 103270 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[51] ( PIN la_data_out[51] ) ( _045_ LO ) + USE SIGNAL
+ ROUTED met2 ( 83950 181730 ) ( * 196180 0 )
NEW li1 ( 83950 181730 ) L1M1_PR_MR
NEW met1 ( 83950 181730 ) M1M2_PR
NEW met1 ( 83950 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[52] ( PIN la_data_out[52] ) ( _046_ LO ) + USE SIGNAL
+ ROUTED met1 ( 190210 29410 ) ( 191590 * )
NEW met2 ( 191590 29410 ) ( * 31620 )
NEW met3 ( 191590 31620 ) ( 196420 * 0 )
NEW li1 ( 190210 29410 ) L1M1_PR_MR
NEW met1 ( 191590 29410 ) M1M2_PR
NEW met2 ( 191590 31620 ) M2M3_PR_M ;
- la_data_out[53] ( PIN la_data_out[53] ) ( _047_ LO ) + USE SIGNAL
+ ROUTED met2 ( 80270 3740 0 ) ( * 14110 )
NEW li1 ( 80270 14110 ) L1M1_PR_MR
NEW met1 ( 80270 14110 ) M1M2_PR
NEW met1 ( 80270 14110 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[54] ( PIN la_data_out[54] ) ( _048_ LO ) + USE SIGNAL
+ ROUTED met2 ( 79350 3740 0 ) ( * 17510 )
NEW li1 ( 79350 17510 ) L1M1_PR_MR
NEW met1 ( 79350 17510 ) M1M2_PR
NEW met1 ( 79350 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[55] ( PIN la_data_out[55] ) ( _049_ LO ) + USE SIGNAL
+ ROUTED met1 ( 190210 159970 ) ( 190670 * )
NEW met2 ( 190670 159970 ) ( * 163540 )
NEW met3 ( 190670 163540 ) ( 196420 * 0 )
NEW li1 ( 190210 159970 ) L1M1_PR_MR
NEW met1 ( 190670 159970 ) M1M2_PR
NEW met2 ( 190670 163540 ) M2M3_PR_M ;
- la_data_out[56] ( PIN la_data_out[56] ) ( _050_ LO ) + USE SIGNAL
+ ROUTED met1 ( 183770 172210 ) ( 196190 * )
NEW met2 ( 196190 172210 ) ( * 196180 0 )
NEW li1 ( 183770 172210 ) L1M1_PR_MR
NEW met1 ( 196190 172210 ) M1M2_PR ;
- la_data_out[57] ( PIN la_data_out[57] ) ( _051_ LO ) + USE SIGNAL
+ ROUTED met2 ( 184230 170850 ) ( * 171700 )
NEW met3 ( 184230 171700 ) ( 196420 * 0 )
NEW li1 ( 184230 170850 ) L1M1_PR_MR
NEW met1 ( 184230 170850 ) M1M2_PR
NEW met2 ( 184230 171700 ) M2M3_PR_M
NEW met1 ( 184230 170850 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[58] ( PIN la_data_out[58] ) ( _052_ LO ) + USE SIGNAL
+ ROUTED met2 ( 20470 3740 0 ) ( * 22950 )
NEW li1 ( 20470 22950 ) L1M1_PR_MR
NEW met1 ( 20470 22950 ) M1M2_PR
NEW met1 ( 20470 22950 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[59] ( PIN la_data_out[59] ) ( _053_ LO ) + USE SIGNAL
+ ROUTED met2 ( 118910 3740 0 ) ( * 17510 )
NEW li1 ( 118910 17510 ) L1M1_PR_MR
NEW met1 ( 118910 17510 ) M1M2_PR
NEW met1 ( 118910 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[5] ( PIN la_data_out[5] ) ( _128_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11730 137870 ) ( * 139060 )
NEW met3 ( 3220 139060 0 ) ( 11730 * )
NEW li1 ( 11730 137870 ) L1M1_PR_MR
NEW met1 ( 11730 137870 ) M1M2_PR
NEW met2 ( 11730 139060 ) M2M3_PR_M
NEW met1 ( 11730 137870 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[60] ( PIN la_data_out[60] ) ( _054_ LO ) + USE SIGNAL
+ ROUTED met2 ( 186990 45730 ) ( * 46580 )
NEW met3 ( 186990 46580 ) ( 196420 * 0 )
NEW li1 ( 186990 45730 ) L1M1_PR_MR
NEW met1 ( 186990 45730 ) M1M2_PR
NEW met2 ( 186990 46580 ) M2M3_PR_M
NEW met1 ( 186990 45730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[61] ( PIN la_data_out[61] ) ( _055_ LO ) + USE SIGNAL
+ ROUTED met2 ( 184230 3740 0 ) ( * 19890 )
NEW met1 ( 180550 19890 ) ( 184230 * )
NEW met1 ( 184230 19890 ) M1M2_PR
NEW li1 ( 180550 19890 ) L1M1_PR_MR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( _056_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 170340 0 ) ( 14490 * )
NEW met2 ( 14490 170170 ) ( * 170340 )
NEW met2 ( 14490 170340 ) M2M3_PR_M
NEW li1 ( 14490 170170 ) L1M1_PR_MR
NEW met1 ( 14490 170170 ) M1M2_PR
NEW met1 ( 14490 170170 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[63] ( PIN la_data_out[63] ) ( _057_ LO ) + USE SIGNAL
+ ROUTED met2 ( 72910 3740 0 ) ( * 17510 )
NEW met1 ( 72910 17510 ) ( 74750 * )
NEW met1 ( 72910 17510 ) M1M2_PR
NEW li1 ( 74750 17510 ) L1M1_PR_MR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( _058_ LO ) + USE SIGNAL
+ ROUTED met1 ( 58650 183770 ) ( * 184110 )
NEW met1 ( 52210 184110 ) ( 58650 * )
NEW met2 ( 52210 184110 ) ( * 186660 )
NEW met2 ( 51750 186660 ) ( 52210 * )
NEW met2 ( 51750 186660 ) ( * 196180 0 )
NEW li1 ( 58650 183770 ) L1M1_PR_MR
NEW met1 ( 52210 184110 ) M1M2_PR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( _059_ LO ) + USE SIGNAL
+ ROUTED met2 ( 14490 134810 ) ( * 136340 )
NEW met3 ( 3220 136340 0 ) ( 14490 * )
NEW li1 ( 14490 134810 ) L1M1_PR_MR
NEW met1 ( 14490 134810 ) M1M2_PR
NEW met2 ( 14490 136340 ) M2M3_PR_M
NEW met1 ( 14490 134810 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[66] ( PIN la_data_out[66] ) ( _060_ LO ) + USE SIGNAL
+ ROUTED met2 ( 180550 172210 ) ( * 174420 )
NEW met3 ( 180550 174420 ) ( 196420 * 0 )
NEW li1 ( 180550 172210 ) L1M1_PR_MR
NEW met1 ( 180550 172210 ) M1M2_PR
NEW met2 ( 180550 174420 ) M2M3_PR_M
NEW met1 ( 180550 172210 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[67] ( PIN la_data_out[67] ) ( _061_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 111860 0 ) ( 14030 * )
NEW met2 ( 14030 111860 ) ( * 112030 )
NEW met1 ( 13570 112030 ) ( 14030 * )
NEW met2 ( 14030 111860 ) M2M3_PR_M
NEW met1 ( 14030 112030 ) M1M2_PR
NEW li1 ( 13570 112030 ) L1M1_PR_MR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( _062_ LO ) + USE SIGNAL
+ ROUTED met2 ( 15870 184450 ) ( 16330 * )
NEW met2 ( 15870 184450 ) ( * 196180 0 )
NEW met1 ( 16330 178330 ) ( 18630 * )
NEW met2 ( 16330 178330 ) ( * 184450 )
NEW li1 ( 18630 178330 ) L1M1_PR_MR
NEW met1 ( 16330 178330 ) M1M2_PR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( _063_ LO ) + USE SIGNAL
+ ROUTED met1 ( 133630 183770 ) ( 135930 * )
NEW met2 ( 133630 183770 ) ( * 196180 0 )
NEW li1 ( 135930 183770 ) L1M1_PR_MR
NEW met1 ( 133630 183770 ) M1M2_PR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( _000_ LO ) + USE SIGNAL
+ ROUTED met2 ( 40710 3740 0 ) ( * 14450 )
NEW met1 ( 40710 14450 ) ( 44850 * )
NEW met1 ( 40710 14450 ) M1M2_PR
NEW li1 ( 44850 14450 ) L1M1_PR_MR ;
- la_data_out[70] ( PIN la_data_out[70] ) ( _064_ LO ) + USE SIGNAL
+ ROUTED met2 ( 137310 181730 ) ( * 196180 0 )
NEW li1 ( 137310 181730 ) L1M1_PR_MR
NEW met1 ( 137310 181730 ) M1M2_PR
NEW met1 ( 137310 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[71] ( PIN la_data_out[71] ) ( _065_ LO ) + USE SIGNAL
+ ROUTED met2 ( 75670 3740 0 ) ( * 14450 )
NEW met1 ( 75670 14450 ) ( 84410 * )
NEW li1 ( 84410 14450 ) L1M1_PR_MR
NEW met1 ( 75670 14450 ) M1M2_PR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( _066_ LO ) + USE SIGNAL
+ ROUTED met1 ( 9430 129370 ) ( 10350 * )
NEW met2 ( 9430 129370 ) ( * 129540 )
NEW met3 ( 3220 129540 0 ) ( 9430 * )
NEW li1 ( 10350 129370 ) L1M1_PR_MR
NEW met1 ( 9430 129370 ) M1M2_PR
NEW met2 ( 9430 129540 ) M2M3_PR_M ;
- la_data_out[73] ( PIN la_data_out[73] ) ( _067_ LO ) + USE SIGNAL
+ ROUTED met1 ( 13570 162010 ) ( 14030 * )
NEW met2 ( 14030 162010 ) ( * 162180 )
NEW met3 ( 3220 162180 0 ) ( 14030 * )
NEW li1 ( 13570 162010 ) L1M1_PR_MR
NEW met1 ( 14030 162010 ) M1M2_PR
NEW met2 ( 14030 162180 ) M2M3_PR_M ;
- la_data_out[74] ( PIN la_data_out[74] ) ( _068_ LO ) + USE SIGNAL
+ ROUTED met2 ( 185150 3740 0 ) ( * 18530 )
NEW met1 ( 177790 18530 ) ( 185150 * )
NEW met1 ( 185150 18530 ) M1M2_PR
NEW li1 ( 177790 18530 ) L1M1_PR_MR ;
- la_data_out[75] ( PIN la_data_out[75] ) ( _069_ LO ) + USE SIGNAL
+ ROUTED met2 ( 177790 3740 0 ) ( * 19550 )
NEW met1 ( 177330 19550 ) ( 177790 * )
NEW met1 ( 177790 19550 ) M1M2_PR
NEW li1 ( 177330 19550 ) L1M1_PR_MR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( _070_ LO ) + USE SIGNAL
+ ROUTED met2 ( 124430 181730 ) ( * 196180 0 )
NEW li1 ( 124430 181730 ) L1M1_PR_MR
NEW met1 ( 124430 181730 ) M1M2_PR
NEW met1 ( 124430 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[77] ( PIN la_data_out[77] ) ( _071_ LO ) + USE SIGNAL
+ ROUTED met2 ( 1150 3740 0 ) ( * 14450 )
NEW met1 ( 1150 14450 ) ( 11730 * )
NEW met2 ( 11730 14450 ) ( * 28390 )
NEW met1 ( 1150 14450 ) M1M2_PR
NEW met1 ( 11730 14450 ) M1M2_PR
NEW li1 ( 11730 28390 ) L1M1_PR_MR
NEW met1 ( 11730 28390 ) M1M2_PR
NEW met1 ( 11730 28390 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[78] ( PIN la_data_out[78] ) ( _072_ LO ) + USE SIGNAL
+ ROUTED met2 ( 107870 3740 0 ) ( * 17510 )
NEW met1 ( 107870 17510 ) ( 110630 * )
NEW met1 ( 107870 17510 ) M1M2_PR
NEW li1 ( 110630 17510 ) L1M1_PR_MR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( _073_ LO ) + USE SIGNAL
+ ROUTED met1 ( 29210 183770 ) ( 31510 * )
NEW met2 ( 31510 183770 ) ( * 196180 0 )
NEW li1 ( 29210 183770 ) L1M1_PR_MR
NEW met1 ( 31510 183770 ) M1M2_PR ;
- la_data_out[7] ( PIN la_data_out[7] ) ( _001_ LO ) + USE SIGNAL
+ ROUTED met2 ( 124430 3740 0 ) ( * 7140 )
NEW met2 ( 124430 7140 ) ( 124890 * )
NEW met2 ( 124890 7140 ) ( * 14790 )
NEW met1 ( 124890 14790 ) ( 128570 * )
NEW met1 ( 124890 14790 ) M1M2_PR
NEW li1 ( 128570 14790 ) L1M1_PR_MR ;
- la_data_out[80] ( PIN la_data_out[80] ) ( _074_ LO ) + USE SIGNAL
+ ROUTED met1 ( 66010 183770 ) ( 66470 * )
NEW met2 ( 66470 183770 ) ( * 196180 0 )
NEW li1 ( 66010 183770 ) L1M1_PR_MR
NEW met1 ( 66470 183770 ) M1M2_PR ;
- la_data_out[81] ( PIN la_data_out[81] ) ( _075_ LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 50660 0 ) ( 11730 * )
NEW met2 ( 11730 50490 ) ( * 50660 )
NEW met2 ( 11730 50660 ) M2M3_PR_M
NEW li1 ( 11730 50490 ) L1M1_PR_MR
NEW met1 ( 11730 50490 ) M1M2_PR
NEW met1 ( 11730 50490 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[82] ( PIN la_data_out[82] ) ( _076_ LO ) + USE SIGNAL
+ ROUTED met1 ( 69230 178330 ) ( 71530 * )
NEW met2 ( 69230 178330 ) ( * 196180 0 )
NEW li1 ( 71530 178330 ) L1M1_PR_MR
NEW met1 ( 69230 178330 ) M1M2_PR ;
- la_data_out[83] ( PIN la_data_out[83] ) ( _077_ LO ) + USE SIGNAL
+ ROUTED met2 ( 119830 183770 ) ( * 196180 0 )
NEW li1 ( 119830 183770 ) L1M1_PR_MR
NEW met1 ( 119830 183770 ) M1M2_PR
NEW met1 ( 119830 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[84] ( PIN la_data_out[84] ) ( _078_ LO ) + USE SIGNAL
+ ROUTED met2 ( 131790 183770 ) ( * 196180 0 )
NEW li1 ( 131790 183770 ) L1M1_PR_MR
NEW met1 ( 131790 183770 ) M1M2_PR
NEW met1 ( 131790 183770 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[85] ( PIN la_data_out[85] ) ( _079_ LO ) + USE SIGNAL
+ ROUTED met2 ( 98670 3740 0 ) ( * 9010 )
NEW met1 ( 96830 9010 ) ( 98670 * )
NEW met2 ( 96830 9010 ) ( * 17510 )
NEW met1 ( 96830 17510 ) ( 98670 * )
NEW met1 ( 98670 9010 ) M1M2_PR
NEW met1 ( 96830 9010 ) M1M2_PR
NEW met1 ( 96830 17510 ) M1M2_PR
NEW li1 ( 98670 17510 ) L1M1_PR_MR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( _080_ LO ) + USE SIGNAL
+ ROUTED met1 ( 149270 183770 ) ( 150650 * )
NEW met2 ( 149270 183770 ) ( * 196180 0 )
NEW li1 ( 150650 183770 ) L1M1_PR_MR
NEW met1 ( 149270 183770 ) M1M2_PR ;
- la_data_out[87] ( PIN la_data_out[87] ) ( _081_ LO ) + USE SIGNAL
+ ROUTED met2 ( 10350 91290 ) ( * 92820 )
NEW met3 ( 3220 92820 0 ) ( 10350 * )
NEW li1 ( 10350 91290 ) L1M1_PR_MR
NEW met1 ( 10350 91290 ) M1M2_PR
NEW met2 ( 10350 92820 ) M2M3_PR_M
NEW met1 ( 10350 91290 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[88] ( PIN la_data_out[88] ) ( _082_ LO ) + USE SIGNAL
+ ROUTED met2 ( 116150 3740 0 ) ( * 17510 )
NEW met1 ( 115690 17510 ) ( 116150 * )
NEW met1 ( 116150 17510 ) M1M2_PR
NEW li1 ( 115690 17510 ) L1M1_PR_MR ;
- la_data_out[89] ( PIN la_data_out[89] ) ( _083_ LO ) + USE SIGNAL
+ ROUTED met2 ( 130870 3740 0 ) ( * 17510 )
NEW li1 ( 130870 17510 ) L1M1_PR_MR
NEW met1 ( 130870 17510 ) M1M2_PR
NEW met1 ( 130870 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[8] ( PIN la_data_out[8] ) ( _002_ LO ) + USE SIGNAL
+ ROUTED met1 ( 186990 175950 ) ( 196190 * )
NEW li1 ( 196190 175950 ) ( * 193290 )
NEW met1 ( 194810 193290 ) ( 196190 * )
NEW met2 ( 194810 193290 ) ( * 193460 )
NEW met3 ( 194810 193460 ) ( 196420 * 0 )
NEW li1 ( 186990 175950 ) L1M1_PR_MR
NEW li1 ( 196190 175950 ) L1M1_PR_MR
NEW li1 ( 196190 193290 ) L1M1_PR_MR
NEW met1 ( 194810 193290 ) M1M2_PR
NEW met2 ( 194810 193460 ) M2M3_PR_M ;
- la_data_out[90] ( PIN la_data_out[90] ) ( _084_ LO ) + USE SIGNAL
+ ROUTED met2 ( 44390 3740 0 ) ( * 14110 )
NEW met1 ( 44390 14110 ) ( 48070 * )
NEW met1 ( 44390 14110 ) M1M2_PR
NEW li1 ( 48070 14110 ) L1M1_PR_MR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( _085_ LO ) + USE SIGNAL
+ ROUTED met1 ( 11730 172210 ) ( 14030 * )
NEW met2 ( 14030 172210 ) ( * 177140 )
NEW met3 ( 3220 177140 0 ) ( 14030 * )
NEW li1 ( 11730 172210 ) L1M1_PR_MR
NEW met1 ( 14030 172210 ) M1M2_PR
NEW met2 ( 14030 177140 ) M2M3_PR_M ;
- la_data_out[92] ( PIN la_data_out[92] ) ( _086_ LO ) + USE SIGNAL
+ ROUTED met2 ( 11730 96390 ) ( * 96900 )
NEW met3 ( 3220 96900 0 ) ( 11730 * )
NEW li1 ( 11730 96390 ) L1M1_PR_MR
NEW met1 ( 11730 96390 ) M1M2_PR
NEW met2 ( 11730 96900 ) M2M3_PR_M
NEW met1 ( 11730 96390 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[93] ( PIN la_data_out[93] ) ( _087_ LO ) + USE SIGNAL
+ ROUTED met2 ( 14030 188020 ) ( 14490 * )
NEW met2 ( 14030 188020 ) ( * 196180 0 )
NEW met1 ( 14490 176290 ) ( 14950 * )
NEW met2 ( 14490 176290 ) ( * 188020 )
NEW li1 ( 14950 176290 ) L1M1_PR_MR
NEW met1 ( 14490 176290 ) M1M2_PR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( _088_ LO ) + USE SIGNAL
+ ROUTED met1 ( 178250 175950 ) ( 180550 * )
NEW met2 ( 178250 175950 ) ( * 194820 )
NEW met3 ( 178250 194820 ) ( 196420 * 0 )
NEW li1 ( 180550 175950 ) L1M1_PR_MR
NEW met1 ( 178250 175950 ) M1M2_PR
NEW met2 ( 178250 194820 ) M2M3_PR_M ;
- la_data_out[95] ( PIN la_data_out[95] ) ( _089_ LO ) + USE SIGNAL
+ ROUTED met1 ( 97290 181730 ) ( 98670 * )
NEW met2 ( 97290 181730 ) ( * 196180 )
NEW met2 ( 97290 196180 ) ( 98210 * )
NEW met2 ( 98210 195500 ) ( * 196180 )
NEW met2 ( 98210 195500 ) ( 98670 * )
NEW met2 ( 98670 195500 ) ( * 196180 0 )
NEW li1 ( 98670 181730 ) L1M1_PR_MR
NEW met1 ( 97290 181730 ) M1M2_PR ;
- la_data_out[96] ( PIN la_data_out[96] ) ( _090_ LO ) + USE SIGNAL
+ ROUTED met2 ( 156630 3740 0 ) ( * 17510 )
NEW li1 ( 156630 17510 ) L1M1_PR_MR
NEW met1 ( 156630 17510 ) M1M2_PR
NEW met1 ( 156630 17510 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[97] ( PIN la_data_out[97] ) ( _091_ LO ) + USE SIGNAL
+ ROUTED met2 ( 28750 181730 ) ( * 196180 0 )
NEW li1 ( 28750 181730 ) L1M1_PR_MR
NEW met1 ( 28750 181730 ) M1M2_PR
NEW met1 ( 28750 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[98] ( PIN la_data_out[98] ) ( _092_ LO ) + USE SIGNAL
+ ROUTED met2 ( 33350 181730 ) ( * 196180 0 )
NEW li1 ( 33350 181730 ) L1M1_PR_MR
NEW met1 ( 33350 181730 ) M1M2_PR
NEW met1 ( 33350 181730 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[99] ( PIN la_data_out[99] ) ( _093_ LO ) + USE SIGNAL
+ ROUTED met1 ( 74750 181730 ) ( 77050 * )
NEW met2 ( 74750 181730 ) ( * 196180 0 )
NEW li1 ( 77050 181730 ) L1M1_PR_MR
NEW met1 ( 74750 181730 ) M1M2_PR ;
- la_data_out[9] ( PIN la_data_out[9] ) ( _003_ LO ) + USE SIGNAL
+ ROUTED met2 ( 174110 3740 0 ) ( * 17510 )
NEW met1 ( 174110 17510 ) ( 174570 * )
NEW met1 ( 174110 17510 ) M1M2_PR
NEW li1 ( 174570 17510 ) L1M1_PR_MR ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) ( input89 A ) + USE SIGNAL
+ ROUTED met1 ( 37030 183770 ) ( 37490 * )
NEW met2 ( 37030 183770 ) ( * 196180 0 )
NEW li1 ( 37490 183770 ) L1M1_PR_MR
NEW met1 ( 37030 183770 ) M1M2_PR ;
- la_oenb[97] ( PIN la_oenb[97] ) ( input90 A ) + USE SIGNAL
+ ROUTED met1 ( 52670 183770 ) ( 53130 * )
NEW met2 ( 52670 183770 ) ( * 196180 0 )
NEW li1 ( 53130 183770 ) L1M1_PR_MR
NEW met1 ( 52670 183770 ) M1M2_PR ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- net1 ( input1 X ) ( _129_ A ) + USE SIGNAL
+ ROUTED met1 ( 33350 186150 ) ( 34500 * )
NEW met1 ( 34500 185810 ) ( * 186150 )
NEW met2 ( 81650 181390 ) ( * 185810 )
NEW met1 ( 81650 181390 ) ( 91770 * )
NEW met1 ( 91770 180710 ) ( * 181390 )
NEW met1 ( 91770 180710 ) ( 101890 * )
NEW met1 ( 34500 185810 ) ( 81650 * )
NEW li1 ( 33350 186150 ) L1M1_PR_MR
NEW met1 ( 81650 185810 ) M1M2_PR
NEW met1 ( 81650 181390 ) M1M2_PR
NEW li1 ( 101890 180710 ) L1M1_PR_MR ;
- net10 ( input10 X ) ( _147_ A ) + USE SIGNAL
+ ROUTED met1 ( 72910 179010 ) ( 73830 * )
NEW met2 ( 72910 179010 ) ( * 183770 )
NEW met1 ( 68770 183770 ) ( 72910 * )
NEW li1 ( 73830 179010 ) L1M1_PR_MR
NEW met1 ( 72910 179010 ) M1M2_PR
NEW met1 ( 72910 183770 ) M1M2_PR
NEW li1 ( 68770 183770 ) L1M1_PR_MR ;
- net100 ( input100 X ) ( _234_ A ) + USE SIGNAL
+ ROUTED met1 ( 103270 52190 ) ( 190210 * )
NEW met1 ( 99590 66130 ) ( 103270 * )
NEW met2 ( 103270 52190 ) ( * 66130 )
NEW met1 ( 103270 52190 ) M1M2_PR
NEW li1 ( 190210 52190 ) L1M1_PR_MR
NEW met1 ( 103270 66130 ) M1M2_PR
NEW li1 ( 99590 66130 ) L1M1_PR_MR ;
- net101 ( input101 X ) ( _235_ A ) + USE SIGNAL
+ ROUTED met1 ( 97750 22610 ) ( * 22950 )
NEW met2 ( 173190 15130 ) ( * 22610 )
NEW met1 ( 173190 15130 ) ( 174110 * )
NEW met1 ( 97750 22610 ) ( 173190 * )
NEW li1 ( 97750 22950 ) L1M1_PR_MR
NEW met1 ( 173190 22610 ) M1M2_PR
NEW met1 ( 173190 15130 ) M1M2_PR
NEW li1 ( 174110 15130 ) L1M1_PR_MR ;
- net102 ( input102 X ) ( _217_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 35870 ) ( 10350 * )
NEW met2 ( 9890 33830 ) ( * 35870 )
NEW li1 ( 9890 33830 ) L1M1_PR_MR
NEW met1 ( 9890 33830 ) M1M2_PR
NEW met1 ( 9890 35870 ) M1M2_PR
NEW li1 ( 10350 35870 ) L1M1_PR_MR
NEW met1 ( 9890 33830 ) RECT ( -355 -70 0 70 ) ;
- net103 ( input103 X ) ( _236_ A ) + USE SIGNAL
+ ROUTED met2 ( 165370 175270 ) ( * 180030 )
NEW met1 ( 117070 175270 ) ( 165370 * )
NEW li1 ( 117070 175270 ) L1M1_PR_MR
NEW met1 ( 165370 175270 ) M1M2_PR
NEW li1 ( 165370 180030 ) L1M1_PR_MR
NEW met1 ( 165370 180030 ) M1M2_PR
NEW met1 ( 165370 180030 ) RECT ( -355 -70 0 70 ) ;
- net104 ( input104 X ) ( _237_ A ) + USE SIGNAL
+ ROUTED met1 ( 10350 19890 ) ( 34500 * )
NEW met1 ( 34500 19550 ) ( * 19890 )
NEW met1 ( 34500 19550 ) ( 73370 * )
NEW met2 ( 73370 19550 ) ( * 98770 )
NEW li1 ( 10350 19890 ) L1M1_PR_MR
NEW met1 ( 73370 19550 ) M1M2_PR
NEW li1 ( 73370 98770 ) L1M1_PR_MR
NEW met1 ( 73370 98770 ) M1M2_PR
NEW met1 ( 73370 98770 ) RECT ( -355 -70 0 70 ) ;
- net105 ( input105 X ) ( _238_ A ) + USE SIGNAL
+ ROUTED met1 ( 122590 16830 ) ( * 17170 )
NEW met1 ( 105570 17170 ) ( 122590 * )
NEW met1 ( 105570 17170 ) ( * 17510 )
NEW met1 ( 103270 17510 ) ( 105570 * )
NEW met2 ( 103270 17510 ) ( * 20570 )
NEW met1 ( 94070 20570 ) ( 103270 * )
NEW met1 ( 122590 16830 ) ( 144210 * )
NEW met1 ( 103270 17510 ) M1M2_PR
NEW met1 ( 103270 20570 ) M1M2_PR
NEW li1 ( 94070 20570 ) L1M1_PR_MR
NEW li1 ( 144210 16830 ) L1M1_PR_MR ;
- net106 ( input106 X ) ( _239_ A ) + USE SIGNAL
+ ROUTED met1 ( 150190 32130 ) ( 190210 * )
NEW met2 ( 150190 32130 ) ( * 98770 )
NEW li1 ( 190210 32130 ) L1M1_PR_MR
NEW met1 ( 150190 32130 ) M1M2_PR
NEW li1 ( 150190 98770 ) L1M1_PR_MR
NEW met1 ( 150190 98770 ) M1M2_PR
NEW met1 ( 150190 98770 ) RECT ( -355 -70 0 70 ) ;
- net107 ( input107 X ) ( _240_ A ) + USE SIGNAL
+ ROUTED met1 ( 113390 99110 ) ( * 99450 )
NEW met2 ( 24610 99110 ) ( * 185470 )
NEW met1 ( 24610 99110 ) ( 62100 * )
NEW met1 ( 62100 98430 ) ( * 99110 )
NEW met1 ( 62100 98430 ) ( 76590 * )
NEW met1 ( 76590 98430 ) ( * 99450 )
NEW met1 ( 76590 99450 ) ( 113390 * )
NEW met1 ( 24610 99110 ) M1M2_PR
NEW li1 ( 24610 185470 ) L1M1_PR_MR
NEW met1 ( 24610 185470 ) M1M2_PR
NEW li1 ( 113390 99110 ) L1M1_PR_MR
NEW met1 ( 24610 185470 ) RECT ( -355 -70 0 70 ) ;
- net108 ( input108 X ) ( _241_ A ) + USE SIGNAL
+ ROUTED met1 ( 92690 14790 ) ( 96370 * )
NEW met1 ( 96370 14790 ) ( * 15130 )
NEW met1 ( 96370 15130 ) ( 99590 * )
NEW met2 ( 92690 14790 ) ( * 93330 )
NEW met1 ( 92690 14790 ) M1M2_PR
NEW li1 ( 99590 15130 ) L1M1_PR_MR
NEW li1 ( 92690 93330 ) L1M1_PR_MR
NEW met1 ( 92690 93330 ) M1M2_PR
NEW met1 ( 92690 93330 ) RECT ( -355 -70 0 70 ) ;
- net109 ( input109 X ) ( _242_ A ) + USE SIGNAL
+ ROUTED met1 ( 22310 12750 ) ( 24150 * )
NEW met2 ( 24150 12750 ) ( * 87890 )
NEW met1 ( 24150 87890 ) ( 98670 * )
NEW li1 ( 22310 12750 ) L1M1_PR_MR
NEW met1 ( 24150 12750 ) M1M2_PR
NEW met1 ( 24150 87890 ) M1M2_PR
NEW li1 ( 98670 87890 ) L1M1_PR_MR ;
- net11 ( input11 X ) ( _148_ A ) + USE SIGNAL
+ ROUTED met1 ( 45310 180030 ) ( * 180370 )
NEW met1 ( 45310 180370 ) ( 62100 * )
NEW met1 ( 62100 180370 ) ( * 180710 )
NEW met1 ( 62100 180710 ) ( 90850 * )
NEW li1 ( 45310 180030 ) L1M1_PR_MR
NEW li1 ( 90850 180710 ) L1M1_PR_MR ;
- net110 ( input110 X ) ( _243_ A ) + USE SIGNAL
+ ROUTED met2 ( 60490 98770 ) ( * 186150 )
NEW met1 ( 60490 186150 ) ( 64630 * )
NEW li1 ( 60490 98770 ) L1M1_PR_MR
NEW met1 ( 60490 98770 ) M1M2_PR
NEW met1 ( 60490 186150 ) M1M2_PR
NEW li1 ( 64630 186150 ) L1M1_PR_MR
NEW met1 ( 60490 98770 ) RECT ( -355 -70 0 70 ) ;
- net111 ( input111 X ) ( _244_ A ) + USE SIGNAL
+ ROUTED met2 ( 187910 118490 ) ( * 120870 )
NEW met1 ( 99130 118490 ) ( 187910 * )
NEW li1 ( 99130 118490 ) L1M1_PR_MR
NEW met1 ( 187910 118490 ) M1M2_PR
NEW li1 ( 187910 120870 ) L1M1_PR_MR
NEW met1 ( 187910 120870 ) M1M2_PR
NEW met1 ( 187910 120870 ) RECT ( -355 -70 0 70 ) ;
- net112 ( input112 X ) ( _245_ A ) + USE SIGNAL
+ ROUTED met2 ( 117070 99110 ) ( * 101150 )
NEW li1 ( 196190 155210 ) ( 197110 * )
NEW li1 ( 196190 101150 ) ( * 155210 )
NEW met1 ( 117070 101150 ) ( 196190 * )
NEW met1 ( 186530 181390 ) ( 197110 * )
NEW li1 ( 197110 155210 ) ( * 181390 )
NEW met1 ( 117070 101150 ) M1M2_PR
NEW li1 ( 117070 99110 ) L1M1_PR_MR
NEW met1 ( 117070 99110 ) M1M2_PR
NEW li1 ( 196190 101150 ) L1M1_PR_MR
NEW li1 ( 197110 181390 ) L1M1_PR_MR
NEW li1 ( 186530 181390 ) L1M1_PR_MR
NEW met1 ( 117070 99110 ) RECT ( -355 -70 0 70 ) ;
- net113 ( input113 X ) ( _218_ A ) + USE SIGNAL
+ ROUTED met1 ( 70610 102170 ) ( 90850 * )
NEW met2 ( 70610 15810 ) ( * 102170 )
NEW li1 ( 70610 15810 ) L1M1_PR_MR
NEW met1 ( 70610 15810 ) M1M2_PR
NEW met1 ( 70610 102170 ) M1M2_PR
NEW li1 ( 90850 102170 ) L1M1_PR_MR
NEW met1 ( 70610 15810 ) RECT ( -355 -70 0 70 ) ;
- net114 ( input114 X ) ( _246_ A ) + USE SIGNAL
+ ROUTED met2 ( 99590 28390 ) ( * 31110 )
NEW met1 ( 8510 31110 ) ( 99590 * )
NEW li1 ( 8510 31110 ) L1M1_PR_MR
NEW met1 ( 99590 31110 ) M1M2_PR
NEW li1 ( 99590 28390 ) L1M1_PR_MR
NEW met1 ( 99590 28390 ) M1M2_PR
NEW met1 ( 99590 28390 ) RECT ( -355 -70 0 70 ) ;
- net115 ( input115 X ) ( _247_ A ) + USE SIGNAL
+ ROUTED met2 ( 186070 78370 ) ( * 80410 )
NEW met1 ( 143290 80410 ) ( 186070 * )
NEW li1 ( 186070 78370 ) L1M1_PR_MR
NEW met1 ( 186070 78370 ) M1M2_PR
NEW met1 ( 186070 80410 ) M1M2_PR
NEW li1 ( 143290 80410 ) L1M1_PR_MR
NEW met1 ( 186070 78370 ) RECT ( -355 -70 0 70 ) ;
- net116 ( input116 X ) ( _219_ A ) + USE SIGNAL
+ ROUTED met1 ( 137310 98770 ) ( 139150 * )
NEW met2 ( 139150 15130 ) ( * 98770 )
NEW li1 ( 139150 15130 ) L1M1_PR_MR
NEW met1 ( 139150 15130 ) M1M2_PR
NEW met1 ( 139150 98770 ) M1M2_PR
NEW li1 ( 137310 98770 ) L1M1_PR_MR
NEW met1 ( 139150 15130 ) RECT ( -355 -70 0 70 ) ;
- net117 ( input117 X ) ( _220_ A ) + USE SIGNAL
+ ROUTED met1 ( 8510 15130 ) ( 12650 * )
NEW met1 ( 13110 98770 ) ( 14030 * )
NEW met2 ( 12650 15130 ) ( * 34500 )
NEW met2 ( 12650 34500 ) ( 13110 * )
NEW met2 ( 13110 34500 ) ( * 98770 )
NEW met1 ( 12650 15130 ) M1M2_PR
NEW li1 ( 8510 15130 ) L1M1_PR_MR
NEW met1 ( 13110 98770 ) M1M2_PR
NEW li1 ( 14030 98770 ) L1M1_PR_MR ;
- net118 ( input118 X ) ( _221_ A ) + USE SIGNAL
+ ROUTED met1 ( 105570 23630 ) ( 107410 * )
NEW met2 ( 107410 12750 ) ( * 23630 )
NEW met2 ( 105570 23630 ) ( * 34500 )
NEW met2 ( 105570 34500 ) ( 106030 * )
NEW met1 ( 107410 12750 ) ( 132250 * )
NEW met2 ( 106030 34500 ) ( * 93330 )
NEW met1 ( 105570 23630 ) M1M2_PR
NEW met1 ( 107410 23630 ) M1M2_PR
NEW met1 ( 107410 12750 ) M1M2_PR
NEW li1 ( 132250 12750 ) L1M1_PR_MR
NEW li1 ( 106030 93330 ) L1M1_PR_MR
NEW met1 ( 106030 93330 ) M1M2_PR
NEW met1 ( 106030 93330 ) RECT ( -355 -70 0 70 ) ;
- net119 ( input119 X ) ( _222_ A ) + USE SIGNAL
+ ROUTED met1 ( 117530 19890 ) ( * 20570 )
NEW met2 ( 55890 18530 ) ( * 19890 )
NEW met1 ( 55890 19890 ) ( 117530 * )
NEW li1 ( 117530 20570 ) L1M1_PR_MR
NEW li1 ( 55890 18530 ) L1M1_PR_MR
NEW met1 ( 55890 18530 ) M1M2_PR
NEW met1 ( 55890 19890 ) M1M2_PR
NEW met1 ( 55890 18530 ) RECT ( -355 -70 0 70 ) ;
- net12 ( input12 X ) ( _130_ A ) + USE SIGNAL
+ ROUTED met1 ( 117990 178330 ) ( * 178670 )
NEW met1 ( 101430 178670 ) ( * 179010 )
NEW met1 ( 99590 179010 ) ( 101430 * )
NEW met1 ( 99590 178670 ) ( * 179010 )
NEW met1 ( 78890 178670 ) ( 99590 * )
NEW met1 ( 78890 178670 ) ( * 179010 )
NEW met1 ( 101430 178670 ) ( 117990 * )
NEW li1 ( 117990 178330 ) L1M1_PR_MR
NEW li1 ( 78890 179010 ) L1M1_PR_MR ;
- net120 ( input120 X ) ( _223_ A ) + USE SIGNAL
+ ROUTED met1 ( 20470 26690 ) ( 22310 * )
NEW met1 ( 9890 47770 ) ( 20470 * )
NEW met2 ( 20470 26690 ) ( * 47770 )
NEW li1 ( 22310 26690 ) L1M1_PR_MR
NEW met1 ( 20470 26690 ) M1M2_PR
NEW met1 ( 20470 47770 ) M1M2_PR
NEW li1 ( 9890 47770 ) L1M1_PR_MR ;
- net121 ( input121 X ) ( _224_ A ) + USE SIGNAL
+ ROUTED met2 ( 107870 20060 ) ( 108330 * )
NEW met2 ( 108330 13090 ) ( * 20060 )
NEW met1 ( 146970 12750 ) ( * 13090 )
NEW met1 ( 146970 12750 ) ( 177330 * )
NEW met1 ( 108330 13090 ) ( 146970 * )
NEW met1 ( 105570 104550 ) ( 107870 * )
NEW met2 ( 107870 20060 ) ( * 104550 )
NEW met1 ( 108330 13090 ) M1M2_PR
NEW li1 ( 177330 12750 ) L1M1_PR_MR
NEW met1 ( 107870 104550 ) M1M2_PR
NEW li1 ( 105570 104550 ) L1M1_PR_MR ;
- net122 ( input122 X ) ( _225_ A ) + USE SIGNAL
+ ROUTED met2 ( 90390 77350 ) ( * 88230 )
NEW met1 ( 90390 77350 ) ( 99130 * )
NEW met1 ( 8510 88230 ) ( 90390 * )
NEW li1 ( 8510 88230 ) L1M1_PR_MR
NEW met1 ( 90390 88230 ) M1M2_PR
NEW met1 ( 90390 77350 ) M1M2_PR
NEW li1 ( 99130 77350 ) L1M1_PR_MR ;
- net123 ( input123 X ) ( _248_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 131100 180030 ) ( * 180370 )
NEW met1 ( 131100 180030 ) ( 150650 * )
NEW met1 ( 110400 180370 ) ( 131100 * )
NEW met1 ( 100970 169830 ) ( * 170510 )
NEW met2 ( 100970 170510 ) ( * 181050 )
NEW met1 ( 100970 181050 ) ( 110400 * )
NEW met1 ( 110400 180370 ) ( * 181050 )
NEW li1 ( 150650 180030 ) L1M1_PR_MR
NEW li1 ( 100970 169830 ) L1M1_PR_MR
NEW met1 ( 100970 170510 ) M1M2_PR
NEW met1 ( 100970 181050 ) M1M2_PR ;
- net124 ( input124 X ) ( _249_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 93150 17850 ) ( * 18190 )
NEW met1 ( 93150 18190 ) ( 96830 * )
NEW met1 ( 96830 17850 ) ( * 18190 )
NEW met1 ( 56810 18190 ) ( 80730 * )
NEW met1 ( 80730 17850 ) ( * 18190 )
NEW met1 ( 80730 17850 ) ( 93150 * )
NEW met2 ( 135470 17850 ) ( * 19380 )
NEW met2 ( 135470 19380 ) ( 136850 * )
NEW met2 ( 136850 18700 ) ( * 19380 )
NEW met2 ( 136850 18700 ) ( 137770 * )
NEW met2 ( 137770 17850 ) ( * 18700 )
NEW met1 ( 137770 17850 ) ( 154790 * )
NEW met2 ( 154790 15810 ) ( * 17850 )
NEW met1 ( 96830 17850 ) ( 135470 * )
NEW met1 ( 154790 15810 ) ( 183310 * )
NEW met1 ( 55430 38930 ) ( * 39270 )
NEW met1 ( 55430 38930 ) ( 56810 * )
NEW met2 ( 56810 18190 ) ( * 38930 )
NEW li1 ( 183310 15810 ) L1M1_PR_MR
NEW met1 ( 56810 18190 ) M1M2_PR
NEW met1 ( 135470 17850 ) M1M2_PR
NEW met1 ( 137770 17850 ) M1M2_PR
NEW met1 ( 154790 17850 ) M1M2_PR
NEW met1 ( 154790 15810 ) M1M2_PR
NEW li1 ( 55430 39270 ) L1M1_PR_MR
NEW met1 ( 56810 38930 ) M1M2_PR ;
- net125 ( output125 A ) ( _129_ X ) + USE SIGNAL
+ ROUTED met2 ( 143290 182750 ) ( * 186150 )
NEW met2 ( 100970 181730 ) ( * 182750 )
NEW met1 ( 100970 182750 ) ( 143290 * )
NEW met1 ( 143290 182750 ) M1M2_PR
NEW li1 ( 143290 186150 ) L1M1_PR_MR
NEW met1 ( 143290 186150 ) M1M2_PR
NEW li1 ( 100970 181730 ) L1M1_PR_MR
NEW met1 ( 100970 181730 ) M1M2_PR
NEW met1 ( 100970 182750 ) M1M2_PR
NEW met1 ( 143290 186150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 100970 181730 ) RECT ( -355 -70 0 70 ) ;
- net126 ( output126 A ) ( _139_ X ) + USE SIGNAL
+ ROUTED met2 ( 7130 121380 ) ( 7590 * )
NEW met2 ( 7590 96050 ) ( * 121380 )
NEW met2 ( 7130 132940 ) ( 7590 * )
NEW met2 ( 7590 132940 ) ( * 145690 )
NEW met1 ( 7130 145690 ) ( 7590 * )
NEW met2 ( 7130 121380 ) ( * 132940 )
NEW met1 ( 7590 96050 ) ( 99130 * )
NEW met1 ( 7590 96050 ) M1M2_PR
NEW met1 ( 7590 145690 ) M1M2_PR
NEW li1 ( 7130 145690 ) L1M1_PR_MR
NEW li1 ( 99130 96050 ) L1M1_PR_MR ;
- net127 ( output127 A ) ( _140_ X ) + USE SIGNAL
+ ROUTED met2 ( 176410 177820 ) ( 176870 * )
NEW met2 ( 176410 177820 ) ( * 180710 )
NEW met1 ( 173650 180710 ) ( 176410 * )
NEW met2 ( 176870 121550 ) ( * 177820 )
NEW li1 ( 176870 121550 ) L1M1_PR_MR
NEW met1 ( 176870 121550 ) M1M2_PR
NEW met1 ( 176410 180710 ) M1M2_PR
NEW li1 ( 173650 180710 ) L1M1_PR_MR
NEW met1 ( 176870 121550 ) RECT ( -355 -70 0 70 ) ;
- net128 ( output128 A ) ( _141_ X ) + USE SIGNAL
+ ROUTED met1 ( 6670 131410 ) ( 10350 * )
NEW met2 ( 6670 131410 ) ( * 134980 )
NEW met2 ( 6670 134980 ) ( 7130 * )
NEW met2 ( 7130 134980 ) ( * 166940 )
NEW met2 ( 6670 166940 ) ( 7130 * )
NEW met2 ( 6670 166940 ) ( * 170340 )
NEW met2 ( 6670 170340 ) ( 7130 * )
NEW met2 ( 7130 170340 ) ( * 172890 )
NEW met2 ( 10350 99450 ) ( * 131410 )
NEW met1 ( 10350 99450 ) ( 49450 * )
NEW met1 ( 10350 99450 ) M1M2_PR
NEW met1 ( 10350 131410 ) M1M2_PR
NEW met1 ( 6670 131410 ) M1M2_PR
NEW li1 ( 7130 172890 ) L1M1_PR_MR
NEW met1 ( 7130 172890 ) M1M2_PR
NEW li1 ( 49450 99450 ) L1M1_PR_MR
NEW met1 ( 7130 172890 ) RECT ( -355 -70 0 70 ) ;
- net129 ( output129 A ) ( _142_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 85510 ) ( * 85850 )
NEW met2 ( 75670 85510 ) ( * 90270 )
NEW met1 ( 75670 90270 ) ( 98670 * )
NEW met1 ( 7130 85510 ) ( 75670 * )
NEW li1 ( 7130 85850 ) L1M1_PR_MR
NEW met1 ( 75670 85510 ) M1M2_PR
NEW met1 ( 75670 90270 ) M1M2_PR
NEW li1 ( 98670 90270 ) L1M1_PR_MR ;
- net13 ( input13 X ) ( _131_ A ) + USE SIGNAL
+ ROUTED met1 ( 115230 97070 ) ( 115690 * )
NEW met1 ( 114310 186150 ) ( 115230 * )
NEW met2 ( 115230 97070 ) ( * 186150 )
NEW met1 ( 115230 97070 ) M1M2_PR
NEW li1 ( 115690 97070 ) L1M1_PR_MR
NEW met1 ( 115230 186150 ) M1M2_PR
NEW li1 ( 114310 186150 ) L1M1_PR_MR ;
- net130 ( output130 A ) ( _143_ X ) + USE SIGNAL
+ ROUTED met1 ( 110400 109990 ) ( 189290 * )
NEW met1 ( 100050 109650 ) ( 110400 * )
NEW met1 ( 110400 109650 ) ( * 109990 )
NEW li1 ( 189290 109990 ) L1M1_PR_MR
NEW li1 ( 100050 109650 ) L1M1_PR_MR ;
- net131 ( output131 A ) ( _144_ X ) + USE SIGNAL
+ ROUTED met2 ( 101890 179010 ) ( * 184450 )
NEW met1 ( 79350 184450 ) ( 101890 * )
NEW met2 ( 79350 184450 ) ( * 186150 )
NEW met1 ( 101890 179010 ) ( 113390 * )
NEW li1 ( 113390 179010 ) L1M1_PR_MR
NEW met1 ( 101890 179010 ) M1M2_PR
NEW met1 ( 101890 184450 ) M1M2_PR
NEW met1 ( 79350 184450 ) M1M2_PR
NEW li1 ( 79350 186150 ) L1M1_PR_MR
NEW met1 ( 79350 186150 ) M1M2_PR
NEW met1 ( 79350 186150 ) RECT ( -355 -70 0 70 ) ;
- net132 ( output132 A ) ( _145_ X ) + USE SIGNAL
+ ROUTED met2 ( 7130 10370 ) ( * 12070 )
NEW met2 ( 101890 10370 ) ( * 14620 )
NEW met2 ( 101430 14620 ) ( 101890 * )
NEW met2 ( 100970 58820 ) ( 101430 * )
NEW met2 ( 101430 14620 ) ( * 58820 )
NEW met1 ( 7130 10370 ) ( 101890 * )
NEW met1 ( 98670 102850 ) ( 100970 * )
NEW met2 ( 100970 58820 ) ( * 102850 )
NEW met1 ( 7130 10370 ) M1M2_PR
NEW li1 ( 7130 12070 ) L1M1_PR_MR
NEW met1 ( 7130 12070 ) M1M2_PR
NEW met1 ( 101890 10370 ) M1M2_PR
NEW met1 ( 100970 102850 ) M1M2_PR
NEW li1 ( 98670 102850 ) L1M1_PR_MR
NEW met1 ( 7130 12070 ) RECT ( -355 -70 0 70 ) ;
- net133 ( output133 A ) ( _146_ X ) + USE SIGNAL
+ ROUTED met1 ( 123050 12070 ) ( 124430 * )
NEW met2 ( 124430 12070 ) ( * 68510 )
NEW met1 ( 124430 12070 ) M1M2_PR
NEW li1 ( 123050 12070 ) L1M1_PR_MR
NEW li1 ( 124430 68510 ) L1M1_PR_MR
NEW met1 ( 124430 68510 ) M1M2_PR
NEW met1 ( 124430 68510 ) RECT ( -355 -70 0 70 ) ;
- net134 ( output134 A ) ( _147_ X ) + USE SIGNAL
+ ROUTED met2 ( 19090 183090 ) ( * 186150 )
NEW met1 ( 19090 183090 ) ( 69690 * )
NEW met1 ( 19090 183090 ) M1M2_PR
NEW li1 ( 19090 186150 ) L1M1_PR_MR
NEW met1 ( 19090 186150 ) M1M2_PR
NEW li1 ( 69690 183090 ) L1M1_PR_MR
NEW met1 ( 19090 186150 ) RECT ( -355 -70 0 70 ) ;
- net135 ( output135 A ) ( _148_ X ) + USE SIGNAL
+ ROUTED met2 ( 89930 181730 ) ( * 186150 )
NEW li1 ( 89930 181730 ) L1M1_PR_MR
NEW met1 ( 89930 181730 ) M1M2_PR
NEW li1 ( 89930 186150 ) L1M1_PR_MR
NEW met1 ( 89930 186150 ) M1M2_PR
NEW met1 ( 89930 181730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 89930 186150 ) RECT ( -355 -70 0 70 ) ;
- net136 ( output136 A ) ( _130_ X ) + USE SIGNAL
+ ROUTED met1 ( 117070 179010 ) ( 122130 * )
NEW met2 ( 122130 179010 ) ( * 186150 )
NEW li1 ( 122130 186150 ) L1M1_PR_MR
NEW met1 ( 122130 186150 ) M1M2_PR
NEW li1 ( 117070 179010 ) L1M1_PR_MR
NEW met1 ( 122130 179010 ) M1M2_PR
NEW met1 ( 122130 186150 ) RECT ( -355 -70 0 70 ) ;
- net137 ( output137 A ) ( _131_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 96390 ) ( * 96730 )
NEW met1 ( 116610 96390 ) ( 189290 * )
NEW li1 ( 116610 96390 ) L1M1_PR_MR
NEW li1 ( 189290 96730 ) L1M1_PR_MR ;
- net138 ( ANTENNA_0 DIODE ) ( output138 A ) ( _132_ X ) + USE SIGNAL
+ ROUTED met1 ( 188370 58650 ) ( 189290 * )
NEW met1 ( 188370 57630 ) ( * 58650 )
NEW met1 ( 83950 57630 ) ( 188370 * )
NEW met2 ( 83950 57630 ) ( * 98430 )
NEW met1 ( 83950 57630 ) M1M2_PR
NEW li1 ( 188370 57630 ) L1M1_PR_MR
NEW li1 ( 189290 58650 ) L1M1_PR_MR
NEW li1 ( 83950 98430 ) L1M1_PR_MR
NEW met1 ( 83950 98430 ) M1M2_PR
NEW met1 ( 83950 98430 ) RECT ( -355 -70 0 70 ) ;
- net139 ( output139 A ) ( _133_ X ) + USE SIGNAL
+ ROUTED met2 ( 109250 18530 ) ( * 19550 )
NEW met2 ( 132710 18020 ) ( * 18530 )
NEW met3 ( 132710 18020 ) ( 137310 * )
NEW met2 ( 137310 18020 ) ( * 18190 )
NEW met1 ( 137310 18190 ) ( 166290 * )
NEW met2 ( 166290 12070 ) ( * 18190 )
NEW met1 ( 109250 18530 ) ( 132710 * )
NEW met1 ( 109250 18530 ) M1M2_PR
NEW li1 ( 109250 19550 ) L1M1_PR_MR
NEW met1 ( 109250 19550 ) M1M2_PR
NEW met1 ( 132710 18530 ) M1M2_PR
NEW met2 ( 132710 18020 ) M2M3_PR_M
NEW met2 ( 137310 18020 ) M2M3_PR_M
NEW met1 ( 137310 18190 ) M1M2_PR
NEW met1 ( 166290 18190 ) M1M2_PR
NEW li1 ( 166290 12070 ) L1M1_PR_MR
NEW met1 ( 166290 12070 ) M1M2_PR
NEW met1 ( 109250 19550 ) RECT ( -355 -70 0 70 )
NEW met1 ( 166290 12070 ) RECT ( -355 -70 0 70 ) ;
- net14 ( input14 X ) ( _149_ A ) + USE SIGNAL
+ ROUTED met2 ( 7130 80750 ) ( * 82110 )
NEW met1 ( 7130 80750 ) ( 9430 * )
NEW li1 ( 7130 82110 ) L1M1_PR_MR
NEW met1 ( 7130 82110 ) M1M2_PR
NEW met1 ( 7130 80750 ) M1M2_PR
NEW li1 ( 9430 80750 ) L1M1_PR_MR
NEW met1 ( 7130 82110 ) RECT ( -355 -70 0 70 ) ;
- net140 ( output140 A ) ( _134_ X ) + USE SIGNAL
+ ROUTED li1 ( 31050 14450 ) ( * 15130 )
NEW met1 ( 26450 15130 ) ( 31050 * )
NEW met1 ( 89010 15130 ) ( * 15470 )
NEW met1 ( 89010 15130 ) ( 95910 * )
NEW met2 ( 36570 14450 ) ( * 15810 )
NEW met1 ( 36570 15810 ) ( 67390 * )
NEW met1 ( 67390 14790 ) ( * 15810 )
NEW met1 ( 67390 14790 ) ( 71990 * )
NEW met1 ( 71990 14790 ) ( * 15470 )
NEW met1 ( 31050 14450 ) ( 36570 * )
NEW met1 ( 71990 15470 ) ( 89010 * )
NEW li1 ( 31050 14450 ) L1M1_PR_MR
NEW li1 ( 31050 15130 ) L1M1_PR_MR
NEW li1 ( 26450 15130 ) L1M1_PR_MR
NEW li1 ( 95910 15130 ) L1M1_PR_MR
NEW met1 ( 36570 14450 ) M1M2_PR
NEW met1 ( 36570 15810 ) M1M2_PR ;
- net141 ( output141 A ) ( _135_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 131750 ) ( * 132090 )
NEW met1 ( 8510 132090 ) ( 10350 * )
NEW met2 ( 10350 132090 ) ( * 148070 )
NEW met1 ( 7130 148070 ) ( 10350 * )
NEW met2 ( 8510 102850 ) ( * 131750 )
NEW met2 ( 80730 101150 ) ( * 102850 )
NEW met1 ( 80730 101150 ) ( 90390 * )
NEW met2 ( 90390 98430 ) ( * 101150 )
NEW met1 ( 90390 98430 ) ( 99130 * )
NEW met1 ( 8510 102850 ) ( 80730 * )
NEW met1 ( 8510 102850 ) M1M2_PR
NEW met1 ( 8510 131750 ) M1M2_PR
NEW met1 ( 10350 132090 ) M1M2_PR
NEW met1 ( 10350 148070 ) M1M2_PR
NEW li1 ( 7130 148070 ) L1M1_PR_MR
NEW met1 ( 80730 102850 ) M1M2_PR
NEW met1 ( 80730 101150 ) M1M2_PR
NEW met1 ( 90390 101150 ) M1M2_PR
NEW met1 ( 90390 98430 ) M1M2_PR
NEW li1 ( 99130 98430 ) L1M1_PR_MR ;
- net142 ( output142 A ) ( _136_ X ) + USE SIGNAL
+ ROUTED met2 ( 33810 99790 ) ( * 183770 )
NEW met1 ( 33810 99790 ) ( 62100 * )
NEW met1 ( 62100 99790 ) ( * 100130 )
NEW met1 ( 62100 100130 ) ( 102810 * )
NEW met1 ( 33810 99790 ) M1M2_PR
NEW li1 ( 33810 183770 ) L1M1_PR_MR
NEW met1 ( 33810 183770 ) M1M2_PR
NEW li1 ( 102810 100130 ) L1M1_PR_MR
NEW met1 ( 33810 183770 ) RECT ( -355 -70 0 70 ) ;
- net143 ( output143 A ) ( _137_ X ) + USE SIGNAL
+ ROUTED met2 ( 141910 100130 ) ( * 181050 )
NEW met1 ( 175030 181050 ) ( * 181730 )
NEW met1 ( 175030 181730 ) ( 181930 * )
NEW met1 ( 181930 180710 ) ( * 181730 )
NEW met1 ( 141910 181050 ) ( 175030 * )
NEW li1 ( 141910 100130 ) L1M1_PR_MR
NEW met1 ( 141910 100130 ) M1M2_PR
NEW met1 ( 141910 181050 ) M1M2_PR
NEW li1 ( 181930 180710 ) L1M1_PR_MR
NEW met1 ( 141910 100130 ) RECT ( -355 -70 0 70 ) ;
- net144 ( output144 A ) ( _138_ X ) + USE SIGNAL
+ ROUTED met1 ( 154790 182750 ) ( 156630 * )
NEW met1 ( 156630 182750 ) ( * 183090 )
NEW met2 ( 154790 100130 ) ( * 182750 )
NEW li1 ( 178250 183090 ) ( * 183770 )
NEW met1 ( 178250 183770 ) ( 178710 * )
NEW met1 ( 156630 183090 ) ( 178250 * )
NEW li1 ( 154790 100130 ) L1M1_PR_MR
NEW met1 ( 154790 100130 ) M1M2_PR
NEW met1 ( 154790 182750 ) M1M2_PR
NEW li1 ( 178250 183090 ) L1M1_PR_MR
NEW li1 ( 178250 183770 ) L1M1_PR_MR
NEW li1 ( 178710 183770 ) L1M1_PR_MR
NEW met1 ( 154790 100130 ) RECT ( -355 -70 0 70 ) ;
- net145 ( output145 A ) ( _248_ X ) + USE SIGNAL
+ ROUTED met2 ( 10810 9690 ) ( * 12070 )
NEW met1 ( 10810 9690 ) ( 65090 * )
NEW met2 ( 65090 9690 ) ( * 34500 )
NEW met2 ( 65090 34500 ) ( 65550 * )
NEW met2 ( 65550 34500 ) ( * 169490 )
NEW met1 ( 65550 169490 ) ( 96600 * )
NEW met1 ( 96600 169150 ) ( * 169490 )
NEW met1 ( 96600 169150 ) ( 100970 * )
NEW met1 ( 100970 169150 ) ( * 169490 )
NEW met1 ( 100970 169490 ) ( 104190 * )
NEW met1 ( 10810 9690 ) M1M2_PR
NEW li1 ( 10810 12070 ) L1M1_PR_MR
NEW met1 ( 10810 12070 ) M1M2_PR
NEW met1 ( 65090 9690 ) M1M2_PR
NEW met1 ( 65550 169490 ) M1M2_PR
NEW li1 ( 104190 169490 ) L1M1_PR_MR
NEW met1 ( 10810 12070 ) RECT ( -355 -70 0 70 ) ;
- net146 ( output146 A ) ( _149_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 12070 ) ( 17250 * )
NEW met1 ( 10350 79730 ) ( 17250 * )
NEW met2 ( 17250 12070 ) ( * 79730 )
NEW met1 ( 17250 12070 ) M1M2_PR
NEW li1 ( 14490 12070 ) L1M1_PR_MR
NEW met1 ( 17250 79730 ) M1M2_PR
NEW li1 ( 10350 79730 ) L1M1_PR_MR ;
- net147 ( output147 A ) ( _159_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 153510 ) ( * 155550 )
NEW met1 ( 129030 155550 ) ( 189290 * )
NEW li1 ( 129030 155550 ) L1M1_PR_MR
NEW met1 ( 189290 155550 ) M1M2_PR
NEW li1 ( 189290 153510 ) L1M1_PR_MR
NEW met1 ( 189290 153510 ) M1M2_PR
NEW met1 ( 189290 153510 ) RECT ( -355 -70 0 70 ) ;
- net148 ( output148 A ) ( _160_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 178330 ) ( * 178670 )
NEW met1 ( 7130 178670 ) ( 37950 * )
NEW met2 ( 37950 82110 ) ( * 178670 )
NEW met1 ( 37950 82110 ) ( 99130 * )
NEW li1 ( 7130 178330 ) L1M1_PR_MR
NEW met1 ( 37950 82110 ) M1M2_PR
NEW met1 ( 37950 178670 ) M1M2_PR
NEW li1 ( 99130 82110 ) L1M1_PR_MR ;
- net149 ( output149 A ) ( _161_ X ) + USE SIGNAL
+ ROUTED met2 ( 7130 96730 ) ( * 101490 )
NEW met1 ( 7130 101490 ) ( 34500 * )
NEW met1 ( 34500 101490 ) ( * 101830 )
NEW met1 ( 34500 101830 ) ( 95450 * )
NEW met1 ( 7130 101490 ) M1M2_PR
NEW li1 ( 7130 96730 ) L1M1_PR_MR
NEW met1 ( 7130 96730 ) M1M2_PR
NEW li1 ( 95450 101830 ) L1M1_PR_MR
NEW met1 ( 7130 96730 ) RECT ( -355 -70 0 70 ) ;
- net15 ( input15 X ) ( _150_ A ) + USE SIGNAL
+ ROUTED met2 ( 153410 15810 ) ( * 97410 )
NEW met1 ( 101890 97070 ) ( * 97410 )
NEW met1 ( 101890 97410 ) ( 153410 * )
NEW li1 ( 153410 15810 ) L1M1_PR_MR
NEW met1 ( 153410 15810 ) M1M2_PR
NEW met1 ( 153410 97410 ) M1M2_PR
NEW li1 ( 101890 97070 ) L1M1_PR_MR
NEW met1 ( 153410 15810 ) RECT ( -355 -70 0 70 ) ;
- net150 ( output150 A ) ( _162_ X ) + USE SIGNAL
+ ROUTED met1 ( 132710 20230 ) ( 157550 * )
NEW met1 ( 157550 20230 ) ( * 20570 )
NEW met1 ( 157550 20570 ) ( 189290 * )
NEW met2 ( 132710 20230 ) ( * 98430 )
NEW li1 ( 189290 20570 ) L1M1_PR_MR
NEW met1 ( 132710 20230 ) M1M2_PR
NEW li1 ( 132710 98430 ) L1M1_PR_MR
NEW met1 ( 132710 98430 ) M1M2_PR
NEW met1 ( 132710 98430 ) RECT ( -355 -70 0 70 ) ;
- net151 ( output151 A ) ( _163_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 92990 ) ( 196190 * )
NEW met1 ( 189290 55590 ) ( 196190 * )
NEW li1 ( 196190 55590 ) ( * 92990 )
NEW li1 ( 196190 92990 ) L1M1_PR_MR
NEW li1 ( 186070 92990 ) L1M1_PR_MR
NEW li1 ( 196190 55590 ) L1M1_PR_MR
NEW li1 ( 189290 55590 ) L1M1_PR_MR ;
- net152 ( output152 A ) ( _164_ X ) + USE SIGNAL
+ ROUTED met2 ( 116610 12070 ) ( * 100130 )
NEW met1 ( 110170 100130 ) ( 116610 * )
NEW li1 ( 116610 12070 ) L1M1_PR_MR
NEW met1 ( 116610 12070 ) M1M2_PR
NEW met1 ( 116610 100130 ) M1M2_PR
NEW li1 ( 110170 100130 ) L1M1_PR_MR
NEW met1 ( 116610 12070 ) RECT ( -355 -70 0 70 ) ;
- net153 ( output153 A ) ( _165_ X ) + USE SIGNAL
+ ROUTED met1 ( 100970 58310 ) ( 102350 * )
NEW met2 ( 100970 12750 ) ( * 58310 )
NEW met1 ( 33810 12070 ) ( 34500 * )
NEW met1 ( 34500 12070 ) ( * 12750 )
NEW met1 ( 34500 12750 ) ( 62790 * )
NEW met2 ( 62790 12580 ) ( * 12750 )
NEW met2 ( 62790 12580 ) ( 63710 * )
NEW met2 ( 63710 12580 ) ( * 12750 )
NEW met1 ( 63710 12750 ) ( 100970 * )
NEW met2 ( 102350 58310 ) ( * 62100 )
NEW met2 ( 101890 62100 ) ( 102350 * )
NEW met2 ( 101890 62100 ) ( * 93670 )
NEW met1 ( 99590 93670 ) ( 101890 * )
NEW li1 ( 33810 12070 ) L1M1_PR_MR
NEW met1 ( 100970 12750 ) M1M2_PR
NEW met1 ( 100970 58310 ) M1M2_PR
NEW met1 ( 102350 58310 ) M1M2_PR
NEW met1 ( 62790 12750 ) M1M2_PR
NEW met1 ( 63710 12750 ) M1M2_PR
NEW met1 ( 101890 93670 ) M1M2_PR
NEW li1 ( 99590 93670 ) L1M1_PR_MR ;
- net154 ( output154 A ) ( _166_ X ) + USE SIGNAL
+ ROUTED met1 ( 10350 180710 ) ( 13570 * )
NEW met2 ( 10350 179400 ) ( * 180710 )
NEW met1 ( 8970 132770 ) ( 9890 * )
NEW met2 ( 9890 132770 ) ( * 179400 )
NEW met2 ( 9890 179400 ) ( 10350 * )
NEW met1 ( 10350 180710 ) M1M2_PR
NEW li1 ( 13570 180710 ) L1M1_PR_MR
NEW li1 ( 8970 132770 ) L1M1_PR_MR
NEW met1 ( 9890 132770 ) M1M2_PR ;
- net155 ( output155 A ) ( _167_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 74970 ) ( 8970 * )
NEW met2 ( 8970 74970 ) ( * 92990 )
NEW li1 ( 8970 92990 ) L1M1_PR_MR
NEW met1 ( 8970 92990 ) M1M2_PR
NEW met1 ( 8970 74970 ) M1M2_PR
NEW li1 ( 7130 74970 ) L1M1_PR_MR
NEW met1 ( 8970 92990 ) RECT ( -355 -70 0 70 ) ;
- net156 ( output156 A ) ( _168_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 77350 ) ( 12650 * )
NEW met2 ( 12650 77350 ) ( * 79390 )
NEW li1 ( 7130 77350 ) L1M1_PR_MR
NEW met1 ( 12650 77350 ) M1M2_PR
NEW li1 ( 12650 79390 ) L1M1_PR_MR
NEW met1 ( 12650 79390 ) M1M2_PR
NEW met1 ( 12650 79390 ) RECT ( -355 -70 0 70 ) ;
- net157 ( output157 A ) ( _150_ X ) + USE SIGNAL
+ ROUTED met1 ( 45770 96390 ) ( 48070 * )
NEW met1 ( 48070 96390 ) ( * 96730 )
NEW met1 ( 48070 96730 ) ( 49450 * )
NEW met1 ( 49450 96390 ) ( * 96730 )
NEW met2 ( 45770 96390 ) ( * 183770 )
NEW met1 ( 49450 96390 ) ( 102810 * )
NEW met1 ( 45770 96390 ) M1M2_PR
NEW li1 ( 45770 183770 ) L1M1_PR_MR
NEW met1 ( 45770 183770 ) M1M2_PR
NEW li1 ( 102810 96390 ) L1M1_PR_MR
NEW met1 ( 45770 183770 ) RECT ( -355 -70 0 70 ) ;
- net158 ( output158 A ) ( _169_ X ) + USE SIGNAL
+ ROUTED met1 ( 87170 11730 ) ( * 12070 )
NEW met1 ( 83030 11730 ) ( 87170 * )
NEW met2 ( 83030 11730 ) ( * 16830 )
NEW li1 ( 87170 12070 ) L1M1_PR_MR
NEW met1 ( 83030 11730 ) M1M2_PR
NEW li1 ( 83030 16830 ) L1M1_PR_MR
NEW met1 ( 83030 16830 ) M1M2_PR
NEW met1 ( 83030 16830 ) RECT ( 0 -70 355 70 ) ;
- net159 ( output159 A ) ( _170_ X ) + USE SIGNAL
+ ROUTED met1 ( 78430 12070 ) ( 78890 * )
NEW met1 ( 78430 98430 ) ( 79350 * )
NEW met2 ( 78430 12070 ) ( * 98430 )
NEW met1 ( 78430 12070 ) M1M2_PR
NEW li1 ( 78890 12070 ) L1M1_PR_MR
NEW met1 ( 78430 98430 ) M1M2_PR
NEW li1 ( 79350 98430 ) L1M1_PR_MR ;
- net16 ( input16 X ) ( _151_ A ) + USE SIGNAL
+ ROUTED met1 ( 9430 99110 ) ( 9890 * )
NEW met1 ( 8510 186150 ) ( 8970 * )
NEW met2 ( 9890 99110 ) ( * 131100 )
NEW met2 ( 8970 139740 ) ( 9430 * )
NEW met2 ( 9430 131100 ) ( * 139740 )
NEW met2 ( 9430 131100 ) ( 9890 * )
NEW met2 ( 8970 139740 ) ( * 186150 )
NEW met1 ( 9890 99110 ) M1M2_PR
NEW li1 ( 9430 99110 ) L1M1_PR_MR
NEW met1 ( 8970 186150 ) M1M2_PR
NEW li1 ( 8510 186150 ) L1M1_PR_MR ;
- net160 ( ANTENNA_1 DIODE ) ( output160 A ) ( _171_ X ) + USE SIGNAL
+ ROUTED met1 ( 188370 44710 ) ( 189290 * )
NEW met1 ( 188370 44030 ) ( * 44710 )
NEW met1 ( 94990 44030 ) ( 188370 * )
NEW met2 ( 94990 44030 ) ( * 95710 )
NEW met1 ( 94990 44030 ) M1M2_PR
NEW li1 ( 188370 44030 ) L1M1_PR_MR
NEW li1 ( 189290 44710 ) L1M1_PR_MR
NEW li1 ( 94990 95710 ) L1M1_PR_MR
NEW met1 ( 94990 95710 ) M1M2_PR
NEW met1 ( 94990 95710 ) RECT ( -355 -70 0 70 ) ;
- net161 ( output161 A ) ( _172_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 82790 ) ( * 90270 )
NEW met1 ( 174110 90270 ) ( 189290 * )
NEW met1 ( 189290 90270 ) M1M2_PR
NEW li1 ( 189290 82790 ) L1M1_PR_MR
NEW met1 ( 189290 82790 ) M1M2_PR
NEW li1 ( 174110 90270 ) L1M1_PR_MR
NEW met1 ( 189290 82790 ) RECT ( -355 -70 0 70 ) ;
- net162 ( output162 A ) ( _173_ X ) + USE SIGNAL
+ ROUTED met2 ( 112010 94350 ) ( * 95710 )
NEW met1 ( 7130 137190 ) ( 8970 * )
NEW met2 ( 8970 94010 ) ( * 137190 )
NEW met1 ( 8970 94010 ) ( 62100 * )
NEW met1 ( 62100 94010 ) ( * 94350 )
NEW met1 ( 62100 94350 ) ( 112010 * )
NEW met1 ( 8970 94010 ) M1M2_PR
NEW met1 ( 112010 94350 ) M1M2_PR
NEW li1 ( 112010 95710 ) L1M1_PR_MR
NEW met1 ( 112010 95710 ) M1M2_PR
NEW met1 ( 8970 137190 ) M1M2_PR
NEW li1 ( 7130 137190 ) L1M1_PR_MR
NEW met1 ( 112010 95710 ) RECT ( -355 -70 0 70 ) ;
- net163 ( output163 A ) ( _174_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 126310 ) ( 69230 * )
NEW met1 ( 69230 107270 ) ( 99590 * )
NEW met2 ( 69230 107270 ) ( * 126310 )
NEW li1 ( 7130 126310 ) L1M1_PR_MR
NEW met1 ( 69230 126310 ) M1M2_PR
NEW met1 ( 69230 107270 ) M1M2_PR
NEW li1 ( 99590 107270 ) L1M1_PR_MR ;
- net164 ( output164 A ) ( _175_ X ) + USE SIGNAL
+ ROUTED met1 ( 162610 98430 ) ( 165830 * )
NEW met2 ( 162610 12070 ) ( * 98430 )
NEW li1 ( 162610 12070 ) L1M1_PR_MR
NEW met1 ( 162610 12070 ) M1M2_PR
NEW met1 ( 162610 98430 ) M1M2_PR
NEW li1 ( 165830 98430 ) L1M1_PR_MR
NEW met1 ( 162610 12070 ) RECT ( -355 -70 0 70 ) ;
- net165 ( output165 A ) ( _176_ X ) + USE SIGNAL
+ ROUTED met1 ( 17710 183770 ) ( 20930 * )
NEW met2 ( 17250 86530 ) ( * 131100 )
NEW met2 ( 17250 131100 ) ( 17710 * )
NEW met2 ( 17710 131100 ) ( * 183770 )
NEW li1 ( 17250 86530 ) L1M1_PR_MR
NEW met1 ( 17250 86530 ) M1M2_PR
NEW met1 ( 17710 183770 ) M1M2_PR
NEW li1 ( 20930 183770 ) L1M1_PR_MR
NEW met1 ( 17250 86530 ) RECT ( -355 -70 0 70 ) ;
- net166 ( output166 A ) ( _177_ X ) + USE SIGNAL
+ ROUTED met2 ( 148810 93670 ) ( * 186150 )
NEW met1 ( 103270 93670 ) ( 148810 * )
NEW met1 ( 148810 93670 ) M1M2_PR
NEW li1 ( 148810 186150 ) L1M1_PR_MR
NEW met1 ( 148810 186150 ) M1M2_PR
NEW li1 ( 103270 93670 ) L1M1_PR_MR
NEW met1 ( 148810 186150 ) RECT ( -355 -70 0 70 ) ;
- net167 ( output167 A ) ( _178_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 62050 ) ( 10810 * )
NEW met2 ( 7130 62050 ) ( * 66470 )
NEW li1 ( 10810 62050 ) L1M1_PR_MR
NEW met1 ( 7130 62050 ) M1M2_PR
NEW li1 ( 7130 66470 ) L1M1_PR_MR
NEW met1 ( 7130 66470 ) M1M2_PR
NEW met1 ( 7130 66470 ) RECT ( -355 -70 0 70 ) ;
- net168 ( output168 A ) ( _151_ X ) + USE SIGNAL
+ ROUTED met2 ( 10350 24140 ) ( 10810 * )
NEW met2 ( 10350 17510 ) ( * 24140 )
NEW met1 ( 7130 17510 ) ( 10350 * )
NEW met1 ( 10350 98770 ) ( 11270 * )
NEW met2 ( 10810 58820 ) ( 11270 * )
NEW met2 ( 10810 24140 ) ( * 58820 )
NEW met2 ( 11270 58820 ) ( * 98770 )
NEW met1 ( 10350 17510 ) M1M2_PR
NEW li1 ( 7130 17510 ) L1M1_PR_MR
NEW met1 ( 11270 98770 ) M1M2_PR
NEW li1 ( 10350 98770 ) L1M1_PR_MR ;
- net169 ( output169 A ) ( _179_ X ) + USE SIGNAL
+ ROUTED met1 ( 95910 179010 ) ( 96370 * )
NEW met2 ( 96370 179010 ) ( * 186150 )
NEW li1 ( 95910 179010 ) L1M1_PR_MR
NEW met1 ( 96370 179010 ) M1M2_PR
NEW li1 ( 96370 186150 ) L1M1_PR_MR
NEW met1 ( 96370 186150 ) M1M2_PR
NEW met1 ( 96370 186150 ) RECT ( -355 -70 0 70 ) ;
- net17 ( input17 X ) ( _152_ A ) + USE SIGNAL
+ ROUTED met1 ( 32890 58650 ) ( 34270 * )
NEW met2 ( 34270 18530 ) ( * 58650 )
NEW li1 ( 34270 18530 ) L1M1_PR_MR
NEW met1 ( 34270 18530 ) M1M2_PR
NEW met1 ( 34270 58650 ) M1M2_PR
NEW li1 ( 32890 58650 ) L1M1_PR_MR
NEW met1 ( 34270 18530 ) RECT ( -355 -70 0 70 ) ;
- net170 ( output170 A ) ( _180_ X ) + USE SIGNAL
+ ROUTED met2 ( 160770 70210 ) ( * 74970 )
NEW met1 ( 160770 74970 ) ( 189290 * )
NEW li1 ( 189290 74970 ) L1M1_PR_MR
NEW li1 ( 160770 70210 ) L1M1_PR_MR
NEW met1 ( 160770 70210 ) M1M2_PR
NEW met1 ( 160770 74970 ) M1M2_PR
NEW met1 ( 160770 70210 ) RECT ( -355 -70 0 70 ) ;
- net171 ( output171 A ) ( _152_ X ) + USE SIGNAL
+ ROUTED met2 ( 31970 59330 ) ( * 63750 )
NEW met1 ( 7130 63750 ) ( 31970 * )
NEW met1 ( 7130 63750 ) ( * 64090 )
NEW li1 ( 31970 59330 ) L1M1_PR_MR
NEW met1 ( 31970 59330 ) M1M2_PR
NEW met1 ( 31970 63750 ) M1M2_PR
NEW li1 ( 7130 64090 ) L1M1_PR_MR
NEW met1 ( 31970 59330 ) RECT ( -355 -70 0 70 ) ;
- net172 ( output172 A ) ( _153_ X ) + USE SIGNAL
+ ROUTED met1 ( 116610 101490 ) ( 120290 * )
NEW met1 ( 116610 101150 ) ( * 101490 )
NEW met2 ( 120290 82800 ) ( * 101490 )
NEW met2 ( 120290 82800 ) ( 121210 * )
NEW met2 ( 121210 18190 ) ( * 82800 )
NEW met1 ( 135930 17510 ) ( * 18190 )
NEW met1 ( 135930 17510 ) ( 136390 * )
NEW met1 ( 136390 17490 ) ( * 17510 )
NEW met1 ( 136390 17490 ) ( 136850 * )
NEW met1 ( 136850 17490 ) ( * 17510 )
NEW met1 ( 121210 18190 ) ( 135930 * )
NEW met1 ( 110400 101150 ) ( 116610 * )
NEW met1 ( 110400 101150 ) ( * 101490 )
NEW met1 ( 102810 101490 ) ( 110400 * )
NEW met1 ( 121210 18190 ) M1M2_PR
NEW met1 ( 120290 101490 ) M1M2_PR
NEW li1 ( 136850 17510 ) L1M1_PR_MR
NEW li1 ( 102810 101490 ) L1M1_PR_MR ;
- net173 ( output173 A ) ( _154_ X ) + USE SIGNAL
+ ROUTED met1 ( 8970 101150 ) ( 9430 * )
NEW met2 ( 9430 82800 ) ( * 101150 )
NEW met2 ( 9430 82800 ) ( 9890 * )
NEW met2 ( 9890 50150 ) ( * 82800 )
NEW met1 ( 7130 50150 ) ( 9890 * )
NEW met1 ( 9430 101150 ) M1M2_PR
NEW li1 ( 8970 101150 ) L1M1_PR_MR
NEW met1 ( 9890 50150 ) M1M2_PR
NEW li1 ( 7130 50150 ) L1M1_PR_MR ;
- net174 ( output174 A ) ( _155_ X ) + USE SIGNAL
+ ROUTED met1 ( 86250 14790 ) ( * 15130 )
NEW met1 ( 86250 14790 ) ( 89930 * )
NEW met1 ( 74290 15130 ) ( 86250 * )
NEW met2 ( 89930 14790 ) ( * 98430 )
NEW met1 ( 89930 14790 ) M1M2_PR
NEW li1 ( 74290 15130 ) L1M1_PR_MR
NEW li1 ( 89930 98430 ) L1M1_PR_MR
NEW met1 ( 89930 98430 ) M1M2_PR
NEW met1 ( 89930 98430 ) RECT ( -355 -70 0 70 ) ;
- net175 ( output175 A ) ( _156_ X ) + USE SIGNAL
+ ROUTED met1 ( 63250 12070 ) ( * 13090 )
NEW met1 ( 58775 12070 ) ( 63250 * )
NEW met1 ( 63250 13090 ) ( 106490 * )
NEW met2 ( 106490 13090 ) ( * 98430 )
NEW met1 ( 106490 13090 ) M1M2_PR
NEW li1 ( 58775 12070 ) L1M1_PR_MR
NEW li1 ( 106490 98430 ) L1M1_PR_MR
NEW met1 ( 106490 98430 ) M1M2_PR
NEW met1 ( 106490 98430 ) RECT ( -355 -70 0 70 ) ;
- net176 ( output176 A ) ( _157_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 125970 ) ( * 126310 )
NEW met1 ( 101430 126310 ) ( 131100 * )
NEW met1 ( 131100 125970 ) ( * 126310 )
NEW met1 ( 131100 125970 ) ( 189290 * )
NEW met1 ( 99590 105230 ) ( 101430 * )
NEW met2 ( 101430 105230 ) ( * 126310 )
NEW met1 ( 101430 126310 ) M1M2_PR
NEW li1 ( 189290 126310 ) L1M1_PR_MR
NEW li1 ( 99590 105230 ) L1M1_PR_MR
NEW met1 ( 101430 105230 ) M1M2_PR ;
- net177 ( output177 A ) ( _158_ X ) + USE SIGNAL
+ ROUTED met1 ( 49450 183430 ) ( * 183770 )
NEW met1 ( 83030 183430 ) ( * 184110 )
NEW met1 ( 83030 184110 ) ( 103730 * )
NEW met2 ( 103730 184110 ) ( * 185470 )
NEW met1 ( 49450 183430 ) ( 83030 * )
NEW li1 ( 49450 183770 ) L1M1_PR_MR
NEW met1 ( 103730 184110 ) M1M2_PR
NEW li1 ( 103730 185470 ) L1M1_PR_MR
NEW met1 ( 103730 185470 ) M1M2_PR
NEW met1 ( 103730 185470 ) RECT ( -355 -70 0 70 ) ;
- net178 ( output178 A ) ( _181_ X ) + USE SIGNAL
+ ROUTED met2 ( 83950 11390 ) ( * 18190 )
NEW met1 ( 83950 18190 ) ( 92690 * )
NEW met2 ( 80730 10030 ) ( * 11390 )
NEW met1 ( 47150 10030 ) ( 80730 * )
NEW met2 ( 47150 10030 ) ( * 12070 )
NEW met1 ( 80730 11390 ) ( 83950 * )
NEW met1 ( 83950 11390 ) M1M2_PR
NEW met1 ( 83950 18190 ) M1M2_PR
NEW li1 ( 92690 18190 ) L1M1_PR_MR
NEW met1 ( 80730 11390 ) M1M2_PR
NEW met1 ( 80730 10030 ) M1M2_PR
NEW met1 ( 47150 10030 ) M1M2_PR
NEW li1 ( 47150 12070 ) L1M1_PR_MR
NEW met1 ( 47150 12070 ) M1M2_PR
NEW met1 ( 47150 12070 ) RECT ( -355 -70 0 70 ) ;
- net179 ( output179 A ) ( _182_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 28390 ) ( * 29070 )
NEW met1 ( 7130 29070 ) ( 48990 * )
NEW met1 ( 48530 96390 ) ( 48990 * )
NEW met2 ( 48990 29070 ) ( * 96390 )
NEW li1 ( 7130 28390 ) L1M1_PR_MR
NEW met1 ( 48990 29070 ) M1M2_PR
NEW met1 ( 48990 96390 ) M1M2_PR
NEW li1 ( 48530 96390 ) L1M1_PR_MR ;
- net18 ( input18 X ) ( _153_ A ) + USE SIGNAL
+ ROUTED met2 ( 47610 102510 ) ( * 185470 )
NEW met1 ( 82110 102510 ) ( * 102850 )
NEW met1 ( 82110 102850 ) ( 95910 * )
NEW met1 ( 95910 101830 ) ( * 102850 )
NEW met1 ( 95910 101830 ) ( 101890 * )
NEW met1 ( 101890 101830 ) ( * 102170 )
NEW met1 ( 47610 102510 ) ( 82110 * )
NEW met1 ( 47610 102510 ) M1M2_PR
NEW li1 ( 47610 185470 ) L1M1_PR_MR
NEW met1 ( 47610 185470 ) M1M2_PR
NEW li1 ( 101890 102170 ) L1M1_PR_MR
NEW met1 ( 47610 185470 ) RECT ( -355 -70 0 70 ) ;
- net180 ( output180 A ) ( _183_ X ) + USE SIGNAL
+ ROUTED met1 ( 7590 146370 ) ( 10810 * )
NEW met2 ( 7590 146370 ) ( * 175270 )
NEW met1 ( 7130 175270 ) ( 7590 * )
NEW li1 ( 10810 146370 ) L1M1_PR_MR
NEW met1 ( 7590 146370 ) M1M2_PR
NEW met1 ( 7590 175270 ) M1M2_PR
NEW li1 ( 7130 175270 ) L1M1_PR_MR ;
- net181 ( output181 A ) ( _193_ X ) + USE SIGNAL
+ ROUTED met2 ( 7590 122060 ) ( 8050 * )
NEW met2 ( 8050 118150 ) ( * 122060 )
NEW met1 ( 8050 117810 ) ( * 118150 )
NEW met1 ( 8050 117810 ) ( 11730 * )
NEW met1 ( 11730 117470 ) ( * 117810 )
NEW met2 ( 11730 104380 ) ( * 117470 )
NEW met2 ( 11730 104380 ) ( 12190 * )
NEW met2 ( 12190 92820 ) ( * 104380 )
NEW met2 ( 12190 92820 ) ( 12650 * )
NEW met2 ( 12650 90610 ) ( * 92820 )
NEW met2 ( 7590 132260 ) ( 8510 * )
NEW met2 ( 8510 132260 ) ( * 167450 )
NEW met1 ( 7130 167450 ) ( 8510 * )
NEW met2 ( 7590 122060 ) ( * 132260 )
NEW met1 ( 12650 90610 ) ( 102810 * )
NEW met1 ( 8050 118150 ) M1M2_PR
NEW met1 ( 11730 117470 ) M1M2_PR
NEW met1 ( 12650 90610 ) M1M2_PR
NEW met1 ( 8510 167450 ) M1M2_PR
NEW li1 ( 7130 167450 ) L1M1_PR_MR
NEW li1 ( 102810 90610 ) L1M1_PR_MR ;
- net182 ( output182 A ) ( _194_ X ) + USE SIGNAL
+ ROUTED met1 ( 10810 17510 ) ( 14030 * )
NEW met2 ( 14030 17510 ) ( * 24990 )
NEW met1 ( 14030 24990 ) ( 15870 * )
NEW li1 ( 10810 17510 ) L1M1_PR_MR
NEW met1 ( 14030 17510 ) M1M2_PR
NEW met1 ( 14030 24990 ) M1M2_PR
NEW li1 ( 15870 24990 ) L1M1_PR_MR ;
- net183 ( output183 A ) ( _195_ X ) + USE SIGNAL
+ ROUTED met1 ( 101430 12410 ) ( * 12750 )
NEW met1 ( 101430 12750 ) ( 105570 * )
NEW met2 ( 105570 12750 ) ( * 18020 )
NEW met2 ( 105110 18020 ) ( 105570 * )
NEW met1 ( 75210 11730 ) ( * 12410 )
NEW met1 ( 38410 11730 ) ( 75210 * )
NEW met1 ( 38410 11730 ) ( * 12070 )
NEW met1 ( 75210 12410 ) ( 101430 * )
NEW met1 ( 105110 95710 ) ( 106030 * )
NEW met2 ( 105110 18020 ) ( * 95710 )
NEW met1 ( 105570 12750 ) M1M2_PR
NEW li1 ( 38410 12070 ) L1M1_PR_MR
NEW met1 ( 105110 95710 ) M1M2_PR
NEW li1 ( 106030 95710 ) L1M1_PR_MR ;
- net184 ( output184 A ) ( _196_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 99110 ) ( * 103870 )
NEW met1 ( 131100 103870 ) ( * 104210 )
NEW met1 ( 131100 103870 ) ( 189290 * )
NEW met1 ( 103270 104210 ) ( 131100 * )
NEW met1 ( 189290 103870 ) M1M2_PR
NEW li1 ( 189290 99110 ) L1M1_PR_MR
NEW met1 ( 189290 99110 ) M1M2_PR
NEW li1 ( 103270 104210 ) L1M1_PR_MR
NEW met1 ( 189290 99110 ) RECT ( -355 -70 0 70 ) ;
- net185 ( output185 A ) ( _197_ X ) + USE SIGNAL
+ ROUTED met1 ( 98670 12070 ) ( 103270 * )
NEW met2 ( 103270 12070 ) ( 103730 * )
NEW met2 ( 103730 12070 ) ( * 19550 )
NEW li1 ( 98670 12070 ) L1M1_PR_MR
NEW met1 ( 103270 12070 ) M1M2_PR
NEW li1 ( 103730 19550 ) L1M1_PR_MR
NEW met1 ( 103730 19550 ) M1M2_PR
NEW met1 ( 103730 19550 ) RECT ( -355 -70 0 70 ) ;
- net186 ( output186 A ) ( _198_ X ) + USE SIGNAL
+ ROUTED met1 ( 101430 137870 ) ( 135010 * )
NEW met2 ( 135010 137870 ) ( * 186150 )
NEW li1 ( 101430 137870 ) L1M1_PR_MR
NEW li1 ( 135010 186150 ) L1M1_PR_MR
NEW met1 ( 135010 186150 ) M1M2_PR
NEW met1 ( 135010 137870 ) M1M2_PR
NEW met1 ( 135010 186150 ) RECT ( -355 -70 0 70 ) ;
- net187 ( output187 A ) ( _199_ X ) + USE SIGNAL
+ ROUTED met2 ( 93610 181390 ) ( * 182750 )
NEW met2 ( 161230 181220 ) ( * 181390 )
NEW met3 ( 161230 181220 ) ( 177330 * )
NEW met2 ( 177330 180710 ) ( * 181220 )
NEW met1 ( 93610 181390 ) ( 161230 * )
NEW met1 ( 93610 181390 ) M1M2_PR
NEW li1 ( 93610 182750 ) L1M1_PR_MR
NEW met1 ( 93610 182750 ) M1M2_PR
NEW met1 ( 161230 181390 ) M1M2_PR
NEW met2 ( 161230 181220 ) M2M3_PR_M
NEW met2 ( 177330 181220 ) M2M3_PR_M
NEW li1 ( 177330 180710 ) L1M1_PR_MR
NEW met1 ( 177330 180710 ) M1M2_PR
NEW met1 ( 93610 182750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 177330 180710 ) RECT ( -355 -70 0 70 ) ;
- net188 ( output188 A ) ( _200_ X ) + USE SIGNAL
+ ROUTED met1 ( 24610 183770 ) ( 25070 * )
NEW met2 ( 25070 101150 ) ( * 183770 )
NEW met1 ( 58650 101150 ) ( * 101490 )
NEW met1 ( 25070 101150 ) ( 58650 * )
NEW met1 ( 99130 101150 ) ( * 101490 )
NEW met1 ( 99130 101150 ) ( 106030 * )
NEW met1 ( 58650 101490 ) ( 99130 * )
NEW met1 ( 25070 101150 ) M1M2_PR
NEW met1 ( 25070 183770 ) M1M2_PR
NEW li1 ( 24610 183770 ) L1M1_PR_MR
NEW li1 ( 106030 101150 ) L1M1_PR_MR ;
- net189 ( output189 A ) ( _201_ X ) + USE SIGNAL
+ ROUTED met1 ( 26910 17510 ) ( 27370 * )
NEW met2 ( 26910 17510 ) ( * 94690 )
NEW met2 ( 86250 94690 ) ( * 95710 )
NEW met1 ( 26910 94690 ) ( 86250 * )
NEW met1 ( 26910 17510 ) M1M2_PR
NEW li1 ( 27370 17510 ) L1M1_PR_MR
NEW met1 ( 26910 94690 ) M1M2_PR
NEW met1 ( 86250 94690 ) M1M2_PR
NEW li1 ( 86250 95710 ) L1M1_PR_MR
NEW met1 ( 86250 95710 ) M1M2_PR
NEW met1 ( 86250 95710 ) RECT ( -355 -70 0 70 ) ;
- net19 ( input19 X ) ( _154_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 102170 ) ( 10810 * )
NEW met1 ( 8510 169830 ) ( 10810 * )
NEW met2 ( 10810 102170 ) ( * 169830 )
NEW met1 ( 10810 102170 ) M1M2_PR
NEW li1 ( 9890 102170 ) L1M1_PR_MR
NEW met1 ( 10810 169830 ) M1M2_PR
NEW li1 ( 8510 169830 ) L1M1_PR_MR ;
- net190 ( output190 A ) ( _202_ X ) + USE SIGNAL
+ ROUTED met2 ( 155250 112030 ) ( * 115430 )
NEW met1 ( 100050 112030 ) ( 155250 * )
NEW met1 ( 155250 115430 ) ( 189290 * )
NEW li1 ( 100050 112030 ) L1M1_PR_MR
NEW li1 ( 189290 115430 ) L1M1_PR_MR
NEW met1 ( 155250 112030 ) M1M2_PR
NEW met1 ( 155250 115430 ) M1M2_PR ;
- net191 ( output191 A ) ( _184_ X ) + USE SIGNAL
+ ROUTED met2 ( 91310 95710 ) ( * 175610 )
NEW met2 ( 179170 175610 ) ( * 178330 )
NEW met1 ( 91310 175610 ) ( 179170 * )
NEW li1 ( 91310 95710 ) L1M1_PR_MR
NEW met1 ( 91310 95710 ) M1M2_PR
NEW met1 ( 91310 175610 ) M1M2_PR
NEW met1 ( 179170 175610 ) M1M2_PR
NEW li1 ( 179170 178330 ) L1M1_PR_MR
NEW met1 ( 179170 178330 ) M1M2_PR
NEW met1 ( 91310 95710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 179170 178330 ) RECT ( -355 -70 0 70 ) ;
- net192 ( output192 A ) ( _203_ X ) + USE SIGNAL
+ ROUTED met2 ( 90850 118150 ) ( * 120190 )
NEW met1 ( 90850 120190 ) ( 99590 * )
NEW met1 ( 7130 118490 ) ( 34500 * )
NEW met1 ( 34500 118150 ) ( * 118490 )
NEW met1 ( 34500 118150 ) ( 90850 * )
NEW li1 ( 7130 118490 ) L1M1_PR_MR
NEW met1 ( 90850 118150 ) M1M2_PR
NEW met1 ( 90850 120190 ) M1M2_PR
NEW li1 ( 99590 120190 ) L1M1_PR_MR ;
- net193 ( output193 A ) ( _204_ X ) + USE SIGNAL
+ ROUTED met2 ( 112010 102850 ) ( * 164390 )
NEW met1 ( 112010 164390 ) ( 189290 * )
NEW li1 ( 112010 102850 ) L1M1_PR_MR
NEW met1 ( 112010 102850 ) M1M2_PR
NEW met1 ( 112010 164390 ) M1M2_PR
NEW li1 ( 189290 164390 ) L1M1_PR_MR
NEW met1 ( 112010 102850 ) RECT ( -355 -70 0 70 ) ;
- net194 ( output194 A ) ( _205_ X ) + USE SIGNAL
+ ROUTED met1 ( 186070 99790 ) ( 195730 * )
NEW met1 ( 189290 47770 ) ( 195730 * )
NEW li1 ( 195730 47770 ) ( * 99790 )
NEW li1 ( 195730 99790 ) L1M1_PR_MR
NEW li1 ( 186070 99790 ) L1M1_PR_MR
NEW li1 ( 195730 47770 ) L1M1_PR_MR
NEW li1 ( 189290 47770 ) L1M1_PR_MR ;
- net195 ( output195 A ) ( _206_ X ) + USE SIGNAL
+ ROUTED met2 ( 120290 15130 ) ( * 16830 )
NEW met1 ( 120290 16830 ) ( 122130 * )
NEW li1 ( 120290 15130 ) L1M1_PR_MR
NEW met1 ( 120290 15130 ) M1M2_PR
NEW met1 ( 120290 16830 ) M1M2_PR
NEW li1 ( 122130 16830 ) L1M1_PR_MR
NEW met1 ( 120290 15130 ) RECT ( -355 -70 0 70 ) ;
- net196 ( output196 A ) ( _207_ X ) + USE SIGNAL
+ ROUTED met1 ( 156630 146370 ) ( 161230 * )
NEW met2 ( 156630 146370 ) ( * 186150 )
NEW li1 ( 156630 186150 ) L1M1_PR_MR
NEW met1 ( 156630 186150 ) M1M2_PR
NEW li1 ( 161230 146370 ) L1M1_PR_MR
NEW met1 ( 156630 146370 ) M1M2_PR
NEW met1 ( 156630 186150 ) RECT ( -355 -70 0 70 ) ;
- net197 ( output197 A ) ( _208_ X ) + USE SIGNAL
+ ROUTED met1 ( 54510 186150 ) ( 57730 * )
NEW met2 ( 54510 100130 ) ( * 186150 )
NEW li1 ( 54510 100130 ) L1M1_PR_MR
NEW met1 ( 54510 100130 ) M1M2_PR
NEW met1 ( 54510 186150 ) M1M2_PR
NEW li1 ( 57730 186150 ) L1M1_PR_MR
NEW met1 ( 54510 100130 ) RECT ( -355 -70 0 70 ) ;
- net198 ( output198 A ) ( _209_ X ) + USE SIGNAL
+ ROUTED met1 ( 54970 15130 ) ( 57730 * )
NEW met2 ( 54970 15130 ) ( * 16830 )
NEW met1 ( 50830 16830 ) ( 54970 * )
NEW li1 ( 57730 15130 ) L1M1_PR_MR
NEW met1 ( 54970 15130 ) M1M2_PR
NEW met1 ( 54970 16830 ) M1M2_PR
NEW li1 ( 50830 16830 ) L1M1_PR_MR ;
- net199 ( output199 A ) ( _210_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 108290 ) ( * 113050 )
NEW li1 ( 189290 108290 ) L1M1_PR_MR
NEW met1 ( 189290 108290 ) M1M2_PR
NEW li1 ( 189290 113050 ) L1M1_PR_MR
NEW met1 ( 189290 113050 ) M1M2_PR
NEW met1 ( 189290 108290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 189290 113050 ) RECT ( -355 -70 0 70 ) ;
- net2 ( input2 X ) ( _139_ A ) + USE SIGNAL
+ ROUTED met1 ( 101890 21250 ) ( 113850 * )
NEW met1 ( 113850 20570 ) ( * 21250 )
NEW met1 ( 113850 20570 ) ( 117070 * )
NEW met1 ( 117070 20570 ) ( * 20910 )
NEW met1 ( 117070 20910 ) ( 121210 * )
NEW met1 ( 121210 20570 ) ( * 20910 )
NEW met1 ( 121210 20570 ) ( 124890 * )
NEW met2 ( 124890 19550 ) ( * 20570 )
NEW met2 ( 101430 59500 ) ( 101890 * )
NEW met2 ( 101890 21250 ) ( * 59500 )
NEW met2 ( 133170 18530 ) ( * 19550 )
NEW met1 ( 133170 18530 ) ( 135930 * )
NEW met2 ( 135930 15130 ) ( * 18530 )
NEW met1 ( 135930 15130 ) ( 138230 * )
NEW met1 ( 138230 14790 ) ( * 15130 )
NEW met1 ( 138230 14790 ) ( 164450 * )
NEW met1 ( 164450 14450 ) ( * 14790 )
NEW met1 ( 124890 19550 ) ( 133170 * )
NEW met1 ( 164450 14450 ) ( 190210 * )
NEW met1 ( 98210 96730 ) ( 101430 * )
NEW met2 ( 101430 59500 ) ( * 96730 )
NEW met1 ( 101890 21250 ) M1M2_PR
NEW met1 ( 124890 20570 ) M1M2_PR
NEW met1 ( 124890 19550 ) M1M2_PR
NEW li1 ( 190210 14450 ) L1M1_PR_MR
NEW met1 ( 133170 19550 ) M1M2_PR
NEW met1 ( 133170 18530 ) M1M2_PR
NEW met1 ( 135930 18530 ) M1M2_PR
NEW met1 ( 135930 15130 ) M1M2_PR
NEW met1 ( 101430 96730 ) M1M2_PR
NEW li1 ( 98210 96730 ) L1M1_PR_MR ;
- net20 ( input20 X ) ( _155_ A ) + USE SIGNAL
+ ROUTED met1 ( 113850 99450 ) ( * 99790 )
NEW met1 ( 136850 99110 ) ( * 99450 )
NEW met1 ( 136850 99110 ) ( 154790 * )
NEW met1 ( 154790 98770 ) ( * 99110 )
NEW met1 ( 154790 98770 ) ( 173650 * )
NEW met1 ( 113850 99450 ) ( 136850 * )
NEW li1 ( 88550 99110 ) ( * 99790 )
NEW met1 ( 88550 99110 ) ( 89470 * )
NEW met1 ( 88550 99790 ) ( 113850 * )
NEW met1 ( 173650 182750 ) ( 175490 * )
NEW met2 ( 173650 98770 ) ( * 182750 )
NEW met1 ( 173650 98770 ) M1M2_PR
NEW li1 ( 88550 99790 ) L1M1_PR_MR
NEW li1 ( 88550 99110 ) L1M1_PR_MR
NEW li1 ( 89470 99110 ) L1M1_PR_MR
NEW met1 ( 173650 182750 ) M1M2_PR
NEW li1 ( 175490 182750 ) L1M1_PR_MR ;
- net200 ( output200 A ) ( _211_ X ) + USE SIGNAL
+ ROUTED met2 ( 147890 13090 ) ( * 15130 )
NEW met1 ( 144210 15130 ) ( 147890 * )
NEW li1 ( 147890 13090 ) L1M1_PR_MR
NEW met1 ( 147890 13090 ) M1M2_PR
NEW met1 ( 147890 15130 ) M1M2_PR
NEW li1 ( 144210 15130 ) L1M1_PR_MR
NEW met1 ( 147890 13090 ) RECT ( -355 -70 0 70 ) ;
- net201 ( output201 A ) ( _212_ X ) + USE SIGNAL
+ ROUTED met1 ( 190670 117810 ) ( 195270 * )
NEW met1 ( 189290 36890 ) ( 195270 * )
NEW li1 ( 195270 36890 ) ( * 117810 )
NEW li1 ( 195270 117810 ) L1M1_PR_MR
NEW li1 ( 190670 117810 ) L1M1_PR_MR
NEW li1 ( 195270 36890 ) L1M1_PR_MR
NEW li1 ( 189290 36890 ) L1M1_PR_MR ;
- net202 ( output202 A ) ( _185_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 109990 ) ( 34500 * )
NEW met1 ( 34500 109990 ) ( * 110330 )
NEW met1 ( 34500 110330 ) ( 103730 * )
NEW li1 ( 7130 109990 ) L1M1_PR_MR
NEW li1 ( 103730 110330 ) L1M1_PR_MR ;
- net203 ( output203 A ) ( _213_ X ) + USE SIGNAL
+ ROUTED met1 ( 179400 17510 ) ( 180090 * )
NEW met2 ( 155250 17850 ) ( * 19890 )
NEW met1 ( 155250 17850 ) ( 179400 * )
NEW met1 ( 179400 17510 ) ( * 17850 )
NEW met1 ( 119830 19890 ) ( 155250 * )
NEW li1 ( 119830 19890 ) L1M1_PR_MR
NEW li1 ( 180090 17510 ) L1M1_PR_MR
NEW met1 ( 155250 19890 ) M1M2_PR
NEW met1 ( 155250 17850 ) M1M2_PR ;
- net204 ( output204 A ) ( _214_ X ) + USE SIGNAL
+ ROUTED met1 ( 83490 18190 ) ( * 18530 )
NEW met1 ( 83490 18530 ) ( 104650 * )
NEW met2 ( 104650 16830 ) ( * 18530 )
NEW met1 ( 104650 16830 ) ( 119370 * )
NEW met2 ( 119370 16830 ) ( * 17510 )
NEW met1 ( 119370 17510 ) ( 122590 * )
NEW met2 ( 122590 17510 ) ( * 34500 )
NEW met2 ( 122590 34500 ) ( 123050 * )
NEW met2 ( 123050 34500 ) ( * 98430 )
NEW met1 ( 82800 18190 ) ( 83490 * )
NEW met2 ( 35650 15130 ) ( * 18190 )
NEW met1 ( 35650 18190 ) ( 56350 * )
NEW met1 ( 56350 18190 ) ( * 18530 )
NEW met1 ( 56350 18530 ) ( 82800 * )
NEW met1 ( 82800 18190 ) ( * 18530 )
NEW met1 ( 31970 15130 ) ( 35650 * )
NEW li1 ( 31970 15130 ) L1M1_PR_MR
NEW met1 ( 104650 18530 ) M1M2_PR
NEW met1 ( 104650 16830 ) M1M2_PR
NEW met1 ( 119370 16830 ) M1M2_PR
NEW met1 ( 119370 17510 ) M1M2_PR
NEW met1 ( 122590 17510 ) M1M2_PR
NEW li1 ( 123050 98430 ) L1M1_PR_MR
NEW met1 ( 123050 98430 ) M1M2_PR
NEW met1 ( 35650 15130 ) M1M2_PR
NEW met1 ( 35650 18190 ) M1M2_PR
NEW met1 ( 123050 98430 ) RECT ( -355 -70 0 70 ) ;
- net205 ( output205 A ) ( _186_ X ) + USE SIGNAL
+ ROUTED met1 ( 83490 12070 ) ( 86710 * )
NEW met2 ( 86710 12070 ) ( * 16830 )
NEW li1 ( 83490 12070 ) L1M1_PR_MR
NEW met1 ( 86710 12070 ) M1M2_PR
NEW li1 ( 86710 16830 ) L1M1_PR_MR
NEW met1 ( 86710 16830 ) M1M2_PR
NEW met1 ( 86710 16830 ) RECT ( -355 -70 0 70 ) ;
- net206 ( output206 A ) ( _187_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 67490 ) ( * 69530 )
NEW met1 ( 164910 67490 ) ( 189290 * )
NEW met1 ( 189290 67490 ) M1M2_PR
NEW li1 ( 189290 69530 ) L1M1_PR_MR
NEW met1 ( 189290 69530 ) M1M2_PR
NEW li1 ( 164910 67490 ) L1M1_PR_MR
NEW met1 ( 189290 69530 ) RECT ( -355 -70 0 70 ) ;
- net207 ( output207 A ) ( _188_ X ) + USE SIGNAL
+ ROUTED met1 ( 156170 183090 ) ( * 183770 )
NEW met2 ( 104190 181730 ) ( * 183090 )
NEW met1 ( 104190 183090 ) ( 156170 * )
NEW li1 ( 156170 183770 ) L1M1_PR_MR
NEW li1 ( 104190 181730 ) L1M1_PR_MR
NEW met1 ( 104190 181730 ) M1M2_PR
NEW met1 ( 104190 183090 ) M1M2_PR
NEW met1 ( 104190 181730 ) RECT ( -355 -70 0 70 ) ;
- net208 ( output208 A ) ( _189_ X ) + USE SIGNAL
+ ROUTED met1 ( 190210 86530 ) ( 195270 * )
NEW met1 ( 195270 86530 ) ( * 87890 )
NEW met1 ( 194810 87890 ) ( 195270 * )
NEW li1 ( 194810 87890 ) ( * 158700 )
NEW li1 ( 194810 158700 ) ( 195730 * )
NEW li1 ( 195730 158700 ) ( * 175270 )
NEW met1 ( 189290 175270 ) ( 195730 * )
NEW li1 ( 190210 86530 ) L1M1_PR_MR
NEW li1 ( 194810 87890 ) L1M1_PR_MR
NEW li1 ( 195730 175270 ) L1M1_PR_MR
NEW li1 ( 189290 175270 ) L1M1_PR_MR ;
- net209 ( output209 A ) ( _190_ X ) + USE SIGNAL
+ ROUTED met2 ( 114770 15810 ) ( * 20230 )
NEW met1 ( 98670 20230 ) ( 114770 * )
NEW met2 ( 181010 15130 ) ( * 17510 )
NEW met1 ( 181010 17510 ) ( 183770 * )
NEW met1 ( 179400 15130 ) ( 181010 * )
NEW met1 ( 152490 15470 ) ( * 15810 )
NEW met1 ( 152490 15470 ) ( 179400 * )
NEW met1 ( 179400 15130 ) ( * 15470 )
NEW met1 ( 114770 15810 ) ( 152490 * )
NEW met1 ( 114770 15810 ) M1M2_PR
NEW met1 ( 114770 20230 ) M1M2_PR
NEW li1 ( 98670 20230 ) L1M1_PR_MR
NEW met1 ( 181010 15130 ) M1M2_PR
NEW met1 ( 181010 17510 ) M1M2_PR
NEW li1 ( 183770 17510 ) L1M1_PR_MR ;
- net21 ( input21 X ) ( _156_ A ) + USE SIGNAL
+ ROUTED li1 ( 121210 98770 ) ( * 99790 )
NEW met1 ( 112930 98770 ) ( 121210 * )
NEW met1 ( 112930 98770 ) ( * 99110 )
NEW met1 ( 195730 100130 ) ( * 100470 )
NEW li1 ( 195730 155550 ) ( 196650 * )
NEW li1 ( 195730 100470 ) ( * 155550 )
NEW met1 ( 179400 100130 ) ( 195730 * )
NEW met1 ( 137310 99450 ) ( * 99790 )
NEW met1 ( 137310 99450 ) ( 179400 * )
NEW met1 ( 179400 99450 ) ( * 100130 )
NEW met1 ( 121210 99790 ) ( 137310 * )
NEW met1 ( 106030 99110 ) ( 112930 * )
NEW met1 ( 190210 180030 ) ( 196650 * )
NEW li1 ( 196650 155550 ) ( * 180030 )
NEW li1 ( 121210 99790 ) L1M1_PR_MR
NEW li1 ( 121210 98770 ) L1M1_PR_MR
NEW li1 ( 195730 100470 ) L1M1_PR_MR
NEW li1 ( 106030 99110 ) L1M1_PR_MR
NEW li1 ( 196650 180030 ) L1M1_PR_MR
NEW li1 ( 190210 180030 ) L1M1_PR_MR ;
- net210 ( output210 A ) ( _191_ X ) + USE SIGNAL
+ ROUTED met2 ( 27830 17850 ) ( * 20230 )
NEW met1 ( 14490 17850 ) ( 27830 * )
NEW met1 ( 14490 17510 ) ( * 17850 )
NEW met2 ( 96830 20230 ) ( * 34500 )
NEW met2 ( 96830 34500 ) ( 97290 * )
NEW met1 ( 27830 20230 ) ( 96830 * )
NEW met1 ( 97290 84830 ) ( 98670 * )
NEW met2 ( 97290 34500 ) ( * 84830 )
NEW met1 ( 27830 20230 ) M1M2_PR
NEW met1 ( 27830 17850 ) M1M2_PR
NEW li1 ( 14490 17510 ) L1M1_PR_MR
NEW met1 ( 96830 20230 ) M1M2_PR
NEW met1 ( 97290 84830 ) M1M2_PR
NEW li1 ( 98670 84830 ) L1M1_PR_MR ;
- net211 ( output211 A ) ( _192_ X ) + USE SIGNAL
+ ROUTED met2 ( 189290 104550 ) ( * 106590 )
NEW met1 ( 102810 106590 ) ( 189290 * )
NEW met1 ( 189290 106590 ) M1M2_PR
NEW li1 ( 189290 104550 ) L1M1_PR_MR
NEW met1 ( 189290 104550 ) M1M2_PR
NEW li1 ( 102810 106590 ) L1M1_PR_MR
NEW met1 ( 189290 104550 ) RECT ( -355 -70 0 70 ) ;
- net212 ( output212 A ) ( _215_ X ) + USE SIGNAL
+ ROUTED met1 ( 12650 20230 ) ( * 20570 )
NEW met1 ( 12650 20230 ) ( 26450 * )
NEW met1 ( 26450 20230 ) ( * 21250 )
NEW li1 ( 12650 20570 ) L1M1_PR_MR
NEW li1 ( 26450 21250 ) L1M1_PR_MR ;
- net213 ( ANTENNA_2 DIODE ) ( output213 A ) ( _249_ X ) + USE SIGNAL
+ ROUTED met2 ( 58650 39610 ) ( * 172890 )
NEW met1 ( 188370 172890 ) ( 189290 * )
NEW met1 ( 58650 172890 ) ( 188370 * )
NEW li1 ( 58650 39610 ) L1M1_PR_MR
NEW met1 ( 58650 39610 ) M1M2_PR
NEW met1 ( 58650 172890 ) M1M2_PR
NEW li1 ( 188370 172890 ) L1M1_PR_MR
NEW li1 ( 189290 172890 ) L1M1_PR_MR
NEW met1 ( 58650 39610 ) RECT ( -355 -70 0 70 ) ;
- net214 ( output214 A ) ( _216_ X ) + USE SIGNAL
+ ROUTED met1 ( 120290 177650 ) ( 126270 * )
NEW met2 ( 126270 177650 ) ( * 183430 )
NEW met1 ( 146050 183430 ) ( * 183770 )
NEW met1 ( 126270 183430 ) ( 146050 * )
NEW met1 ( 126270 183430 ) M1M2_PR
NEW li1 ( 120290 177650 ) L1M1_PR_MR
NEW met1 ( 126270 177650 ) M1M2_PR
NEW li1 ( 146050 183770 ) L1M1_PR_MR ;
- net215 ( output215 A ) ( _226_ X ) + USE SIGNAL
+ ROUTED met2 ( 16330 20570 ) ( * 30430 )
NEW met1 ( 13570 30430 ) ( 16330 * )
NEW li1 ( 16330 20570 ) L1M1_PR_MR
NEW met1 ( 16330 20570 ) M1M2_PR
NEW met1 ( 16330 30430 ) M1M2_PR
NEW li1 ( 13570 30430 ) L1M1_PR_MR
NEW met1 ( 16330 20570 ) RECT ( -355 -70 0 70 ) ;
- net216 ( output216 A ) ( _227_ X ) + USE SIGNAL
+ ROUTED met1 ( 10810 101150 ) ( 12190 * )
NEW met1 ( 7130 61030 ) ( 10810 * )
NEW met2 ( 10810 61030 ) ( * 101150 )
NEW met1 ( 10810 101150 ) M1M2_PR
NEW li1 ( 12190 101150 ) L1M1_PR_MR
NEW met1 ( 10810 61030 ) M1M2_PR
NEW li1 ( 7130 61030 ) L1M1_PR_MR ;
- net217 ( output217 A ) ( _228_ X ) + USE SIGNAL
+ ROUTED met2 ( 115690 15130 ) ( * 19550 )
NEW met1 ( 112470 19550 ) ( 115690 * )
NEW li1 ( 115690 15130 ) L1M1_PR_MR
NEW met1 ( 115690 15130 ) M1M2_PR
NEW met1 ( 115690 19550 ) M1M2_PR
NEW li1 ( 112470 19550 ) L1M1_PR_MR
NEW met1 ( 115690 15130 ) RECT ( -355 -70 0 70 ) ;
- net218 ( output218 A ) ( _229_ X ) + USE SIGNAL
+ ROUTED met1 ( 18630 17510 ) ( 19090 * )
NEW met1 ( 10350 112370 ) ( 18630 * )
NEW met2 ( 18630 17510 ) ( * 112370 )
NEW met1 ( 18630 17510 ) M1M2_PR
NEW li1 ( 19090 17510 ) L1M1_PR_MR
NEW met1 ( 18630 112370 ) M1M2_PR
NEW li1 ( 10350 112370 ) L1M1_PR_MR ;
- net219 ( output219 A ) ( _230_ X ) + USE SIGNAL
+ ROUTED met1 ( 135010 14110 ) ( * 15130 )
NEW met1 ( 135010 14110 ) ( 138230 * )
NEW met1 ( 130870 15130 ) ( 135010 * )
NEW met2 ( 138230 14110 ) ( * 96050 )
NEW li1 ( 130870 15130 ) L1M1_PR_MR
NEW met1 ( 138230 14110 ) M1M2_PR
NEW li1 ( 138230 96050 ) L1M1_PR_MR
NEW met1 ( 138230 96050 ) M1M2_PR
NEW met1 ( 138230 96050 ) RECT ( -355 -70 0 70 ) ;
- net22 ( input22 X ) ( _132_ A ) + USE SIGNAL
+ ROUTED met1 ( 83490 99110 ) ( 85330 * )
NEW met1 ( 84870 186150 ) ( 85330 * )
NEW met2 ( 85330 99110 ) ( * 186150 )
NEW met1 ( 85330 99110 ) M1M2_PR
NEW li1 ( 83490 99110 ) L1M1_PR_MR
NEW met1 ( 85330 186150 ) M1M2_PR
NEW li1 ( 84870 186150 ) L1M1_PR_MR ;
- net220 ( output220 A ) ( _231_ X ) + USE SIGNAL
+ ROUTED met2 ( 62330 104550 ) ( * 106590 )
NEW met1 ( 62330 106590 ) ( 95450 * )
NEW met1 ( 7130 104550 ) ( 62330 * )
NEW li1 ( 7130 104550 ) L1M1_PR_MR
NEW met1 ( 62330 104550 ) M1M2_PR
NEW met1 ( 62330 106590 ) M1M2_PR
NEW li1 ( 95450 106590 ) L1M1_PR_MR ;
- net221 ( output221 A ) ( _232_ X ) + USE SIGNAL
+ ROUTED met1 ( 22770 15130 ) ( * 15470 )
NEW met2 ( 105110 15130 ) ( * 17170 )
NEW met1 ( 105110 15130 ) ( 112470 * )
NEW met2 ( 36110 15470 ) ( * 17850 )
NEW met1 ( 36110 17850 ) ( 80270 * )
NEW met1 ( 80270 17170 ) ( * 17850 )
NEW met1 ( 22770 15470 ) ( 36110 * )
NEW met1 ( 80270 17170 ) ( 105110 * )
NEW li1 ( 22770 15130 ) L1M1_PR_MR
NEW met1 ( 105110 17170 ) M1M2_PR
NEW met1 ( 105110 15130 ) M1M2_PR
NEW li1 ( 112470 15130 ) L1M1_PR_MR
NEW met1 ( 36110 15470 ) M1M2_PR
NEW met1 ( 36110 17850 ) M1M2_PR ;
- net222 ( output222 A ) ( _233_ X ) + USE SIGNAL
+ ROUTED met1 ( 96830 132770 ) ( 99130 * )
NEW met1 ( 92690 183090 ) ( 96830 * )
NEW met1 ( 92690 182750 ) ( * 183090 )
NEW met1 ( 73830 182750 ) ( 92690 * )
NEW li1 ( 73830 182750 ) ( * 183770 )
NEW met1 ( 73830 183770 ) ( 74290 * )
NEW met2 ( 96830 132770 ) ( * 183090 )
NEW li1 ( 99130 132770 ) L1M1_PR_MR
NEW met1 ( 96830 132770 ) M1M2_PR
NEW met1 ( 96830 183090 ) M1M2_PR
NEW li1 ( 73830 182750 ) L1M1_PR_MR
NEW li1 ( 73830 183770 ) L1M1_PR_MR
NEW li1 ( 74290 183770 ) L1M1_PR_MR ;
- net223 ( output223 A ) ( _234_ X ) + USE SIGNAL
+ ROUTED met2 ( 81190 67490 ) ( * 69530 )
NEW met1 ( 81190 67490 ) ( 100050 * )
NEW met1 ( 7130 69530 ) ( 81190 * )
NEW li1 ( 7130 69530 ) L1M1_PR_MR
NEW met1 ( 81190 69530 ) M1M2_PR
NEW met1 ( 81190 67490 ) M1M2_PR
NEW li1 ( 100050 67490 ) L1M1_PR_MR ;
- net224 ( output224 A ) ( _235_ X ) + USE SIGNAL
+ ROUTED met2 ( 89470 15810 ) ( * 22270 )
NEW met1 ( 89470 22270 ) ( 96830 * )
NEW met1 ( 71070 15470 ) ( * 15810 )
NEW met1 ( 67850 15470 ) ( 71070 * )
NEW met2 ( 67850 15300 ) ( * 15470 )
NEW met2 ( 66930 15300 ) ( 67850 * )
NEW met2 ( 66930 15300 ) ( * 15470 )
NEW met1 ( 36570 15470 ) ( 66930 * )
NEW met1 ( 36570 15130 ) ( * 15470 )
NEW met1 ( 71070 15810 ) ( 89470 * )
NEW met1 ( 89470 15810 ) M1M2_PR
NEW met1 ( 89470 22270 ) M1M2_PR
NEW li1 ( 96830 22270 ) L1M1_PR_MR
NEW met1 ( 67850 15470 ) M1M2_PR
NEW met1 ( 66930 15470 ) M1M2_PR
NEW li1 ( 36570 15130 ) L1M1_PR_MR ;
- net225 ( output225 A ) ( _217_ X ) + USE SIGNAL
+ ROUTED met2 ( 8970 22950 ) ( * 33150 )
NEW met1 ( 8970 22950 ) ( 13570 * )
NEW li1 ( 8970 33150 ) L1M1_PR_MR
NEW met1 ( 8970 33150 ) M1M2_PR
NEW met1 ( 8970 22950 ) M1M2_PR
NEW li1 ( 13570 22950 ) L1M1_PR_MR
NEW met1 ( 8970 33150 ) RECT ( -355 -70 0 70 ) ;
- net226 ( output226 A ) ( _236_ X ) + USE SIGNAL
+ ROUTED met1 ( 114770 176290 ) ( 116150 * )
NEW met2 ( 114770 176290 ) ( * 183770 )
NEW li1 ( 114770 183770 ) L1M1_PR_MR
NEW met1 ( 114770 183770 ) M1M2_PR
NEW li1 ( 116150 176290 ) L1M1_PR_MR
NEW met1 ( 114770 176290 ) M1M2_PR
NEW met1 ( 114770 183770 ) RECT ( -355 -70 0 70 ) ;
- net227 ( output227 A ) ( _237_ X ) + USE SIGNAL
+ ROUTED met1 ( 74290 99790 ) ( 75670 * )
NEW met1 ( 75670 183770 ) ( 77970 * )
NEW met2 ( 75670 99790 ) ( * 183770 )
NEW li1 ( 74290 99790 ) L1M1_PR_MR
NEW met1 ( 75670 99790 ) M1M2_PR
NEW met1 ( 75670 183770 ) M1M2_PR
NEW li1 ( 77970 183770 ) L1M1_PR_MR ;
- net228 ( output228 A ) ( _238_ X ) + USE SIGNAL
+ ROUTED met2 ( 91770 12070 ) ( * 19550 )
NEW met1 ( 91770 19550 ) ( 93150 * )
NEW li1 ( 91770 12070 ) L1M1_PR_MR
NEW met1 ( 91770 12070 ) M1M2_PR
NEW met1 ( 91770 19550 ) M1M2_PR
NEW li1 ( 93150 19550 ) L1M1_PR_MR
NEW met1 ( 91770 12070 ) RECT ( -355 -70 0 70 ) ;
- net229 ( output229 A ) ( _239_ X ) + USE SIGNAL
+ ROUTED met1 ( 151110 186150 ) ( 152490 * )
NEW met2 ( 151110 99790 ) ( * 186150 )
NEW li1 ( 151110 99790 ) L1M1_PR_MR
NEW met1 ( 151110 99790 ) M1M2_PR
NEW met1 ( 151110 186150 ) M1M2_PR
NEW li1 ( 152490 186150 ) L1M1_PR_MR
NEW met1 ( 151110 99790 ) RECT ( -355 -70 0 70 ) ;
- net23 ( input23 X ) ( _157_ A ) + USE SIGNAL
+ ROUTED met2 ( 103270 71230 ) ( * 99620 )
NEW met2 ( 102810 99620 ) ( 103270 * )
NEW met2 ( 102810 99620 ) ( * 104210 )
NEW met1 ( 98670 104210 ) ( 102810 * )
NEW met1 ( 9890 71230 ) ( 103270 * )
NEW li1 ( 9890 71230 ) L1M1_PR_MR
NEW met1 ( 103270 71230 ) M1M2_PR
NEW met1 ( 102810 104210 ) M1M2_PR
NEW li1 ( 98670 104210 ) L1M1_PR_MR ;
- net230 ( output230 A ) ( _240_ X ) + USE SIGNAL
+ ROUTED met2 ( 113850 26010 ) ( * 98430 )
NEW met1 ( 113850 26010 ) ( 189290 * )
NEW met1 ( 113850 26010 ) M1M2_PR
NEW li1 ( 113850 98430 ) L1M1_PR_MR
NEW met1 ( 113850 98430 ) M1M2_PR
NEW li1 ( 189290 26010 ) L1M1_PR_MR
NEW met1 ( 113850 98430 ) RECT ( -355 -70 0 70 ) ;
- net231 ( output231 A ) ( _241_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 156570 ) ( 66010 * )
NEW met1 ( 66010 94010 ) ( 93610 * )
NEW met2 ( 66010 94010 ) ( * 156570 )
NEW li1 ( 7130 156570 ) L1M1_PR_MR
NEW met1 ( 66010 156570 ) M1M2_PR
NEW met1 ( 66010 94010 ) M1M2_PR
NEW li1 ( 93610 94010 ) L1M1_PR_MR ;
- net232 ( output232 A ) ( _242_ X ) + USE SIGNAL
+ ROUTED met1 ( 188830 148070 ) ( 189290 * )
NEW met2 ( 188830 87550 ) ( * 148070 )
NEW met1 ( 99130 87550 ) ( 188830 * )
NEW met1 ( 188830 87550 ) M1M2_PR
NEW met1 ( 188830 148070 ) M1M2_PR
NEW li1 ( 189290 148070 ) L1M1_PR_MR
NEW li1 ( 99130 87550 ) L1M1_PR_MR ;
- net233 ( output233 A ) ( _243_ X ) + USE SIGNAL
+ ROUTED met1 ( 40250 20570 ) ( 60950 * )
NEW met2 ( 40250 15130 ) ( * 20570 )
NEW met2 ( 60950 20570 ) ( * 98430 )
NEW met1 ( 60950 20570 ) M1M2_PR
NEW met1 ( 40250 20570 ) M1M2_PR
NEW li1 ( 40250 15130 ) L1M1_PR_MR
NEW met1 ( 40250 15130 ) M1M2_PR
NEW li1 ( 60950 98430 ) L1M1_PR_MR
NEW met1 ( 60950 98430 ) M1M2_PR
NEW met1 ( 40250 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 60950 98430 ) RECT ( -355 -70 0 70 ) ;
- net234 ( output234 A ) ( _244_ X ) + USE SIGNAL
+ ROUTED met1 ( 64170 117470 ) ( 99590 * )
NEW met2 ( 64170 12070 ) ( * 117470 )
NEW li1 ( 99590 117470 ) L1M1_PR_MR
NEW li1 ( 64170 12070 ) L1M1_PR_MR
NEW met1 ( 64170 12070 ) M1M2_PR
NEW met1 ( 64170 117470 ) M1M2_PR
NEW met1 ( 64170 12070 ) RECT ( -355 -70 0 70 ) ;
- net235 ( output235 A ) ( _245_ X ) + USE SIGNAL
+ ROUTED met1 ( 104650 14790 ) ( 118450 * )
NEW met1 ( 104650 14790 ) ( * 15130 )
NEW met2 ( 117530 82800 ) ( * 98430 )
NEW met2 ( 117530 82800 ) ( 118450 * )
NEW met2 ( 118450 14790 ) ( * 82800 )
NEW met1 ( 118450 14790 ) M1M2_PR
NEW li1 ( 104650 15130 ) L1M1_PR_MR
NEW li1 ( 117530 98430 ) L1M1_PR_MR
NEW met1 ( 117530 98430 ) M1M2_PR
NEW met1 ( 117530 98430 ) RECT ( -355 -70 0 70 ) ;
- net236 ( ANTENNA_3 DIODE ) ( output236 A ) ( _218_ X ) + USE SIGNAL
+ ROUTED met1 ( 188370 140250 ) ( 189290 * )
NEW met1 ( 91770 140250 ) ( 188370 * )
NEW met2 ( 91770 102510 ) ( * 140250 )
NEW met1 ( 91770 140250 ) M1M2_PR
NEW li1 ( 188370 140250 ) L1M1_PR_MR
NEW li1 ( 189290 140250 ) L1M1_PR_MR
NEW li1 ( 91770 102510 ) L1M1_PR_MR
NEW met1 ( 91770 102510 ) M1M2_PR
NEW met1 ( 91770 102510 ) RECT ( -355 -70 0 70 ) ;
- net237 ( output237 A ) ( _246_ X ) + USE SIGNAL
+ ROUTED met2 ( 102810 17510 ) ( * 27710 )
NEW met1 ( 98670 27710 ) ( 102810 * )
NEW li1 ( 102810 17510 ) L1M1_PR_MR
NEW met1 ( 102810 17510 ) M1M2_PR
NEW met1 ( 102810 27710 ) M1M2_PR
NEW li1 ( 98670 27710 ) L1M1_PR_MR
NEW met1 ( 102810 17510 ) RECT ( -355 -70 0 70 ) ;
- net238 ( output238 A ) ( _247_ X ) + USE SIGNAL
+ ROUTED met1 ( 139610 185810 ) ( 143750 * )
NEW met1 ( 139610 185810 ) ( * 186150 )
NEW met2 ( 143750 81090 ) ( * 185810 )
NEW met1 ( 143750 185810 ) M1M2_PR
NEW li1 ( 139610 186150 ) L1M1_PR_MR
NEW li1 ( 143750 81090 ) L1M1_PR_MR
NEW met1 ( 143750 81090 ) M1M2_PR
NEW met1 ( 143750 81090 ) RECT ( -355 -70 0 70 ) ;
- net239 ( output239 A ) ( _219_ X ) + USE SIGNAL
+ ROUTED met1 ( 138230 183770 ) ( 138690 * )
NEW met2 ( 138230 99790 ) ( * 183770 )
NEW li1 ( 138230 99790 ) L1M1_PR_MR
NEW met1 ( 138230 99790 ) M1M2_PR
NEW met1 ( 138230 183770 ) M1M2_PR
NEW li1 ( 138690 183770 ) L1M1_PR_MR
NEW met1 ( 138230 99790 ) RECT ( -355 -70 0 70 ) ;
- net24 ( input24 X ) ( _158_ A ) + USE SIGNAL
+ ROUTED met2 ( 104650 184110 ) ( * 186150 )
NEW met2 ( 180550 184110 ) ( * 186150 )
NEW met1 ( 180550 186150 ) ( 187910 * )
NEW met1 ( 104650 184110 ) ( 180550 * )
NEW met1 ( 104650 184110 ) M1M2_PR
NEW li1 ( 104650 186150 ) L1M1_PR_MR
NEW met1 ( 104650 186150 ) M1M2_PR
NEW met1 ( 180550 184110 ) M1M2_PR
NEW met1 ( 180550 186150 ) M1M2_PR
NEW li1 ( 187910 186150 ) L1M1_PR_MR
NEW met1 ( 104650 186150 ) RECT ( -355 -70 0 70 ) ;
- net240 ( output240 A ) ( _220_ X ) + USE SIGNAL
+ ROUTED met1 ( 14950 99790 ) ( 15870 * )
NEW met1 ( 15870 183770 ) ( 17250 * )
NEW met2 ( 15870 99790 ) ( * 183770 )
NEW li1 ( 14950 99790 ) L1M1_PR_MR
NEW met1 ( 15870 99790 ) M1M2_PR
NEW met1 ( 15870 183770 ) M1M2_PR
NEW li1 ( 17250 183770 ) L1M1_PR_MR ;
- net241 ( output241 A ) ( _221_ X ) + USE SIGNAL
+ ROUTED met2 ( 48530 90950 ) ( * 180710 )
NEW met2 ( 100050 90950 ) ( * 92990 )
NEW met1 ( 100050 92990 ) ( 106490 * )
NEW met1 ( 48530 90950 ) ( 100050 * )
NEW met1 ( 48530 90950 ) M1M2_PR
NEW li1 ( 48530 180710 ) L1M1_PR_MR
NEW met1 ( 48530 180710 ) M1M2_PR
NEW met1 ( 100050 90950 ) M1M2_PR
NEW met1 ( 100050 92990 ) M1M2_PR
NEW li1 ( 106490 92990 ) L1M1_PR_MR
NEW met1 ( 48530 180710 ) RECT ( -355 -70 0 70 ) ;
- net242 ( output242 A ) ( _222_ X ) + USE SIGNAL
+ ROUTED met2 ( 123970 15130 ) ( * 19550 )
NEW met1 ( 116610 19550 ) ( 123970 * )
NEW li1 ( 123970 15130 ) L1M1_PR_MR
NEW met1 ( 123970 15130 ) M1M2_PR
NEW met1 ( 123970 19550 ) M1M2_PR
NEW li1 ( 116610 19550 ) L1M1_PR_MR
NEW met1 ( 123970 15130 ) RECT ( -355 -70 0 70 ) ;
- net243 ( output243 A ) ( _223_ X ) + USE SIGNAL
+ ROUTED met2 ( 8970 47090 ) ( * 55590 )
NEW met1 ( 7130 55590 ) ( 8970 * )
NEW li1 ( 8970 47090 ) L1M1_PR_MR
NEW met1 ( 8970 47090 ) M1M2_PR
NEW met1 ( 8970 55590 ) M1M2_PR
NEW li1 ( 7130 55590 ) L1M1_PR_MR
NEW met1 ( 8970 47090 ) RECT ( -355 -70 0 70 ) ;
- net244 ( ANTENNA_4 DIODE ) ( output244 A ) ( _224_ X ) + USE SIGNAL
+ ROUTED met1 ( 10350 177310 ) ( 12190 * )
NEW met1 ( 10810 177990 ) ( * 178330 )
NEW met1 ( 10810 177990 ) ( 12190 * )
NEW met1 ( 12190 177310 ) ( * 177990 )
NEW met2 ( 12190 104890 ) ( * 177310 )
NEW met1 ( 68310 103870 ) ( * 104890 )
NEW met1 ( 68310 103870 ) ( 106490 * )
NEW met1 ( 12190 104890 ) ( 68310 * )
NEW met1 ( 12190 104890 ) M1M2_PR
NEW li1 ( 10350 177310 ) L1M1_PR_MR
NEW met1 ( 12190 177310 ) M1M2_PR
NEW li1 ( 10810 178330 ) L1M1_PR_MR
NEW li1 ( 106490 103870 ) L1M1_PR_MR ;
- net245 ( output245 A ) ( _225_ X ) + USE SIGNAL
+ ROUTED met1 ( 189290 76670 ) ( * 77350 )
NEW met1 ( 99590 76670 ) ( 189290 * )
NEW li1 ( 189290 77350 ) L1M1_PR_MR
NEW li1 ( 99590 76670 ) L1M1_PR_MR ;
- net25 ( input25 X ) ( _159_ A ) + USE SIGNAL
+ ROUTED met1 ( 128570 156910 ) ( 129950 * )
NEW met2 ( 129950 156910 ) ( * 180030 )
NEW li1 ( 129950 180030 ) L1M1_PR_MR
NEW met1 ( 129950 180030 ) M1M2_PR
NEW met1 ( 129950 156910 ) M1M2_PR
NEW li1 ( 128570 156910 ) L1M1_PR_MR
NEW met1 ( 129950 180030 ) RECT ( -355 -70 0 70 ) ;
- net26 ( input26 X ) ( _160_ A ) + USE SIGNAL
+ ROUTED met2 ( 187910 80410 ) ( * 82790 )
NEW met1 ( 98210 82790 ) ( 187910 * )
NEW met1 ( 187910 82790 ) M1M2_PR
NEW li1 ( 187910 80410 ) L1M1_PR_MR
NEW met1 ( 187910 80410 ) M1M2_PR
NEW li1 ( 98210 82790 ) L1M1_PR_MR
NEW met1 ( 187910 80410 ) RECT ( -355 -70 0 70 ) ;
- net27 ( input27 X ) ( _161_ A ) + USE SIGNAL
+ ROUTED met2 ( 94530 102510 ) ( * 160990 )
NEW met1 ( 94530 160990 ) ( 190210 * )
NEW li1 ( 94530 102510 ) L1M1_PR_MR
NEW met1 ( 94530 102510 ) M1M2_PR
NEW met1 ( 94530 160990 ) M1M2_PR
NEW li1 ( 190210 160990 ) L1M1_PR_MR
NEW met1 ( 94530 102510 ) RECT ( -355 -70 0 70 ) ;
- net28 ( input28 X ) ( _162_ A ) + USE SIGNAL
+ ROUTED met2 ( 129490 99110 ) ( * 186150 )
NEW met1 ( 129490 99110 ) ( 132250 * )
NEW met1 ( 129490 99110 ) M1M2_PR
NEW li1 ( 129490 186150 ) L1M1_PR_MR
NEW met1 ( 129490 186150 ) M1M2_PR
NEW li1 ( 132250 99110 ) L1M1_PR_MR
NEW met1 ( 129490 186150 ) RECT ( -355 -70 0 70 ) ;
- net29 ( input29 X ) ( _163_ A ) + USE SIGNAL
+ ROUTED met1 ( 186990 93330 ) ( * 93670 )
NEW met1 ( 182850 93330 ) ( 186990 * )
NEW met1 ( 182850 92990 ) ( * 93330 )
NEW li1 ( 186990 93670 ) L1M1_PR_MR
NEW li1 ( 182850 92990 ) L1M1_PR_MR ;
- net3 ( input3 X ) ( _140_ A ) + USE SIGNAL
+ ROUTED met1 ( 179400 120190 ) ( 183310 * )
NEW met1 ( 179400 120190 ) ( * 120530 )
NEW met1 ( 175950 120530 ) ( 179400 * )
NEW li1 ( 183310 120190 ) L1M1_PR_MR
NEW li1 ( 175950 120530 ) L1M1_PR_MR ;
- net30 ( input30 X ) ( _164_ A ) + USE SIGNAL
+ ROUTED met2 ( 73370 110400 ) ( 73830 * )
NEW met2 ( 73830 99110 ) ( * 110400 )
NEW met1 ( 73830 99110 ) ( 76130 * )
NEW met1 ( 76130 99110 ) ( * 99790 )
NEW met1 ( 76130 99790 ) ( 86710 * )
NEW met2 ( 86710 97410 ) ( * 99790 )
NEW met1 ( 86710 97410 ) ( 101430 * )
NEW met2 ( 101430 97410 ) ( * 98770 )
NEW met1 ( 101430 98770 ) ( 109710 * )
NEW met2 ( 73370 110400 ) ( * 185470 )
NEW met1 ( 73830 99110 ) M1M2_PR
NEW met1 ( 86710 99790 ) M1M2_PR
NEW met1 ( 86710 97410 ) M1M2_PR
NEW met1 ( 101430 97410 ) M1M2_PR
NEW met1 ( 101430 98770 ) M1M2_PR
NEW li1 ( 109710 98770 ) L1M1_PR_MR
NEW li1 ( 73370 185470 ) L1M1_PR_MR
NEW met1 ( 73370 185470 ) M1M2_PR
NEW met1 ( 73370 185470 ) RECT ( -355 -70 0 70 ) ;
- net31 ( input31 X ) ( _165_ A ) + USE SIGNAL
+ ROUTED met1 ( 179400 93330 ) ( 181010 * )
NEW met1 ( 179400 93330 ) ( * 94010 )
NEW met1 ( 98670 93670 ) ( * 94010 )
NEW met1 ( 98670 94010 ) ( 179400 * )
NEW met2 ( 181010 93330 ) ( * 185470 )
NEW met1 ( 181010 93330 ) M1M2_PR
NEW li1 ( 98670 93670 ) L1M1_PR_MR
NEW li1 ( 181010 185470 ) L1M1_PR_MR
NEW met1 ( 181010 185470 ) M1M2_PR
NEW met1 ( 181010 185470 ) RECT ( -355 -70 0 70 ) ;
- net32 ( input32 X ) ( _166_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 131750 ) ( 12190 * )
NEW met1 ( 12190 131750 ) ( * 132430 )
NEW li1 ( 9890 131750 ) L1M1_PR_MR
NEW li1 ( 12190 132430 ) L1M1_PR_MR ;
- net33 ( input33 X ) ( _133_ A ) + USE SIGNAL
+ ROUTED met1 ( 110170 20570 ) ( * 20910 )
NEW met1 ( 108330 20910 ) ( 110170 * )
NEW met2 ( 108330 20910 ) ( * 22270 )
NEW met1 ( 104650 22270 ) ( 108330 * )
NEW li1 ( 110170 20570 ) L1M1_PR_MR
NEW met1 ( 108330 20910 ) M1M2_PR
NEW met1 ( 108330 22270 ) M1M2_PR
NEW li1 ( 104650 22270 ) L1M1_PR_MR ;
- net34 ( input34 X ) ( _167_ A ) + USE SIGNAL
+ ROUTED met1 ( 9890 93670 ) ( 12650 * )
NEW met1 ( 8510 183430 ) ( 12650 * )
NEW met2 ( 12650 93670 ) ( * 183430 )
NEW met1 ( 12650 93670 ) M1M2_PR
NEW li1 ( 9890 93670 ) L1M1_PR_MR
NEW met1 ( 12650 183430 ) M1M2_PR
NEW li1 ( 8510 183430 ) L1M1_PR_MR ;
- net35 ( input35 X ) ( _168_ A ) + USE SIGNAL
+ ROUTED met1 ( 14490 182750 ) ( 20470 * )
NEW met1 ( 13570 80410 ) ( 20470 * )
NEW met2 ( 20470 80410 ) ( * 182750 )
NEW met1 ( 20470 182750 ) M1M2_PR
NEW li1 ( 14490 182750 ) L1M1_PR_MR
NEW met1 ( 20470 80410 ) M1M2_PR
NEW li1 ( 13570 80410 ) L1M1_PR_MR ;
- net36 ( input36 X ) ( _169_ A ) + USE SIGNAL
+ ROUTED li1 ( 82570 16830 ) ( * 17510 )
NEW met1 ( 59570 16830 ) ( 82570 * )
NEW met1 ( 82570 17510 ) ( 83950 * )
NEW li1 ( 83950 17510 ) L1M1_PR_MR
NEW li1 ( 82570 17510 ) L1M1_PR_MR
NEW li1 ( 82570 16830 ) L1M1_PR_MR
NEW li1 ( 59570 16830 ) L1M1_PR_MR ;
- net37 ( input37 X ) ( _170_ A ) + USE SIGNAL
+ ROUTED met1 ( 102350 101490 ) ( * 101830 )
NEW met1 ( 99590 101490 ) ( 102350 * )
NEW met2 ( 99590 99110 ) ( * 101490 )
NEW met1 ( 98210 99110 ) ( 99590 * )
NEW met1 ( 98210 98770 ) ( * 99110 )
NEW met1 ( 78890 98770 ) ( 98210 * )
NEW met1 ( 102350 101830 ) ( 183310 * )
NEW met2 ( 183310 101830 ) ( * 182750 )
NEW met1 ( 183310 101830 ) M1M2_PR
NEW met1 ( 99590 101490 ) M1M2_PR
NEW met1 ( 99590 99110 ) M1M2_PR
NEW li1 ( 78890 98770 ) L1M1_PR_MR
NEW li1 ( 183310 182750 ) L1M1_PR_MR
NEW met1 ( 183310 182750 ) M1M2_PR
NEW met1 ( 183310 182750 ) RECT ( -355 -70 0 70 ) ;
- net38 ( input38 X ) ( _171_ A ) + USE SIGNAL
+ ROUTED met1 ( 14490 185470 ) ( 16790 * )
NEW met2 ( 16790 97070 ) ( * 185470 )
NEW met1 ( 16790 97070 ) ( 34500 * )
NEW met1 ( 34500 97070 ) ( * 97410 )
NEW met1 ( 86250 97070 ) ( * 97410 )
NEW met1 ( 86250 97070 ) ( 94530 * )
NEW met1 ( 34500 97410 ) ( 86250 * )
NEW met1 ( 16790 97070 ) M1M2_PR
NEW met1 ( 16790 185470 ) M1M2_PR
NEW li1 ( 14490 185470 ) L1M1_PR_MR
NEW li1 ( 94530 97070 ) L1M1_PR_MR ;
- net39 ( input39 X ) ( _172_ A ) + USE SIGNAL
+ ROUTED met1 ( 174110 91290 ) ( 175030 * )
NEW met2 ( 174110 183260 ) ( 174570 * )
NEW met2 ( 174570 183260 ) ( * 186150 )
NEW met1 ( 174570 186150 ) ( 175030 * )
NEW met2 ( 174110 91290 ) ( * 183260 )
NEW met1 ( 174110 91290 ) M1M2_PR
NEW li1 ( 175030 91290 ) L1M1_PR_MR
NEW met1 ( 174570 186150 ) M1M2_PR
NEW li1 ( 175030 186150 ) L1M1_PR_MR ;
- net4 ( input4 X ) ( _141_ A ) + USE SIGNAL
+ ROUTED met1 ( 48530 98770 ) ( 52210 * )
NEW met2 ( 52210 12410 ) ( * 98770 )
NEW li1 ( 52210 12410 ) L1M1_PR_MR
NEW met1 ( 52210 12410 ) M1M2_PR
NEW met1 ( 52210 98770 ) M1M2_PR
NEW li1 ( 48530 98770 ) L1M1_PR_MR
NEW met1 ( 52210 12410 ) RECT ( -355 -70 0 70 ) ;
- net40 ( input40 X ) ( _173_ A ) + USE SIGNAL
+ ROUTED met1 ( 111550 12410 ) ( 112470 * )
NEW met1 ( 111550 96730 ) ( 112470 * )
NEW met2 ( 112470 12410 ) ( * 96730 )
NEW met1 ( 112470 12410 ) M1M2_PR
NEW li1 ( 111550 12410 ) L1M1_PR_MR
NEW met1 ( 112470 96730 ) M1M2_PR
NEW li1 ( 111550 96730 ) L1M1_PR_MR ;
- net41 ( input41 X ) ( _174_ A ) + USE SIGNAL
+ ROUTED met1 ( 100510 41650 ) ( 190670 * )
NEW met1 ( 96830 80070 ) ( 100510 * )
NEW met2 ( 96830 80070 ) ( * 107610 )
NEW met1 ( 96830 107610 ) ( 98670 * )
NEW met2 ( 100510 41650 ) ( * 80070 )
NEW met1 ( 100510 41650 ) M1M2_PR
NEW li1 ( 190670 41650 ) L1M1_PR_MR
NEW met1 ( 100510 80070 ) M1M2_PR
NEW met1 ( 96830 80070 ) M1M2_PR
NEW met1 ( 96830 107610 ) M1M2_PR
NEW li1 ( 98670 107610 ) L1M1_PR_MR ;
- net42 ( input42 X ) ( _175_ A ) + USE SIGNAL
+ ROUTED met1 ( 164910 99110 ) ( 165370 * )
NEW met2 ( 164910 99110 ) ( * 158700 )
NEW met2 ( 164450 158700 ) ( 164910 * )
NEW met2 ( 164450 158700 ) ( * 186150 )
NEW met1 ( 164450 186150 ) ( 168130 * )
NEW met1 ( 164910 99110 ) M1M2_PR
NEW li1 ( 165370 99110 ) L1M1_PR_MR
NEW met1 ( 164450 186150 ) M1M2_PR
NEW li1 ( 168130 186150 ) L1M1_PR_MR ;
- net43 ( input43 X ) ( _176_ A ) + USE SIGNAL
+ ROUTED met1 ( 10810 86190 ) ( 16790 * )
NEW met1 ( 10810 86190 ) ( * 86530 )
NEW li1 ( 16790 86190 ) L1M1_PR_MR
NEW li1 ( 10810 86530 ) L1M1_PR_MR ;
- net44 ( input44 X ) ( _134_ A ) + USE SIGNAL
+ ROUTED met1 ( 114310 15470 ) ( * 15810 )
NEW met1 ( 94990 15810 ) ( 114310 * )
NEW met1 ( 94990 15470 ) ( * 15810 )
NEW met1 ( 135470 14450 ) ( * 15470 )
NEW met1 ( 135470 14450 ) ( 163990 * )
NEW met1 ( 114310 15470 ) ( 135470 * )
NEW li1 ( 94990 15470 ) L1M1_PR_MR
NEW li1 ( 163990 14450 ) L1M1_PR_MR ;
- net45 ( input45 X ) ( _177_ A ) + USE SIGNAL
+ ROUTED met1 ( 10350 42670 ) ( 12190 * )
NEW met2 ( 12190 42670 ) ( * 91970 )
NEW met2 ( 102350 91970 ) ( * 93330 )
NEW met1 ( 12190 91970 ) ( 102350 * )
NEW met1 ( 12190 91970 ) M1M2_PR
NEW li1 ( 10350 42670 ) L1M1_PR_MR
NEW met1 ( 12190 42670 ) M1M2_PR
NEW met1 ( 102350 91970 ) M1M2_PR
NEW li1 ( 102350 93330 ) L1M1_PR_MR
NEW met1 ( 102350 93330 ) M1M2_PR
NEW met1 ( 102350 93330 ) RECT ( -355 -70 0 70 ) ;
- net46 ( input46 X ) ( _178_ A ) + USE SIGNAL
+ ROUTED met1 ( 11730 61030 ) ( 19090 * )
NEW met2 ( 19090 26690 ) ( * 61030 )
NEW li1 ( 19090 26690 ) L1M1_PR_MR
NEW met1 ( 19090 26690 ) M1M2_PR
NEW met1 ( 19090 61030 ) M1M2_PR
NEW li1 ( 11730 61030 ) L1M1_PR_MR
NEW met1 ( 19090 26690 ) RECT ( -355 -70 0 70 ) ;
- net47 ( input47 X ) ( _179_ A ) + USE SIGNAL
+ ROUTED met1 ( 96830 178330 ) ( 100050 * )
NEW met1 ( 100050 177650 ) ( * 178330 )
NEW li1 ( 96830 178330 ) L1M1_PR_MR
NEW li1 ( 100050 177650 ) L1M1_PR_MR ;
- net48 ( input48 X ) ( _180_ A ) + USE SIGNAL
+ ROUTED met1 ( 156170 19890 ) ( 161690 * )
NEW met2 ( 161690 19890 ) ( * 69530 )
NEW li1 ( 156170 19890 ) L1M1_PR_MR
NEW met1 ( 161690 19890 ) M1M2_PR
NEW li1 ( 161690 69530 ) L1M1_PR_MR
NEW met1 ( 161690 69530 ) M1M2_PR
NEW met1 ( 161690 69530 ) RECT ( -355 -70 0 70 ) ;
- net49 ( input49 X ) ( _183_ A ) + USE SIGNAL
+ ROUTED met1 ( 7130 140930 ) ( 11730 * )
NEW met2 ( 11730 140930 ) ( * 145690 )
NEW li1 ( 7130 140930 ) L1M1_PR_MR
NEW met1 ( 11730 140930 ) M1M2_PR
NEW li1 ( 11730 145690 ) L1M1_PR_MR
NEW met1 ( 11730 145690 ) M1M2_PR
NEW met1 ( 11730 145690 ) RECT ( -355 -70 0 70 ) ;
- net5 ( input5 X ) ( _142_ A ) + USE SIGNAL
+ ROUTED met1 ( 98210 91630 ) ( 169050 * )
NEW met2 ( 169050 91630 ) ( * 182750 )
NEW met1 ( 169050 91630 ) M1M2_PR
NEW li1 ( 98210 91630 ) L1M1_PR_MR
NEW li1 ( 169050 182750 ) L1M1_PR_MR
NEW met1 ( 169050 182750 ) M1M2_PR
NEW met1 ( 169050 182750 ) RECT ( -355 -70 0 70 ) ;
- net50 ( input50 X ) ( _184_ A ) + USE SIGNAL
+ ROUTED met1 ( 73830 96730 ) ( 90390 * )
NEW met2 ( 73830 12410 ) ( * 96730 )
NEW li1 ( 73830 12410 ) L1M1_PR_MR
NEW met1 ( 73830 12410 ) M1M2_PR
NEW met1 ( 73830 96730 ) M1M2_PR
NEW li1 ( 90390 96730 ) L1M1_PR_MR
NEW met1 ( 73830 12410 ) RECT ( -355 -70 0 70 ) ;
- net51 ( input51 X ) ( _185_ A ) + USE SIGNAL
+ ROUTED met1 ( 102810 128350 ) ( 190210 * )
NEW met2 ( 102810 109990 ) ( * 128350 )
NEW met1 ( 102810 128350 ) M1M2_PR
NEW li1 ( 190210 128350 ) L1M1_PR_MR
NEW li1 ( 102810 109990 ) L1M1_PR_MR
NEW met1 ( 102810 109990 ) M1M2_PR
NEW met1 ( 102810 109990 ) RECT ( -355 -70 0 70 ) ;
- net52 ( input52 X ) ( _186_ A ) + USE SIGNAL
+ ROUTED met2 ( 87630 10030 ) ( * 17510 )
NEW met2 ( 181010 10030 ) ( * 12070 )
NEW met1 ( 87630 10030 ) ( 181010 * )
NEW met1 ( 87630 10030 ) M1M2_PR
NEW li1 ( 87630 17510 ) L1M1_PR_MR
NEW met1 ( 87630 17510 ) M1M2_PR
NEW met1 ( 181010 10030 ) M1M2_PR
NEW li1 ( 181010 12070 ) L1M1_PR_MR
NEW met1 ( 181010 12070 ) M1M2_PR
NEW met1 ( 87630 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 181010 12070 ) RECT ( -355 -70 0 70 ) ;
- net53 ( input53 X ) ( _187_ A ) + USE SIGNAL
+ ROUTED met1 ( 165830 66470 ) ( 169970 * )
NEW met2 ( 169970 13090 ) ( * 66470 )
NEW li1 ( 169970 13090 ) L1M1_PR_MR
NEW met1 ( 169970 13090 ) M1M2_PR
NEW met1 ( 169970 66470 ) M1M2_PR
NEW li1 ( 165830 66470 ) L1M1_PR_MR
NEW met1 ( 169970 13090 ) RECT ( -355 -70 0 70 ) ;
- net54 ( input54 X ) ( _188_ A ) + USE SIGNAL
+ ROUTED met1 ( 105110 180030 ) ( * 180710 )
NEW met1 ( 55890 180030 ) ( 105110 * )
NEW li1 ( 55890 180030 ) L1M1_PR_MR
NEW li1 ( 105110 180710 ) L1M1_PR_MR ;
- net55 ( input55 X ) ( _135_ A ) + USE SIGNAL
+ ROUTED met2 ( 100510 11730 ) ( * 34500 )
NEW met2 ( 100050 34500 ) ( 100510 * )
NEW met1 ( 100510 11730 ) ( 131100 * )
NEW met1 ( 131100 11390 ) ( * 11730 )
NEW met1 ( 131100 11390 ) ( 135930 * )
NEW met2 ( 100050 80580 ) ( 100510 * )
NEW met2 ( 100510 80580 ) ( * 98770 )
NEW met1 ( 98670 98770 ) ( 100510 * )
NEW met2 ( 100050 34500 ) ( * 80580 )
NEW met1 ( 100510 11730 ) M1M2_PR
NEW li1 ( 135930 11390 ) L1M1_PR_MR
NEW met1 ( 100510 98770 ) M1M2_PR
NEW li1 ( 98670 98770 ) L1M1_PR_MR ;
- net56 ( input56 X ) ( _189_ A ) + USE SIGNAL
+ ROUTED met1 ( 189750 86190 ) ( * 86530 )
NEW met1 ( 182850 86530 ) ( 189750 * )
NEW li1 ( 189750 86190 ) L1M1_PR_MR
NEW li1 ( 182850 86530 ) L1M1_PR_MR ;
- net57 ( input57 X ) ( _190_ A ) + USE SIGNAL
+ ROUTED met2 ( 90390 20910 ) ( * 23630 )
NEW met1 ( 90390 20910 ) ( 97750 * )
NEW met1 ( 8510 23290 ) ( 34500 * )
NEW met1 ( 34500 23290 ) ( * 23630 )
NEW met1 ( 34500 23630 ) ( 90390 * )
NEW li1 ( 8510 23290 ) L1M1_PR_MR
NEW met1 ( 90390 23630 ) M1M2_PR
NEW met1 ( 90390 20910 ) M1M2_PR
NEW li1 ( 97750 20910 ) L1M1_PR_MR ;
- net58 ( input58 X ) ( _191_ A ) + USE SIGNAL
+ ROUTED met2 ( 187910 86190 ) ( * 90950 )
NEW met1 ( 98210 86190 ) ( 187910 * )
NEW met1 ( 187910 86190 ) M1M2_PR
NEW li1 ( 187910 90950 ) L1M1_PR_MR
NEW met1 ( 187910 90950 ) M1M2_PR
NEW li1 ( 98210 86190 ) L1M1_PR_MR
NEW met1 ( 187910 90950 ) RECT ( -355 -70 0 70 ) ;
- net59 ( input59 X ) ( _192_ A ) + USE SIGNAL
+ ROUTED met1 ( 10350 142290 ) ( 13570 * )
NEW met2 ( 13570 107950 ) ( * 142290 )
NEW met1 ( 13570 107950 ) ( 34500 * )
NEW met1 ( 34500 107950 ) ( * 108290 )
NEW met1 ( 102350 107950 ) ( * 108290 )
NEW met1 ( 34500 108290 ) ( 102350 * )
NEW met1 ( 13570 107950 ) M1M2_PR
NEW met1 ( 13570 142290 ) M1M2_PR
NEW li1 ( 10350 142290 ) L1M1_PR_MR
NEW li1 ( 102350 107950 ) L1M1_PR_MR ;
- net6 ( input6 X ) ( _143_ A ) + USE SIGNAL
+ ROUTED met1 ( 10350 161330 ) ( 11270 * )
NEW met2 ( 11270 109650 ) ( * 161330 )
NEW met1 ( 11270 109650 ) ( 99130 * )
NEW met1 ( 11270 109650 ) M1M2_PR
NEW met1 ( 11270 161330 ) M1M2_PR
NEW li1 ( 10350 161330 ) L1M1_PR_MR
NEW li1 ( 99130 109650 ) L1M1_PR_MR ;
- net60 ( input60 X ) ( _193_ A ) + USE SIGNAL
+ ROUTED met2 ( 120750 91290 ) ( * 94350 )
NEW met2 ( 187450 88570 ) ( * 94350 )
NEW met1 ( 187450 88570 ) ( 187910 * )
NEW met1 ( 120750 94350 ) ( 187450 * )
NEW met1 ( 101890 91290 ) ( 120750 * )
NEW met1 ( 120750 94350 ) M1M2_PR
NEW met1 ( 120750 91290 ) M1M2_PR
NEW met1 ( 187450 94350 ) M1M2_PR
NEW met1 ( 187450 88570 ) M1M2_PR
NEW li1 ( 187910 88570 ) L1M1_PR_MR
NEW li1 ( 101890 91290 ) L1M1_PR_MR ;
- net61 ( input61 X ) ( _194_ A ) + USE SIGNAL
+ ROUTED met1 ( 16790 23970 ) ( 22770 * )
NEW met2 ( 16790 23970 ) ( * 26010 )
NEW li1 ( 22770 23970 ) L1M1_PR_MR
NEW met1 ( 16790 23970 ) M1M2_PR
NEW li1 ( 16790 26010 ) L1M1_PR_MR
NEW met1 ( 16790 26010 ) M1M2_PR
NEW met1 ( 16790 26010 ) RECT ( -355 -70 0 70 ) ;
- net62 ( input62 X ) ( _195_ A ) + USE SIGNAL
+ ROUTED met1 ( 114770 96730 ) ( * 97070 )
NEW met2 ( 187910 97410 ) ( * 101830 )
NEW met1 ( 179400 97410 ) ( 187910 * )
NEW met1 ( 179400 96730 ) ( * 97410 )
NEW met1 ( 114770 96730 ) ( 179400 * )
NEW met1 ( 105570 97070 ) ( 114770 * )
NEW met1 ( 187910 97410 ) M1M2_PR
NEW li1 ( 187910 101830 ) L1M1_PR_MR
NEW met1 ( 187910 101830 ) M1M2_PR
NEW li1 ( 105570 97070 ) L1M1_PR_MR
NEW met1 ( 187910 101830 ) RECT ( -355 -70 0 70 ) ;
- net63 ( input63 X ) ( _196_ A ) + USE SIGNAL
+ ROUTED met2 ( 40250 105230 ) ( * 185470 )
NEW met1 ( 69230 104890 ) ( * 105230 )
NEW met1 ( 69230 104890 ) ( 102350 * )
NEW met1 ( 102350 104550 ) ( * 104890 )
NEW met1 ( 40250 105230 ) ( 69230 * )
NEW met1 ( 40250 105230 ) M1M2_PR
NEW li1 ( 40250 185470 ) L1M1_PR_MR
NEW met1 ( 40250 185470 ) M1M2_PR
NEW li1 ( 102350 104550 ) L1M1_PR_MR
NEW met1 ( 40250 185470 ) RECT ( -355 -70 0 70 ) ;
- net64 ( input64 X ) ( _197_ A ) + USE SIGNAL
+ ROUTED met1 ( 104650 20570 ) ( 109250 * )
NEW met2 ( 109250 20570 ) ( * 22270 )
NEW li1 ( 104650 20570 ) L1M1_PR_MR
NEW met1 ( 109250 20570 ) M1M2_PR
NEW li1 ( 109250 22270 ) L1M1_PR_MR
NEW met1 ( 109250 22270 ) M1M2_PR
NEW met1 ( 109250 22270 ) RECT ( -355 -70 0 70 ) ;
- net65 ( input65 X ) ( _198_ A ) + USE SIGNAL
+ ROUTED met2 ( 100510 134470 ) ( * 136850 )
NEW met1 ( 8510 134470 ) ( 100510 * )
NEW li1 ( 8510 134470 ) L1M1_PR_MR
NEW met1 ( 100510 134470 ) M1M2_PR
NEW li1 ( 100510 136850 ) L1M1_PR_MR
NEW met1 ( 100510 136850 ) M1M2_PR
NEW met1 ( 100510 136850 ) RECT ( -355 -70 0 70 ) ;
- net66 ( input66 X ) ( _136_ A ) + USE SIGNAL
+ ROUTED met1 ( 102810 38590 ) ( 190210 * )
NEW met1 ( 102350 99110 ) ( 102810 * )
NEW met2 ( 102810 38590 ) ( * 99110 )
NEW met1 ( 102810 38590 ) M1M2_PR
NEW li1 ( 190210 38590 ) L1M1_PR_MR
NEW met1 ( 102810 99110 ) M1M2_PR
NEW li1 ( 102350 99110 ) L1M1_PR_MR ;
- net67 ( input67 X ) ( _199_ A ) + USE SIGNAL
+ ROUTED met1 ( 89930 179010 ) ( 93150 * )
NEW met2 ( 93150 179010 ) ( * 183770 )
NEW li1 ( 89930 179010 ) L1M1_PR_MR
NEW met1 ( 93150 179010 ) M1M2_PR
NEW li1 ( 93150 183770 ) L1M1_PR_MR
NEW met1 ( 93150 183770 ) M1M2_PR
NEW met1 ( 93150 183770 ) RECT ( -355 -70 0 70 ) ;
- net68 ( input68 X ) ( _200_ A ) + USE SIGNAL
+ ROUTED met1 ( 106950 14110 ) ( 111090 * )
NEW met1 ( 111090 14110 ) ( * 14450 )
NEW met1 ( 111090 14450 ) ( 118910 * )
NEW met1 ( 118910 14450 ) ( * 14790 )
NEW met1 ( 118910 14790 ) ( 124430 * )
NEW met1 ( 124430 14790 ) ( * 15130 )
NEW met1 ( 124430 15130 ) ( 129030 * )
NEW met1 ( 129030 14450 ) ( * 15130 )
NEW met1 ( 190210 16830 ) ( * 17170 )
NEW met2 ( 134090 14450 ) ( * 17170 )
NEW met1 ( 129030 14450 ) ( 134090 * )
NEW met1 ( 134090 17170 ) ( 190210 * )
NEW met1 ( 105570 102170 ) ( 106950 * )
NEW met2 ( 106950 14110 ) ( * 102170 )
NEW met1 ( 106950 14110 ) M1M2_PR
NEW li1 ( 190210 16830 ) L1M1_PR_MR
NEW met1 ( 134090 14450 ) M1M2_PR
NEW met1 ( 134090 17170 ) M1M2_PR
NEW met1 ( 106950 102170 ) M1M2_PR
NEW li1 ( 105570 102170 ) L1M1_PR_MR ;
- net69 ( input69 X ) ( _201_ A ) + USE SIGNAL
+ ROUTED met1 ( 85790 183090 ) ( * 183430 )
NEW met1 ( 85790 183430 ) ( 87630 * )
NEW met2 ( 85790 97070 ) ( * 183090 )
NEW li1 ( 85790 97070 ) L1M1_PR_MR
NEW met1 ( 85790 97070 ) M1M2_PR
NEW met1 ( 85790 183090 ) M1M2_PR
NEW li1 ( 87630 183430 ) L1M1_PR_MR
NEW met1 ( 85790 97070 ) RECT ( -355 -70 0 70 ) ;
- net7 ( input7 X ) ( _144_ A ) + USE SIGNAL
+ ROUTED met1 ( 113850 178330 ) ( 114310 * )
NEW met2 ( 113850 178330 ) ( * 185470 )
NEW met1 ( 109710 185470 ) ( 113850 * )
NEW met1 ( 113850 185470 ) M1M2_PR
NEW met1 ( 113850 178330 ) M1M2_PR
NEW li1 ( 114310 178330 ) L1M1_PR_MR
NEW li1 ( 109710 185470 ) L1M1_PR_MR ;
- net70 ( input70 X ) ( _202_ A ) + USE SIGNAL
+ ROUTED met1 ( 53130 15130 ) ( 53590 * )
NEW met1 ( 53130 113050 ) ( 99590 * )
NEW met2 ( 53130 15130 ) ( * 113050 )
NEW li1 ( 99590 113050 ) L1M1_PR_MR
NEW met1 ( 53130 15130 ) M1M2_PR
NEW li1 ( 53590 15130 ) L1M1_PR_MR
NEW met1 ( 53130 113050 ) M1M2_PR ;
- net71 ( input71 X ) ( _203_ A ) + USE SIGNAL
+ ROUTED met1 ( 99130 120870 ) ( 100050 * )
NEW met1 ( 116610 175950 ) ( * 176290 )
NEW met2 ( 100050 120870 ) ( * 175950 )
NEW met1 ( 100050 175950 ) ( 116610 * )
NEW met2 ( 190210 176290 ) ( * 177310 )
NEW met1 ( 116610 176290 ) ( 190210 * )
NEW met1 ( 100050 120870 ) M1M2_PR
NEW li1 ( 99130 120870 ) L1M1_PR_MR
NEW met1 ( 100050 175950 ) M1M2_PR
NEW met1 ( 190210 176290 ) M1M2_PR
NEW li1 ( 190210 177310 ) L1M1_PR_MR
NEW met1 ( 190210 177310 ) M1M2_PR
NEW met1 ( 190210 177310 ) RECT ( -355 -70 0 70 ) ;
- net72 ( input72 X ) ( _204_ A ) + USE SIGNAL
+ ROUTED met1 ( 109250 12410 ) ( 111090 * )
NEW met1 ( 109250 12070 ) ( * 12410 )
NEW met1 ( 103730 12070 ) ( 109250 * )
NEW met1 ( 111090 102170 ) ( 111550 * )
NEW met2 ( 111090 12410 ) ( * 102170 )
NEW met1 ( 111090 12410 ) M1M2_PR
NEW li1 ( 103730 12070 ) L1M1_PR_MR
NEW met1 ( 111090 102170 ) M1M2_PR
NEW li1 ( 111550 102170 ) L1M1_PR_MR ;
- net73 ( input73 X ) ( _205_ A ) + USE SIGNAL
+ ROUTED met1 ( 186990 99450 ) ( 196650 * )
NEW met1 ( 186990 99110 ) ( * 99450 )
NEW li1 ( 196650 99450 ) ( * 131100 )
NEW li1 ( 196650 131100 ) ( 197570 * )
NEW met1 ( 187910 183430 ) ( 197570 * )
NEW li1 ( 197570 131100 ) ( * 183430 )
NEW li1 ( 196650 99450 ) L1M1_PR_MR
NEW li1 ( 186990 99110 ) L1M1_PR_MR
NEW li1 ( 197570 183430 ) L1M1_PR_MR
NEW li1 ( 187910 183430 ) L1M1_PR_MR ;
- net74 ( input74 X ) ( _206_ A ) + USE SIGNAL
+ ROUTED met1 ( 123050 17170 ) ( * 17510 )
NEW met2 ( 187450 12410 ) ( * 20230 )
NEW met1 ( 187450 12410 ) ( 187910 * )
NEW met2 ( 133630 17170 ) ( * 19550 )
NEW met1 ( 133630 19550 ) ( 162150 * )
NEW met1 ( 162150 19550 ) ( * 20230 )
NEW met1 ( 123050 17170 ) ( 133630 * )
NEW met1 ( 162150 20230 ) ( 187450 * )
NEW li1 ( 123050 17510 ) L1M1_PR_MR
NEW met1 ( 187450 20230 ) M1M2_PR
NEW met1 ( 187450 12410 ) M1M2_PR
NEW li1 ( 187910 12410 ) L1M1_PR_MR
NEW met1 ( 133630 17170 ) M1M2_PR
NEW met1 ( 133630 19550 ) M1M2_PR ;
- net75 ( input75 X ) ( _207_ A ) + USE SIGNAL
+ ROUTED met2 ( 189750 143650 ) ( * 145350 )
NEW met1 ( 179400 145350 ) ( 189750 * )
NEW met1 ( 179400 145350 ) ( * 145690 )
NEW met1 ( 162150 145690 ) ( 179400 * )
NEW li1 ( 189750 143650 ) L1M1_PR_MR
NEW met1 ( 189750 143650 ) M1M2_PR
NEW met1 ( 189750 145350 ) M1M2_PR
NEW li1 ( 162150 145690 ) L1M1_PR_MR
NEW met1 ( 189750 143650 ) RECT ( -355 -70 0 70 ) ;
- net76 ( input76 X ) ( _208_ A ) + USE SIGNAL
+ ROUTED met1 ( 44850 98430 ) ( 54050 * )
NEW met1 ( 54050 98430 ) ( * 98770 )
NEW met1 ( 10350 39950 ) ( 44850 * )
NEW met2 ( 44850 39950 ) ( * 98430 )
NEW li1 ( 10350 39950 ) L1M1_PR_MR
NEW met1 ( 44850 98430 ) M1M2_PR
NEW li1 ( 54050 98770 ) L1M1_PR_MR
NEW met1 ( 44850 39950 ) M1M2_PR ;
- net77 ( input77 X ) ( _137_ A ) + USE SIGNAL
+ ROUTED met1 ( 140070 98770 ) ( 141450 * )
NEW met2 ( 140070 12410 ) ( * 98770 )
NEW li1 ( 140070 12410 ) L1M1_PR_MR
NEW met1 ( 140070 12410 ) M1M2_PR
NEW met1 ( 140070 98770 ) M1M2_PR
NEW li1 ( 141450 98770 ) L1M1_PR_MR
NEW met1 ( 140070 12410 ) RECT ( -355 -70 0 70 ) ;
- net78 ( input78 X ) ( _209_ A ) + USE SIGNAL
+ ROUTED met1 ( 51750 17170 ) ( * 17510 )
NEW met1 ( 47610 17170 ) ( 51750 * )
NEW met1 ( 47610 16830 ) ( * 17170 )
NEW li1 ( 51750 17510 ) L1M1_PR_MR
NEW li1 ( 47610 16830 ) L1M1_PR_MR ;
- net79 ( input79 X ) ( _210_ A ) + USE SIGNAL
+ ROUTED met1 ( 190210 107610 ) ( 197110 * )
NEW met1 ( 189750 62050 ) ( 197110 * )
NEW li1 ( 197110 62050 ) ( * 107610 )
NEW li1 ( 197110 107610 ) L1M1_PR_MR
NEW li1 ( 190210 107610 ) L1M1_PR_MR
NEW li1 ( 189750 62050 ) L1M1_PR_MR
NEW li1 ( 197110 62050 ) L1M1_PR_MR ;
- net8 ( input8 X ) ( _145_ A ) + USE SIGNAL
+ ROUTED met1 ( 97750 102170 ) ( * 102510 )
NEW met1 ( 97750 102510 ) ( 163530 * )
NEW met2 ( 163530 102510 ) ( * 185470 )
NEW met1 ( 163530 102510 ) M1M2_PR
NEW li1 ( 97750 102170 ) L1M1_PR_MR
NEW li1 ( 163530 185470 ) L1M1_PR_MR
NEW met1 ( 163530 185470 ) M1M2_PR
NEW met1 ( 163530 185470 ) RECT ( -355 -70 0 70 ) ;
- net80 ( input80 X ) ( _211_ A ) + USE SIGNAL
+ ROUTED met2 ( 148810 12070 ) ( * 16830 )
NEW met1 ( 148810 16830 ) ( 149730 * )
NEW li1 ( 148810 12070 ) L1M1_PR_MR
NEW met1 ( 148810 12070 ) M1M2_PR
NEW met1 ( 148810 16830 ) M1M2_PR
NEW li1 ( 149730 16830 ) L1M1_PR_MR
NEW met1 ( 148810 12070 ) RECT ( -355 -70 0 70 ) ;
- net81 ( input81 X ) ( _212_ A ) + USE SIGNAL
+ ROUTED met1 ( 189290 118830 ) ( 189750 * )
NEW met2 ( 189290 118830 ) ( * 125630 )
NEW met1 ( 186070 125630 ) ( 189290 * )
NEW li1 ( 189750 118830 ) L1M1_PR_MR
NEW met1 ( 189290 118830 ) M1M2_PR
NEW met1 ( 189290 125630 ) M1M2_PR
NEW li1 ( 186070 125630 ) L1M1_PR_MR ;
- net82 ( input82 X ) ( _213_ A ) + USE SIGNAL
+ ROUTED met2 ( 120750 20570 ) ( * 22270 )
NEW met1 ( 117530 22270 ) ( 120750 * )
NEW li1 ( 120750 20570 ) L1M1_PR_MR
NEW met1 ( 120750 20570 ) M1M2_PR
NEW met1 ( 120750 22270 ) M1M2_PR
NEW li1 ( 117530 22270 ) L1M1_PR_MR
NEW met1 ( 120750 20570 ) RECT ( -355 -70 0 70 ) ;
- net83 ( input83 X ) ( _214_ A ) + USE SIGNAL
+ ROUTED met1 ( 122130 99110 ) ( 125350 * )
NEW met2 ( 125350 99110 ) ( * 183430 )
NEW met1 ( 125350 99110 ) M1M2_PR
NEW li1 ( 122130 99110 ) L1M1_PR_MR
NEW li1 ( 125350 183430 ) L1M1_PR_MR
NEW met1 ( 125350 183430 ) M1M2_PR
NEW met1 ( 125350 183430 ) RECT ( -355 -70 0 70 ) ;
- net84 ( input84 X ) ( _248_ A0 ) + USE SIGNAL
+ ROUTED met2 ( 102350 169660 ) ( * 170170 )
NEW met2 ( 100510 169660 ) ( 102350 * )
NEW met2 ( 100510 169660 ) ( * 169830 )
NEW met1 ( 102350 170170 ) ( 187910 * )
NEW li1 ( 187910 170170 ) L1M1_PR_MR
NEW met1 ( 102350 170170 ) M1M2_PR
NEW li1 ( 100510 169830 ) L1M1_PR_MR
NEW met1 ( 100510 169830 ) M1M2_PR
NEW met1 ( 100510 169830 ) RECT ( -355 -70 0 70 ) ;
- net85 ( input85 X ) ( _215_ A ) ( _249_ A0 ) + USE SIGNAL
+ ROUTED met1 ( 25990 12410 ) ( 27370 * )
NEW met2 ( 27370 12410 ) ( * 20570 )
NEW met2 ( 27370 20570 ) ( * 39270 )
NEW met1 ( 27370 39270 ) ( 54970 * )
NEW li1 ( 27370 20570 ) L1M1_PR_MR
NEW met1 ( 27370 20570 ) M1M2_PR
NEW li1 ( 25990 12410 ) L1M1_PR_MR
NEW met1 ( 27370 12410 ) M1M2_PR
NEW met1 ( 27370 39270 ) M1M2_PR
NEW li1 ( 54970 39270 ) L1M1_PR_MR
NEW met1 ( 27370 20570 ) RECT ( -355 -70 0 70 ) ;
- net86 ( input86 X ) ( _181_ A ) + USE SIGNAL
+ ROUTED met2 ( 117990 18190 ) ( * 20230 )
NEW met1 ( 97290 18190 ) ( 117990 * )
NEW met2 ( 97290 18020 ) ( * 18190 )
NEW met2 ( 96370 18020 ) ( 97290 * )
NEW met2 ( 96370 17510 ) ( * 18020 )
NEW met1 ( 93610 17510 ) ( 96370 * )
NEW met1 ( 117990 20230 ) ( 131100 * )
NEW met1 ( 154790 18530 ) ( 163530 * )
NEW met2 ( 154790 18530 ) ( * 20570 )
NEW met1 ( 131100 20570 ) ( 154790 * )
NEW met1 ( 131100 20230 ) ( * 20570 )
NEW met1 ( 117990 20230 ) M1M2_PR
NEW met1 ( 117990 18190 ) M1M2_PR
NEW met1 ( 97290 18190 ) M1M2_PR
NEW met1 ( 96370 17510 ) M1M2_PR
NEW li1 ( 93610 17510 ) L1M1_PR_MR
NEW li1 ( 163530 18530 ) L1M1_PR_MR
NEW met1 ( 154790 18530 ) M1M2_PR
NEW met1 ( 154790 20570 ) M1M2_PR ;
- net87 ( input87 X ) ( _182_ A ) + USE SIGNAL
+ ROUTED met1 ( 47610 97070 ) ( 51750 * )
NEW met2 ( 51750 97070 ) ( * 186150 )
NEW met1 ( 51750 97070 ) M1M2_PR
NEW li1 ( 47610 97070 ) L1M1_PR_MR
NEW li1 ( 51750 186150 ) L1M1_PR_MR
NEW met1 ( 51750 186150 ) M1M2_PR
NEW met1 ( 51750 186150 ) RECT ( -355 -70 0 70 ) ;
- net88 ( input88 X ) ( _138_ A ) + USE SIGNAL
+ ROUTED met1 ( 152950 98770 ) ( 154330 * )
NEW met2 ( 152950 12410 ) ( * 98770 )
NEW li1 ( 152950 12410 ) L1M1_PR_MR
NEW met1 ( 152950 12410 ) M1M2_PR
NEW met1 ( 152950 98770 ) M1M2_PR
NEW li1 ( 154330 98770 ) L1M1_PR_MR
NEW met1 ( 152950 12410 ) RECT ( -355 -70 0 70 ) ;
- net89 ( input89 X ) ( _248_ S ) + USE SIGNAL
+ ROUTED met2 ( 38870 181050 ) ( * 183430 )
NEW met2 ( 89930 170850 ) ( * 181050 )
NEW met1 ( 89930 170850 ) ( 101430 * )
NEW met1 ( 101430 169830 ) ( * 170850 )
NEW met1 ( 101430 169830 ) ( 101890 * )
NEW met1 ( 38870 181050 ) ( 89930 * )
NEW met1 ( 38870 181050 ) M1M2_PR
NEW li1 ( 38870 183430 ) L1M1_PR_MR
NEW met1 ( 38870 183430 ) M1M2_PR
NEW met1 ( 89930 181050 ) M1M2_PR
NEW met1 ( 89930 170850 ) M1M2_PR
NEW li1 ( 101890 169830 ) L1M1_PR_MR
NEW met1 ( 38870 183430 ) RECT ( -355 -70 0 70 ) ;
- net9 ( input9 X ) ( _146_ A ) + USE SIGNAL
+ ROUTED met2 ( 187910 69870 ) ( * 71910 )
NEW met1 ( 125350 69530 ) ( 131100 * )
NEW met1 ( 131100 69530 ) ( * 69870 )
NEW met1 ( 131100 69870 ) ( 187910 * )
NEW li1 ( 125350 69530 ) L1M1_PR_MR
NEW met1 ( 187910 69870 ) M1M2_PR
NEW li1 ( 187910 71910 ) L1M1_PR_MR
NEW met1 ( 187910 71910 ) M1M2_PR
NEW met1 ( 187910 71910 ) RECT ( -355 -70 0 70 ) ;
- net90 ( input90 X ) ( _249_ S ) + USE SIGNAL
+ ROUTED met1 ( 54050 182750 ) ( 54970 * )
NEW met1 ( 54970 39610 ) ( * 39950 )
NEW met1 ( 54970 39610 ) ( 56350 * )
NEW met1 ( 56350 39270 ) ( * 39610 )
NEW met2 ( 54970 39950 ) ( * 182750 )
NEW met1 ( 54970 182750 ) M1M2_PR
NEW li1 ( 54050 182750 ) L1M1_PR_MR
NEW met1 ( 54970 39950 ) M1M2_PR
NEW li1 ( 56350 39270 ) L1M1_PR_MR ;
- net91 ( input91 X ) ( _216_ A ) + USE SIGNAL
+ ROUTED met1 ( 121210 177990 ) ( * 178330 )
NEW met1 ( 110170 177650 ) ( * 177990 )
NEW met1 ( 110170 177990 ) ( 121210 * )
NEW li1 ( 121210 178330 ) L1M1_PR_MR
NEW li1 ( 110170 177650 ) L1M1_PR_MR ;
- net92 ( input92 X ) ( _226_ A ) + USE SIGNAL
+ ROUTED met1 ( 7130 36210 ) ( 14490 * )
NEW met2 ( 14490 31450 ) ( * 36210 )
NEW li1 ( 14490 31450 ) L1M1_PR_MR
NEW met1 ( 14490 31450 ) M1M2_PR
NEW met1 ( 14490 36210 ) M1M2_PR
NEW li1 ( 7130 36210 ) L1M1_PR_MR
NEW met1 ( 14490 31450 ) RECT ( -355 -70 0 70 ) ;
- net93 ( input93 X ) ( _227_ A ) + USE SIGNAL
+ ROUTED met1 ( 8510 181050 ) ( 13110 * )
NEW met2 ( 13110 102170 ) ( * 181050 )
NEW li1 ( 13110 102170 ) L1M1_PR_MR
NEW met1 ( 13110 102170 ) M1M2_PR
NEW met1 ( 13110 181050 ) M1M2_PR
NEW li1 ( 8510 181050 ) L1M1_PR_MR
NEW met1 ( 13110 102170 ) RECT ( -355 -70 0 70 ) ;
- net94 ( input94 X ) ( _228_ A ) + USE SIGNAL
+ ROUTED met1 ( 85790 14450 ) ( * 14790 )
NEW met1 ( 85790 14450 ) ( 110630 * )
NEW met2 ( 110630 14450 ) ( * 20570 )
NEW met1 ( 110630 20570 ) ( 113390 * )
NEW met1 ( 66930 14110 ) ( * 14790 )
NEW met1 ( 66930 14110 ) ( 72910 * )
NEW met1 ( 72910 14110 ) ( * 14790 )
NEW met1 ( 17710 14790 ) ( 66930 * )
NEW met1 ( 72910 14790 ) ( 85790 * )
NEW li1 ( 17710 14790 ) L1M1_PR_MR
NEW met1 ( 110630 14450 ) M1M2_PR
NEW met1 ( 110630 20570 ) M1M2_PR
NEW li1 ( 113390 20570 ) L1M1_PR_MR ;
- net95 ( input95 X ) ( _229_ A ) + USE SIGNAL
+ ROUTED met2 ( 9430 113390 ) ( * 114750 )
NEW met1 ( 7130 114750 ) ( 9430 * )
NEW li1 ( 9430 113390 ) L1M1_PR_MR
NEW met1 ( 9430 113390 ) M1M2_PR
NEW met1 ( 9430 114750 ) M1M2_PR
NEW li1 ( 7130 114750 ) L1M1_PR_MR
NEW met1 ( 9430 113390 ) RECT ( -355 -70 0 70 ) ;
- net96 ( input96 X ) ( _230_ A ) + USE SIGNAL
+ ROUTED met2 ( 137310 97070 ) ( * 166430 )
NEW met1 ( 137310 166430 ) ( 190210 * )
NEW li1 ( 137310 97070 ) L1M1_PR_MR
NEW met1 ( 137310 97070 ) M1M2_PR
NEW met1 ( 137310 166430 ) M1M2_PR
NEW li1 ( 190210 166430 ) L1M1_PR_MR
NEW met1 ( 137310 97070 ) RECT ( -355 -70 0 70 ) ;
- net97 ( input97 X ) ( _231_ A ) + USE SIGNAL
+ ROUTED met1 ( 94990 150110 ) ( 190210 * )
NEW met2 ( 94990 107950 ) ( * 150110 )
NEW met1 ( 94990 150110 ) M1M2_PR
NEW li1 ( 190210 150110 ) L1M1_PR_MR
NEW li1 ( 94990 107950 ) L1M1_PR_MR
NEW met1 ( 94990 107950 ) M1M2_PR
NEW met1 ( 94990 107950 ) RECT ( -355 -70 0 70 ) ;
- net98 ( input98 X ) ( _232_ A ) + USE SIGNAL
+ ROUTED met1 ( 111550 15470 ) ( 113850 * )
NEW met2 ( 113850 15470 ) ( * 22270 )
NEW li1 ( 111550 15470 ) L1M1_PR_MR
NEW met1 ( 113850 15470 ) M1M2_PR
NEW li1 ( 113850 22270 ) L1M1_PR_MR
NEW met1 ( 113850 22270 ) M1M2_PR
NEW met1 ( 113850 22270 ) RECT ( -355 -70 0 70 ) ;
- net99 ( input99 X ) ( _233_ A ) + USE SIGNAL
+ ROUTED met1 ( 187910 131410 ) ( * 131750 )
NEW met1 ( 98670 131750 ) ( 131100 * )
NEW met1 ( 131100 131410 ) ( * 131750 )
NEW met1 ( 131100 131410 ) ( 187910 * )
NEW li1 ( 98670 131750 ) L1M1_PR_MR
NEW li1 ( 187910 131750 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[0] ( PIN output_data_from_elpis_to_controller[0] ) ( input91 A ) + USE SIGNAL
+ ROUTED met1 ( 111090 178330 ) ( 113390 * )
NEW met2 ( 113390 178330 ) ( * 196180 0 )
NEW met1 ( 113390 178330 ) M1M2_PR
NEW li1 ( 111090 178330 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[10] ( PIN output_data_from_elpis_to_controller[10] ) ( input92 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 34340 0 ) ( 8050 * )
NEW met2 ( 8050 34340 ) ( * 36890 )
NEW met2 ( 8050 34340 ) M2M3_PR_M
NEW li1 ( 8050 36890 ) L1M1_PR_MR
NEW met1 ( 8050 36890 ) M1M2_PR
NEW met1 ( 8050 36890 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[11] ( PIN output_data_from_elpis_to_controller[11] ) ( input93 A ) + USE SIGNAL
+ ROUTED met1 ( 5750 181050 ) ( 7130 * )
NEW met2 ( 5750 181050 ) ( * 196180 0 )
NEW li1 ( 7130 181050 ) L1M1_PR_MR
NEW met1 ( 5750 181050 ) M1M2_PR ;
- output_data_from_elpis_to_controller[12] ( PIN output_data_from_elpis_to_controller[12] ) ( input94 A ) + USE SIGNAL
+ ROUTED met2 ( 15870 3740 0 ) ( * 14790 )
NEW met1 ( 15870 14790 ) ( 16330 * )
NEW met1 ( 15870 14790 ) M1M2_PR
NEW li1 ( 16330 14790 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[13] ( PIN output_data_from_elpis_to_controller[13] ) ( input95 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 114580 0 ) ( 8050 * )
NEW met2 ( 8050 114580 ) ( * 115430 )
NEW met2 ( 8050 114580 ) M2M3_PR_M
NEW li1 ( 8050 115430 ) L1M1_PR_MR
NEW met1 ( 8050 115430 ) M1M2_PR
NEW met1 ( 8050 115430 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[14] ( PIN output_data_from_elpis_to_controller[14] ) ( input96 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 166260 ) ( * 167450 )
NEW met3 ( 189750 166260 ) ( 196420 * 0 )
NEW li1 ( 189750 167450 ) L1M1_PR_MR
NEW met1 ( 189750 167450 ) M1M2_PR
NEW met2 ( 189750 166260 ) M2M3_PR_M
NEW met1 ( 189750 167450 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[15] ( PIN output_data_from_elpis_to_controller[15] ) ( input97 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 148580 ) ( * 151130 )
NEW met3 ( 189750 148580 ) ( 196420 * 0 )
NEW li1 ( 189750 151130 ) L1M1_PR_MR
NEW met1 ( 189750 151130 ) M1M2_PR
NEW met2 ( 189750 148580 ) M2M3_PR_M
NEW met1 ( 189750 151130 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[16] ( PIN output_data_from_elpis_to_controller[16] ) ( input98 A ) + USE SIGNAL
+ ROUTED met2 ( 113390 3740 0 ) ( * 22950 )
NEW met1 ( 113390 22950 ) ( 114770 * )
NEW met1 ( 113390 22950 ) M1M2_PR
NEW li1 ( 114770 22950 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[17] ( PIN output_data_from_elpis_to_controller[17] ) ( input99 A ) + USE SIGNAL
+ ROUTED met3 ( 186530 129540 ) ( 196420 * 0 )
NEW met2 ( 186530 129540 ) ( * 131750 )
NEW met2 ( 186530 129540 ) M2M3_PR_M
NEW li1 ( 186530 131750 ) L1M1_PR_MR
NEW met1 ( 186530 131750 ) M1M2_PR
NEW met1 ( 186530 131750 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[18] ( PIN output_data_from_elpis_to_controller[18] ) ( input100 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 52020 ) ( * 53210 )
NEW met3 ( 189750 52020 ) ( 196420 * 0 )
NEW li1 ( 189750 53210 ) L1M1_PR_MR
NEW met1 ( 189750 53210 ) M1M2_PR
NEW met2 ( 189750 52020 ) M2M3_PR_M
NEW met1 ( 189750 53210 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[19] ( PIN output_data_from_elpis_to_controller[19] ) ( input101 A ) + USE SIGNAL
+ ROUTED met2 ( 172270 3740 0 ) ( * 14790 )
NEW met1 ( 172270 14790 ) ( 172730 * )
NEW met1 ( 172270 14790 ) M1M2_PR
NEW li1 ( 172730 14790 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[1] ( PIN output_data_from_elpis_to_controller[1] ) ( input102 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 35700 0 ) ( 11270 * )
NEW met2 ( 11270 35700 ) ( * 36890 )
NEW met2 ( 11270 35700 ) M2M3_PR_M
NEW li1 ( 11270 36890 ) L1M1_PR_MR
NEW met1 ( 11270 36890 ) M1M2_PR
NEW met1 ( 11270 36890 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[20] ( PIN output_data_from_elpis_to_controller[20] ) ( input103 A ) + USE SIGNAL
+ ROUTED met1 ( 165830 180710 ) ( 166290 * )
NEW met2 ( 165830 180710 ) ( * 181220 )
NEW met2 ( 164910 181220 ) ( 165830 * )
NEW met2 ( 164910 181220 ) ( * 196180 0 )
NEW li1 ( 166290 180710 ) L1M1_PR_MR
NEW met1 ( 165830 180710 ) M1M2_PR ;
- output_data_from_elpis_to_controller[21] ( PIN output_data_from_elpis_to_controller[21] ) ( input104 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 19380 0 ) ( 9430 * )
NEW met2 ( 9430 19380 ) ( * 20910 )
NEW met2 ( 9430 19380 ) M2M3_PR_M
NEW li1 ( 9430 20910 ) L1M1_PR_MR
NEW met1 ( 9430 20910 ) M1M2_PR
NEW met1 ( 9430 20910 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[22] ( PIN output_data_from_elpis_to_controller[22] ) ( input105 A ) + USE SIGNAL
+ ROUTED met2 ( 144670 3740 0 ) ( * 17510 )
NEW met2 ( 144670 17510 ) ( 145130 * )
NEW li1 ( 145130 17510 ) L1M1_PR_MR
NEW met1 ( 145130 17510 ) M1M2_PR
NEW met1 ( 145130 17510 ) RECT ( 0 -70 355 70 ) ;
- output_data_from_elpis_to_controller[23] ( PIN output_data_from_elpis_to_controller[23] ) ( input106 A ) + USE SIGNAL
+ ROUTED met2 ( 189750 28900 ) ( * 31450 )
NEW met3 ( 189750 28900 ) ( 196420 * 0 )
NEW li1 ( 189750 31450 ) L1M1_PR_MR
NEW met1 ( 189750 31450 ) M1M2_PR
NEW met2 ( 189750 28900 ) M2M3_PR_M
NEW met1 ( 189750 31450 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[24] ( PIN output_data_from_elpis_to_controller[24] ) ( input107 A ) + USE SIGNAL
+ ROUTED met1 ( 23230 186150 ) ( 24150 * )
NEW met2 ( 23230 186150 ) ( * 196180 0 )
NEW li1 ( 24150 186150 ) L1M1_PR_MR
NEW met1 ( 23230 186150 ) M1M2_PR ;
- output_data_from_elpis_to_controller[25] ( PIN output_data_from_elpis_to_controller[25] ) ( input108 A ) + USE SIGNAL
+ ROUTED met2 ( 97750 3740 0 ) ( * 9860 )
NEW met2 ( 97290 9860 ) ( 97750 * )
NEW met2 ( 97290 9860 ) ( * 14790 )
NEW met1 ( 97290 14790 ) ( 98210 * )
NEW met1 ( 97290 14790 ) M1M2_PR
NEW li1 ( 98210 14790 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[26] ( PIN output_data_from_elpis_to_controller[26] ) ( input109 A ) + USE SIGNAL
+ ROUTED met2 ( 18630 3740 0 ) ( * 11730 )
NEW met1 ( 18630 11730 ) ( 21390 * )
NEW met1 ( 18630 11730 ) M1M2_PR
NEW li1 ( 21390 11730 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[27] ( PIN output_data_from_elpis_to_controller[27] ) ( input110 A ) + USE SIGNAL
+ ROUTED met1 ( 62790 186490 ) ( 63250 * )
NEW met2 ( 62790 186490 ) ( * 196180 0 )
NEW li1 ( 63250 186490 ) L1M1_PR_MR
NEW met1 ( 62790 186490 ) M1M2_PR ;
- output_data_from_elpis_to_controller[28] ( PIN output_data_from_elpis_to_controller[28] ) ( input111 A ) + USE SIGNAL
+ ROUTED met2 ( 186530 120020 ) ( * 120870 )
NEW met3 ( 186530 120020 ) ( 196420 * 0 )
NEW li1 ( 186530 120870 ) L1M1_PR_MR
NEW met1 ( 186530 120870 ) M1M2_PR
NEW met2 ( 186530 120020 ) M2M3_PR_M
NEW met1 ( 186530 120870 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[29] ( PIN output_data_from_elpis_to_controller[29] ) ( input112 A ) + USE SIGNAL
+ ROUTED met1 ( 185610 180710 ) ( 187910 * )
NEW met2 ( 187910 180710 ) ( * 196180 0 )
NEW li1 ( 185610 180710 ) L1M1_PR_MR
NEW met1 ( 187910 180710 ) M1M2_PR ;
- output_data_from_elpis_to_controller[2] ( PIN output_data_from_elpis_to_controller[2] ) ( input113 A ) + USE SIGNAL
+ ROUTED met2 ( 69230 3740 0 ) ( * 15130 )
NEW met1 ( 69230 15130 ) ( 70150 * )
NEW met1 ( 69230 15130 ) M1M2_PR
NEW li1 ( 70150 15130 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[30] ( PIN output_data_from_elpis_to_controller[30] ) ( input114 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 30260 0 ) ( 7130 * )
NEW met2 ( 7130 30260 ) ( * 31110 )
NEW met2 ( 7130 30260 ) M2M3_PR_M
NEW li1 ( 7130 31110 ) L1M1_PR_MR
NEW met1 ( 7130 31110 ) M1M2_PR
NEW met1 ( 7130 31110 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[31] ( PIN output_data_from_elpis_to_controller[31] ) ( input115 A ) + USE SIGNAL
+ ROUTED met2 ( 186990 76500 ) ( * 77350 )
NEW met3 ( 186990 76500 ) ( 196420 * 0 )
NEW li1 ( 186990 77350 ) L1M1_PR_MR
NEW met1 ( 186990 77350 ) M1M2_PR
NEW met2 ( 186990 76500 ) M2M3_PR_M
NEW met1 ( 186990 77350 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[3] ( PIN output_data_from_elpis_to_controller[3] ) ( input116 A ) + USE SIGNAL
+ ROUTED met2 ( 137310 3740 0 ) ( * 14790 )
NEW met1 ( 137310 14790 ) ( 137770 * )
NEW met1 ( 137310 14790 ) M1M2_PR
NEW li1 ( 137770 14790 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[4] ( PIN output_data_from_elpis_to_controller[4] ) ( input117 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 13940 0 ) ( 7130 * )
NEW met2 ( 7130 13940 ) ( * 14790 )
NEW met2 ( 7130 13940 ) M2M3_PR_M
NEW li1 ( 7130 14790 ) L1M1_PR_MR
NEW met1 ( 7130 14790 ) M1M2_PR
NEW met1 ( 7130 14790 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[5] ( PIN output_data_from_elpis_to_controller[5] ) ( input118 A ) + USE SIGNAL
+ ROUTED met2 ( 131790 3740 0 ) ( * 12070 )
NEW met1 ( 131330 12070 ) ( 131790 * )
NEW met1 ( 131790 12070 ) M1M2_PR
NEW li1 ( 131330 12070 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[6] ( PIN output_data_from_elpis_to_controller[6] ) ( input119 A ) + USE SIGNAL
+ ROUTED met2 ( 55430 3740 0 ) ( * 17510 )
NEW met1 ( 55430 17510 ) ( 56810 * )
NEW met1 ( 55430 17510 ) M1M2_PR
NEW li1 ( 56810 17510 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[7] ( PIN output_data_from_elpis_to_controller[7] ) ( input120 A ) + USE SIGNAL
+ ROUTED met2 ( 8510 3740 0 ) ( * 7820 )
NEW met2 ( 8510 7820 ) ( 8970 * )
NEW met2 ( 8970 7820 ) ( * 18530 )
NEW met1 ( 8970 18530 ) ( 23230 * )
NEW met2 ( 23230 18530 ) ( * 26010 )
NEW met1 ( 8970 18530 ) M1M2_PR
NEW met1 ( 23230 18530 ) M1M2_PR
NEW li1 ( 23230 26010 ) L1M1_PR_MR
NEW met1 ( 23230 26010 ) M1M2_PR
NEW met1 ( 23230 26010 ) RECT ( -355 -70 0 70 ) ;
- output_data_from_elpis_to_controller[8] ( PIN output_data_from_elpis_to_controller[8] ) ( input121 A ) + USE SIGNAL
+ ROUTED met2 ( 175950 3740 0 ) ( * 11730 )
NEW met1 ( 175950 11730 ) ( 176410 * )
NEW met1 ( 175950 11730 ) M1M2_PR
NEW li1 ( 176410 11730 ) L1M1_PR_MR ;
- output_data_from_elpis_to_controller[9] ( PIN output_data_from_elpis_to_controller[9] ) ( input122 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 86020 0 ) ( 7130 * )
NEW met2 ( 7130 86020 ) ( * 88230 )
NEW met2 ( 7130 86020 ) M2M3_PR_M
NEW li1 ( 7130 88230 ) L1M1_PR_MR
NEW met1 ( 7130 88230 ) M1M2_PR
NEW met1 ( 7130 88230 ) RECT ( -355 -70 0 70 ) ;
- output_enabled_from_controller_to_pico ( PIN output_enabled_from_controller_to_pico ) ( _122_ LO ) + USE SIGNAL
+ ROUTED met2 ( 63710 181730 ) ( * 196180 0 )
NEW li1 ( 63710 181730 ) L1M1_PR_MR
NEW met1 ( 63710 181730 ) M1M2_PR
NEW met1 ( 63710 181730 ) RECT ( -355 -70 0 70 ) ;
- output_enabled_from_elpis_to_controller ( PIN output_enabled_from_elpis_to_controller ) + USE SIGNAL ;
- read_enable_to_Elpis ( PIN read_enable_to_Elpis ) ( output179 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 27540 0 ) ( 8050 * )
NEW met2 ( 8050 27540 ) ( * 27710 )
NEW met2 ( 8050 27540 ) M2M3_PR_M
NEW li1 ( 8050 27710 ) L1M1_PR_MR
NEW met1 ( 8050 27710 ) M1M2_PR
NEW met1 ( 8050 27710 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[0] ( PIN read_value_to_Elpis[0] ) ( output180 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 173060 0 ) ( 8050 * )
NEW met2 ( 8050 173060 ) ( * 174590 )
NEW met2 ( 8050 173060 ) M2M3_PR_M
NEW li1 ( 8050 174590 ) L1M1_PR_MR
NEW met1 ( 8050 174590 ) M1M2_PR
NEW met1 ( 8050 174590 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[10] ( PIN read_value_to_Elpis[10] ) ( output181 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 166260 0 ) ( 8050 * )
NEW met2 ( 8050 166260 ) ( * 166430 )
NEW met2 ( 8050 166260 ) M2M3_PR_M
NEW li1 ( 8050 166430 ) L1M1_PR_MR
NEW met1 ( 8050 166430 ) M1M2_PR
NEW met1 ( 8050 166430 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[11] ( PIN read_value_to_Elpis[11] ) ( output182 X ) + USE SIGNAL
+ ROUTED met2 ( 7590 3740 0 ) ( * 8500 )
NEW met2 ( 7590 8500 ) ( 8510 * )
NEW met2 ( 8510 8500 ) ( * 16830 )
NEW met1 ( 8510 16830 ) ( 11730 * )
NEW met1 ( 8510 16830 ) M1M2_PR
NEW li1 ( 11730 16830 ) L1M1_PR_MR ;
- read_value_to_Elpis[12] ( PIN read_value_to_Elpis[12] ) ( output183 X ) + USE SIGNAL
+ ROUTED met2 ( 37950 3740 0 ) ( * 11390 )
NEW met1 ( 37950 11390 ) ( 39330 * )
NEW met1 ( 37950 11390 ) M1M2_PR
NEW li1 ( 39330 11390 ) L1M1_PR_MR ;
- read_value_to_Elpis[13] ( PIN read_value_to_Elpis[13] ) ( output184 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 98260 ) ( * 98430 )
NEW met3 ( 190210 98260 ) ( 196420 * 0 )
NEW li1 ( 190210 98430 ) L1M1_PR_MR
NEW met1 ( 190210 98430 ) M1M2_PR
NEW met2 ( 190210 98260 ) M2M3_PR_M
NEW met1 ( 190210 98430 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[14] ( PIN read_value_to_Elpis[14] ) ( output185 X ) + USE SIGNAL
+ ROUTED met2 ( 99590 3740 0 ) ( * 5780 )
NEW met2 ( 99590 5780 ) ( 100050 * )
NEW met2 ( 100050 5780 ) ( * 11390 )
NEW met1 ( 99590 11390 ) ( 100050 * )
NEW met1 ( 100050 11390 ) M1M2_PR
NEW li1 ( 99590 11390 ) L1M1_PR_MR ;
- read_value_to_Elpis[15] ( PIN read_value_to_Elpis[15] ) ( output186 X ) + USE SIGNAL
+ ROUTED met2 ( 130870 185980 ) ( * 196180 0 )
NEW met2 ( 131330 185470 ) ( * 185980 )
NEW met1 ( 131330 185470 ) ( 135930 * )
NEW met2 ( 130870 185980 ) ( 131330 * )
NEW met1 ( 131330 185470 ) M1M2_PR
NEW li1 ( 135930 185470 ) L1M1_PR_MR ;
- read_value_to_Elpis[16] ( PIN read_value_to_Elpis[16] ) ( output187 X ) + USE SIGNAL
+ ROUTED met1 ( 173190 180030 ) ( 178250 * )
NEW met2 ( 173190 180030 ) ( * 196180 0 )
NEW li1 ( 178250 180030 ) L1M1_PR_MR
NEW met1 ( 173190 180030 ) M1M2_PR ;
- read_value_to_Elpis[17] ( PIN read_value_to_Elpis[17] ) ( output188 X ) + USE SIGNAL
+ ROUTED met1 ( 23690 184450 ) ( 25530 * )
NEW met2 ( 23690 184450 ) ( * 188530 )
NEW met1 ( 21390 188530 ) ( 23690 * )
NEW met2 ( 21390 188530 ) ( * 196180 0 )
NEW li1 ( 25530 184450 ) L1M1_PR_MR
NEW met1 ( 23690 184450 ) M1M2_PR
NEW met1 ( 23690 188530 ) M1M2_PR
NEW met1 ( 21390 188530 ) M1M2_PR ;
- read_value_to_Elpis[18] ( PIN read_value_to_Elpis[18] ) ( output189 X ) + USE SIGNAL
+ ROUTED met2 ( 26910 3740 0 ) ( * 16830 )
NEW met1 ( 26910 16830 ) ( 28290 * )
NEW met1 ( 26910 16830 ) M1M2_PR
NEW li1 ( 28290 16830 ) L1M1_PR_MR ;
- read_value_to_Elpis[19] ( PIN read_value_to_Elpis[19] ) ( output190 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 115940 ) ( * 116110 )
NEW met3 ( 190210 115940 ) ( 196420 * 0 )
NEW li1 ( 190210 116110 ) L1M1_PR_MR
NEW met1 ( 190210 116110 ) M1M2_PR
NEW met2 ( 190210 115940 ) M2M3_PR_M
NEW met1 ( 190210 116110 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[1] ( PIN read_value_to_Elpis[1] ) ( output191 X ) + USE SIGNAL
+ ROUTED met1 ( 178710 179010 ) ( 180090 * )
NEW met2 ( 178710 179010 ) ( * 196180 0 )
NEW li1 ( 180090 179010 ) L1M1_PR_MR
NEW met1 ( 178710 179010 ) M1M2_PR ;
- read_value_to_Elpis[20] ( PIN read_value_to_Elpis[20] ) ( output192 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 117300 0 ) ( 8050 * )
NEW met2 ( 8050 117300 ) ( * 117470 )
NEW met2 ( 8050 117300 ) M2M3_PR_M
NEW li1 ( 8050 117470 ) L1M1_PR_MR
NEW met1 ( 8050 117470 ) M1M2_PR
NEW met1 ( 8050 117470 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[21] ( PIN read_value_to_Elpis[21] ) ( output193 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 162180 ) ( * 163710 )
NEW met3 ( 190210 162180 ) ( 196420 * 0 )
NEW li1 ( 190210 163710 ) L1M1_PR_MR
NEW met1 ( 190210 163710 ) M1M2_PR
NEW met2 ( 190210 162180 ) M2M3_PR_M
NEW met1 ( 190210 163710 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[22] ( PIN read_value_to_Elpis[22] ) ( output194 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 45220 ) ( * 46750 )
NEW met3 ( 190210 45220 ) ( 196420 * 0 )
NEW li1 ( 190210 46750 ) L1M1_PR_MR
NEW met1 ( 190210 46750 ) M1M2_PR
NEW met2 ( 190210 45220 ) M2M3_PR_M
NEW met1 ( 190210 46750 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[23] ( PIN read_value_to_Elpis[23] ) ( output195 X ) + USE SIGNAL
+ ROUTED met2 ( 119830 3740 0 ) ( * 14110 )
NEW met1 ( 119830 14110 ) ( 121210 * )
NEW met1 ( 119830 14110 ) M1M2_PR
NEW li1 ( 121210 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[24] ( PIN read_value_to_Elpis[24] ) ( output196 X ) + USE SIGNAL
+ ROUTED met2 ( 159390 185470 ) ( * 196180 0 )
NEW met1 ( 157550 185470 ) ( 159390 * )
NEW li1 ( 157550 185470 ) L1M1_PR_MR
NEW met1 ( 159390 185470 ) M1M2_PR ;
- read_value_to_Elpis[25] ( PIN read_value_to_Elpis[25] ) ( output197 X ) + USE SIGNAL
+ ROUTED met1 ( 57270 185470 ) ( 58650 * )
NEW met2 ( 57270 185470 ) ( * 196180 0 )
NEW li1 ( 58650 185470 ) L1M1_PR_MR
NEW met1 ( 57270 185470 ) M1M2_PR ;
- read_value_to_Elpis[26] ( PIN read_value_to_Elpis[26] ) ( output198 X ) + USE SIGNAL
+ ROUTED met2 ( 54510 3740 0 ) ( * 14110 )
NEW met1 ( 54510 14110 ) ( 58650 * )
NEW met1 ( 54510 14110 ) M1M2_PR
NEW li1 ( 58650 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[27] ( PIN read_value_to_Elpis[27] ) ( output199 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 113730 ) ( * 114580 )
NEW met3 ( 190210 114580 ) ( 196420 * 0 )
NEW li1 ( 190210 113730 ) L1M1_PR_MR
NEW met1 ( 190210 113730 ) M1M2_PR
NEW met2 ( 190210 114580 ) M2M3_PR_M
NEW met1 ( 190210 113730 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[28] ( PIN read_value_to_Elpis[28] ) ( output200 X ) + USE SIGNAL
+ ROUTED met2 ( 140990 3740 0 ) ( * 14110 )
NEW met1 ( 140990 14110 ) ( 145130 * )
NEW met1 ( 140990 14110 ) M1M2_PR
NEW li1 ( 145130 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[29] ( PIN read_value_to_Elpis[29] ) ( output201 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 35700 ) ( * 35870 )
NEW met3 ( 190210 35700 ) ( 196420 * 0 )
NEW li1 ( 190210 35870 ) L1M1_PR_MR
NEW met1 ( 190210 35870 ) M1M2_PR
NEW met2 ( 190210 35700 ) M2M3_PR_M
NEW met1 ( 190210 35870 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[2] ( PIN read_value_to_Elpis[2] ) ( output202 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 107780 0 ) ( 8050 * )
NEW met2 ( 8050 107780 ) ( * 109310 )
NEW met2 ( 8050 107780 ) M2M3_PR_M
NEW li1 ( 8050 109310 ) L1M1_PR_MR
NEW met1 ( 8050 109310 ) M1M2_PR
NEW met1 ( 8050 109310 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[30] ( PIN read_value_to_Elpis[30] ) ( output203 X ) + USE SIGNAL
+ ROUTED met2 ( 179630 3740 0 ) ( * 16830 )
NEW met1 ( 179630 16830 ) ( 181010 * )
NEW met1 ( 179630 16830 ) M1M2_PR
NEW li1 ( 181010 16830 ) L1M1_PR_MR ;
- read_value_to_Elpis[31] ( PIN read_value_to_Elpis[31] ) ( output204 X ) + USE SIGNAL
+ ROUTED met2 ( 27830 3740 0 ) ( * 14110 )
NEW met1 ( 27830 14110 ) ( 32890 * )
NEW met1 ( 27830 14110 ) M1M2_PR
NEW li1 ( 32890 14110 ) L1M1_PR_MR ;
- read_value_to_Elpis[3] ( PIN read_value_to_Elpis[3] ) ( output205 X ) + USE SIGNAL
+ ROUTED met2 ( 84870 3740 0 ) ( * 11390 )
NEW met1 ( 84410 11390 ) ( 84870 * )
NEW met1 ( 84870 11390 ) M1M2_PR
NEW li1 ( 84410 11390 ) L1M1_PR_MR ;
- read_value_to_Elpis[4] ( PIN read_value_to_Elpis[4] ) ( output206 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 68340 ) ( * 68510 )
NEW met3 ( 190210 68340 ) ( 196420 * 0 )
NEW li1 ( 190210 68510 ) L1M1_PR_MR
NEW met1 ( 190210 68510 ) M1M2_PR
NEW met2 ( 190210 68340 ) M2M3_PR_M
NEW met1 ( 190210 68510 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[5] ( PIN read_value_to_Elpis[5] ) ( output207 X ) + USE SIGNAL
+ ROUTED met1 ( 155710 184450 ) ( 157090 * )
NEW met2 ( 155710 184450 ) ( * 196180 0 )
NEW li1 ( 157090 184450 ) L1M1_PR_MR
NEW met1 ( 155710 184450 ) M1M2_PR ;
- read_value_to_Elpis[6] ( PIN read_value_to_Elpis[6] ) ( output208 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 174590 ) ( 194810 * )
NEW li1 ( 194810 174590 ) ( * 185130 )
NEW met2 ( 194810 185130 ) ( * 185300 )
NEW met3 ( 194810 185300 ) ( 196420 * 0 )
NEW li1 ( 190210 174590 ) L1M1_PR_MR
NEW li1 ( 194810 174590 ) L1M1_PR_MR
NEW li1 ( 194810 185130 ) L1M1_PR_MR
NEW met1 ( 194810 185130 ) M1M2_PR
NEW met2 ( 194810 185300 ) M2M3_PR_M
NEW met1 ( 194810 185130 ) RECT ( -355 -70 0 70 ) ;
- read_value_to_Elpis[7] ( PIN read_value_to_Elpis[7] ) ( output209 X ) + USE SIGNAL
+ ROUTED met2 ( 180550 3740 0 ) ( * 18190 )
NEW met1 ( 180550 18190 ) ( 184690 * )
NEW met1 ( 180550 18190 ) M1M2_PR
NEW li1 ( 184690 18190 ) L1M1_PR_MR ;
- read_value_to_Elpis[8] ( PIN read_value_to_Elpis[8] ) ( output210 X ) + USE SIGNAL
+ ROUTED met2 ( 13110 3740 0 ) ( * 16830 )
NEW met1 ( 13110 16830 ) ( 15410 * )
NEW met1 ( 13110 16830 ) M1M2_PR
NEW li1 ( 15410 16830 ) L1M1_PR_MR ;
- read_value_to_Elpis[9] ( PIN read_value_to_Elpis[9] ) ( output211 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 103700 ) ( * 103870 )
NEW met3 ( 190210 103700 ) ( 196420 * 0 )
NEW li1 ( 190210 103870 ) L1M1_PR_MR
NEW met1 ( 190210 103870 ) M1M2_PR
NEW met2 ( 190210 103700 ) M2M3_PR_M
NEW met1 ( 190210 103870 ) RECT ( -355 -70 0 70 ) ;
- reset_core ( PIN reset_core ) ( output212 X ) + USE SIGNAL
+ ROUTED met2 ( 3910 3740 0 ) ( * 19550 )
NEW met1 ( 3910 19550 ) ( 13570 * )
NEW met1 ( 3910 19550 ) M1M2_PR
NEW li1 ( 13570 19550 ) L1M1_PR_MR ;
- rst ( PIN rst ) ( output213 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 173570 ) ( 195270 * )
NEW li1 ( 195270 173570 ) ( * 190570 )
NEW met1 ( 194810 190570 ) ( 195270 * )
NEW met2 ( 194810 190570 ) ( * 190740 )
NEW met3 ( 194810 190740 ) ( 196420 * 0 )
NEW li1 ( 190210 173570 ) L1M1_PR_MR
NEW li1 ( 195270 173570 ) L1M1_PR_MR
NEW li1 ( 195270 190570 ) L1M1_PR_MR
NEW met1 ( 194810 190570 ) M1M2_PR
NEW met2 ( 194810 190740 ) M2M3_PR_M ;
- wb_clk_i ( PIN wb_clk_i ) ( input123 A ) + USE SIGNAL
+ ROUTED met1 ( 150190 180710 ) ( 151570 * )
NEW met2 ( 150190 180710 ) ( * 196180 0 )
NEW li1 ( 151570 180710 ) L1M1_PR_MR
NEW met1 ( 150190 180710 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( input124 A ) + USE SIGNAL
+ ROUTED met2 ( 190670 3740 0 ) ( * 15470 )
NEW met1 ( 182850 15470 ) ( 190670 * )
NEW met1 ( 190670 15470 ) M1M2_PR
NEW li1 ( 182850 15470 ) L1M1_PR_MR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( output214 X ) + USE SIGNAL
+ ROUTED met1 ( 145590 184450 ) ( 146970 * )
NEW met2 ( 145590 184450 ) ( * 196180 0 )
NEW li1 ( 146970 184450 ) L1M1_PR_MR
NEW met1 ( 145590 184450 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( output215 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 5780 0 ) ( 14950 * )
NEW met2 ( 14950 5780 ) ( * 19550 )
NEW met1 ( 14950 19550 ) ( 17250 * )
NEW met2 ( 14950 5780 ) M2M3_PR_M
NEW met1 ( 14950 19550 ) M1M2_PR
NEW li1 ( 17250 19550 ) L1M1_PR_MR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( output216 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 60180 0 ) ( 8050 * )
NEW met2 ( 8050 60180 ) ( * 60350 )
NEW met2 ( 8050 60180 ) M2M3_PR_M
NEW li1 ( 8050 60350 ) L1M1_PR_MR
NEW met1 ( 8050 60350 ) M1M2_PR
NEW met1 ( 8050 60350 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( output217 X ) + USE SIGNAL
+ ROUTED met2 ( 115230 3740 0 ) ( * 14110 )
NEW met1 ( 115230 14110 ) ( 116610 * )
NEW met1 ( 115230 14110 ) M1M2_PR
NEW li1 ( 116610 14110 ) L1M1_PR_MR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( output218 X ) + USE SIGNAL
+ ROUTED met2 ( 230 3740 0 ) ( * 18190 )
NEW met1 ( 230 18190 ) ( 20010 * )
NEW met1 ( 230 18190 ) M1M2_PR
NEW li1 ( 20010 18190 ) L1M1_PR_MR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( output219 X ) + USE SIGNAL
+ ROUTED met2 ( 134550 3740 0 ) ( * 14110 )
NEW met1 ( 131790 14110 ) ( 134550 * )
NEW met1 ( 134550 14110 ) M1M2_PR
NEW li1 ( 131790 14110 ) L1M1_PR_MR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( output220 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 103700 0 ) ( 8050 * )
NEW met2 ( 8050 103700 ) ( * 103870 )
NEW met2 ( 8050 103700 ) M2M3_PR_M
NEW li1 ( 8050 103870 ) L1M1_PR_MR
NEW met1 ( 8050 103870 ) M1M2_PR
NEW met1 ( 8050 103870 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( output221 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 3060 0 ) ( 12420 * )
NEW met3 ( 12420 3060 ) ( * 4420 )
NEW met3 ( 12420 4420 ) ( 16790 * )
NEW met2 ( 16790 4420 ) ( * 14110 )
NEW met1 ( 16790 14110 ) ( 23690 * )
NEW met2 ( 16790 4420 ) M2M3_PR_M
NEW met1 ( 16790 14110 ) M1M2_PR
NEW li1 ( 23690 14110 ) L1M1_PR_MR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( output222 X ) + USE SIGNAL
+ ROUTED met1 ( 72910 184450 ) ( 75210 * )
NEW met2 ( 72910 184450 ) ( * 196180 0 )
NEW li1 ( 75210 184450 ) L1M1_PR_MR
NEW met1 ( 72910 184450 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( output223 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 69700 0 ) ( 8050 * )
NEW met2 ( 8050 69700 ) ( * 70210 )
NEW met2 ( 8050 69700 ) M2M3_PR_M
NEW li1 ( 8050 70210 ) L1M1_PR_MR
NEW met1 ( 8050 70210 ) M1M2_PR
NEW met1 ( 8050 70210 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( output224 X ) + USE SIGNAL
+ ROUTED met2 ( 36110 3740 0 ) ( * 14110 )
NEW met1 ( 36110 14110 ) ( 37490 * )
NEW met1 ( 36110 14110 ) M1M2_PR
NEW li1 ( 37490 14110 ) L1M1_PR_MR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( output225 X ) + USE SIGNAL
+ ROUTED met2 ( 12190 3740 0 ) ( * 14110 )
NEW met1 ( 12190 14110 ) ( 14490 * )
NEW met2 ( 14490 14110 ) ( * 22270 )
NEW met1 ( 12190 14110 ) M1M2_PR
NEW met1 ( 14490 14110 ) M1M2_PR
NEW li1 ( 14490 22270 ) L1M1_PR_MR
NEW met1 ( 14490 22270 ) M1M2_PR
NEW met1 ( 14490 22270 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( output226 X ) + USE SIGNAL
+ ROUTED met1 ( 114310 184450 ) ( 115690 * )
NEW met2 ( 114310 184450 ) ( * 196180 0 )
NEW li1 ( 115690 184450 ) L1M1_PR_MR
NEW met1 ( 114310 184450 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( output227 X ) + USE SIGNAL
+ ROUTED met1 ( 76590 184450 ) ( 78890 * )
NEW met2 ( 76590 184450 ) ( * 196180 0 )
NEW li1 ( 78890 184450 ) L1M1_PR_MR
NEW met1 ( 76590 184450 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( output228 X ) + USE SIGNAL
+ ROUTED met2 ( 91310 3740 0 ) ( * 11390 )
NEW met1 ( 91310 11390 ) ( 92690 * )
NEW met1 ( 91310 11390 ) M1M2_PR
NEW li1 ( 92690 11390 ) L1M1_PR_MR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( output229 X ) + USE SIGNAL
+ ROUTED met1 ( 150650 185470 ) ( 153410 * )
NEW met1 ( 144670 179010 ) ( 150650 * )
NEW met2 ( 144670 179010 ) ( * 196180 0 )
NEW met2 ( 150650 179010 ) ( * 185470 )
NEW met1 ( 150650 185470 ) M1M2_PR
NEW li1 ( 153410 185470 ) L1M1_PR_MR
NEW met1 ( 144670 179010 ) M1M2_PR
NEW met1 ( 150650 179010 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( output230 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 24820 ) ( * 24990 )
NEW met3 ( 190210 24820 ) ( 196420 * 0 )
NEW li1 ( 190210 24990 ) L1M1_PR_MR
NEW met1 ( 190210 24990 ) M1M2_PR
NEW met2 ( 190210 24820 ) M2M3_PR_M
NEW met1 ( 190210 24990 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( output231 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 155380 0 ) ( 8050 * )
NEW met2 ( 8050 155380 ) ( * 155550 )
NEW met2 ( 8050 155380 ) M2M3_PR_M
NEW li1 ( 8050 155550 ) L1M1_PR_MR
NEW met1 ( 8050 155550 ) M1M2_PR
NEW met1 ( 8050 155550 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( output232 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 147220 ) ( * 147390 )
NEW met3 ( 190210 147220 ) ( 196420 * 0 )
NEW li1 ( 190210 147390 ) L1M1_PR_MR
NEW met1 ( 190210 147390 ) M1M2_PR
NEW met2 ( 190210 147220 ) M2M3_PR_M
NEW met1 ( 190210 147390 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( output233 X ) + USE SIGNAL
+ ROUTED met2 ( 37030 3740 0 ) ( * 14450 )
NEW met1 ( 37030 14450 ) ( 37950 * )
NEW met1 ( 37950 14110 ) ( * 14450 )
NEW met1 ( 37950 14110 ) ( 41170 * )
NEW met1 ( 37030 14450 ) M1M2_PR
NEW li1 ( 41170 14110 ) L1M1_PR_MR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( output234 X ) + USE SIGNAL
+ ROUTED met2 ( 63710 3740 0 ) ( * 11390 )
NEW met1 ( 63710 11390 ) ( 65090 * )
NEW met1 ( 63710 11390 ) M1M2_PR
NEW li1 ( 65090 11390 ) L1M1_PR_MR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( output235 X ) + USE SIGNAL
+ ROUTED met2 ( 101430 3740 0 ) ( * 14110 )
NEW met1 ( 101430 14110 ) ( 105570 * )
NEW met1 ( 101430 14110 ) M1M2_PR
NEW li1 ( 105570 14110 ) L1M1_PR_MR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( output236 X ) + USE SIGNAL
+ ROUTED met2 ( 190210 137700 ) ( * 139230 )
NEW met3 ( 190210 137700 ) ( 196420 * 0 )
NEW li1 ( 190210 139230 ) L1M1_PR_MR
NEW met1 ( 190210 139230 ) M1M2_PR
NEW met2 ( 190210 137700 ) M2M3_PR_M
NEW met1 ( 190210 139230 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( output237 X ) + USE SIGNAL
+ ROUTED met2 ( 102350 3740 0 ) ( * 16830 )
NEW met1 ( 102350 16830 ) ( 103730 * )
NEW met1 ( 102350 16830 ) M1M2_PR
NEW li1 ( 103730 16830 ) L1M1_PR_MR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( output238 X ) + USE SIGNAL
+ ROUTED met1 ( 140530 185470 ) ( 140990 * )
NEW met2 ( 140990 185470 ) ( * 196180 0 )
NEW li1 ( 140530 185470 ) L1M1_PR_MR
NEW met1 ( 140990 185470 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( output239 X ) + USE SIGNAL
+ ROUTED met1 ( 138230 184450 ) ( 139610 * )
NEW met2 ( 138230 184450 ) ( * 196180 0 )
NEW li1 ( 139610 184450 ) L1M1_PR_MR
NEW met1 ( 138230 184450 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( output240 X ) + USE SIGNAL
+ ROUTED met1 ( 17710 184450 ) ( 18170 * )
NEW met2 ( 17710 184450 ) ( * 196180 0 )
NEW li1 ( 18170 184450 ) L1M1_PR_MR
NEW met1 ( 17710 184450 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( output241 X ) + USE SIGNAL
+ ROUTED met1 ( 48070 179010 ) ( 49450 * )
NEW met2 ( 48070 179010 ) ( * 196180 0 )
NEW met2 ( 49450 179010 ) ( * 180030 )
NEW li1 ( 49450 180030 ) L1M1_PR_MR
NEW met1 ( 49450 180030 ) M1M2_PR
NEW met1 ( 48070 179010 ) M1M2_PR
NEW met1 ( 49450 179010 ) M1M2_PR
NEW met1 ( 49450 180030 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( output242 X ) + USE SIGNAL
+ ROUTED met2 ( 120750 3740 0 ) ( * 14450 )
NEW met1 ( 120750 14450 ) ( 124890 * )
NEW met1 ( 124890 14110 ) ( * 14450 )
NEW met1 ( 120750 14450 ) M1M2_PR
NEW li1 ( 124890 14110 ) L1M1_PR_MR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( output243 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 53380 0 ) ( 8050 * )
NEW met2 ( 8050 53380 ) ( * 54910 )
NEW met2 ( 8050 53380 ) M2M3_PR_M
NEW li1 ( 8050 54910 ) L1M1_PR_MR
NEW met1 ( 8050 54910 ) M1M2_PR
NEW met1 ( 8050 54910 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( output244 X ) + USE SIGNAL
+ ROUTED met1 ( 2070 177650 ) ( 11730 * )
NEW met2 ( 2070 177650 ) ( * 196180 0 )
NEW li1 ( 11730 177650 ) L1M1_PR_MR
NEW met1 ( 2070 177650 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( output245 X ) + USE SIGNAL
+ ROUTED met1 ( 190210 76670 ) ( 190670 * )
NEW met2 ( 190670 73780 ) ( * 76670 )
NEW met3 ( 190670 73780 ) ( 196420 * 0 )
NEW li1 ( 190210 76670 ) L1M1_PR_MR
NEW met1 ( 190670 76670 ) M1M2_PR
NEW met2 ( 190670 73780 ) M2M3_PR_M ;
END NETS
END DESIGN