blob: a3dddca71525e80f49f9dd7463aa5f80fe4cc1d3 [file] [log] [blame]
#! c:/iverilog-x64/bin/vvp
:ivl_version "10.1 (stable)" "(v10_1_1)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision + 0;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_00000000028325b0 .scope module, "fxd2float_tb" "fxd2float_tb" 2 1;
.timescale 0 0;
P_00000000027f2ed0 .param/l "exp" 0 2 4, +C4<00000000000000000000000000001000>;
P_00000000027f2f08 .param/l "in" 0 2 2, +C4<00000000000000000000000000010011>;
P_00000000027f2f40 .param/l "man" 0 2 3, +C4<00000000000000000000000000010111>;
v00000000028c9140_0 .var "a", 18 0;
v00000000028c91e0_0 .net "b", 31 0, L_0000000002939ec0; 1 drivers
v00000000028ca360_0 .net "zro", 0 0, L_00000000028d0730; 1 drivers
S_0000000002832730 .scope module, "u1" "fxd2flot" 2 10, 3 37 0, S_00000000028325b0;
.timescale 0 0;
.port_info 0 /INPUT 19 "a"
.port_info 1 /OUTPUT 32 "b"
.port_info 2 /OUTPUT 1 "zro"
P_00000000028328b0 .param/l "exp" 0 3 41, +C4<00000000000000000000000000001000>;
P_00000000028328e8 .param/l "in" 0 3 39, +C4<00000000000000000000000000010011>;
P_0000000002832920 .param/l "man" 0 3 40, +C4<00000000000000000000000000010111>;
L_00000000028e00d0 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>;
v00000000028c9a00_0 .net/2u *"_s0", 5 0, L_00000000028e00d0; 1 drivers
L_00000000028e0118 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v00000000028ca180_0 .net/2u *"_s10", 2 0, L_00000000028e0118; 1 drivers
v00000000028c9780_0 .net *"_s12", 7 0, L_00000000028d0910; 1 drivers
L_00000000028e0160 .functor BUFT 1, C4<11111111>, C4<0>, C4<0>, C4<0>;
v00000000028c9f00_0 .net/2u *"_s14", 7 0, L_00000000028e0160; 1 drivers
v00000000028ca5e0_0 .net *"_s22", 7 0, L_0000000002938520; 1 drivers
v00000000028c9c80_0 .net *"_s3", 17 0, L_00000000028d1bd0; 1 drivers
L_00000000028e03e8 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>;
v00000000028ca540_0 .net/2u *"_s30", 5 0, L_00000000028e03e8; 1 drivers
v00000000028c9d20_0 .net *"_s33", 17 0, L_0000000002939420; 1 drivers
v00000000028c9aa0_0 .net *"_s34", 23 0, L_0000000002939e20; 1 drivers
L_00000000028e0430 .functor BUFT 1, C4<10111>, C4<0>, C4<0>, C4<0>;
v00000000028c9500_0 .net/2u *"_s36", 4 0, L_00000000028e0430; 1 drivers
v00000000028ca7c0_0 .net *"_s38", 4 0, L_00000000029388e0; 1 drivers
v00000000028c95a0_0 .net *"_s40", 23 0, L_00000000029387a0; 1 drivers
v00000000028cae00_0 .net *"_s43", 22 0, L_0000000002939380; 1 drivers
v00000000028ca0e0_0 .net *"_s9", 0 0, L_00000000028d0870; 1 drivers
v00000000028c9820_0 .net "a", 18 0, v00000000028c9140_0; 1 drivers
v00000000028c9fa0_0 .net "b", 31 0, L_0000000002939ec0; alias, 1 drivers
v00000000028ca860_0 .net "o", 4 0, L_00000000028d1130; 1 drivers
v00000000028c9dc0_0 .net "o1", 7 0, L_00000000028d09b0; 1 drivers
v00000000028ca2c0_0 .net "x", 0 0, L_0000000002938840; 1 drivers
v00000000028c9640_0 .net "zro", 0 0, L_00000000028d0730; alias, 1 drivers
L_00000000028d1bd0 .part v00000000028c9140_0, 0, 18;
L_00000000028d0c30 .concat [ 18 6 0 0], L_00000000028d1bd0, L_00000000028e00d0;
L_00000000028d0870 .part v00000000028c9140_0, 18, 1;
L_00000000028d0910 .concat [ 5 3 0 0], L_00000000028d1130, L_00000000028e0118;
L_00000000028d09b0 .functor MUXZ 8, L_00000000028e0160, L_00000000028d0910, L_00000000028d0730, C4<>;
L_0000000002938840 .part L_0000000002938480, 8, 1;
L_0000000002938520 .part L_0000000002938480, 0, 8;
L_0000000002939ec0 .concat8 [ 23 8 1 0], L_0000000002939380, L_0000000002938520, L_00000000028d0870;
L_0000000002939420 .part v00000000028c9140_0, 0, 18;
L_0000000002939e20 .concat [ 18 6 0 0], L_0000000002939420, L_00000000028e03e8;
L_00000000029388e0 .arith/sub 5, L_00000000028e0430, L_00000000028d1130;
L_00000000029387a0 .shift/l 24, L_0000000002939e20, L_00000000029388e0;
L_0000000002939380 .part L_00000000029387a0, 0, 23;
S_0000000002829680 .scope module, "t" "pe24" 3 51, 3 181 0, S_0000000002832730;
.timescale 0 0;
.port_info 0 /INPUT 24 "a"
.port_info 1 /OUTPUT 5 "b"
.port_info 2 /OUTPUT 1 "az"
v00000000028c7450_0 .net *"_s46", 0 0, L_00000000028d1770; 1 drivers
v00000000028c7630_0 .net *"_s51", 1 0, L_00000000028d1950; 1 drivers
v00000000028c8850_0 .net *"_s53", 0 0, L_00000000028d14f0; 1 drivers
v00000000028c8cb0_0 .net *"_s63", 0 0, L_00000000028cffb0; 1 drivers
v00000000028c8df0_0 .net *"_s65", 1 0, L_00000000028d16d0; 1 drivers
v00000000028c88f0_0 .net *"_s67", 1 0, L_00000000028d04b0; 1 drivers
v00000000028c8a30_0 .net *"_s68", 1 0, L_00000000028d00f0; 1 drivers
L_00000000028e0088 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v00000000028c80d0_0 .net/2u *"_s73", 0 0, L_00000000028e0088; 1 drivers
v00000000028c7bd0_0 .net *"_s76", 0 0, L_00000000028d0190; 1 drivers
v00000000028c8210_0 .net *"_s77", 1 0, L_00000000028d19f0; 1 drivers
v00000000028c7c70_0 .net *"_s82", 0 0, L_00000000028d0550; 1 drivers
v00000000028c85d0_0 .net *"_s87", 0 0, L_00000000028d1b30; 1 drivers
v00000000028c8b70_0 .net *"_s89", 3 0, L_00000000028d05f0; 1 drivers
v00000000028c8c10_0 .net *"_s91", 3 0, L_00000000028d0230; 1 drivers
v00000000028c8e90_0 .net *"_s92", 3 0, L_00000000028d1a90; 1 drivers
v00000000028c73b0_0 .net *"_s95", 0 0, L_00000000028d1270; 1 drivers
v00000000028c7310_0 .net *"_s97", 0 0, L_00000000028d1d10; 1 drivers
v00000000028c82b0_0 .net *"_s99", 0 0, L_00000000028d0690; 1 drivers
v00000000028c7d10_0 .net "a", 23 0, L_00000000028d0c30; 1 drivers
v00000000028c7590_0 .net "az", 0 0, L_00000000028d0730; alias, 1 drivers
v00000000028c76d0_0 .net "b", 4 0, L_00000000028d1130; alias, 1 drivers
v00000000028c8350_0 .net "o", 17 0, L_00000000028cd090; 1 drivers
v00000000028c7770_0 .net "o1", 4 0, L_00000000028d0e10; 1 drivers
v00000000028c8670_0 .net "o2", 7 0, L_00000000028d02d0; 1 drivers
L_00000000028cd590 .part L_00000000028d0c30, 0, 4;
L_00000000028ce710 .part L_00000000028d0c30, 4, 4;
L_00000000028ce990 .part L_00000000028d0c30, 8, 4;
L_00000000028ce8f0 .part L_00000000028d0c30, 12, 4;
L_00000000028cd270 .part L_00000000028d0c30, 16, 4;
L_00000000028cd310 .part L_00000000028d0c30, 20, 4;
LS_00000000028cd090_0_0 .concat8 [ 2 2 2 2], L_00000000028caae0, L_00000000028cd9f0, L_00000000028ce490, L_00000000028cd770;
LS_00000000028cd090_0_4 .concat8 [ 2 2 1 1], L_00000000028cd8b0, L_00000000028cdf90, L_00000000028c98c0, L_00000000028ce0d0;
LS_00000000028cd090_0_8 .concat8 [ 1 1 1 1], L_00000000028cd950, L_00000000028cd6d0, L_00000000028cd810, L_00000000028ce530;
L_00000000028cd090 .concat8 [ 8 6 4 0], LS_00000000028cd090_0_0, LS_00000000028cd090_0_4, LS_00000000028cd090_0_8;
L_00000000028d0f50 .part L_00000000028cd090, 12, 4;
L_00000000028d1770 .part L_00000000028cd090, 17, 1;
L_00000000028d0e10 .concat8 [ 2 1 1 1], L_00000000028d0ff0, L_00000000028d1770, L_00000000028ce2b0, L_00000000028d14f0;
L_00000000028d1950 .part L_00000000028cd090, 16, 2;
L_00000000028d14f0 .reduce/or L_00000000028d1950;
L_00000000028cff10 .part L_00000000028d0e10, 0, 2;
L_00000000028d11d0 .part L_00000000028cd090, 0, 8;
L_00000000028cffb0 .part L_00000000028d0e10, 2, 1;
L_00000000028d16d0 .part L_00000000028cd090, 10, 2;
L_00000000028d04b0 .part L_00000000028cd090, 8, 2;
L_00000000028d00f0 .functor MUXZ 2, L_00000000028d04b0, L_00000000028d16d0, L_00000000028cffb0, C4<>;
L_00000000028d02d0 .concat8 [ 4 2 2 0], L_00000000028d0370, L_00000000028d00f0, L_00000000028d19f0;
L_00000000028d0190 .part L_00000000028d0e10, 2, 1;
L_00000000028d19f0 .concat [ 1 1 0 0], L_00000000028d0190, L_00000000028e0088;
L_00000000028d0550 .part L_00000000028d0e10, 4, 1;
L_00000000028d1130 .concat8 [ 4 1 0 0], L_00000000028d1a90, L_00000000028d0550;
L_00000000028d1b30 .part L_00000000028d0e10, 4, 1;
L_00000000028d05f0 .part L_00000000028d02d0, 4, 4;
L_00000000028d0230 .part L_00000000028d02d0, 0, 4;
L_00000000028d1a90 .functor MUXZ 4, L_00000000028d0230, L_00000000028d05f0, L_00000000028d1b30, C4<>;
L_00000000028d1270 .part L_00000000028d0e10, 4, 1;
L_00000000028d1d10 .part L_00000000028d0e10, 4, 1;
L_00000000028d0690 .part L_00000000028d0e10, 3, 1;
L_00000000028d0730 .functor MUXZ 1, L_00000000028d0690, L_00000000028d1d10, L_00000000028d1270, C4<>;
S_0000000002829800 .scope module, "t0" "pe4" 3 191, 3 212 0, S_0000000002829680;
.timescale 0 0;
.port_info 0 /INPUT 4 "a"
.port_info 1 /OUTPUT 2 "b"
.port_info 2 /OUTPUT 1 "o"
v0000000002860b80_0 .net *"_s12", 0 0, L_00000000028cab80; 1 drivers
v0000000002861300_0 .net *"_s14", 0 0, L_00000000028cac20; 1 drivers
v0000000002860c20_0 .net *"_s16", 0 0, L_00000000028cacc0; 1 drivers
v0000000002861ee0_0 .net *"_s17", 0 0, L_00000000028caf40; 1 drivers
v00000000028620c0_0 .net *"_s5", 1 0, L_00000000028ca9a0; 1 drivers
v0000000002860900_0 .net *"_s7", 0 0, L_00000000028c90a0; 1 drivers
v0000000002860d60_0 .net "a", 3 0, L_00000000028cd590; 1 drivers
v00000000028618a0_0 .net "b", 1 0, L_00000000028caae0; 1 drivers
v0000000002860e00_0 .net "o", 0 0, L_00000000028c98c0; 1 drivers
L_00000000028c98c0 .reduce/or L_00000000028cd590;
L_00000000028ca9a0 .part L_00000000028cd590, 2, 2;
L_00000000028c90a0 .reduce/or L_00000000028ca9a0;
L_00000000028caae0 .concat8 [ 1 1 0 0], L_00000000028caf40, L_00000000028c90a0;
L_00000000028cab80 .part L_00000000028caae0, 1, 1;
L_00000000028cac20 .part L_00000000028cd590, 3, 1;
L_00000000028cacc0 .part L_00000000028cd590, 1, 1;
L_00000000028caf40 .functor MUXZ 1, L_00000000028cacc0, L_00000000028cac20, L_00000000028cab80, C4<>;
S_0000000002834310 .scope module, "t1" "pe4" 3 192, 3 212 0, S_0000000002829680;
.timescale 0 0;
.port_info 0 /INPUT 4 "a"
.port_info 1 /OUTPUT 2 "b"
.port_info 2 /OUTPUT 1 "o"
v00000000028611c0_0 .net *"_s12", 0 0, L_00000000028cdbd0; 1 drivers
v0000000002861260_0 .net *"_s14", 0 0, L_00000000028cd3b0; 1 drivers
v00000000028c58a0_0 .net *"_s16", 0 0, L_00000000028cdc70; 1 drivers
v00000000028c6d40_0 .net *"_s17", 0 0, L_00000000028cd450; 1 drivers
v00000000028c5e40_0 .net *"_s5", 1 0, L_00000000028cd130; 1 drivers
v00000000028c5ee0_0 .net *"_s7", 0 0, L_00000000028cd4f0; 1 drivers
v00000000028c6e80_0 .net "a", 3 0, L_00000000028ce710; 1 drivers
v00000000028c6020_0 .net "b", 1 0, L_00000000028cd9f0; 1 drivers
v00000000028c6660_0 .net "o", 0 0, L_00000000028ce0d0; 1 drivers
L_00000000028ce0d0 .reduce/or L_00000000028ce710;
L_00000000028cd130 .part L_00000000028ce710, 2, 2;
L_00000000028cd4f0 .reduce/or L_00000000028cd130;
L_00000000028cd9f0 .concat8 [ 1 1 0 0], L_00000000028cd450, L_00000000028cd4f0;
L_00000000028cdbd0 .part L_00000000028cd9f0, 1, 1;
L_00000000028cd3b0 .part L_00000000028ce710, 3, 1;
L_00000000028cdc70 .part L_00000000028ce710, 1, 1;
L_00000000028cd450 .functor MUXZ 1, L_00000000028cdc70, L_00000000028cd3b0, L_00000000028cdbd0, C4<>;
S_0000000002834490 .scope module, "t2" "pe4" 3 193, 3 212 0, S_0000000002829680;
.timescale 0 0;
.port_info 0 /INPUT 4 "a"
.port_info 1 /OUTPUT 2 "b"
.port_info 2 /OUTPUT 1 "o"
v00000000028c5a80_0 .net *"_s12", 0 0, L_00000000028cdb30; 1 drivers
v00000000028c68e0_0 .net *"_s14", 0 0, L_00000000028cd630; 1 drivers
v00000000028c6f20_0 .net *"_s16", 0 0, L_00000000028ce170; 1 drivers
v00000000028c5b20_0 .net *"_s17", 0 0, L_00000000028cdd10; 1 drivers
v00000000028c5300_0 .net *"_s5", 1 0, L_00000000028cd1d0; 1 drivers
v00000000028c60c0_0 .net *"_s7", 0 0, L_00000000028ce670; 1 drivers
v00000000028c5080_0 .net "a", 3 0, L_00000000028ce990; 1 drivers
v00000000028c6de0_0 .net "b", 1 0, L_00000000028ce490; 1 drivers
v00000000028c5120_0 .net "o", 0 0, L_00000000028cd950; 1 drivers
L_00000000028cd950 .reduce/or L_00000000028ce990;
L_00000000028cd1d0 .part L_00000000028ce990, 2, 2;
L_00000000028ce670 .reduce/or L_00000000028cd1d0;
L_00000000028ce490 .concat8 [ 1 1 0 0], L_00000000028cdd10, L_00000000028ce670;
L_00000000028cdb30 .part L_00000000028ce490, 1, 1;
L_00000000028cd630 .part L_00000000028ce990, 3, 1;
L_00000000028ce170 .part L_00000000028ce990, 1, 1;
L_00000000028cdd10 .functor MUXZ 1, L_00000000028ce170, L_00000000028cd630, L_00000000028cdb30, C4<>;
S_0000000002837fd0 .scope module, "t3" "pe4" 3 194, 3 212 0, S_0000000002829680;
.timescale 0 0;
.port_info 0 /INPUT 4 "a"
.port_info 1 /OUTPUT 2 "b"
.port_info 2 /OUTPUT 1 "o"
v00000000028c6160_0 .net *"_s12", 0 0, L_00000000028ce350; 1 drivers
v00000000028c51c0_0 .net *"_s14", 0 0, L_00000000028cddb0; 1 drivers
v00000000028c5bc0_0 .net *"_s16", 0 0, L_00000000028ce7b0; 1 drivers
v00000000028c5440_0 .net *"_s17", 0 0, L_00000000028ccaf0; 1 drivers
v00000000028c5d00_0 .net *"_s5", 1 0, L_00000000028ccc30; 1 drivers
v00000000028c6b60_0 .net *"_s7", 0 0, L_00000000028ce030; 1 drivers
v00000000028c53a0_0 .net "a", 3 0, L_00000000028ce8f0; 1 drivers
v00000000028c5260_0 .net "b", 1 0, L_00000000028cd770; 1 drivers
v00000000028c6980_0 .net "o", 0 0, L_00000000028cd6d0; 1 drivers
L_00000000028cd6d0 .reduce/or L_00000000028ce8f0;
L_00000000028ccc30 .part L_00000000028ce8f0, 2, 2;
L_00000000028ce030 .reduce/or L_00000000028ccc30;
L_00000000028cd770 .concat8 [ 1 1 0 0], L_00000000028ccaf0, L_00000000028ce030;
L_00000000028ce350 .part L_00000000028cd770, 1, 1;
L_00000000028cddb0 .part L_00000000028ce8f0, 3, 1;
L_00000000028ce7b0 .part L_00000000028ce8f0, 1, 1;
L_00000000028ccaf0 .functor MUXZ 1, L_00000000028ce7b0, L_00000000028cddb0, L_00000000028ce350, C4<>;
S_0000000002838150 .scope module, "t4" "pe4" 3 195, 3 212 0, S_0000000002829680;
.timescale 0 0;
.port_info 0 /INPUT 4 "a"
.port_info 1 /OUTPUT 2 "b"
.port_info 2 /OUTPUT 1 "o"
v00000000028c54e0_0 .net *"_s12", 0 0, L_00000000028ce5d0; 1 drivers
v00000000028c5da0_0 .net *"_s14", 0 0, L_00000000028cde50; 1 drivers
v00000000028c5f80_0 .net *"_s16", 0 0, L_00000000028ccd70; 1 drivers
v00000000028c6200_0 .net *"_s17", 0 0, L_00000000028cda90; 1 drivers
v00000000028c5580_0 .net *"_s5", 1 0, L_00000000028cccd0; 1 drivers
v00000000028c6ac0_0 .net *"_s7", 0 0, L_00000000028ce850; 1 drivers
v00000000028c6700_0 .net "a", 3 0, L_00000000028cd270; 1 drivers
v00000000028c5800_0 .net "b", 1 0, L_00000000028cd8b0; 1 drivers
v00000000028c5c60_0 .net "o", 0 0, L_00000000028cd810; 1 drivers
L_00000000028cd810 .reduce/or L_00000000028cd270;
L_00000000028cccd0 .part L_00000000028cd270, 2, 2;
L_00000000028ce850 .reduce/or L_00000000028cccd0;
L_00000000028cd8b0 .concat8 [ 1 1 0 0], L_00000000028cda90, L_00000000028ce850;
L_00000000028ce5d0 .part L_00000000028cd8b0, 1, 1;
L_00000000028cde50 .part L_00000000028cd270, 3, 1;
L_00000000028ccd70 .part L_00000000028cd270, 1, 1;
L_00000000028cda90 .functor MUXZ 1, L_00000000028ccd70, L_00000000028cde50, L_00000000028ce5d0, C4<>;
S_00000000028250b0 .scope module, "t5" "pe4" 3 196, 3 212 0, S_0000000002829680;
.timescale 0 0;
.port_info 0 /INPUT 4 "a"
.port_info 1 /OUTPUT 2 "b"
.port_info 2 /OUTPUT 1 "o"
v00000000028c5620_0 .net *"_s12", 0 0, L_00000000028ccb90; 1 drivers
v00000000028c5940_0 .net *"_s14", 0 0, L_00000000028ce210; 1 drivers
v00000000028c62a0_0 .net *"_s16", 0 0, L_00000000028cceb0; 1 drivers
v00000000028c67a0_0 .net *"_s17", 0 0, L_00000000028ccf50; 1 drivers
v00000000028c59e0_0 .net *"_s5", 1 0, L_00000000028cce10; 1 drivers
v00000000028c6840_0 .net *"_s7", 0 0, L_00000000028cdef0; 1 drivers
v00000000028c56c0_0 .net "a", 3 0, L_00000000028cd310; 1 drivers
v00000000028c5760_0 .net "b", 1 0, L_00000000028cdf90; 1 drivers
v00000000028c6340_0 .net "o", 0 0, L_00000000028ce530; 1 drivers
L_00000000028ce530 .reduce/or L_00000000028cd310;
L_00000000028cce10 .part L_00000000028cd310, 2, 2;
L_00000000028cdef0 .reduce/or L_00000000028cce10;
L_00000000028cdf90 .concat8 [ 1 1 0 0], L_00000000028ccf50, L_00000000028cdef0;
L_00000000028ccb90 .part L_00000000028cdf90, 1, 1;
L_00000000028ce210 .part L_00000000028cd310, 3, 1;
L_00000000028cceb0 .part L_00000000028cd310, 1, 1;
L_00000000028ccf50 .functor MUXZ 1, L_00000000028cceb0, L_00000000028ce210, L_00000000028ccb90, C4<>;
S_0000000002825230 .scope module, "t6" "pe4" 3 199, 3 212 0, S_0000000002829680;
.timescale 0 0;
.port_info 0 /INPUT 4 "a"
.port_info 1 /OUTPUT 2 "b"
.port_info 2 /OUTPUT 1 "o"
v00000000028c6c00_0 .net *"_s12", 0 0, L_00000000028d1310; 1 drivers
v00000000028c63e0_0 .net *"_s14", 0 0, L_00000000028d13b0; 1 drivers
v00000000028c6480_0 .net *"_s16", 0 0, L_00000000028d0050; 1 drivers
v00000000028c6520_0 .net *"_s17", 0 0, L_00000000028d1450; 1 drivers
v00000000028c65c0_0 .net *"_s5", 1 0, L_00000000028ce3f0; 1 drivers
v00000000028c6a20_0 .net *"_s7", 0 0, L_00000000028ccff0; 1 drivers
v00000000028c6ca0_0 .net "a", 3 0, L_00000000028d0f50; 1 drivers
v00000000028c8990_0 .net "b", 1 0, L_00000000028d0ff0; 1 drivers
v00000000028c8530_0 .net "o", 0 0, L_00000000028ce2b0; 1 drivers
L_00000000028ce2b0 .reduce/or L_00000000028d0f50;
L_00000000028ce3f0 .part L_00000000028d0f50, 2, 2;
L_00000000028ccff0 .reduce/or L_00000000028ce3f0;
L_00000000028d0ff0 .concat8 [ 1 1 0 0], L_00000000028d1450, L_00000000028ccff0;
L_00000000028d1310 .part L_00000000028d0ff0, 1, 1;
L_00000000028d13b0 .part L_00000000028d0f50, 3, 1;
L_00000000028d0050 .part L_00000000028d0f50, 1, 1;
L_00000000028d1450 .functor MUXZ 1, L_00000000028d0050, L_00000000028d13b0, L_00000000028d1310, C4<>;
S_00000000027f2720 .scope module, "t7" "mux4x1" 3 203, 3 225 0, S_0000000002829680;
.timescale 0 0;
.port_info 0 /INPUT 2 "sel"
.port_info 1 /INPUT 8 "a"
.port_info 2 /OUTPUT 4 "y"
P_000000000285c320 .param/l "n" 0 3 226, +C4<00000000000000000000000000000010>;
v00000000028c8ad0_0 .net *"_s1", 0 0, L_00000000028d1c70; 1 drivers
v00000000028c7ef0_0 .net *"_s11", 0 0, L_00000000028d0eb0; 1 drivers
v00000000028c8f30_0 .net *"_s13", 1 0, L_00000000028d1630; 1 drivers
v00000000028c7130_0 .net *"_s15", 1 0, L_00000000028d07d0; 1 drivers
v00000000028c7090_0 .net *"_s16", 1 0, L_00000000028d0410; 1 drivers
v00000000028c71d0_0 .net *"_s3", 0 0, L_00000000028d1810; 1 drivers
v00000000028c7270_0 .net *"_s5", 1 0, L_00000000028d1090; 1 drivers
v00000000028c74f0_0 .net *"_s7", 1 0, L_00000000028d1590; 1 drivers
v00000000028c8d50_0 .net *"_s8", 1 0, L_00000000028d1db0; 1 drivers
v00000000028c8710_0 .net "a", 7 0, L_00000000028d11d0; 1 drivers
v00000000028c7810_0 .net "sel", 1 0, L_00000000028cff10; 1 drivers
v00000000028c8170_0 .net "y", 3 0, L_00000000028d0370; 1 drivers
v00000000028c87b0_0 .net "y1", 1 0, L_00000000028d18b0; 1 drivers
L_00000000028d1c70 .part L_00000000028cff10, 1, 1;
L_00000000028d1810 .part L_00000000028cff10, 0, 1;
L_00000000028d1090 .part L_00000000028d11d0, 6, 2;
L_00000000028d1590 .part L_00000000028d11d0, 4, 2;
L_00000000028d1db0 .functor MUXZ 2, L_00000000028d1590, L_00000000028d1090, L_00000000028d1810, C4<>;
L_00000000028d0eb0 .part L_00000000028cff10, 0, 1;
L_00000000028d1630 .part L_00000000028d11d0, 2, 2;
L_00000000028d07d0 .part L_00000000028d11d0, 0, 2;
L_00000000028d0410 .functor MUXZ 2, L_00000000028d07d0, L_00000000028d1630, L_00000000028d0eb0, C4<>;
L_00000000028d18b0 .functor MUXZ 2, L_00000000028d0410, L_00000000028d1db0, L_00000000028d1c70, C4<>;
L_00000000028d0370 .concat [ 2 2 0 0], L_00000000028d18b0, L_00000000028cff10;
S_00000000027f29b0 .scope module, "t0" "adder" 3 55, 3 62 0, S_0000000002832730;
.timescale 0 0;
.port_info 0 /INPUT 8 "p"
.port_info 1 /INPUT 8 "q"
.port_info 2 /INPUT 1 "mode"
.port_info 3 /OUTPUT 9 "sum"
P_000000000285bfa0 .param/l "num" 0 3 64, +C4<00000000000000000000000000001000>;
L_0000000002864650 .functor BUFT 9, L_0000000002939060, C4<000000000>, C4<000000000>, C4<000000000>;
v00000000028c7db0_0 .net *"_s1", 0 0, L_00000000028d0cd0; 1 drivers
v00000000028c78b0_0 .net *"_s11", 8 0, L_00000000028d0af0; 1 drivers
L_00000000028e0478 .functor BUFT 1, C4<001111111>, C4<0>, C4<0>, C4<0>;
v00000000028c83f0_0 .net *"_s14", 8 0, L_00000000028e0478; 1 drivers
v00000000028c7950_0 .net *"_s19", 0 0, L_0000000002939240; 1 drivers
L_00000000028e01a8 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v00000000028c8490_0 .net/2u *"_s2", 1 0, L_00000000028e01a8; 1 drivers
L_00000000028e0238 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v00000000028c79f0_0 .net/2u *"_s20", 1 0, L_00000000028e0238; 1 drivers
v00000000028c7a90_0 .net *"_s23", 6 0, L_0000000002939b00; 1 drivers
v00000000028c7b30_0 .net *"_s24", 8 0, L_0000000002939c40; 1 drivers
L_00000000028e0280 .functor BUFT 1, C4<000000000>, C4<0>, C4<0>, C4<0>;
v00000000028c7e50_0 .net *"_s26", 8 0, L_00000000028e0280; 1 drivers
v00000000028c7f90_0 .net *"_s29", 8 0, L_00000000029382a0; 1 drivers
L_00000000028e02c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v00000000028c8030_0 .net/2u *"_s30", 0 0, L_00000000028e02c8; 1 drivers
v00000000028ca220_0 .net *"_s32", 8 0, L_0000000002939f60; 1 drivers
v00000000028cad60_0 .net *"_s36", 8 0, L_00000000029383e0; 1 drivers
v00000000028c9e60_0 .net *"_s38", 8 0, L_0000000002939060; 1 drivers
L_00000000028e0310 .functor BUFT 1, C4<000000000>, C4<0>, C4<0>, C4<0>;
v00000000028caa40_0 .net *"_s42", 8 0, L_00000000028e0310; 1 drivers
v00000000028caea0_0 .net *"_s47", 0 0, L_0000000002938ca0; 1 drivers
v00000000028ca040_0 .net *"_s49", 0 0, L_00000000029397e0; 1 drivers
v00000000028ca680_0 .net *"_s5", 6 0, L_00000000028d0d70; 1 drivers
v00000000028c9960_0 .net *"_s51", 7 0, L_00000000029391a0; 1 drivers
v00000000028c96e0_0 .net *"_s52", 8 0, L_0000000002938c00; 1 drivers
v00000000028ca720_0 .net *"_s6", 8 0, L_00000000028d0a50; 1 drivers
L_00000000028e01f0 .functor BUFT 1, C4<000000000>, C4<0>, C4<0>, C4<0>;
v00000000028c9280_0 .net *"_s8", 8 0, L_00000000028e01f0; 1 drivers
L_00000000028e03a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v00000000028ca900_0 .net "mode", 0 0, L_00000000028e03a0; 1 drivers
L_00000000028e0358 .functor BUFT 1, C4<01111111>, C4<0>, C4<0>, C4<0>;
v00000000028c9be0_0 .net "p", 7 0, L_00000000028e0358; 1 drivers
v00000000028ca400_0 .net "q", 7 0, L_00000000028d09b0; alias, 1 drivers
v00000000028c9b40_0 .net "sum", 8 0, L_0000000002938480; 1 drivers
v00000000028c9320_0 .net "temp", 8 0, L_0000000002864650; 1 drivers
v00000000028c93c0_0 .net "temp1", 8 0, L_0000000002938340; 1 drivers
v00000000028ca4a0_0 .net "temp2", 8 0, L_00000000028d0b90; 1 drivers
v00000000028c9460_0 .net "temp3", 8 0, L_00000000029392e0; 1 drivers
L_00000000028d0cd0 .part L_00000000028e0358, 7, 1;
L_00000000028d0d70 .part L_00000000028e0358, 0, 7;
L_00000000028d0a50 .concat [ 7 2 0 0], L_00000000028d0d70, L_00000000028e01a8;
L_00000000028d0af0 .arith/sub 9, L_00000000028e01f0, L_00000000028d0a50;
L_00000000028d0b90 .functor MUXZ 9, L_00000000028e0478, L_00000000028d0af0, L_00000000028d0cd0, C4<>;
L_0000000002939240 .part L_00000000028d09b0, 7, 1;
L_0000000002939b00 .part L_00000000028d09b0, 0, 7;
L_0000000002939c40 .concat [ 7 2 0 0], L_0000000002939b00, L_00000000028e0238;
L_00000000029382a0 .arith/sub 9, L_00000000028e0280, L_0000000002939c40;
L_0000000002939f60 .concat [ 8 1 0 0], L_00000000028d09b0, L_00000000028e02c8;
L_00000000029392e0 .functor MUXZ 9, L_0000000002939f60, L_00000000029382a0, L_0000000002939240, C4<>;
L_00000000029383e0 .arith/sub 9, L_00000000028d0b90, L_00000000029392e0;
L_0000000002939060 .arith/sum 9, L_00000000028d0b90, L_00000000029392e0;
L_0000000002938340 .arith/sub 9, L_00000000028e0310, L_0000000002864650;
L_0000000002938ca0 .part L_0000000002864650, 8, 1;
L_00000000029397e0 .part L_0000000002864650, 8, 1;
L_00000000029391a0 .part L_0000000002938340, 0, 8;
L_0000000002938c00 .concat [ 8 1 0 0], L_00000000029391a0, L_00000000029397e0;
L_0000000002938480 .functor MUXZ 9, L_0000000002864650, L_0000000002938c00, L_0000000002938ca0, C4<>;
.scope S_00000000028325b0;
T_0 ;
%pushi/vec4 1024, 0, 19;
%store/vec4 v00000000028c9140_0, 0, 19;
%delay 10, 0;
%pushi/vec4 65536, 0, 19;
%store/vec4 v00000000028c9140_0, 0, 19;
%delay 10, 0;
%pushi/vec4 123456, 0, 19;
%store/vec4 v00000000028c9140_0, 0, 19;
%delay 100, 0;
%vpi_call 2 18 "$finish" {0 0 0};
%end;
.thread T_0;
.scope S_00000000028325b0;
T_1 ;
%vpi_call 2 23 "$dumpfile", "fxd2float.vcd" {0 0 0};
%vpi_call 2 24 "$dumpvars", 32'sb00000000000000000000000000000000, S_00000000028325b0 {0 0 0};
%end;
.thread T_1;
# The file index is used to find the file name in the following table.
:file_names 4;
"N/A";
"<interactive>";
"fxd2float_tb.v";
"fx2float.v";