blob: bba0b2dbaa2ef0678738e15385e0aaaefcdfca1c [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO sudoku_accelerator_wrapper
CLASS BLOCK ;
FOREIGN sudoku_accelerator_wrapper ;
ORIGIN 0.000 0.000 ;
SIZE 903.980 BY 914.700 ;
PIN la_rst
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 874.550 0.000 874.830 4.000 ;
END
END la_rst
PIN ser_rx
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 150.510 910.700 150.790 914.700 ;
END
END ser_rx
PIN ser_tx
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 451.810 910.700 452.090 914.700 ;
END
END ser_tx
PIN ser_tx_oeb
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 753.110 910.700 753.390 914.700 ;
END
END ser_tx_oeb
PIN user_irq[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 882.830 0.000 883.110 4.000 ;
END
END user_irq[0]
PIN user_irq[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 891.110 0.000 891.390 4.000 ;
END
END user_irq[1]
PIN user_irq[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 899.390 0.000 899.670 4.000 ;
END
END user_irq[2]
PIN vccd1
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 21.040 10.640 22.640 903.280 ;
END
PORT
LAYER met4 ;
RECT 174.640 10.640 176.240 903.280 ;
END
PORT
LAYER met4 ;
RECT 328.240 10.640 329.840 903.280 ;
END
PORT
LAYER met4 ;
RECT 481.840 10.640 483.440 903.280 ;
END
PORT
LAYER met4 ;
RECT 635.440 10.640 637.040 903.280 ;
END
PORT
LAYER met4 ;
RECT 789.040 10.640 790.640 903.280 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 97.840 10.640 99.440 903.280 ;
END
PORT
LAYER met4 ;
RECT 251.440 10.640 253.040 903.280 ;
END
PORT
LAYER met4 ;
RECT 405.040 10.640 406.640 903.280 ;
END
PORT
LAYER met4 ;
RECT 558.640 10.640 560.240 903.280 ;
END
PORT
LAYER met4 ;
RECT 712.240 10.640 713.840 903.280 ;
END
PORT
LAYER met4 ;
RECT 865.840 10.640 867.440 903.280 ;
END
END vssd1
PIN wb_ack_o
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 3.770 0.000 4.050 4.000 ;
END
END wb_ack_o
PIN wb_adr_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 52.990 0.000 53.270 4.000 ;
END
END wb_adr_i[0]
PIN wb_adr_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 332.210 0.000 332.490 4.000 ;
END
END wb_adr_i[10]
PIN wb_adr_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 357.050 0.000 357.330 4.000 ;
END
END wb_adr_i[11]
PIN wb_adr_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 381.430 0.000 381.710 4.000 ;
END
END wb_adr_i[12]
PIN wb_adr_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 406.270 0.000 406.550 4.000 ;
END
END wb_adr_i[13]
PIN wb_adr_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 430.650 0.000 430.930 4.000 ;
END
END wb_adr_i[14]
PIN wb_adr_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 455.490 0.000 455.770 4.000 ;
END
END wb_adr_i[15]
PIN wb_adr_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 480.330 0.000 480.610 4.000 ;
END
END wb_adr_i[16]
PIN wb_adr_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 504.710 0.000 504.990 4.000 ;
END
END wb_adr_i[17]
PIN wb_adr_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 529.550 0.000 529.830 4.000 ;
END
END wb_adr_i[18]
PIN wb_adr_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 553.930 0.000 554.210 4.000 ;
END
END wb_adr_i[19]
PIN wb_adr_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 85.650 0.000 85.930 4.000 ;
END
END wb_adr_i[1]
PIN wb_adr_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 578.770 0.000 579.050 4.000 ;
END
END wb_adr_i[20]
PIN wb_adr_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 603.610 0.000 603.890 4.000 ;
END
END wb_adr_i[21]
PIN wb_adr_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 627.990 0.000 628.270 4.000 ;
END
END wb_adr_i[22]
PIN wb_adr_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 652.830 0.000 653.110 4.000 ;
END
END wb_adr_i[23]
PIN wb_adr_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 677.210 0.000 677.490 4.000 ;
END
END wb_adr_i[24]
PIN wb_adr_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 702.050 0.000 702.330 4.000 ;
END
END wb_adr_i[25]
PIN wb_adr_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 726.890 0.000 727.170 4.000 ;
END
END wb_adr_i[26]
PIN wb_adr_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 751.270 0.000 751.550 4.000 ;
END
END wb_adr_i[27]
PIN wb_adr_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 776.110 0.000 776.390 4.000 ;
END
END wb_adr_i[28]
PIN wb_adr_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 800.490 0.000 800.770 4.000 ;
END
END wb_adr_i[29]
PIN wb_adr_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 118.770 0.000 119.050 4.000 ;
END
END wb_adr_i[2]
PIN wb_adr_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 825.330 0.000 825.610 4.000 ;
END
END wb_adr_i[30]
PIN wb_adr_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 849.710 0.000 849.990 4.000 ;
END
END wb_adr_i[31]
PIN wb_adr_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 151.430 0.000 151.710 4.000 ;
END
END wb_adr_i[3]
PIN wb_adr_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 184.550 0.000 184.830 4.000 ;
END
END wb_adr_i[4]
PIN wb_adr_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 208.930 0.000 209.210 4.000 ;
END
END wb_adr_i[5]
PIN wb_adr_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 233.770 0.000 234.050 4.000 ;
END
END wb_adr_i[6]
PIN wb_adr_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 258.150 0.000 258.430 4.000 ;
END
END wb_adr_i[7]
PIN wb_adr_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 282.990 0.000 283.270 4.000 ;
END
END wb_adr_i[8]
PIN wb_adr_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 307.370 0.000 307.650 4.000 ;
END
END wb_adr_i[9]
PIN wb_clk_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 11.590 0.000 11.870 4.000 ;
END
END wb_clk_i
PIN wb_cyc_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 19.870 0.000 20.150 4.000 ;
END
END wb_cyc_i
PIN wb_dat_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 61.270 0.000 61.550 4.000 ;
END
END wb_dat_i[0]
PIN wb_dat_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 340.490 0.000 340.770 4.000 ;
END
END wb_dat_i[10]
PIN wb_dat_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 365.330 0.000 365.610 4.000 ;
END
END wb_dat_i[11]
PIN wb_dat_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 389.710 0.000 389.990 4.000 ;
END
END wb_dat_i[12]
PIN wb_dat_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 414.550 0.000 414.830 4.000 ;
END
END wb_dat_i[13]
PIN wb_dat_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 438.930 0.000 439.210 4.000 ;
END
END wb_dat_i[14]
PIN wb_dat_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 463.770 0.000 464.050 4.000 ;
END
END wb_dat_i[15]
PIN wb_dat_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 488.150 0.000 488.430 4.000 ;
END
END wb_dat_i[16]
PIN wb_dat_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 512.990 0.000 513.270 4.000 ;
END
END wb_dat_i[17]
PIN wb_dat_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 537.830 0.000 538.110 4.000 ;
END
END wb_dat_i[18]
PIN wb_dat_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 562.210 0.000 562.490 4.000 ;
END
END wb_dat_i[19]
PIN wb_dat_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.930 0.000 94.210 4.000 ;
END
END wb_dat_i[1]
PIN wb_dat_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 587.050 0.000 587.330 4.000 ;
END
END wb_dat_i[20]
PIN wb_dat_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 611.430 0.000 611.710 4.000 ;
END
END wb_dat_i[21]
PIN wb_dat_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 636.270 0.000 636.550 4.000 ;
END
END wb_dat_i[22]
PIN wb_dat_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 661.110 0.000 661.390 4.000 ;
END
END wb_dat_i[23]
PIN wb_dat_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 685.490 0.000 685.770 4.000 ;
END
END wb_dat_i[24]
PIN wb_dat_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 710.330 0.000 710.610 4.000 ;
END
END wb_dat_i[25]
PIN wb_dat_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 734.710 0.000 734.990 4.000 ;
END
END wb_dat_i[26]
PIN wb_dat_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 759.550 0.000 759.830 4.000 ;
END
END wb_dat_i[27]
PIN wb_dat_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 784.390 0.000 784.670 4.000 ;
END
END wb_dat_i[28]
PIN wb_dat_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 808.770 0.000 809.050 4.000 ;
END
END wb_dat_i[29]
PIN wb_dat_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 126.590 0.000 126.870 4.000 ;
END
END wb_dat_i[2]
PIN wb_dat_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 833.610 0.000 833.890 4.000 ;
END
END wb_dat_i[30]
PIN wb_dat_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 857.990 0.000 858.270 4.000 ;
END
END wb_dat_i[31]
PIN wb_dat_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 159.710 0.000 159.990 4.000 ;
END
END wb_dat_i[3]
PIN wb_dat_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 192.370 0.000 192.650 4.000 ;
END
END wb_dat_i[4]
PIN wb_dat_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 217.210 0.000 217.490 4.000 ;
END
END wb_dat_i[5]
PIN wb_dat_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 242.050 0.000 242.330 4.000 ;
END
END wb_dat_i[6]
PIN wb_dat_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 266.430 0.000 266.710 4.000 ;
END
END wb_dat_i[7]
PIN wb_dat_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 291.270 0.000 291.550 4.000 ;
END
END wb_dat_i[8]
PIN wb_dat_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 315.650 0.000 315.930 4.000 ;
END
END wb_dat_i[9]
PIN wb_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 69.090 0.000 69.370 4.000 ;
END
END wb_dat_o[0]
PIN wb_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 348.770 0.000 349.050 4.000 ;
END
END wb_dat_o[10]
PIN wb_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 373.150 0.000 373.430 4.000 ;
END
END wb_dat_o[11]
PIN wb_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 397.990 0.000 398.270 4.000 ;
END
END wb_dat_o[12]
PIN wb_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 422.830 0.000 423.110 4.000 ;
END
END wb_dat_o[13]
PIN wb_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 447.210 0.000 447.490 4.000 ;
END
END wb_dat_o[14]
PIN wb_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 472.050 0.000 472.330 4.000 ;
END
END wb_dat_o[15]
PIN wb_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 496.430 0.000 496.710 4.000 ;
END
END wb_dat_o[16]
PIN wb_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 521.270 0.000 521.550 4.000 ;
END
END wb_dat_o[17]
PIN wb_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 546.110 0.000 546.390 4.000 ;
END
END wb_dat_o[18]
PIN wb_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 570.490 0.000 570.770 4.000 ;
END
END wb_dat_o[19]
PIN wb_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 102.210 0.000 102.490 4.000 ;
END
END wb_dat_o[1]
PIN wb_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 595.330 0.000 595.610 4.000 ;
END
END wb_dat_o[20]
PIN wb_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 619.710 0.000 619.990 4.000 ;
END
END wb_dat_o[21]
PIN wb_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 644.550 0.000 644.830 4.000 ;
END
END wb_dat_o[22]
PIN wb_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 668.930 0.000 669.210 4.000 ;
END
END wb_dat_o[23]
PIN wb_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 693.770 0.000 694.050 4.000 ;
END
END wb_dat_o[24]
PIN wb_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 718.610 0.000 718.890 4.000 ;
END
END wb_dat_o[25]
PIN wb_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 742.990 0.000 743.270 4.000 ;
END
END wb_dat_o[26]
PIN wb_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 767.830 0.000 768.110 4.000 ;
END
END wb_dat_o[27]
PIN wb_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 792.210 0.000 792.490 4.000 ;
END
END wb_dat_o[28]
PIN wb_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 817.050 0.000 817.330 4.000 ;
END
END wb_dat_o[29]
PIN wb_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 134.870 0.000 135.150 4.000 ;
END
END wb_dat_o[2]
PIN wb_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 841.890 0.000 842.170 4.000 ;
END
END wb_dat_o[30]
PIN wb_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 866.270 0.000 866.550 4.000 ;
END
END wb_dat_o[31]
PIN wb_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 167.990 0.000 168.270 4.000 ;
END
END wb_dat_o[3]
PIN wb_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 200.650 0.000 200.930 4.000 ;
END
END wb_dat_o[4]
PIN wb_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 225.490 0.000 225.770 4.000 ;
END
END wb_dat_o[5]
PIN wb_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 249.870 0.000 250.150 4.000 ;
END
END wb_dat_o[6]
PIN wb_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 274.710 0.000 274.990 4.000 ;
END
END wb_dat_o[7]
PIN wb_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 299.550 0.000 299.830 4.000 ;
END
END wb_dat_o[8]
PIN wb_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 323.930 0.000 324.210 4.000 ;
END
END wb_dat_o[9]
PIN wb_rst_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 28.150 0.000 28.430 4.000 ;
END
END wb_rst_i
PIN wb_sel_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 77.370 0.000 77.650 4.000 ;
END
END wb_sel_i[0]
PIN wb_sel_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 110.490 0.000 110.770 4.000 ;
END
END wb_sel_i[1]
PIN wb_sel_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 143.150 0.000 143.430 4.000 ;
END
END wb_sel_i[2]
PIN wb_sel_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 176.270 0.000 176.550 4.000 ;
END
END wb_sel_i[3]
PIN wb_stb_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 36.430 0.000 36.710 4.000 ;
END
END wb_stb_i
PIN wb_we_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.710 0.000 44.990 4.000 ;
END
END wb_we_i
OBS
LAYER li1 ;
RECT 5.520 7.225 902.835 903.125 ;
LAYER met1 ;
RECT 3.750 2.420 902.895 903.280 ;
LAYER met2 ;
RECT 3.780 910.420 150.230 910.700 ;
RECT 151.070 910.420 451.530 910.700 ;
RECT 452.370 910.420 752.830 910.700 ;
RECT 753.670 910.420 901.510 910.700 ;
RECT 3.780 4.280 901.510 910.420 ;
RECT 4.330 2.390 11.310 4.280 ;
RECT 12.150 2.390 19.590 4.280 ;
RECT 20.430 2.390 27.870 4.280 ;
RECT 28.710 2.390 36.150 4.280 ;
RECT 36.990 2.390 44.430 4.280 ;
RECT 45.270 2.390 52.710 4.280 ;
RECT 53.550 2.390 60.990 4.280 ;
RECT 61.830 2.390 68.810 4.280 ;
RECT 69.650 2.390 77.090 4.280 ;
RECT 77.930 2.390 85.370 4.280 ;
RECT 86.210 2.390 93.650 4.280 ;
RECT 94.490 2.390 101.930 4.280 ;
RECT 102.770 2.390 110.210 4.280 ;
RECT 111.050 2.390 118.490 4.280 ;
RECT 119.330 2.390 126.310 4.280 ;
RECT 127.150 2.390 134.590 4.280 ;
RECT 135.430 2.390 142.870 4.280 ;
RECT 143.710 2.390 151.150 4.280 ;
RECT 151.990 2.390 159.430 4.280 ;
RECT 160.270 2.390 167.710 4.280 ;
RECT 168.550 2.390 175.990 4.280 ;
RECT 176.830 2.390 184.270 4.280 ;
RECT 185.110 2.390 192.090 4.280 ;
RECT 192.930 2.390 200.370 4.280 ;
RECT 201.210 2.390 208.650 4.280 ;
RECT 209.490 2.390 216.930 4.280 ;
RECT 217.770 2.390 225.210 4.280 ;
RECT 226.050 2.390 233.490 4.280 ;
RECT 234.330 2.390 241.770 4.280 ;
RECT 242.610 2.390 249.590 4.280 ;
RECT 250.430 2.390 257.870 4.280 ;
RECT 258.710 2.390 266.150 4.280 ;
RECT 266.990 2.390 274.430 4.280 ;
RECT 275.270 2.390 282.710 4.280 ;
RECT 283.550 2.390 290.990 4.280 ;
RECT 291.830 2.390 299.270 4.280 ;
RECT 300.110 2.390 307.090 4.280 ;
RECT 307.930 2.390 315.370 4.280 ;
RECT 316.210 2.390 323.650 4.280 ;
RECT 324.490 2.390 331.930 4.280 ;
RECT 332.770 2.390 340.210 4.280 ;
RECT 341.050 2.390 348.490 4.280 ;
RECT 349.330 2.390 356.770 4.280 ;
RECT 357.610 2.390 365.050 4.280 ;
RECT 365.890 2.390 372.870 4.280 ;
RECT 373.710 2.390 381.150 4.280 ;
RECT 381.990 2.390 389.430 4.280 ;
RECT 390.270 2.390 397.710 4.280 ;
RECT 398.550 2.390 405.990 4.280 ;
RECT 406.830 2.390 414.270 4.280 ;
RECT 415.110 2.390 422.550 4.280 ;
RECT 423.390 2.390 430.370 4.280 ;
RECT 431.210 2.390 438.650 4.280 ;
RECT 439.490 2.390 446.930 4.280 ;
RECT 447.770 2.390 455.210 4.280 ;
RECT 456.050 2.390 463.490 4.280 ;
RECT 464.330 2.390 471.770 4.280 ;
RECT 472.610 2.390 480.050 4.280 ;
RECT 480.890 2.390 487.870 4.280 ;
RECT 488.710 2.390 496.150 4.280 ;
RECT 496.990 2.390 504.430 4.280 ;
RECT 505.270 2.390 512.710 4.280 ;
RECT 513.550 2.390 520.990 4.280 ;
RECT 521.830 2.390 529.270 4.280 ;
RECT 530.110 2.390 537.550 4.280 ;
RECT 538.390 2.390 545.830 4.280 ;
RECT 546.670 2.390 553.650 4.280 ;
RECT 554.490 2.390 561.930 4.280 ;
RECT 562.770 2.390 570.210 4.280 ;
RECT 571.050 2.390 578.490 4.280 ;
RECT 579.330 2.390 586.770 4.280 ;
RECT 587.610 2.390 595.050 4.280 ;
RECT 595.890 2.390 603.330 4.280 ;
RECT 604.170 2.390 611.150 4.280 ;
RECT 611.990 2.390 619.430 4.280 ;
RECT 620.270 2.390 627.710 4.280 ;
RECT 628.550 2.390 635.990 4.280 ;
RECT 636.830 2.390 644.270 4.280 ;
RECT 645.110 2.390 652.550 4.280 ;
RECT 653.390 2.390 660.830 4.280 ;
RECT 661.670 2.390 668.650 4.280 ;
RECT 669.490 2.390 676.930 4.280 ;
RECT 677.770 2.390 685.210 4.280 ;
RECT 686.050 2.390 693.490 4.280 ;
RECT 694.330 2.390 701.770 4.280 ;
RECT 702.610 2.390 710.050 4.280 ;
RECT 710.890 2.390 718.330 4.280 ;
RECT 719.170 2.390 726.610 4.280 ;
RECT 727.450 2.390 734.430 4.280 ;
RECT 735.270 2.390 742.710 4.280 ;
RECT 743.550 2.390 750.990 4.280 ;
RECT 751.830 2.390 759.270 4.280 ;
RECT 760.110 2.390 767.550 4.280 ;
RECT 768.390 2.390 775.830 4.280 ;
RECT 776.670 2.390 784.110 4.280 ;
RECT 784.950 2.390 791.930 4.280 ;
RECT 792.770 2.390 800.210 4.280 ;
RECT 801.050 2.390 808.490 4.280 ;
RECT 809.330 2.390 816.770 4.280 ;
RECT 817.610 2.390 825.050 4.280 ;
RECT 825.890 2.390 833.330 4.280 ;
RECT 834.170 2.390 841.610 4.280 ;
RECT 842.450 2.390 849.430 4.280 ;
RECT 850.270 2.390 857.710 4.280 ;
RECT 858.550 2.390 865.990 4.280 ;
RECT 866.830 2.390 874.270 4.280 ;
RECT 875.110 2.390 882.550 4.280 ;
RECT 883.390 2.390 890.830 4.280 ;
RECT 891.670 2.390 899.110 4.280 ;
RECT 899.950 2.390 901.510 4.280 ;
LAYER met3 ;
RECT 5.585 10.715 901.535 903.205 ;
LAYER met4 ;
RECT 23.295 23.975 97.440 779.105 ;
RECT 99.840 23.975 174.240 779.105 ;
RECT 176.640 23.975 251.040 779.105 ;
RECT 253.440 23.975 327.840 779.105 ;
RECT 330.240 23.975 404.640 779.105 ;
RECT 407.040 23.975 481.440 779.105 ;
RECT 483.840 23.975 558.240 779.105 ;
RECT 560.640 23.975 635.040 779.105 ;
RECT 637.440 23.975 711.840 779.105 ;
RECT 714.240 23.975 788.640 779.105 ;
RECT 791.040 23.975 865.440 779.105 ;
RECT 867.840 23.975 870.945 779.105 ;
END
END sudoku_accelerator_wrapper
END LIBRARY