eFabless
diff --git a/mag/example_por.mag b/mag/example_por.mag
deleted file mode 100644
index 76d1d78..0000000
--- a/mag/example_por.mag
+++ /dev/null
@@ -1,603 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1620310959
-<< nwell >>
-rect 70 7344 6652 7795
-rect 7401 6799 10893 7301
-<< pwell >>
-rect 463 6569 519 6579
-rect 2635 5816 2853 6026
-<< mvpsubdiff >>
-rect 7438 7387 10856 7455
-<< mvnsubdiff >>
-rect 7467 7201 10827 7235
-<< locali >>
-rect 41 8275 183 8288
-rect 41 8190 57 8275
-rect 169 8190 183 8275
-rect 41 7451 183 8190
-rect 6891 8273 7134 8286
-rect 6891 8112 6936 8273
-rect 7117 8112 7134 8273
-rect 6891 7455 7134 8112
-rect 3043 7451 7134 7455
-rect 41 7435 7134 7451
-rect 41 7305 6927 7435
-rect 35 6388 121 7179
-rect 3043 7022 6927 7305
-rect 7110 7322 7134 7435
-rect 7110 7201 10829 7322
-rect 7110 7022 7134 7201
-rect 3043 7005 7134 7022
-rect 2907 6693 7134 6838
-rect 2907 6388 3220 6693
-rect 35 6320 3220 6388
-rect 35 6318 505 6320
-rect 35 6192 48 6318
-rect 286 6192 505 6318
-rect 35 6191 505 6192
-rect 2951 6253 3220 6320
-rect 6116 6388 7134 6693
-rect 6116 6253 10860 6388
-rect 2951 6191 10860 6253
-rect 35 6143 10860 6191
-rect 35 5813 689 6143
-rect 1006 5813 1393 6029
-rect 1778 5813 2165 6029
-rect 2550 6015 2937 6029
-rect 2550 5829 2648 6015
-rect 2840 5829 2937 6015
-rect 2550 5813 2937 5829
-rect 3322 5813 3709 6029
-rect 4094 5813 4481 6029
-rect 4866 5813 5253 6029
-rect 5638 5813 6025 6029
-rect 6410 5813 6797 6029
-rect 7182 5813 7569 6029
-rect 7954 5813 8341 6029
-rect 8726 5813 9113 6029
-rect 9498 5813 9885 6029
-rect 10656 5813 10837 6029
-rect 51 165 234 381
-rect 619 165 1006 381
-rect 1391 165 1778 381
-rect 2163 165 2550 381
-rect 2935 165 3322 381
-rect 3707 165 4094 381
-rect 4479 165 4866 381
-rect 5251 165 5638 381
-rect 6023 165 6410 381
-rect 6795 165 7182 381
-rect 7567 165 7954 381
-rect 8339 165 8726 381
-rect 9111 165 9498 381
-rect 9883 165 10270 381
-rect 10655 165 10835 381
-<< viali >>
-rect 57 8190 169 8275
-rect 6936 8112 7117 8273
-rect 9224 7854 9270 8060
-rect 7604 7754 7807 7801
-rect 9459 7754 9796 7801
-rect 10785 7683 10819 7879
-rect 6927 7022 7110 7435
-rect 7870 6775 8128 6834
-rect 48 6192 286 6318
-rect 505 6191 2951 6320
-rect 3220 6253 6116 6693
-rect 8439 6684 8506 6878
-rect 8650 6720 8853 6767
-rect 10270 6735 10316 6896
-rect 2648 5829 2840 6015
-rect 10202 5598 10340 6030
-<< metal1 >>
-rect 40 8275 7133 8286
-rect 40 8190 57 8275
-rect 169 8273 7133 8275
-rect 169 8269 6936 8273
-rect 624 8260 6936 8269
-rect 624 8201 1026 8260
-rect 169 8193 1026 8201
-rect 7117 8209 7133 8273
-rect 7284 8252 10841 8278
-rect 169 8190 6936 8193
-rect 40 8179 6936 8190
-rect 218 8110 376 8127
-rect 218 8038 436 8110
-rect 709 8082 719 8144
-rect 801 8129 818 8144
-rect 801 8085 2498 8129
-rect 2829 8085 2990 8129
-rect 801 8082 818 8085
-rect 218 8024 282 8038
-rect 218 7641 313 8024
-rect 375 7641 436 8038
-rect 521 7788 567 8040
-rect 627 7892 2821 8028
-rect 502 7653 2537 7788
-rect 218 7568 436 7641
-rect 521 7597 567 7653
-rect 709 7597 719 7606
-rect 218 7554 375 7568
-rect 218 7501 282 7554
-rect 521 7553 719 7597
-rect 709 7544 719 7553
-rect 801 7597 818 7606
-rect 2866 7597 2944 8085
-rect 2993 7658 3300 7804
-rect 801 7556 2990 7597
-rect 801 7553 2922 7556
-rect 801 7544 818 7553
-rect 3360 7501 3433 8122
-rect 3699 8082 3959 8128
-rect 3486 7636 3556 8035
-rect 218 7453 3433 7501
-rect 218 7248 282 7453
-rect 3497 7344 3556 7636
-rect 185 7121 282 7248
-rect 2382 7274 3556 7344
-rect 3699 7642 3781 8082
-rect 4283 8081 5747 8125
-rect 6083 8081 6237 8125
-rect 6910 8112 6936 8179
-rect 7117 8112 7134 8209
-rect 7284 8153 7318 8252
-rect 10802 8153 10841 8252
-rect 7284 8125 10841 8153
-rect 3988 7805 4025 8041
-rect 4109 7872 6071 8033
-rect 3966 7645 5802 7805
-rect 3699 7600 3773 7642
-rect 3699 7554 3958 7600
-rect 3988 7597 4025 7645
-rect 6126 7597 6188 8081
-rect 6249 7884 6537 8029
-rect 6249 7690 6262 7884
-rect 3699 7501 3773 7554
-rect 3988 7553 6239 7597
-rect 6612 7501 6674 8112
-rect 3699 7453 6674 7501
-rect 185 6969 263 7121
-rect 2382 7074 2452 7274
-rect 3699 7165 3773 7453
-rect 2265 7047 2275 7074
-rect 185 6573 282 6969
-rect 25 6318 301 6326
-rect 25 6192 48 6318
-rect 286 6192 301 6318
-rect 25 6185 301 6192
-rect 25 5348 133 6185
-rect 345 5944 399 7033
-rect 781 7003 2275 7047
-rect 2351 7047 2452 7074
-rect 2767 7074 3773 7165
-rect 2351 7003 2738 7047
-rect 463 6745 634 6972
-rect 2382 6952 2452 7003
-rect 721 6815 2452 6952
-rect 463 6579 2560 6745
-rect 463 6569 634 6579
-rect 505 6326 634 6569
-rect 2629 6533 2693 7003
-rect 2767 6949 2842 7074
-rect 6752 7065 6823 8044
-rect 6910 7435 7134 8112
-rect 9218 8060 9276 8072
-rect 9218 7854 9224 8060
-rect 9270 8051 9276 8060
-rect 10128 8051 10138 8053
-rect 9270 8001 10138 8051
-rect 9270 7854 9276 8001
-rect 10128 7999 10138 8001
-rect 10290 7999 10300 8053
-rect 9218 7842 9276 7854
-rect 10779 7879 10825 7891
-rect 7592 7801 8339 7807
-rect 7592 7754 7604 7801
-rect 7807 7754 8339 7801
-rect 7592 7748 8339 7754
-rect 8519 7801 9808 7807
-rect 8519 7754 9459 7801
-rect 9796 7754 9808 7801
-rect 8519 7748 9808 7754
-rect 10779 7728 10785 7879
-rect 10819 7728 10825 7879
-rect 10743 7674 10753 7728
-rect 10905 7674 10915 7728
-rect 10779 7671 10825 7674
-rect 2756 6573 2842 6949
-rect 6407 7036 6825 7065
-rect 3167 6819 6174 6842
-rect 3167 6693 4331 6819
-rect 5278 6693 6174 6819
-rect 781 6489 2275 6533
-rect 2265 6460 2275 6489
-rect 2350 6460 2360 6533
-rect 2585 6489 2739 6533
-rect 3167 6326 3220 6693
-rect 493 6320 3220 6326
-rect 493 6191 505 6320
-rect 2951 6253 3220 6320
-rect 6116 6531 6174 6693
-rect 6407 6623 6432 7036
-rect 6803 6840 6825 7036
-rect 6910 7022 6927 7435
-rect 7110 7324 7134 7435
-rect 7279 7543 10836 7570
-rect 7279 7449 7306 7543
-rect 8343 7449 8618 7543
-rect 10649 7449 10836 7543
-rect 7279 7417 10836 7449
-rect 7110 7299 10832 7324
-rect 7110 7124 7171 7299
-rect 8320 7124 8602 7299
-rect 10750 7124 10832 7299
-rect 7110 7094 10832 7124
-rect 7110 7022 7134 7094
-rect 10516 7093 10832 7094
-rect 6910 6994 7134 7022
-rect 10264 6896 10322 6908
-rect 8433 6878 8512 6890
-rect 6803 6834 8140 6840
-rect 6803 6775 7870 6834
-rect 8128 6775 8140 6834
-rect 6803 6769 8140 6775
-rect 6803 6623 6825 6769
-rect 8429 6684 8439 6878
-rect 8506 6773 8516 6878
-rect 8506 6767 8865 6773
-rect 8506 6720 8650 6767
-rect 8853 6720 8865 6767
-rect 10264 6735 10270 6896
-rect 10316 6834 10322 6896
-rect 10316 6765 10507 6834
-rect 10672 6765 10682 6834
-rect 10316 6735 10322 6765
-rect 10264 6723 10322 6735
-rect 8506 6714 8865 6720
-rect 8506 6684 8516 6714
-rect 8433 6672 8512 6684
-rect 6407 6600 6825 6623
-rect 6116 6319 10827 6531
-rect 6116 6253 6174 6319
-rect 2951 6237 6174 6253
-rect 10221 6260 10716 6270
-rect 2951 6191 6175 6237
-rect 493 6185 6175 6191
-rect 10221 6187 10233 6260
-rect 10700 6187 10716 6260
-rect 10221 6176 10716 6187
-rect 10221 6045 10315 6176
-rect 10187 6030 10353 6045
-rect 2635 6015 2853 6026
-rect 2635 5944 2648 6015
-rect 345 5890 2648 5944
-rect 2635 5829 2648 5890
-rect 2840 5829 2853 6015
-rect 2635 5816 2853 5829
-rect 10187 5598 10202 6030
-rect 10340 5598 10353 6030
-rect 10187 5582 10353 5598
-rect 10805 5348 10867 6079
-rect 25 4748 10867 5348
-rect 25 4348 133 4748
-rect 10805 4348 10867 4748
-rect 25 3748 10867 4348
-rect 25 3348 133 3748
-rect 10805 3348 10867 3748
-rect 25 2748 10867 3348
-rect 25 2348 133 2748
-rect 10805 2348 10867 2748
-rect 25 1748 10867 2348
-rect 25 1348 133 1748
-rect 10805 1348 10867 1748
-rect 25 748 10867 1348
-rect 25 99 133 748
-rect 10805 99 10867 748
-rect 25 11 10867 99
-<< via1 >>
-rect 60 8201 169 8269
-rect 169 8201 624 8269
-rect 1026 8193 6936 8260
-rect 6936 8193 7093 8260
-rect 719 8082 801 8144
-rect 719 7544 801 7606
-rect 7318 8153 10802 8252
-rect 2275 7003 2351 7074
-rect 10138 7999 10290 8053
-rect 8339 7748 8519 7807
-rect 10753 7683 10785 7728
-rect 10785 7683 10819 7728
-rect 10819 7683 10905 7728
-rect 10753 7674 10905 7683
-rect 4331 6693 5278 6819
-rect 2275 6460 2350 6533
-rect 4331 6270 5278 6693
-rect 6432 6623 6803 7036
-rect 7306 7449 8343 7543
-rect 8618 7449 10649 7543
-rect 7171 7124 8320 7299
-rect 8602 7124 10750 7299
-rect 8439 6684 8506 6878
-rect 10507 6765 10672 6834
-rect 10233 6187 10700 6260
-<< metal2 >>
-rect 985 8286 7132 8287
-rect 38 8269 7132 8286
-rect 38 8201 60 8269
-rect 624 8261 7132 8269
-rect 38 8104 77 8201
-rect 634 8187 886 8261
-rect 7091 8260 7132 8261
-rect 7093 8193 7132 8260
-rect 634 8104 654 8187
-rect 38 8061 654 8104
-rect 719 8144 801 8154
-rect 719 8072 801 8082
-rect 866 8104 886 8187
-rect 7091 8104 7132 8193
-rect 7284 8252 10841 8278
-rect 7284 8153 7318 8252
-rect 10802 8153 10841 8252
-rect 7284 8125 10841 8153
-rect 729 7616 785 8072
-rect 866 8060 7132 8104
-rect 10138 8056 10290 8066
-rect 10138 7986 10290 7996
-rect 8339 7807 8519 7817
-rect 8339 7738 8519 7748
-rect 719 7606 801 7616
-rect 719 7534 801 7544
-rect 7279 7543 8374 7570
-rect 7279 7449 7306 7543
-rect 8343 7449 8374 7543
-rect 7279 7417 8374 7449
-rect 7141 7299 8355 7324
-rect 7141 7124 7171 7299
-rect 8320 7124 8355 7299
-rect 7141 7094 8355 7124
-rect 2275 7074 2351 7084
-rect 2275 6993 2351 7003
-rect 6407 7036 6825 7065
-rect 2288 6543 2340 6993
-rect 4308 6849 5298 6868
-rect 2275 6533 2350 6543
-rect 2275 6450 2350 6460
-rect 4308 6270 4331 6849
-rect 5278 6270 5298 6849
-rect 6407 6623 6432 7036
-rect 6803 6623 6825 7036
-rect 8443 6888 8500 7738
-rect 10753 7731 10905 7741
-rect 10753 7661 10905 7671
-rect 8588 7543 10667 7570
-rect 8587 7449 8618 7543
-rect 10649 7449 10667 7543
-rect 8588 7417 10667 7449
-rect 8567 7299 10798 7324
-rect 8567 7124 8602 7299
-rect 10750 7124 10798 7299
-rect 8567 7094 10798 7124
-rect 8439 6878 8506 6888
-rect 8439 6674 8506 6684
-rect 6407 6600 6825 6623
-rect 4308 6249 5298 6270
-rect 10221 6270 10431 7094
-rect 10498 6765 10507 6834
-rect 10672 6765 10757 6834
-rect 10909 6765 10918 6834
-rect 10221 6260 10716 6270
-rect 10221 6187 10233 6260
-rect 10700 6187 10716 6260
-rect 10221 6176 10716 6187
-<< via2 >>
-rect 77 8201 624 8261
-rect 624 8201 634 8261
-rect 77 8104 634 8201
-rect 886 8260 7091 8261
-rect 886 8193 1026 8260
-rect 1026 8193 7091 8260
-rect 886 8104 7091 8193
-rect 7318 8153 10802 8252
-rect 10138 8053 10290 8056
-rect 10138 7999 10290 8053
-rect 10138 7996 10290 7999
-rect 7306 7449 8343 7543
-rect 4331 6819 5278 6849
-rect 4331 6522 5278 6819
-rect 6432 6623 6803 7036
-rect 10753 7728 10905 7731
-rect 10753 7674 10905 7728
-rect 10753 7671 10905 7674
-rect 8618 7449 10649 7543
-rect 10757 6765 10909 6834
-<< metal3 >>
-rect 38 8261 7126 8283
-rect 38 8244 77 8261
-rect 634 8244 886 8261
-rect 38 8000 73 8244
-rect 7091 8104 7126 8261
-rect 7284 8252 10841 8278
-rect 7284 8153 7318 8252
-rect 10802 8153 10841 8252
-rect 7284 8125 10841 8153
-rect 7073 8000 7126 8104
-rect 38 7965 7126 8000
-rect 10128 8056 10295 8064
-rect 10128 7996 10138 8056
-rect 10290 7996 10431 8056
-rect 10128 7991 10295 7996
-rect 10371 7916 10431 7996
-rect 10371 7856 11343 7916
-rect 10743 7731 10910 7739
-rect 10743 7671 10753 7731
-rect 10905 7671 10910 7731
-rect 10743 7666 10910 7671
-rect 7279 7543 10667 7570
-rect 7279 7449 7306 7543
-rect 10649 7449 10667 7543
-rect 10792 7551 10852 7666
-rect 10792 7491 11344 7551
-rect 7279 7417 10667 7449
-rect 4111 7277 5299 7317
-rect 4111 6849 4350 7277
-rect 5268 6849 5299 7277
-rect 4111 6522 4331 6849
-rect 5278 6522 5299 6849
-rect 6408 7036 6825 7065
-rect 6408 6623 6432 7036
-rect 6803 6623 6825 7036
-rect 10747 6834 10918 6840
-rect 10747 6765 10757 6834
-rect 10909 6765 11342 6834
-rect 10747 6758 10918 6765
-rect 6408 6600 6825 6623
-rect 4111 6494 5299 6522
-rect 4111 6251 4307 6494
-<< via3 >>
-rect 73 8104 77 8244
-rect 77 8104 634 8244
-rect 634 8104 886 8244
-rect 886 8104 7073 8244
-rect 7318 8153 10802 8252
-rect 73 8000 7073 8104
-rect 7306 7449 8343 7543
-rect 8343 7449 8618 7543
-rect 8618 7449 10649 7543
-rect 4350 6849 5268 7277
-rect 4350 6558 5268 6849
-rect 6432 6623 6803 7036
-<< metal4 >>
-rect 38 8244 7126 8283
-rect 38 8000 73 8244
-rect 7073 8000 7126 8244
-rect 38 7965 7126 8000
-rect 7241 8252 11180 8291
-rect 7241 8153 7318 8252
-rect 10802 8153 11180 8252
-rect 7241 7962 11180 8153
-rect 10843 7755 11178 7774
-rect 38 7543 10667 7655
-rect 38 7449 7306 7543
-rect 10649 7449 10667 7543
-rect 38 7277 10667 7449
-rect 38 7255 4350 7277
-rect 3817 6558 4350 7255
-rect 5268 7255 10667 7277
-rect 5268 6558 5299 7255
-rect 10843 7074 10879 7755
-rect 6386 7036 10879 7074
-rect 6386 6623 6432 7036
-rect 6803 6623 10879 7036
-rect 6386 6615 10879 6623
-rect 11146 6615 11178 7755
-rect 6386 6591 11178 6615
-rect 3817 6522 5299 6558
-rect 3817 51 4011 6522
-rect 4101 51 4793 6251
-<< via4 >>
-rect 4350 6558 5268 7247
-rect 10879 6615 11146 7755
-<< metal5 >>
-rect 10851 7755 11171 7779
-rect 4313 7247 5299 7317
-rect 4313 6558 4350 7247
-rect 5268 6558 5299 7247
-rect 4313 6494 5299 6558
-rect 4507 6135 5299 6494
-rect 10851 6615 10879 7755
-rect 11146 6615 11171 7755
-rect 10851 6242 11171 6615
-use sky130_fd_pr__nfet_g5v0d10v5_TGFUGS  sky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0
-timestamp 1606063140
-transform 1 0 1515 0 1 6769
-box -962 -458 962 458
-use sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC  sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1
-timestamp 1605994897
-transform -1 0 371 0 1 6769
-box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ  sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0
-timestamp 1606063140
-transform 1 0 1657 0 1 7841
-box -1101 -497 1101 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3
-timestamp 1606063140
-transform 1 0 408 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__nfet_g5v0d10v5_PKVMTM  sky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0
-timestamp 1606063140
-transform 1 0 2660 0 1 6770
-box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_YUHPBG  sky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0
-timestamp 1606063140
-transform 1 0 2906 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0
-timestamp 1606063140
-transform 1 0 3392 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1
-timestamp 1606063140
-transform 1 0 3878 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_YEUEBV  sky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0
-timestamp 1606063140
-transform 1 0 5018 0 1 7841
-box -992 -497 992 497
-use sky130_fd_pr__pfet_g5v0d10v5_YUHPXE  sky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0
-timestamp 1606063140
-transform 1 0 6158 0 1 7841
-box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2
-timestamp 1606063140
-transform 1 0 6644 0 1 7841
-box -338 -497 338 497
-use sky130_fd_sc_hvl__schmittbuf_1  sky130_fd_sc_hvl__schmittbuf_1_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619722500
-transform 1 0 7467 0 1 6404
-box -66 -43 1122 897
-use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_1 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619722500
-transform 1 0 7477 0 1 7438
-box -66 -43 1986 897
-use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_0
-timestamp 1619722500
-transform 1 0 8523 0 1 6404
-box -66 -43 1986 897
-use sky130_fd_sc_hvl__fill_4  sky130_fd_sc_hvl__fill_4_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619722500
-transform 1 0 10443 0 1 6404
-box -66 -43 450 897
-use sky130_fd_sc_hvl__inv_8  sky130_fd_sc_hvl__inv_8_0 $PDKPATH/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1619722500
-transform 1 0 9397 0 1 7438
-box -66 -43 1506 897
-use sky130_fd_pr__res_xhigh_po_0p69_S5N9F3  sky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0
-timestamp 1606074388
-transform 1 0 5446 0 1 3098
-box -5446 -3098 5446 3098
-use sky130_fd_pr__cap_mim_m3_2_W5U4AW  sky130_fd_pr__cap_mim_m3_2_W5U4AW_0
-timestamp 1606502073
-transform 1 0 7970 0 1 3151
-box -3179 -3101 3201 3101
-use sky130_fd_pr__cap_mim_m3_1_WRT4AW  sky130_fd_pr__cap_mim_m3_1_WRT4AW_0
-timestamp 1606502073
-transform -1 0 7027 0 1 3151
-box -3136 -3100 3136 3100
-<< labels >>
-flabel metal4 s 38 7965 73 8283 0 FreeSans 320 0 0 0 vdd3v3
-port 0 nsew
-flabel metal4 s 38 7255 232 7655 0 FreeSans 320 0 0 0 vss
-port 2 nsew
-flabel metal4 s 10974 7962 11180 8291 0 FreeSans 320 0 0 0 vdd1v8
-port 1 nsew
-flabel metal3 11189 7491 11344 7551 0 FreeSans 320 0 0 0 por_l
-port 4 nsew
-flabel metal3 11188 7856 11343 7916 0 FreeSans 320 0 0 0 porb_l
-port 5 nsew
-flabel metal3 10969 6765 11342 6834 0 FreeSans 320 0 0 0 porb_h
-port 3 nsew
-<< properties >>
-string FIXED_BBOX 0 0 11344 8338
-<< end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag b/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag
deleted file mode 100644
index c4fedfd..0000000
--- a/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag
+++ /dev/null
@@ -1,33 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606502073
-<< metal3 >>
-rect -3136 3072 3136 3100
-rect -3136 -3072 3052 3072
-rect 3116 -3072 3136 3072
-rect -3136 -3100 3136 -3072
-<< via3 >>
-rect 3052 -3072 3116 3072
-<< mimcap >>
-rect -3036 2960 2964 3000
-rect -3036 -2960 2332 2960
-rect 2924 -2960 2964 2960
-rect -3036 -3000 2964 -2960
-<< mimcapcontact >>
-rect 2332 -2960 2924 2960
-<< metal4 >>
-rect 3036 3072 3132 3088
-rect 2331 2960 2925 2961
-rect 2331 -2960 2332 2960
-rect 2924 -2960 2925 2960
-rect 2331 -2961 2925 -2960
-rect 3036 -3072 3052 3072
-rect 3116 -3072 3132 3072
-rect 3036 -3088 3132 -3072
-<< properties >>
-string gencell sky130_fd_pr__cap_mim_m3_1
-string FIXED_BBOX -3136 -3100 3064 3100
-string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1 ccov -10
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag b/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag
deleted file mode 100644
index 59928eb..0000000
--- a/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag
+++ /dev/null
@@ -1,33 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606502073
-<< metal4 >>
-rect -3179 3059 3179 3100
-rect -3179 -3059 2923 3059
-rect 3159 -3059 3179 3059
-rect -3179 -3100 3179 -3059
-<< via4 >>
-rect 2923 -3059 3159 3059
-<< mimcap2 >>
-rect -3079 2960 2921 3000
-rect -3079 -2960 -3039 2960
-rect 2289 -2960 2921 2960
-rect -3079 -3000 2921 -2960
-<< mimcap2contact >>
-rect -3039 -2960 2289 2960
-<< metal5 >>
-rect 2881 3059 3201 3101
-rect -3063 2960 2313 2984
-rect -3063 -2960 -3039 2960
-rect 2289 -2960 2313 2960
-rect -3063 -2984 2313 -2960
-rect 2881 -3059 2923 3059
-rect 3159 -3059 3201 3059
-rect 2881 -3101 3201 -3059
-<< properties >>
-string gencell sky130_fd_pr__cap_mim_m3_2
-string FIXED_BBOX -3179 -3100 3021 3100
-string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1 ccov +90
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
deleted file mode 100644
index 7be65d4..0000000
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
+++ /dev/null
@@ -1,98 +0,0 @@
-magic
-tech sky130A
-timestamp 1606063140
-<< pwell >>
-rect -154 -229 154 229
-<< mvnmos >>
-rect -40 -100 40 100
-<< mvndiff >>
-rect -69 94 -40 100
-rect -69 -94 -63 94
-rect -46 -94 -40 94
-rect -69 -100 -40 -94
-rect 40 94 69 100
-rect 40 -94 46 94
-rect 63 -94 69 94
-rect 40 -100 69 -94
-<< mvndiffc >>
-rect -63 -94 -46 94
-rect 46 -94 63 94
-<< mvpsubdiff >>
-rect -136 205 136 211
-rect -136 188 -82 205
-rect 82 188 136 205
-rect -136 182 136 188
-rect -136 -182 -107 182
-rect 107 157 136 182
-rect 107 -157 113 157
-rect 130 -157 136 157
-rect 107 -182 136 -157
-rect -136 -188 136 -182
-rect -136 -205 -82 -188
-rect 82 -205 136 -188
-rect -136 -211 136 -205
-<< mvpsubdiffcont >>
-rect -82 188 82 205
-rect 113 -157 130 157
-rect -82 -205 82 -188
-<< poly >>
-rect -40 136 40 144
-rect -40 119 -32 136
-rect 32 119 40 136
-rect -40 100 40 119
-rect -40 -119 40 -100
-rect -40 -136 -32 -119
-rect 32 -136 40 -119
-rect -40 -144 40 -136
-<< polycont >>
-rect -32 119 32 136
-rect -32 -136 32 -119
-<< locali >>
-rect -130 188 -82 205
-rect 82 188 130 205
-rect -130 -19 -113 188
-rect 113 157 130 188
-rect -40 119 -32 136
-rect 32 119 40 136
-rect -63 94 -46 102
-rect -63 -102 -46 -94
-rect 46 94 63 102
-rect 46 -102 63 -94
-rect -40 -136 -32 -119
-rect 32 -136 40 -119
-rect 113 -188 130 -157
-rect -130 -205 -82 -188
-rect 82 -205 130 -188
-<< viali >>
-rect -32 119 32 136
-rect -130 -188 -113 -19
-rect -63 -94 -46 94
-rect 46 -94 63 94
-rect -32 -136 32 -119
-<< metal1 >>
-rect -38 136 38 139
-rect -38 119 -32 136
-rect 32 119 38 136
-rect -38 116 38 119
-rect -66 94 -43 100
-rect -133 -19 -110 -13
-rect -133 -188 -130 -19
-rect -113 -188 -110 -19
-rect -66 -94 -63 94
-rect -46 -94 -43 94
-rect -66 -100 -43 -94
-rect 43 94 66 100
-rect 43 -94 46 94
-rect 63 -94 66 94
-rect 43 -100 66 -94
-rect -38 -119 38 -116
-rect -38 -136 -32 -119
-rect 32 -136 38 -119
-rect -38 -139 38 -136
-rect -133 -194 -110 -188
-<< properties >>
-string gencell sky130_fd_pr__nfet_g5v0d10v5
-string FIXED_BBOX -121 -196 121 196
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 0 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl +45 viagt 0
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
deleted file mode 100644
index 0fc9bf5..0000000
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
+++ /dev/null
@@ -1,326 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< pwell >>
-rect -962 -458 962 458
-<< mvnmos >>
-rect -734 -200 -574 200
-rect -516 -200 -356 200
-rect -298 -200 -138 200
-rect -80 -200 80 200
-rect 138 -200 298 200
-rect 356 -200 516 200
-rect 574 -200 734 200
-<< mvndiff >>
-rect -792 188 -734 200
-rect -792 -188 -780 188
-rect -746 -188 -734 188
-rect -792 -200 -734 -188
-rect -574 188 -516 200
-rect -574 -188 -562 188
-rect -528 -188 -516 188
-rect -574 -200 -516 -188
-rect -356 188 -298 200
-rect -356 -188 -344 188
-rect -310 -188 -298 188
-rect -356 -200 -298 -188
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-rect 298 188 356 200
-rect 298 -188 310 188
-rect 344 -188 356 188
-rect 298 -200 356 -188
-rect 516 188 574 200
-rect 516 -188 528 188
-rect 562 -188 574 188
-rect 516 -200 574 -188
-rect 734 188 792 200
-rect 734 -188 746 188
-rect 780 -188 792 188
-rect 734 -200 792 -188
-<< mvndiffc >>
-rect -780 -188 -746 188
-rect -562 -188 -528 188
-rect -344 -188 -310 188
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect 310 -188 344 188
-rect 528 -188 562 188
-rect 746 -188 780 188
-<< mvpsubdiff >>
-rect -926 410 926 422
-rect -926 376 -818 410
-rect 818 376 926 410
-rect -926 364 926 376
-rect -926 314 -868 364
-rect -926 -314 -914 314
-rect -880 -314 -868 314
-rect 868 314 926 364
-rect -926 -364 -868 -314
-rect 868 -314 880 314
-rect 914 -314 926 314
-rect 868 -364 926 -314
-rect -926 -376 926 -364
-rect -926 -410 -818 -376
-rect 818 -410 926 -376
-rect -926 -422 926 -410
-<< mvpsubdiffcont >>
-rect -818 376 818 410
-rect -914 -314 -880 314
-rect 880 -314 914 314
-rect -818 -410 818 -376
-<< poly >>
-rect -734 272 -574 288
-rect -734 238 -718 272
-rect -590 238 -574 272
-rect -734 200 -574 238
-rect -516 272 -356 288
-rect -516 238 -500 272
-rect -372 238 -356 272
-rect -516 200 -356 238
-rect -298 272 -138 288
-rect -298 238 -282 272
-rect -154 238 -138 272
-rect -298 200 -138 238
-rect -80 272 80 288
-rect -80 238 -64 272
-rect 64 238 80 272
-rect -80 200 80 238
-rect 138 272 298 288
-rect 138 238 154 272
-rect 282 238 298 272
-rect 138 200 298 238
-rect 356 272 516 288
-rect 356 238 372 272
-rect 500 238 516 272
-rect 356 200 516 238
-rect 574 272 734 288
-rect 574 238 590 272
-rect 718 238 734 272
-rect 574 200 734 238
-rect -734 -238 -574 -200
-rect -734 -272 -718 -238
-rect -590 -272 -574 -238
-rect -734 -288 -574 -272
-rect -516 -238 -356 -200
-rect -516 -272 -500 -238
-rect -372 -272 -356 -238
-rect -516 -288 -356 -272
-rect -298 -238 -138 -200
-rect -298 -272 -282 -238
-rect -154 -272 -138 -238
-rect -298 -288 -138 -272
-rect -80 -238 80 -200
-rect -80 -272 -64 -238
-rect 64 -272 80 -238
-rect -80 -288 80 -272
-rect 138 -238 298 -200
-rect 138 -272 154 -238
-rect 282 -272 298 -238
-rect 138 -288 298 -272
-rect 356 -238 516 -200
-rect 356 -272 372 -238
-rect 500 -272 516 -238
-rect 356 -288 516 -272
-rect 574 -238 734 -200
-rect 574 -272 590 -238
-rect 718 -272 734 -238
-rect 574 -288 734 -272
-<< polycont >>
-rect -718 238 -590 272
-rect -500 238 -372 272
-rect -282 238 -154 272
-rect -64 238 64 272
-rect 154 238 282 272
-rect 372 238 500 272
-rect 590 238 718 272
-rect -718 -272 -590 -238
-rect -500 -272 -372 -238
-rect -282 -272 -154 -238
-rect -64 -272 64 -238
-rect 154 -272 282 -238
-rect 372 -272 500 -238
-rect 590 -272 718 -238
-<< locali >>
-rect -914 376 -818 410
-rect 818 376 914 410
-rect -914 314 -880 376
-rect 880 314 914 376
-rect -734 238 -718 272
-rect -590 238 -574 272
-rect -516 238 -500 272
-rect -372 238 -356 272
-rect -298 238 -282 272
-rect -154 238 -138 272
-rect -80 238 -64 272
-rect 64 238 80 272
-rect 138 238 154 272
-rect 282 238 298 272
-rect 356 238 372 272
-rect 500 238 516 272
-rect 574 238 590 272
-rect 718 238 734 272
-rect -780 188 -746 204
-rect -780 -204 -746 -188
-rect -562 188 -528 204
-rect -562 -204 -528 -188
-rect -344 188 -310 204
-rect -344 -204 -310 -188
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect 310 188 344 204
-rect 310 -204 344 -188
-rect 528 188 562 204
-rect 528 -204 562 -188
-rect 746 188 780 204
-rect 746 -204 780 -188
-rect -734 -272 -718 -238
-rect -590 -272 -574 -238
-rect -516 -272 -500 -238
-rect -372 -272 -356 -238
-rect -298 -272 -282 -238
-rect -154 -272 -138 -238
-rect -80 -272 -64 -238
-rect 64 -272 80 -238
-rect 138 -272 154 -238
-rect 282 -272 298 -238
-rect 356 -272 372 -238
-rect 500 -272 516 -238
-rect 574 -272 590 -238
-rect 718 -272 734 -238
-rect -914 -376 -880 -314
-rect 880 -376 914 -314
-rect -914 -410 -818 -376
-rect 818 -410 914 -376
-<< viali >>
-rect -914 -263 -880 263
-rect -718 238 -590 272
-rect -500 238 -372 272
-rect -282 238 -154 272
-rect -64 238 64 272
-rect 154 238 282 272
-rect 372 238 500 272
-rect 590 238 718 272
-rect -780 21 -746 171
-rect -562 -171 -528 -21
-rect -344 21 -310 171
-rect -126 -171 -92 -21
-rect 92 21 126 171
-rect 310 -171 344 -21
-rect 528 21 562 171
-rect 746 -171 780 -21
-rect -718 -272 -590 -238
-rect -500 -272 -372 -238
-rect -282 -272 -154 -238
-rect -64 -272 64 -238
-rect 154 -272 282 -238
-rect 372 -272 500 -238
-rect 590 -272 718 -238
-<< metal1 >>
-rect -920 263 -874 275
-rect -920 -263 -914 263
-rect -880 -263 -874 263
-rect -730 272 -578 278
-rect -730 238 -718 272
-rect -590 238 -578 272
-rect -730 232 -578 238
-rect -512 272 -360 278
-rect -512 238 -500 272
-rect -372 238 -360 272
-rect -512 232 -360 238
-rect -294 272 -142 278
-rect -294 238 -282 272
-rect -154 238 -142 272
-rect -294 232 -142 238
-rect -76 272 76 278
-rect -76 238 -64 272
-rect 64 238 76 272
-rect -76 232 76 238
-rect 142 272 294 278
-rect 142 238 154 272
-rect 282 238 294 272
-rect 142 232 294 238
-rect 360 272 512 278
-rect 360 238 372 272
-rect 500 238 512 272
-rect 360 232 512 238
-rect 578 272 730 278
-rect 578 238 590 272
-rect 718 238 730 272
-rect 578 232 730 238
-rect -786 171 -740 183
-rect -786 21 -780 171
-rect -746 21 -740 171
-rect -786 9 -740 21
-rect -350 171 -304 183
-rect -350 21 -344 171
-rect -310 21 -304 171
-rect -350 9 -304 21
-rect 86 171 132 183
-rect 86 21 92 171
-rect 126 21 132 171
-rect 86 9 132 21
-rect 522 171 568 183
-rect 522 21 528 171
-rect 562 21 568 171
-rect 522 9 568 21
-rect -568 -21 -522 -9
-rect -568 -171 -562 -21
-rect -528 -171 -522 -21
-rect -568 -183 -522 -171
-rect -132 -21 -86 -9
-rect -132 -171 -126 -21
-rect -92 -171 -86 -21
-rect -132 -183 -86 -171
-rect 304 -21 350 -9
-rect 304 -171 310 -21
-rect 344 -171 350 -21
-rect 304 -183 350 -171
-rect 740 -21 786 -9
-rect 740 -171 746 -21
-rect 780 -171 786 -21
-rect 740 -183 786 -171
-rect -920 -275 -874 -263
-rect -730 -238 -578 -232
-rect -730 -272 -718 -238
-rect -590 -272 -578 -238
-rect -730 -278 -578 -272
-rect -512 -238 -360 -232
-rect -512 -272 -500 -238
-rect -372 -272 -360 -238
-rect -512 -278 -360 -272
-rect -294 -238 -142 -232
-rect -294 -272 -282 -238
-rect -154 -272 -142 -238
-rect -294 -278 -142 -272
-rect -76 -238 76 -232
-rect -76 -272 -64 -238
-rect 64 -272 76 -238
-rect -76 -278 76 -272
-rect 142 -238 294 -232
-rect 142 -272 154 -238
-rect 282 -272 294 -238
-rect 142 -278 294 -272
-rect 360 -238 512 -232
-rect 360 -272 372 -238
-rect 500 -272 512 -238
-rect 360 -278 512 -272
-rect 578 -238 730 -232
-rect 578 -272 590 -238
-rect 718 -272 730 -238
-rect 578 -278 730 -272
-<< properties >>
-string gencell sky130_fd_pr__nfet_g5v0d10v5
-string FIXED_BBOX -897 -393 897 393
-string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc +40 viadrn -40 viagate 100 viagb 0 viagr 0 viagl 70 viagt 0
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag
deleted file mode 100644
index eb312e6..0000000
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag
+++ /dev/null
@@ -1,93 +0,0 @@
-magic
-tech sky130A
-timestamp 1605994897
-<< pwell >>
-rect -154 -229 154 229
-<< mvnmos >>
-rect -40 -100 40 100
-<< mvndiff >>
-rect -69 94 -40 100
-rect -69 -94 -63 94
-rect -46 -94 -40 94
-rect -69 -100 -40 -94
-rect 40 94 69 100
-rect 40 -94 46 94
-rect 63 -94 69 94
-rect 40 -100 69 -94
-<< mvndiffc >>
-rect -63 -94 -46 94
-rect 46 -94 63 94
-<< mvpsubdiff >>
-rect -136 205 136 211
-rect -136 188 -82 205
-rect 82 188 136 205
-rect -136 182 136 188
-rect -136 -182 -107 182
-rect 107 157 136 182
-rect 107 -157 113 157
-rect 130 -157 136 157
-rect 107 -182 136 -157
-rect -136 -188 136 -182
-rect -136 -205 -82 -188
-rect 82 -205 136 -188
-rect -136 -211 136 -205
-<< mvpsubdiffcont >>
-rect -82 188 82 205
-rect 113 -157 130 157
-rect -82 -205 82 -188
-<< poly >>
-rect -40 136 40 144
-rect -40 119 -32 136
-rect 32 119 40 136
-rect -40 100 40 119
-rect -40 -119 40 -100
-rect -40 -136 -32 -119
-rect 32 -136 40 -119
-rect -40 -144 40 -136
-<< polycont >>
-rect -32 119 32 136
-rect -32 -136 32 -119
-<< locali >>
-rect -130 188 -82 205
-rect 82 188 130 205
-rect -130 -188 -113 188
-rect 113 157 130 188
-rect -40 119 -32 136
-rect 32 119 40 136
-rect -63 94 -46 102
-rect -63 -102 -46 -94
-rect 46 94 63 102
-rect 46 -102 63 -94
-rect -40 -136 -32 -119
-rect 32 -136 40 -119
-rect 113 -188 130 -157
-rect -130 -205 -82 -188
-rect 82 -205 130 -188
-<< viali >>
-rect -32 119 32 136
-rect -63 -94 -46 94
-rect 46 -94 63 94
-rect -32 -136 32 -119
-<< metal1 >>
-rect -38 136 38 139
-rect -38 119 -32 136
-rect 32 119 38 136
-rect -38 116 38 119
-rect -66 94 -43 100
-rect -66 -94 -63 94
-rect -46 -94 -43 94
-rect -66 -100 -43 -94
-rect 43 94 66 100
-rect 43 -94 46 94
-rect 63 -94 66 94
-rect 43 -100 66 -94
-rect -38 -119 38 -116
-rect -38 -136 -32 -119
-rect 32 -136 38 -119
-rect -38 -139 38 -136
-<< properties >>
-string gencell sky130_fd_pr__nfet_g5v0d10v5
-string FIXED_BBOX -121 -196 121 196
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 0 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
deleted file mode 100644
index e0b0219..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
+++ /dev/null
@@ -1,106 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< nwell >>
-rect -338 -497 338 497
-<< mvpmos >>
-rect -80 -200 80 200
-<< mvpdiff >>
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-<< mvpdiffc >>
-rect -126 -188 -92 188
-rect 92 -188 126 188
-<< mvnsubdiff >>
-rect -272 419 272 431
-rect -272 385 -164 419
-rect 164 385 272 419
-rect -272 373 272 385
-rect -272 323 -214 373
-rect -272 -323 -260 323
-rect -226 -323 -214 323
-rect 214 323 272 373
-rect -272 -373 -214 -323
-rect 214 -323 226 323
-rect 260 -323 272 323
-rect 214 -373 272 -323
-rect -272 -385 272 -373
-rect -272 -419 -164 -385
-rect 164 -419 272 -385
-rect -272 -431 272 -419
-<< mvnsubdiffcont >>
-rect -164 385 164 419
-rect -260 -323 -226 323
-rect 226 -323 260 323
-rect -164 -419 164 -385
-<< poly >>
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-<< polycont >>
-rect -64 247 64 281
-rect -64 -281 64 -247
-<< locali >>
-rect -260 385 -181 419
-rect 181 385 260 419
-rect -260 323 -226 385
-rect 226 323 260 385
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -260 -385 -226 -323
-rect 226 -385 260 -323
-rect -260 -419 -164 -385
-rect 164 -419 260 -385
-<< viali >>
-rect -181 385 -164 419
-rect -164 385 164 419
-rect 164 385 181 419
-rect -64 247 64 281
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect -64 -281 64 -247
-<< metal1 >>
-rect -193 419 193 425
-rect -193 385 -181 419
-rect 181 385 193 419
-rect -193 379 193 385
-rect -76 281 76 287
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect -132 188 -86 200
-rect -132 -188 -126 188
-rect -92 -188 -86 188
-rect -132 -200 -86 -188
-rect 86 188 132 200
-rect 86 -188 92 188
-rect 126 -188 132 188
-rect 86 -200 132 -188
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl 0 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
deleted file mode 100644
index 08a17b0..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
+++ /dev/null
@@ -1,331 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< nwell >>
-rect -992 -497 992 497
-<< mvpmos >>
-rect -734 -200 -574 200
-rect -516 -200 -356 200
-rect -298 -200 -138 200
-rect -80 -200 80 200
-rect 138 -200 298 200
-rect 356 -200 516 200
-rect 574 -200 734 200
-<< mvpdiff >>
-rect -792 188 -734 200
-rect -792 -188 -780 188
-rect -746 -188 -734 188
-rect -792 -200 -734 -188
-rect -574 188 -516 200
-rect -574 -188 -562 188
-rect -528 -188 -516 188
-rect -574 -200 -516 -188
-rect -356 188 -298 200
-rect -356 -188 -344 188
-rect -310 -188 -298 188
-rect -356 -200 -298 -188
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-rect 298 188 356 200
-rect 298 -188 310 188
-rect 344 -188 356 188
-rect 298 -200 356 -188
-rect 516 188 574 200
-rect 516 -188 528 188
-rect 562 -188 574 188
-rect 516 -200 574 -188
-rect 734 188 792 200
-rect 734 -188 746 188
-rect 780 -188 792 188
-rect 734 -200 792 -188
-<< mvpdiffc >>
-rect -780 -188 -746 188
-rect -562 -188 -528 188
-rect -344 -188 -310 188
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect 310 -188 344 188
-rect 528 -188 562 188
-rect 746 -188 780 188
-<< mvnsubdiff >>
-rect -926 419 926 431
-rect -926 385 -818 419
-rect 818 385 926 419
-rect -926 373 926 385
-rect -926 323 -868 373
-rect -926 -323 -914 323
-rect -880 -323 -868 323
-rect 868 323 926 373
-rect -926 -373 -868 -323
-rect 868 -323 880 323
-rect 914 -323 926 323
-rect 868 -373 926 -323
-rect -926 -385 926 -373
-rect -926 -419 -818 -385
-rect 818 -419 926 -385
-rect -926 -431 926 -419
-<< mvnsubdiffcont >>
-rect -818 385 818 419
-rect -914 -323 -880 323
-rect 880 -323 914 323
-rect -818 -419 818 -385
-<< poly >>
-rect -734 281 -574 297
-rect -734 247 -718 281
-rect -590 247 -574 281
-rect -734 200 -574 247
-rect -516 281 -356 297
-rect -516 247 -500 281
-rect -372 247 -356 281
-rect -516 200 -356 247
-rect -298 281 -138 297
-rect -298 247 -282 281
-rect -154 247 -138 281
-rect -298 200 -138 247
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect 138 281 298 297
-rect 138 247 154 281
-rect 282 247 298 281
-rect 138 200 298 247
-rect 356 281 516 297
-rect 356 247 372 281
-rect 500 247 516 281
-rect 356 200 516 247
-rect 574 281 734 297
-rect 574 247 590 281
-rect 718 247 734 281
-rect 574 200 734 247
-rect -734 -247 -574 -200
-rect -734 -281 -718 -247
-rect -590 -281 -574 -247
-rect -734 -297 -574 -281
-rect -516 -247 -356 -200
-rect -516 -281 -500 -247
-rect -372 -281 -356 -247
-rect -516 -297 -356 -281
-rect -298 -247 -138 -200
-rect -298 -281 -282 -247
-rect -154 -281 -138 -247
-rect -298 -297 -138 -281
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-rect 138 -247 298 -200
-rect 138 -281 154 -247
-rect 282 -281 298 -247
-rect 138 -297 298 -281
-rect 356 -247 516 -200
-rect 356 -281 372 -247
-rect 500 -281 516 -247
-rect 356 -297 516 -281
-rect 574 -247 734 -200
-rect 574 -281 590 -247
-rect 718 -281 734 -247
-rect 574 -297 734 -281
-<< polycont >>
-rect -718 247 -590 281
-rect -500 247 -372 281
-rect -282 247 -154 281
-rect -64 247 64 281
-rect 154 247 282 281
-rect 372 247 500 281
-rect 590 247 718 281
-rect -718 -281 -590 -247
-rect -500 -281 -372 -247
-rect -282 -281 -154 -247
-rect -64 -281 64 -247
-rect 154 -281 282 -247
-rect 372 -281 500 -247
-rect 590 -281 718 -247
-<< locali >>
-rect -914 385 -818 419
-rect 818 385 914 419
-rect 880 323 914 385
-rect -734 247 -718 281
-rect -590 247 -574 281
-rect -516 247 -500 281
-rect -372 247 -356 281
-rect -298 247 -282 281
-rect -154 247 -138 281
-rect -80 247 -64 281
-rect 64 247 80 281
-rect 138 247 154 281
-rect 282 247 298 281
-rect 356 247 372 281
-rect 500 247 516 281
-rect 574 247 590 281
-rect 718 247 734 281
-rect -780 188 -746 204
-rect -780 -204 -746 -188
-rect -562 188 -528 204
-rect -562 -204 -528 -188
-rect -344 188 -310 204
-rect -344 -204 -310 -188
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect 310 188 344 204
-rect 310 -204 344 -188
-rect 528 188 562 204
-rect 528 -204 562 -188
-rect 746 188 780 204
-rect 746 -204 780 -188
-rect -734 -281 -718 -247
-rect -590 -281 -574 -247
-rect -516 -281 -500 -247
-rect -372 -281 -356 -247
-rect -298 -281 -282 -247
-rect -154 -281 -138 -247
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect 138 -281 154 -247
-rect 282 -281 298 -247
-rect 356 -281 372 -247
-rect 500 -281 516 -247
-rect 574 -281 590 -247
-rect 718 -281 734 -247
-rect -914 -385 -880 -323
-rect 880 -385 914 -323
-rect -914 -419 -818 -385
-rect 818 -419 914 -385
-<< viali >>
-rect -792 385 792 419
-rect -914 323 -880 385
-rect -914 38 -880 323
-rect -718 247 -590 281
-rect -500 247 -372 281
-rect -282 247 -154 281
-rect -64 247 64 281
-rect 154 247 282 281
-rect 372 247 500 281
-rect 590 247 718 281
-rect -780 21 -746 171
-rect -562 -171 -528 -21
-rect -344 21 -310 171
-rect -126 -171 -92 -21
-rect 92 21 126 171
-rect 310 -171 344 -21
-rect 528 21 562 171
-rect 746 -171 780 -21
-rect -718 -281 -590 -247
-rect -500 -281 -372 -247
-rect -282 -281 -154 -247
-rect -64 -281 64 -247
-rect 154 -281 282 -247
-rect 372 -281 500 -247
-rect 590 -281 718 -247
-<< metal1 >>
-rect -804 419 804 425
-rect -920 385 -874 397
-rect -920 38 -914 385
-rect -880 38 -874 385
-rect -804 385 -792 419
-rect 792 385 804 419
-rect -804 379 804 385
-rect -730 281 -578 287
-rect -730 247 -718 281
-rect -590 247 -578 281
-rect -730 241 -578 247
-rect -512 281 -360 287
-rect -512 247 -500 281
-rect -372 247 -360 281
-rect -512 241 -360 247
-rect -294 281 -142 287
-rect -294 247 -282 281
-rect -154 247 -142 281
-rect -294 241 -142 247
-rect -76 281 76 287
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect 142 281 294 287
-rect 142 247 154 281
-rect 282 247 294 281
-rect 142 241 294 247
-rect 360 281 512 287
-rect 360 247 372 281
-rect 500 247 512 281
-rect 360 241 512 247
-rect 578 281 730 287
-rect 578 247 590 281
-rect 718 247 730 281
-rect 578 241 730 247
-rect -920 26 -874 38
-rect -786 171 -740 183
-rect -786 21 -780 171
-rect -746 21 -740 171
-rect -786 9 -740 21
-rect -350 171 -304 183
-rect -350 21 -344 171
-rect -310 21 -304 171
-rect -350 9 -304 21
-rect 86 171 132 183
-rect 86 21 92 171
-rect 126 21 132 171
-rect 86 9 132 21
-rect 522 171 568 183
-rect 522 21 528 171
-rect 562 21 568 171
-rect 522 9 568 21
-rect -568 -21 -522 -9
-rect -568 -171 -562 -21
-rect -528 -171 -522 -21
-rect -568 -183 -522 -171
-rect -132 -21 -86 -9
-rect -132 -171 -126 -21
-rect -92 -171 -86 -21
-rect -132 -183 -86 -171
-rect 304 -21 350 -9
-rect 304 -171 310 -21
-rect 344 -171 350 -21
-rect 304 -183 350 -171
-rect 740 -21 786 -9
-rect 740 -171 746 -21
-rect 780 -171 786 -21
-rect 740 -183 786 -171
-rect -730 -247 -578 -241
-rect -730 -281 -718 -247
-rect -590 -281 -578 -247
-rect -730 -287 -578 -281
-rect -512 -247 -360 -241
-rect -512 -281 -500 -247
-rect -372 -281 -360 -247
-rect -512 -287 -360 -281
-rect -294 -247 -142 -241
-rect -294 -281 -282 -247
-rect -154 -281 -142 -247
-rect -294 -287 -142 -281
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-rect 142 -247 294 -241
-rect 142 -281 154 -247
-rect 282 -281 294 -247
-rect 142 -287 294 -281
-rect 360 -247 512 -241
-rect 360 -281 372 -247
-rect 500 -281 512 -247
-rect 360 -287 512 -281
-rect 578 -247 730 -241
-rect 578 -281 590 -247
-rect 718 -281 730 -247
-rect 578 -287 730 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -897 -402 897 402
-string parameters w 2.00 l 0.80 m 1 nf 7 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -45 viagr 0 viagt 90 viagb 0 viagate 100 viadrn -40 viasrc +40
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
deleted file mode 100644
index eb421da..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
+++ /dev/null
@@ -1,114 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< error_p >>
-rect -221 351 -220 397
-rect -193 379 -192 419
-<< nwell >>
-rect -338 -497 338 497
-<< mvpmos >>
-rect -80 -200 80 200
-<< mvpdiff >>
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-<< mvpdiffc >>
-rect -126 -188 -92 188
-rect 92 -188 126 188
-<< mvnsubdiff >>
-rect -272 419 272 431
-rect -272 385 -164 419
-rect 164 385 272 419
-rect -272 373 272 385
-rect -272 323 -214 373
-rect -272 -323 -260 323
-rect -226 -323 -214 323
-rect 214 323 272 373
-rect -272 -373 -214 -323
-rect 214 -323 226 323
-rect 260 -323 272 323
-rect 214 -373 272 -323
-rect -272 -385 272 -373
-rect -272 -419 -164 -385
-rect 164 -419 272 -385
-rect -272 -431 272 -419
-<< mvnsubdiffcont >>
-rect -164 385 164 419
-rect -260 -323 -226 323
-rect 226 -323 260 323
-rect -164 -419 164 -385
-<< poly >>
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-<< polycont >>
-rect -64 247 64 281
-rect -64 -281 64 -247
-<< locali >>
-rect -260 385 -181 419
-rect 181 385 260 419
-rect 226 323 260 385
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -260 -385 -226 -323
-rect 226 -385 260 -323
-rect -260 -419 -164 -385
-rect 164 -419 260 -385
-<< viali >>
-rect -181 385 -164 419
-rect -164 385 164 419
-rect 164 385 181 419
-rect -260 323 -226 385
-rect -260 0 -226 323
-rect -64 247 64 281
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect -64 -281 64 -247
-<< metal1 >>
-rect -193 419 193 425
-rect -266 385 -220 397
-rect -266 0 -260 385
-rect -226 0 -220 385
-rect -193 385 -181 419
-rect 181 385 193 419
-rect -193 379 193 385
-rect -76 281 76 287
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect -266 -12 -220 0
-rect -132 188 -86 200
-rect -132 -188 -126 188
-rect -92 -188 -86 188
-rect -132 -200 -86 -188
-rect 86 188 132 200
-rect 86 -188 92 188
-rect 126 -188 132 188
-rect 86 -200 132 -188
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -50 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
deleted file mode 100644
index 19fe898..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
+++ /dev/null
@@ -1,114 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< error_p >>
-rect -221 351 -220 397
-rect -193 379 -192 419
-<< nwell >>
-rect -338 -497 338 497
-<< mvpmos >>
-rect -80 -200 80 200
-<< mvpdiff >>
-rect -138 188 -80 200
-rect -138 -188 -126 188
-rect -92 -188 -80 188
-rect -138 -200 -80 -188
-rect 80 188 138 200
-rect 80 -188 92 188
-rect 126 -188 138 188
-rect 80 -200 138 -188
-<< mvpdiffc >>
-rect -126 -188 -92 188
-rect 92 -188 126 188
-<< mvnsubdiff >>
-rect -272 419 272 431
-rect -272 385 -164 419
-rect 164 385 272 419
-rect -272 373 272 385
-rect -272 323 -214 373
-rect -272 -323 -260 323
-rect -226 -323 -214 323
-rect 214 323 272 373
-rect -272 -373 -214 -323
-rect 214 -323 226 323
-rect 260 -323 272 323
-rect 214 -373 272 -323
-rect -272 -385 272 -373
-rect -272 -419 -164 -385
-rect 164 -419 272 -385
-rect -272 -431 272 -419
-<< mvnsubdiffcont >>
-rect -164 385 164 419
-rect -260 -323 -226 323
-rect 226 -323 260 323
-rect -164 -419 164 -385
-<< poly >>
-rect -80 281 80 297
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -80 200 80 247
-rect -80 -247 80 -200
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -80 -297 80 -281
-<< polycont >>
-rect -64 247 64 281
-rect -64 -281 64 -247
-<< locali >>
-rect -260 385 -181 419
-rect 181 385 260 419
-rect 226 323 260 385
-rect -80 247 -64 281
-rect 64 247 80 281
-rect -126 188 -92 204
-rect -126 -204 -92 -188
-rect 92 188 126 204
-rect 92 -204 126 -188
-rect -80 -281 -64 -247
-rect 64 -281 80 -247
-rect -260 -385 -226 -323
-rect 226 -385 260 -323
-rect -260 -419 -164 -385
-rect 164 -419 260 -385
-<< viali >>
-rect -181 385 -164 419
-rect -164 385 164 419
-rect 164 385 181 419
-rect -260 323 -226 385
-rect -260 38 -226 323
-rect -64 247 64 281
-rect -126 -188 -92 188
-rect 92 -188 126 188
-rect -64 -281 64 -247
-<< metal1 >>
-rect -193 419 193 425
-rect -266 385 -220 397
-rect -266 38 -260 385
-rect -226 38 -220 385
-rect -193 385 -181 419
-rect 181 385 193 419
-rect -193 379 193 385
-rect -76 281 76 287
-rect -76 247 -64 281
-rect 64 247 76 281
-rect -76 241 76 247
-rect -266 26 -220 38
-rect -132 188 -86 200
-rect -132 -188 -126 188
-rect -92 -188 -86 188
-rect -132 -200 -86 -188
-rect 86 188 132 200
-rect 86 -188 92 188
-rect 126 -188 132 188
-rect 86 -200 132 -188
-rect -76 -247 76 -241
-rect -76 -281 -64 -247
-rect 64 -281 76 -247
-rect -76 -287 76 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -243 -402 243 402
-string parameters w 2.00 l 0.80 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -45 viagr 0 viagt 80 viagb 0 viagate 100 viadrn 100 viasrc 100
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
deleted file mode 100644
index b8eb64f..0000000
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
+++ /dev/null
@@ -1,368 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606063140
-<< nwell >>
-rect -1101 -497 1101 497
-<< mvpmos >>
-rect -843 -200 -683 200
-rect -625 -200 -465 200
-rect -407 -200 -247 200
-rect -189 -200 -29 200
-rect 29 -200 189 200
-rect 247 -200 407 200
-rect 465 -200 625 200
-rect 683 -200 843 200
-<< mvpdiff >>
-rect -901 188 -843 200
-rect -901 -188 -889 188
-rect -855 -188 -843 188
-rect -901 -200 -843 -188
-rect -683 188 -625 200
-rect -683 -188 -671 188
-rect -637 -188 -625 188
-rect -683 -200 -625 -188
-rect -465 188 -407 200
-rect -465 -188 -453 188
-rect -419 -188 -407 188
-rect -465 -200 -407 -188
-rect -247 188 -189 200
-rect -247 -188 -235 188
-rect -201 -188 -189 188
-rect -247 -200 -189 -188
-rect -29 188 29 200
-rect -29 -188 -17 188
-rect 17 -188 29 188
-rect -29 -200 29 -188
-rect 189 188 247 200
-rect 189 -188 201 188
-rect 235 -188 247 188
-rect 189 -200 247 -188
-rect 407 188 465 200
-rect 407 -188 419 188
-rect 453 -188 465 188
-rect 407 -200 465 -188
-rect 625 188 683 200
-rect 625 -188 637 188
-rect 671 -188 683 188
-rect 625 -200 683 -188
-rect 843 188 901 200
-rect 843 -188 855 188
-rect 889 -188 901 188
-rect 843 -200 901 -188
-<< mvpdiffc >>
-rect -889 -188 -855 188
-rect -671 -188 -637 188
-rect -453 -188 -419 188
-rect -235 -188 -201 188
-rect -17 -188 17 188
-rect 201 -188 235 188
-rect 419 -188 453 188
-rect 637 -188 671 188
-rect 855 -188 889 188
-<< mvnsubdiff >>
-rect -1035 419 1035 431
-rect -1035 385 -927 419
-rect 927 385 1035 419
-rect -1035 373 1035 385
-rect -1035 323 -977 373
-rect -1035 -323 -1023 323
-rect -989 -323 -977 323
-rect 977 323 1035 373
-rect -1035 -373 -977 -323
-rect 977 -323 989 323
-rect 1023 -323 1035 323
-rect 977 -373 1035 -323
-rect -1035 -385 1035 -373
-rect -1035 -419 -927 -385
-rect 927 -419 1035 -385
-rect -1035 -431 1035 -419
-<< mvnsubdiffcont >>
-rect -927 385 927 419
-rect -1023 -323 -989 323
-rect 989 -323 1023 323
-rect -927 -419 927 -385
-<< poly >>
-rect -843 281 -683 297
-rect -843 247 -827 281
-rect -699 247 -683 281
-rect -843 200 -683 247
-rect -625 281 -465 297
-rect -625 247 -609 281
-rect -481 247 -465 281
-rect -625 200 -465 247
-rect -407 281 -247 297
-rect -407 247 -391 281
-rect -263 247 -247 281
-rect -407 200 -247 247
-rect -189 281 -29 297
-rect -189 247 -173 281
-rect -45 247 -29 281
-rect -189 200 -29 247
-rect 29 281 189 297
-rect 29 247 45 281
-rect 173 247 189 281
-rect 29 200 189 247
-rect 247 281 407 297
-rect 247 247 263 281
-rect 391 247 407 281
-rect 247 200 407 247
-rect 465 281 625 297
-rect 465 247 481 281
-rect 609 247 625 281
-rect 465 200 625 247
-rect 683 281 843 297
-rect 683 247 699 281
-rect 827 247 843 281
-rect 683 200 843 247
-rect -843 -247 -683 -200
-rect -843 -281 -827 -247
-rect -699 -281 -683 -247
-rect -843 -297 -683 -281
-rect -625 -247 -465 -200
-rect -625 -281 -609 -247
-rect -481 -281 -465 -247
-rect -625 -297 -465 -281
-rect -407 -247 -247 -200
-rect -407 -281 -391 -247
-rect -263 -281 -247 -247
-rect -407 -297 -247 -281
-rect -189 -247 -29 -200
-rect -189 -281 -173 -247
-rect -45 -281 -29 -247
-rect -189 -297 -29 -281
-rect 29 -247 189 -200
-rect 29 -281 45 -247
-rect 173 -281 189 -247
-rect 29 -297 189 -281
-rect 247 -247 407 -200
-rect 247 -281 263 -247
-rect 391 -281 407 -247
-rect 247 -297 407 -281
-rect 465 -247 625 -200
-rect 465 -281 481 -247
-rect 609 -281 625 -247
-rect 465 -297 625 -281
-rect 683 -247 843 -200
-rect 683 -281 699 -247
-rect 827 -281 843 -247
-rect 683 -297 843 -281
-<< polycont >>
-rect -827 247 -699 281
-rect -609 247 -481 281
-rect -391 247 -263 281
-rect -173 247 -45 281
-rect 45 247 173 281
-rect 263 247 391 281
-rect 481 247 609 281
-rect 699 247 827 281
-rect -827 -281 -699 -247
-rect -609 -281 -481 -247
-rect -391 -281 -263 -247
-rect -173 -281 -45 -247
-rect 45 -281 173 -247
-rect 263 -281 391 -247
-rect 481 -281 609 -247
-rect 699 -281 827 -247
-<< locali >>
-rect -1023 385 -927 419
-rect 927 385 1023 419
-rect 989 323 1023 385
-rect -843 247 -827 281
-rect -699 247 -683 281
-rect -625 247 -609 281
-rect -481 247 -465 281
-rect -407 247 -391 281
-rect -263 247 -247 281
-rect -189 247 -173 281
-rect -45 247 -29 281
-rect 29 247 45 281
-rect 173 247 189 281
-rect 247 247 263 281
-rect 391 247 407 281
-rect 465 247 481 281
-rect 609 247 625 281
-rect 683 247 699 281
-rect 827 247 843 281
-rect -889 188 -855 204
-rect -889 -204 -855 -188
-rect -671 188 -637 204
-rect -671 -204 -637 -188
-rect -453 188 -419 204
-rect -453 -204 -419 -188
-rect -235 188 -201 204
-rect -235 -204 -201 -188
-rect -17 188 17 204
-rect -17 -204 17 -188
-rect 201 188 235 204
-rect 201 -204 235 -188
-rect 419 188 453 204
-rect 419 -204 453 -188
-rect 637 188 671 204
-rect 637 -204 671 -188
-rect 855 188 889 204
-rect 855 -204 889 -188
-rect -843 -281 -827 -247
-rect -699 -281 -683 -247
-rect -625 -281 -609 -247
-rect -481 -281 -465 -247
-rect -407 -281 -391 -247
-rect -263 -281 -247 -247
-rect -189 -281 -173 -247
-rect -45 -281 -29 -247
-rect 29 -281 45 -247
-rect 173 -281 189 -247
-rect 247 -281 263 -247
-rect 391 -281 407 -247
-rect 465 -281 481 -247
-rect 609 -281 625 -247
-rect 683 -281 699 -247
-rect 827 -281 843 -247
-rect -1023 -385 -989 -323
-rect 989 -385 1023 -323
-rect -1023 -419 -927 -385
-rect 927 -419 1023 -385
-<< viali >>
-rect -890 385 890 419
-rect -1023 323 -989 385
-rect -1023 0 -989 323
-rect -827 247 -699 281
-rect -609 247 -481 281
-rect -391 247 -263 281
-rect -173 247 -45 281
-rect 45 247 173 281
-rect 263 247 391 281
-rect 481 247 609 281
-rect 699 247 827 281
-rect -889 21 -855 171
-rect -671 -171 -637 -21
-rect -453 21 -419 171
-rect -235 -171 -201 -21
-rect -17 21 17 171
-rect 201 -171 235 -21
-rect 419 21 453 171
-rect 637 -171 671 -21
-rect 855 21 889 171
-rect -827 -281 -699 -247
-rect -609 -281 -481 -247
-rect -391 -281 -263 -247
-rect -173 -281 -45 -247
-rect 45 -281 173 -247
-rect 263 -281 391 -247
-rect 481 -281 609 -247
-rect 699 -281 827 -247
-<< metal1 >>
-rect -902 419 902 425
-rect -1029 385 -983 397
-rect -1029 0 -1023 385
-rect -989 0 -983 385
-rect -902 385 -890 419
-rect 890 385 902 419
-rect -902 379 902 385
-rect -839 281 -687 287
-rect -839 247 -827 281
-rect -699 247 -687 281
-rect -839 241 -687 247
-rect -621 281 -469 287
-rect -621 247 -609 281
-rect -481 247 -469 281
-rect -621 241 -469 247
-rect -403 281 -251 287
-rect -403 247 -391 281
-rect -263 247 -251 281
-rect -403 241 -251 247
-rect -185 281 -33 287
-rect -185 247 -173 281
-rect -45 247 -33 281
-rect -185 241 -33 247
-rect 33 281 185 287
-rect 33 247 45 281
-rect 173 247 185 281
-rect 33 241 185 247
-rect 251 281 403 287
-rect 251 247 263 281
-rect 391 247 403 281
-rect 251 241 403 247
-rect 469 281 621 287
-rect 469 247 481 281
-rect 609 247 621 281
-rect 469 241 621 247
-rect 687 281 839 287
-rect 687 247 699 281
-rect 827 247 839 281
-rect 687 241 839 247
-rect -895 171 -849 183
-rect -895 21 -889 171
-rect -855 21 -849 171
-rect -895 9 -849 21
-rect -459 171 -413 183
-rect -459 21 -453 171
-rect -419 21 -413 171
-rect -459 9 -413 21
-rect -23 171 23 183
-rect -23 21 -17 171
-rect 17 21 23 171
-rect -23 9 23 21
-rect 413 171 459 183
-rect 413 21 419 171
-rect 453 21 459 171
-rect 413 9 459 21
-rect 849 171 895 183
-rect 849 21 855 171
-rect 889 21 895 171
-rect 849 9 895 21
-rect -1029 -12 -983 0
-rect -677 -21 -631 -9
-rect -677 -171 -671 -21
-rect -637 -171 -631 -21
-rect -677 -183 -631 -171
-rect -241 -21 -195 -9
-rect -241 -171 -235 -21
-rect -201 -171 -195 -21
-rect -241 -183 -195 -171
-rect 195 -21 241 -9
-rect 195 -171 201 -21
-rect 235 -171 241 -21
-rect 195 -183 241 -171
-rect 631 -21 677 -9
-rect 631 -171 637 -21
-rect 671 -171 677 -21
-rect 631 -183 677 -171
-rect -839 -247 -687 -241
-rect -839 -281 -827 -247
-rect -699 -281 -687 -247
-rect -839 -287 -687 -281
-rect -621 -247 -469 -241
-rect -621 -281 -609 -247
-rect -481 -281 -469 -247
-rect -621 -287 -469 -281
-rect -403 -247 -251 -241
-rect -403 -281 -391 -247
-rect -263 -281 -251 -247
-rect -403 -287 -251 -281
-rect -185 -247 -33 -241
-rect -185 -281 -173 -247
-rect -45 -281 -33 -247
-rect -185 -287 -33 -281
-rect 33 -247 185 -241
-rect 33 -281 45 -247
-rect 173 -281 185 -247
-rect 33 -287 185 -281
-rect 251 -247 403 -241
-rect 251 -281 263 -247
-rect 391 -281 403 -247
-rect 251 -287 403 -281
-rect 469 -247 621 -241
-rect 469 -281 481 -247
-rect 609 -281 621 -247
-rect 469 -287 621 -281
-rect 687 -247 839 -241
-rect 687 -281 699 -247
-rect 827 -281 839 -247
-rect 687 -287 839 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_g5v0d10v5
-string FIXED_BBOX -1006 -402 1006 402
-string parameters w 2.00 l 0.80 m 1 nf 8 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viagl -50 viagr 0 viagt 90 viagb 0 viagate 100 viadrn -40 viasrc +40
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag b/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
deleted file mode 100644
index 5bd3cec..0000000
--- a/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
+++ /dev/null
@@ -1,167 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1606074388
-<< pwell >>
-rect -5446 -3098 5446 3098
-<< psubdiff >>
-rect -5410 3028 -5314 3062
-rect 5314 3028 5410 3062
-rect -5410 2966 -5376 3028
-rect 5376 2966 5410 3028
-rect -5410 -3028 -5376 -2966
-rect 5376 -3028 5410 -2966
-rect -5410 -3062 -5314 -3028
-rect 5314 -3062 5410 -3028
-<< psubdiffcont >>
-rect -5314 3028 5314 3062
-rect -5410 -2966 -5376 2966
-rect 5376 -2966 5410 2966
-rect -5314 -3062 5314 -3028
-<< xpolycontact >>
-rect -5280 2500 -5142 2932
-rect -5280 -2932 -5142 -2500
-rect -4894 2500 -4756 2932
-rect -4894 -2932 -4756 -2500
-rect -4508 2500 -4370 2932
-rect -4508 -2932 -4370 -2500
-rect -4122 2500 -3984 2932
-rect -4122 -2932 -3984 -2500
-rect -3736 2500 -3598 2932
-rect -3736 -2932 -3598 -2500
-rect -3350 2500 -3212 2932
-rect -3350 -2932 -3212 -2500
-rect -2964 2500 -2826 2932
-rect -2964 -2932 -2826 -2500
-rect -2578 2500 -2440 2932
-rect -2578 -2932 -2440 -2500
-rect -2192 2500 -2054 2932
-rect -2192 -2932 -2054 -2500
-rect -1806 2500 -1668 2932
-rect -1806 -2932 -1668 -2500
-rect -1420 2500 -1282 2932
-rect -1420 -2932 -1282 -2500
-rect -1034 2500 -896 2932
-rect -1034 -2932 -896 -2500
-rect -648 2500 -510 2932
-rect -648 -2932 -510 -2500
-rect -262 2500 -124 2932
-rect -262 -2932 -124 -2500
-rect 124 2500 262 2932
-rect 124 -2932 262 -2500
-rect 510 2500 648 2932
-rect 510 -2932 648 -2500
-rect 896 2500 1034 2932
-rect 896 -2932 1034 -2500
-rect 1282 2500 1420 2932
-rect 1282 -2932 1420 -2500
-rect 1668 2500 1806 2932
-rect 1668 -2932 1806 -2500
-rect 2054 2500 2192 2932
-rect 2054 -2932 2192 -2500
-rect 2440 2500 2578 2932
-rect 2440 -2932 2578 -2500
-rect 2826 2500 2964 2932
-rect 2826 -2932 2964 -2500
-rect 3212 2500 3350 2932
-rect 3212 -2932 3350 -2500
-rect 3598 2500 3736 2932
-rect 3598 -2932 3736 -2500
-rect 3984 2500 4122 2932
-rect 3984 -2932 4122 -2500
-rect 4370 2500 4508 2932
-rect 4370 -2932 4508 -2500
-rect 4756 2500 4894 2932
-rect 4756 -2932 4894 -2500
-rect 5142 2500 5280 2932
-rect 5142 -2932 5280 -2500
-<< xpolyres >>
-rect -5280 -2500 -5142 2500
-rect -4894 -2500 -4756 2500
-rect -4508 -2500 -4370 2500
-rect -4122 -2500 -3984 2500
-rect -3736 -2500 -3598 2500
-rect -3350 -2500 -3212 2500
-rect -2964 -2500 -2826 2500
-rect -2578 -2500 -2440 2500
-rect -2192 -2500 -2054 2500
-rect -1806 -2500 -1668 2500
-rect -1420 -2500 -1282 2500
-rect -1034 -2500 -896 2500
-rect -648 -2500 -510 2500
-rect -262 -2500 -124 2500
-rect 124 -2500 262 2500
-rect 510 -2500 648 2500
-rect 896 -2500 1034 2500
-rect 1282 -2500 1420 2500
-rect 1668 -2500 1806 2500
-rect 2054 -2500 2192 2500
-rect 2440 -2500 2578 2500
-rect 2826 -2500 2964 2500
-rect 3212 -2500 3350 2500
-rect 3598 -2500 3736 2500
-rect 3984 -2500 4122 2500
-rect 4370 -2500 4508 2500
-rect 4756 -2500 4894 2500
-rect 5142 -2500 5280 2500
-<< locali >>
-rect -5410 3028 -5314 3062
-rect 5314 3028 5410 3062
-rect -5410 2966 -5376 3028
-rect 5376 2966 5410 3028
-rect -5410 -3028 -5376 -2966
-rect 5376 -3028 5410 -2966
-rect -5410 -3062 -5314 -3028
-rect 5314 -3062 5410 -3028
-<< viali >>
-rect -5410 -2725 -5376 2725
-rect 5376 -2725 5410 2725
-rect -4838 -3062 4838 -3028
-<< metal1 >>
-rect -5416 2725 -5370 2737
-rect -5416 -2725 -5410 2725
-rect -5376 -2725 -5370 2725
-rect -5416 -2737 -5370 -2725
-rect 5370 2725 5416 2737
-rect 5370 -2725 5376 2725
-rect 5410 -2725 5416 2725
-rect 5370 -2737 5416 -2725
-rect -4850 -3028 4850 -3022
-rect -4850 -3062 -4838 -3028
-rect 4838 -3062 4850 -3028
-rect -4850 -3068 4850 -3062
-<< res0p69 >>
-rect -5282 -2502 -5140 2502
-rect -4896 -2502 -4754 2502
-rect -4510 -2502 -4368 2502
-rect -4124 -2502 -3982 2502
-rect -3738 -2502 -3596 2502
-rect -3352 -2502 -3210 2502
-rect -2966 -2502 -2824 2502
-rect -2580 -2502 -2438 2502
-rect -2194 -2502 -2052 2502
-rect -1808 -2502 -1666 2502
-rect -1422 -2502 -1280 2502
-rect -1036 -2502 -894 2502
-rect -650 -2502 -508 2502
-rect -264 -2502 -122 2502
-rect 122 -2502 264 2502
-rect 508 -2502 650 2502
-rect 894 -2502 1036 2502
-rect 1280 -2502 1422 2502
-rect 1666 -2502 1808 2502
-rect 2052 -2502 2194 2502
-rect 2438 -2502 2580 2502
-rect 2824 -2502 2966 2502
-rect 3210 -2502 3352 2502
-rect 3596 -2502 3738 2502
-rect 3982 -2502 4124 2502
-rect 4368 -2502 4510 2502
-rect 4754 -2502 4896 2502
-rect 5140 -2502 5282 2502
-<< properties >>
-string gencell sky130_fd_pr__res_xhigh_po_0p69
-string FIXED_BBOX -5393 -3045 5393 3045
-string parameters w 0.69 l 25.0 m 1 nx 28 wmin 0.690 lmin 0.50 rho 2000 val 72.811k dummy 0 dw 0.0 term 120 sterm 0.0 caplen 0 wmax 0.690 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1 vias 0 viagb 90 viagt 0 viagl 90 viagr 90
-string library sky130
-<< end >>
diff --git a/mag/user_analog_proj_example.mag b/mag/user_analog_proj_example.mag
deleted file mode 100644
index dca1a32..0000000
--- a/mag/user_analog_proj_example.mag
+++ /dev/null
@@ -1,13 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1620310959
-use example_por  example_por_0
-timestamp 1620310959
-transform -1 0 11285 0 1 -14
-box 0 0 11344 8338
-use example_por  example_por_1
-timestamp 1620310959
-transform 1 0 14132 0 1 -22
-box 0 0 11344 8338
-<< end >>
diff --git a/mag/user_analog_project_wrapper_empty.mag b/mag/user_analog_project_wrapper_empty.mag
deleted file mode 100644
index 2f919b6..0000000
--- a/mag/user_analog_project_wrapper_empty.mag
+++ /dev/null
@@ -1,2160 +0,0 @@
-magic
-tech sky130A
-timestamp 1633031431
-<< locali >>
-rect 55308 326058 56772 326567
-rect 55308 325230 55563 326058
-rect 56263 325230 56772 326058
-rect 55308 323067 56772 325230
-rect 59190 324785 60399 325676
-rect 59190 324212 59508 324785
-rect 60271 324212 60399 324785
-rect 59190 322813 60399 324212
-rect 55245 319886 56708 321476
-rect 55245 319377 55372 319886
-rect 56263 319377 56708 319886
-rect 55245 319250 56708 319377
-rect 58617 319886 60526 321476
-rect 58617 319313 59317 319886
-rect 60080 319313 60526 319886
-<< viali >>
-rect 55563 325230 56263 326058
-rect 59508 324212 60271 324785
-rect 55372 319377 56263 319886
-rect 59317 319313 60080 319886
-<< metal1 >>
-rect 50424 326948 53081 327138
-rect 50424 326058 57026 326948
-rect 50424 325230 55563 326058
-rect 56263 325230 57026 326058
-rect 62090 325422 63697 325499
-rect 50424 325011 57026 325230
-rect 52509 324721 57026 325011
-rect 59317 324785 63697 325422
-rect 59317 324212 59508 324785
-rect 60271 324212 63697 324785
-rect 59317 324156 63697 324212
-rect 59317 324022 63007 324156
-rect 55245 319886 56454 320395
-rect 55245 319377 55372 319886
-rect 56263 319377 56454 319886
-rect 55245 319032 56454 319377
-rect 58999 319886 60399 320395
-rect 58999 319313 59317 319886
-rect 60080 319313 60399 319886
-rect 58999 319032 60399 319313
-rect 55245 318741 56526 319032
-rect 55266 314701 56526 318741
-rect 58999 318359 60493 319032
-rect 59104 314667 60493 318359
-<< metal2 >>
-rect 262 -400 318 240
-rect 853 -400 909 240
-rect 1444 -400 1500 240
-rect 2035 -400 2091 240
-rect 2626 -400 2682 240
-rect 3217 -400 3273 240
-rect 3808 -400 3864 240
-rect 4399 -400 4455 240
-rect 4990 -400 5046 240
-rect 5581 -400 5637 240
-rect 6172 -400 6228 240
-rect 6763 -400 6819 240
-rect 7354 -400 7410 240
-rect 7945 -400 8001 240
-rect 8536 -400 8592 240
-rect 9127 -400 9183 240
-rect 9718 -400 9774 240
-rect 10309 -400 10365 240
-rect 10900 -400 10956 240
-rect 11491 -400 11547 240
-rect 12082 -400 12138 240
-rect 12673 -400 12729 240
-rect 13264 -400 13320 240
-rect 13855 -400 13911 240
-rect 14446 -400 14502 240
-rect 15037 -400 15093 240
-rect 15628 -400 15684 240
-rect 16219 -400 16275 240
-rect 16810 -400 16866 240
-rect 17401 -400 17457 240
-rect 17992 -400 18048 240
-rect 18583 -400 18639 240
-rect 19174 -400 19230 240
-rect 19765 -400 19821 240
-rect 20356 -400 20412 240
-rect 20947 -400 21003 240
-rect 21538 -400 21594 240
-rect 22129 -400 22185 240
-rect 22720 -400 22776 240
-rect 23311 -400 23367 240
-rect 23902 -400 23958 240
-rect 24493 -400 24549 240
-rect 25084 -400 25140 240
-rect 25675 -400 25731 240
-rect 26266 -400 26322 240
-rect 26857 -400 26913 240
-rect 27448 -400 27504 240
-rect 28039 -400 28095 240
-rect 28630 -400 28686 240
-rect 29221 -400 29277 240
-rect 29812 -400 29868 240
-rect 30403 -400 30459 240
-rect 30994 -400 31050 240
-rect 31585 -400 31641 240
-rect 32176 -400 32232 240
-rect 32767 -400 32823 240
-rect 33358 -400 33414 240
-rect 33949 -400 34005 240
-rect 34540 -400 34596 240
-rect 35131 -400 35187 240
-rect 35722 -400 35778 240
-rect 36313 -400 36369 240
-rect 36904 -400 36960 240
-rect 37495 -400 37551 240
-rect 38086 -400 38142 240
-rect 38677 -400 38733 240
-rect 39268 -400 39324 240
-rect 39859 -400 39915 240
-rect 40450 -400 40506 240
-rect 41041 -400 41097 240
-rect 41632 -400 41688 240
-rect 42223 -400 42279 240
-rect 42814 -400 42870 240
-rect 43405 -400 43461 240
-rect 43996 -400 44052 240
-rect 44587 -400 44643 240
-rect 45178 -400 45234 240
-rect 45769 -400 45825 240
-rect 46360 -400 46416 240
-rect 46951 -400 47007 240
-rect 47542 -400 47598 240
-rect 48133 -400 48189 240
-rect 48724 -400 48780 240
-rect 49315 -400 49371 240
-rect 49906 -400 49962 240
-rect 50497 -400 50553 240
-rect 51088 -400 51144 240
-rect 51679 -400 51735 240
-rect 52270 -400 52326 240
-rect 52861 -400 52917 240
-rect 53452 -400 53508 240
-rect 54043 -400 54099 240
-rect 54634 -400 54690 240
-rect 55225 -400 55281 240
-rect 55816 -400 55872 240
-rect 56407 -400 56463 240
-rect 56998 -400 57054 240
-rect 57589 -400 57645 240
-rect 58180 -400 58236 240
-rect 58771 -400 58827 240
-rect 59362 -400 59418 240
-rect 59953 -400 60009 240
-rect 60544 -400 60600 240
-rect 61135 -400 61191 240
-rect 61726 -400 61782 240
-rect 62317 -400 62373 240
-rect 62908 -400 62964 240
-rect 63499 -400 63555 240
-rect 64090 -400 64146 240
-rect 64681 -400 64737 240
-rect 65272 -400 65328 240
-rect 65863 -400 65919 240
-rect 66454 -400 66510 240
-rect 67045 -400 67101 240
-rect 67636 -400 67692 240
-rect 68227 -400 68283 240
-rect 68818 -400 68874 240
-rect 69409 -400 69465 240
-rect 70000 -400 70056 240
-rect 70591 -400 70647 240
-rect 71182 -400 71238 240
-rect 71773 -400 71829 240
-rect 72364 -400 72420 240
-rect 72955 -400 73011 240
-rect 73546 -400 73602 240
-rect 74137 -400 74193 240
-rect 74728 -400 74784 240
-rect 75319 -400 75375 240
-rect 75910 -400 75966 240
-rect 76501 -400 76557 240
-rect 77092 -400 77148 240
-rect 77683 -400 77739 240
-rect 78274 -400 78330 240
-rect 78865 -400 78921 240
-rect 79456 -400 79512 240
-rect 80047 -400 80103 240
-rect 80638 -400 80694 240
-rect 81229 -400 81285 240
-rect 81820 -400 81876 240
-rect 82411 -400 82467 240
-rect 83002 -400 83058 240
-rect 83593 -400 83649 240
-rect 84184 -400 84240 240
-rect 84775 -400 84831 240
-rect 85366 -400 85422 240
-rect 85957 -400 86013 240
-rect 86548 -400 86604 240
-rect 87139 -400 87195 240
-rect 87730 -400 87786 240
-rect 88321 -400 88377 240
-rect 88912 -400 88968 240
-rect 89503 -400 89559 240
-rect 90094 -400 90150 240
-rect 90685 -400 90741 240
-rect 91276 -400 91332 240
-rect 91867 -400 91923 240
-rect 92458 -400 92514 240
-rect 93049 -400 93105 240
-rect 93640 -400 93696 240
-rect 94231 -400 94287 240
-rect 94822 -400 94878 240
-rect 95413 -400 95469 240
-rect 96004 -400 96060 240
-rect 96595 -400 96651 240
-rect 97186 -400 97242 240
-rect 97777 -400 97833 240
-rect 98368 -400 98424 240
-rect 98959 -400 99015 240
-rect 99550 -400 99606 240
-rect 100141 -400 100197 240
-rect 100732 -400 100788 240
-rect 101323 -400 101379 240
-rect 101914 -400 101970 240
-rect 102505 -400 102561 240
-rect 103096 -400 103152 240
-rect 103687 -400 103743 240
-rect 104278 -400 104334 240
-rect 104869 -400 104925 240
-rect 105460 -400 105516 240
-rect 106051 -400 106107 240
-rect 106642 -400 106698 240
-rect 107233 -400 107289 240
-rect 107824 -400 107880 240
-rect 108415 -400 108471 240
-rect 109006 -400 109062 240
-rect 109597 -400 109653 240
-rect 110188 -400 110244 240
-rect 110779 -400 110835 240
-rect 111370 -400 111426 240
-rect 111961 -400 112017 240
-rect 112552 -400 112608 240
-rect 113143 -400 113199 240
-rect 113734 -400 113790 240
-rect 114325 -400 114381 240
-rect 114916 -400 114972 240
-rect 115507 -400 115563 240
-rect 116098 -400 116154 240
-rect 116689 -400 116745 240
-rect 117280 -400 117336 240
-rect 117871 -400 117927 240
-rect 118462 -400 118518 240
-rect 119053 -400 119109 240
-rect 119644 -400 119700 240
-rect 120235 -400 120291 240
-rect 120826 -400 120882 240
-rect 121417 -400 121473 240
-rect 122008 -400 122064 240
-rect 122599 -400 122655 240
-rect 123190 -400 123246 240
-rect 123781 -400 123837 240
-rect 124372 -400 124428 240
-rect 124963 -400 125019 240
-rect 125554 -400 125610 240
-rect 126145 -400 126201 240
-rect 126736 -400 126792 240
-rect 127327 -400 127383 240
-rect 127918 -400 127974 240
-rect 128509 -400 128565 240
-rect 129100 -400 129156 240
-rect 129691 -400 129747 240
-rect 130282 -400 130338 240
-rect 130873 -400 130929 240
-rect 131464 -400 131520 240
-rect 132055 -400 132111 240
-rect 132646 -400 132702 240
-rect 133237 -400 133293 240
-rect 133828 -400 133884 240
-rect 134419 -400 134475 240
-rect 135010 -400 135066 240
-rect 135601 -400 135657 240
-rect 136192 -400 136248 240
-rect 136783 -400 136839 240
-rect 137374 -400 137430 240
-rect 137965 -400 138021 240
-rect 138556 -400 138612 240
-rect 139147 -400 139203 240
-rect 139738 -400 139794 240
-rect 140329 -400 140385 240
-rect 140920 -400 140976 240
-rect 141511 -400 141567 240
-rect 142102 -400 142158 240
-rect 142693 -400 142749 240
-rect 143284 -400 143340 240
-rect 143875 -400 143931 240
-rect 144466 -400 144522 240
-rect 145057 -400 145113 240
-rect 145648 -400 145704 240
-rect 146239 -400 146295 240
-rect 146830 -400 146886 240
-rect 147421 -400 147477 240
-rect 148012 -400 148068 240
-rect 148603 -400 148659 240
-rect 149194 -400 149250 240
-rect 149785 -400 149841 240
-rect 150376 -400 150432 240
-rect 150967 -400 151023 240
-rect 151558 -400 151614 240
-rect 152149 -400 152205 240
-rect 152740 -400 152796 240
-rect 153331 -400 153387 240
-rect 153922 -400 153978 240
-rect 154513 -400 154569 240
-rect 155104 -400 155160 240
-rect 155695 -400 155751 240
-rect 156286 -400 156342 240
-rect 156877 -400 156933 240
-rect 157468 -400 157524 240
-rect 158059 -400 158115 240
-rect 158650 -400 158706 240
-rect 159241 -400 159297 240
-rect 159832 -400 159888 240
-rect 160423 -400 160479 240
-rect 161014 -400 161070 240
-rect 161605 -400 161661 240
-rect 162196 -400 162252 240
-rect 162787 -400 162843 240
-rect 163378 -400 163434 240
-rect 163969 -400 164025 240
-rect 164560 -400 164616 240
-rect 165151 -400 165207 240
-rect 165742 -400 165798 240
-rect 166333 -400 166389 240
-rect 166924 -400 166980 240
-rect 167515 -400 167571 240
-rect 168106 -400 168162 240
-rect 168697 -400 168753 240
-rect 169288 -400 169344 240
-rect 169879 -400 169935 240
-rect 170470 -400 170526 240
-rect 171061 -400 171117 240
-rect 171652 -400 171708 240
-rect 172243 -400 172299 240
-rect 172834 -400 172890 240
-rect 173425 -400 173481 240
-rect 174016 -400 174072 240
-rect 174607 -400 174663 240
-rect 175198 -400 175254 240
-rect 175789 -400 175845 240
-rect 176380 -400 176436 240
-rect 176971 -400 177027 240
-rect 177562 -400 177618 240
-rect 178153 -400 178209 240
-rect 178744 -400 178800 240
-rect 179335 -400 179391 240
-rect 179926 -400 179982 240
-rect 180517 -400 180573 240
-rect 181108 -400 181164 240
-rect 181699 -400 181755 240
-rect 182290 -400 182346 240
-rect 182881 -400 182937 240
-rect 183472 -400 183528 240
-rect 184063 -400 184119 240
-rect 184654 -400 184710 240
-rect 185245 -400 185301 240
-rect 185836 -400 185892 240
-rect 186427 -400 186483 240
-rect 187018 -400 187074 240
-rect 187609 -400 187665 240
-rect 188200 -400 188256 240
-rect 188791 -400 188847 240
-rect 189382 -400 189438 240
-rect 189973 -400 190029 240
-rect 190564 -400 190620 240
-rect 191155 -400 191211 240
-rect 191746 -400 191802 240
-rect 192337 -400 192393 240
-rect 192928 -400 192984 240
-rect 193519 -400 193575 240
-rect 194110 -400 194166 240
-rect 194701 -400 194757 240
-rect 195292 -400 195348 240
-rect 195883 -400 195939 240
-rect 196474 -400 196530 240
-rect 197065 -400 197121 240
-rect 197656 -400 197712 240
-rect 198247 -400 198303 240
-rect 198838 -400 198894 240
-rect 199429 -400 199485 240
-rect 200020 -400 200076 240
-rect 200611 -400 200667 240
-rect 201202 -400 201258 240
-rect 201793 -400 201849 240
-rect 202384 -400 202440 240
-rect 202975 -400 203031 240
-rect 203566 -400 203622 240
-rect 204157 -400 204213 240
-rect 204748 -400 204804 240
-rect 205339 -400 205395 240
-rect 205930 -400 205986 240
-rect 206521 -400 206577 240
-rect 207112 -400 207168 240
-rect 207703 -400 207759 240
-rect 208294 -400 208350 240
-rect 208885 -400 208941 240
-rect 209476 -400 209532 240
-rect 210067 -400 210123 240
-rect 210658 -400 210714 240
-rect 211249 -400 211305 240
-rect 211840 -400 211896 240
-rect 212431 -400 212487 240
-rect 213022 -400 213078 240
-rect 213613 -400 213669 240
-rect 214204 -400 214260 240
-rect 214795 -400 214851 240
-rect 215386 -400 215442 240
-rect 215977 -400 216033 240
-rect 216568 -400 216624 240
-rect 217159 -400 217215 240
-rect 217750 -400 217806 240
-rect 218341 -400 218397 240
-rect 218932 -400 218988 240
-rect 219523 -400 219579 240
-rect 220114 -400 220170 240
-rect 220705 -400 220761 240
-rect 221296 -400 221352 240
-rect 221887 -400 221943 240
-rect 222478 -400 222534 240
-rect 223069 -400 223125 240
-rect 223660 -400 223716 240
-rect 224251 -400 224307 240
-rect 224842 -400 224898 240
-rect 225433 -400 225489 240
-rect 226024 -400 226080 240
-rect 226615 -400 226671 240
-rect 227206 -400 227262 240
-rect 227797 -400 227853 240
-rect 228388 -400 228444 240
-rect 228979 -400 229035 240
-rect 229570 -400 229626 240
-rect 230161 -400 230217 240
-rect 230752 -400 230808 240
-rect 231343 -400 231399 240
-rect 231934 -400 231990 240
-rect 232525 -400 232581 240
-rect 233116 -400 233172 240
-rect 233707 -400 233763 240
-rect 234298 -400 234354 240
-rect 234889 -400 234945 240
-rect 235480 -400 235536 240
-rect 236071 -400 236127 240
-rect 236662 -400 236718 240
-rect 237253 -400 237309 240
-rect 237844 -400 237900 240
-rect 238435 -400 238491 240
-rect 239026 -400 239082 240
-rect 239617 -400 239673 240
-rect 240208 -400 240264 240
-rect 240799 -400 240855 240
-rect 241390 -400 241446 240
-rect 241981 -400 242037 240
-rect 242572 -400 242628 240
-rect 243163 -400 243219 240
-rect 243754 -400 243810 240
-rect 244345 -400 244401 240
-rect 244936 -400 244992 240
-rect 245527 -400 245583 240
-rect 246118 -400 246174 240
-rect 246709 -400 246765 240
-rect 247300 -400 247356 240
-rect 247891 -400 247947 240
-rect 248482 -400 248538 240
-rect 249073 -400 249129 240
-rect 249664 -400 249720 240
-rect 250255 -400 250311 240
-rect 250846 -400 250902 240
-rect 251437 -400 251493 240
-rect 252028 -400 252084 240
-rect 252619 -400 252675 240
-rect 253210 -400 253266 240
-rect 253801 -400 253857 240
-rect 254392 -400 254448 240
-rect 254983 -400 255039 240
-rect 255574 -400 255630 240
-rect 256165 -400 256221 240
-rect 256756 -400 256812 240
-rect 257347 -400 257403 240
-rect 257938 -400 257994 240
-rect 258529 -400 258585 240
-rect 259120 -400 259176 240
-rect 259711 -400 259767 240
-rect 260302 -400 260358 240
-rect 260893 -400 260949 240
-rect 261484 -400 261540 240
-rect 262075 -400 262131 240
-rect 262666 -400 262722 240
-rect 263257 -400 263313 240
-rect 263848 -400 263904 240
-rect 264439 -400 264495 240
-rect 265030 -400 265086 240
-rect 265621 -400 265677 240
-rect 266212 -400 266268 240
-rect 266803 -400 266859 240
-rect 267394 -400 267450 240
-rect 267985 -400 268041 240
-rect 268576 -400 268632 240
-rect 269167 -400 269223 240
-rect 269758 -400 269814 240
-rect 270349 -400 270405 240
-rect 270940 -400 270996 240
-rect 271531 -400 271587 240
-rect 272122 -400 272178 240
-rect 272713 -400 272769 240
-rect 273304 -400 273360 240
-rect 273895 -400 273951 240
-rect 274486 -400 274542 240
-rect 275077 -400 275133 240
-rect 275668 -400 275724 240
-rect 276259 -400 276315 240
-rect 276850 -400 276906 240
-rect 277441 -400 277497 240
-rect 278032 -400 278088 240
-rect 278623 -400 278679 240
-rect 279214 -400 279270 240
-rect 279805 -400 279861 240
-rect 280396 -400 280452 240
-rect 280987 -400 281043 240
-rect 281578 -400 281634 240
-rect 282169 -400 282225 240
-rect 282760 -400 282816 240
-rect 283351 -400 283407 240
-rect 283942 -400 283998 240
-rect 284533 -400 284589 240
-rect 285124 -400 285180 240
-rect 285715 -400 285771 240
-rect 286306 -400 286362 240
-rect 286897 -400 286953 240
-rect 287488 -400 287544 240
-rect 288079 -400 288135 240
-rect 288670 -400 288726 240
-rect 289261 -400 289317 240
-rect 289852 -400 289908 240
-rect 290443 -400 290499 240
-rect 291034 -400 291090 240
-rect 291625 -400 291681 240
-<< metal3 >>
-rect 8097 351150 10597 352400
-rect 34097 351150 36597 352400
-rect 60097 351150 62597 352400
-rect 82797 351150 85297 352400
-rect 85447 351150 86547 352400
-rect 86697 351150 87797 352400
-rect 87947 351150 90447 352400
-rect 108647 351150 111147 352400
-rect 111297 351150 112397 352400
-rect 112547 351150 113647 352400
-rect 113797 351150 116297 352400
-rect 159497 351150 161997 352400
-rect 162147 351150 163247 352400
-rect 163397 351150 164497 352400
-rect 164647 351150 167147 352400
-rect 206697 351150 209197 352400
-rect 232697 351150 235197 352400
-rect 255297 351170 257697 352400
-rect 260297 351170 262697 352400
-rect 283297 351150 285797 352400
-rect -400 340121 850 342621
-rect 291150 338992 292400 341492
-rect -400 321921 830 324321
-rect 291170 319892 292400 322292
-rect -400 316921 830 319321
-rect 291170 314892 292400 317292
-rect 291760 294736 292400 294792
-rect 291760 294145 292400 294201
-rect 291760 293554 292400 293610
-rect 291760 292963 292400 293019
-rect 291760 292372 292400 292428
-rect 291760 291781 292400 291837
-rect -400 279721 830 282121
-rect -400 274721 830 277121
-rect 291170 275281 292400 277681
-rect 291170 270281 292400 272681
-rect -400 255765 240 255821
-rect -400 255174 240 255230
-rect -400 254583 240 254639
-rect -400 253992 240 254048
-rect -400 253401 240 253457
-rect -400 252810 240 252866
-rect 291760 250025 292400 250081
-rect 291760 249434 292400 249490
-rect 291760 248843 292400 248899
-rect 291760 248252 292400 248308
-rect 291760 247661 292400 247717
-rect 291760 247070 292400 247126
-rect -400 234154 240 234210
-rect -400 233563 240 233619
-rect -400 232972 240 233028
-rect -400 232381 240 232437
-rect -400 231790 240 231846
-rect -400 231199 240 231255
-rect 291760 227814 292400 227870
-rect 291760 227223 292400 227279
-rect 291760 226632 292400 226688
-rect 291760 226041 292400 226097
-rect 291760 225450 292400 225506
-rect 291760 224859 292400 224915
-rect -400 212543 240 212599
-rect -400 211952 240 212008
-rect -400 211361 240 211417
-rect -400 210770 240 210826
-rect -400 210179 240 210235
-rect -400 209588 240 209644
-rect 291760 205603 292400 205659
-rect 291760 205012 292400 205068
-rect 291760 204421 292400 204477
-rect 291760 203830 292400 203886
-rect 291760 203239 292400 203295
-rect 291760 202648 292400 202704
-rect -400 190932 240 190988
-rect -400 190341 240 190397
-rect -400 189750 240 189806
-rect -400 189159 240 189215
-rect -400 188568 240 188624
-rect -400 187977 240 188033
-rect 291760 182392 292400 182448
-rect 291760 181801 292400 181857
-rect 291760 181210 292400 181266
-rect 291760 180619 292400 180675
-rect 291760 180028 292400 180084
-rect 291760 179437 292400 179493
-rect -400 169321 240 169377
-rect -400 168730 240 168786
-rect -400 168139 240 168195
-rect -400 167548 240 167604
-rect -400 166957 240 167013
-rect -400 166366 240 166422
-rect 291760 159781 292400 159837
-rect 291760 159190 292400 159246
-rect 291760 158599 292400 158655
-rect 291760 158008 292400 158064
-rect 291760 157417 292400 157473
-rect 291760 156826 292400 156882
-rect -400 147710 240 147766
-rect -400 147119 240 147175
-rect -400 146528 240 146584
-rect -400 145937 240 145993
-rect -400 145346 240 145402
-rect -400 144755 240 144811
-rect 291760 137570 292400 137626
-rect 291760 136979 292400 137035
-rect 291760 136388 292400 136444
-rect 291760 135797 292400 135853
-rect 291760 135206 292400 135262
-rect 291760 134615 292400 134671
-rect -400 126199 240 126255
-rect -400 125608 240 125664
-rect -400 125017 240 125073
-rect -400 124426 240 124482
-rect -400 123835 240 123891
-rect -400 123244 240 123300
-rect 291170 117615 292400 120015
-rect 291170 112615 292400 115015
-rect -400 107444 830 109844
-rect -400 102444 830 104844
-rect 291170 95715 292400 98115
-rect 291170 90715 292400 93115
-rect -400 86444 830 88844
-rect -400 81444 830 83844
-rect 291170 73415 292400 75815
-rect 291170 68415 292400 70815
-rect -400 62388 240 62444
-rect -400 61797 240 61853
-rect -400 61206 240 61262
-rect -400 60615 240 60671
-rect -400 60024 240 60080
-rect -400 59433 240 59489
-rect 291760 47559 292400 47615
-rect 291760 46968 292400 47024
-rect 291760 46377 292400 46433
-rect 291760 45786 292400 45842
-rect -400 40777 240 40833
-rect -400 40186 240 40242
-rect -400 39595 240 39651
-rect -400 39004 240 39060
-rect -400 38413 240 38469
-rect -400 37822 240 37878
-rect 291760 25230 292400 25286
-rect 291760 24639 292400 24695
-rect 291760 24048 292400 24104
-rect 291760 23457 292400 23513
-rect -400 19166 240 19222
-rect -400 18575 240 18631
-rect -400 17984 240 18040
-rect -400 17393 240 17449
-rect -400 16802 240 16858
-rect -400 16211 240 16267
-rect 291760 12001 292400 12057
-rect 291760 11410 292400 11466
-rect 291760 10819 292400 10875
-rect 291760 10228 292400 10284
-rect 291760 9637 292400 9693
-rect 291760 9046 292400 9102
-rect -400 8455 240 8511
-rect 291760 8455 292400 8511
-rect -400 7864 240 7920
-rect 291760 7864 292400 7920
-rect -400 7273 240 7329
-rect 291760 7273 292400 7329
-rect -400 6682 240 6738
-rect 291760 6682 292400 6738
-rect -400 6091 240 6147
-rect 291760 6091 292400 6147
-rect -400 5500 240 5556
-rect 291760 5500 292400 5556
-rect -400 4909 240 4965
-rect 291760 4909 292400 4965
-rect -400 4318 240 4374
-rect 291760 4318 292400 4374
-rect -400 3727 240 3783
-rect 291760 3727 292400 3783
-rect -400 3136 240 3192
-rect 291760 3136 292400 3192
-rect -400 2545 240 2601
-rect 291760 2545 292400 2601
-rect -400 1954 240 2010
-rect 291760 1954 292400 2010
-rect -400 1363 240 1419
-rect 291760 1363 292400 1419
-rect -400 772 240 828
-rect 291760 772 292400 828
-<< via4 >>
-rect 9557 351157 10138 351632
-rect 84160 351202 84572 351498
-rect 50637 325623 51913 326687
-rect 62173 324569 62974 324905
-rect 55595 314921 56033 315304
-rect 59444 314744 60347 315286
-rect 121 280581 609 281184
-rect 155 108386 501 108870
-<< metal5 >>
-rect 8871 351632 10297 352002
-rect 8871 351157 9557 351632
-rect 10138 351157 10297 351632
-rect 83904 351522 84983 351600
-rect 8871 328933 10297 351157
-rect 83460 351498 84983 351522
-rect 83460 351202 84160 351498
-rect 84572 351202 84983 351498
-rect 83460 349223 84983 351202
-rect 8765 327006 10463 328933
-rect 8765 326687 52232 327006
-rect 8765 325623 50637 326687
-rect 51913 325623 52232 326687
-rect 8765 325590 52232 325623
-rect 9251 325091 52232 325590
-rect 83460 325246 84954 349223
-rect 84902 324473 84954 325246
-rect 54883 315304 57383 315554
-rect 54883 314921 55595 315304
-rect 56033 314921 57383 315304
-rect 54883 282697 57383 314921
-rect 58781 315286 61010 315829
-rect 58781 314744 59444 315286
-rect 60347 314744 61010 315286
-rect 58781 313660 61010 314744
-rect 59061 288136 60974 313660
-rect 58910 287652 60974 288136
-rect 4718 281448 57497 282697
-rect 60 281184 57497 281448
-rect 60 280581 121 281184
-rect 609 280581 57497 281184
-rect 60 280391 57497 280581
-rect 4718 280089 57497 280391
-rect 54883 276755 57383 280089
-rect 58910 278128 60847 287652
-rect 133 108870 3341 109043
-rect 133 108386 155 108870
-rect 501 108517 3341 108870
-rect 21670 108564 35212 108621
-rect 59061 108564 60974 277805
-rect 21670 108517 60974 108564
-rect 501 108386 60974 108517
-rect 133 108097 60974 108386
-rect 1772 108051 60974 108097
-rect 21670 107914 60974 108051
-rect 33870 107733 60974 107914
-rect 59061 107587 60974 107733
-<< rm5 >>
-rect 62090 324905 84902 325246
-rect 62090 324569 62173 324905
-rect 62974 324569 84902 324905
-rect 62090 324370 84902 324569
-<< comment >>
-rect -50 352000 292050 352050
-rect -50 0 0 352000
-rect 292000 0 292050 352000
-rect -50 -50 292050 0
-use spamp  spamp_0
-timestamp 1629117458
-transform 1 0 58460 0 1 319969
-box -3412 -811 2341 7034
-<< labels >>
-flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0]
-port 0 nsew signal bidirectional
-flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10]
-port 1 nsew signal bidirectional
-flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11]
-port 2 nsew signal bidirectional
-flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12]
-port 3 nsew signal bidirectional
-flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13]
-port 4 nsew signal bidirectional
-flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14]
-port 5 nsew signal bidirectional
-flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15]
-port 6 nsew signal bidirectional
-flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16]
-port 7 nsew signal bidirectional
-flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17]
-port 8 nsew signal bidirectional
-flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1]
-port 9 nsew signal bidirectional
-flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2]
-port 10 nsew signal bidirectional
-flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3]
-port 11 nsew signal bidirectional
-flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4]
-port 12 nsew signal bidirectional
-flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5]
-port 13 nsew signal bidirectional
-flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6]
-port 14 nsew signal bidirectional
-flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7]
-port 15 nsew signal bidirectional
-flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8]
-port 16 nsew signal bidirectional
-flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9]
-port 17 nsew signal bidirectional
-flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0]
-port 18 nsew signal bidirectional
-flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10]
-port 19 nsew signal bidirectional
-flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11]
-port 20 nsew signal bidirectional
-flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12]
-port 21 nsew signal bidirectional
-flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13]
-port 22 nsew signal bidirectional
-flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14]
-port 23 nsew signal bidirectional
-flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15]
-port 24 nsew signal bidirectional
-flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16]
-port 25 nsew signal bidirectional
-flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17]
-port 26 nsew signal bidirectional
-flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1]
-port 27 nsew signal bidirectional
-flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2]
-port 28 nsew signal bidirectional
-flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3]
-port 29 nsew signal bidirectional
-flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4]
-port 30 nsew signal bidirectional
-flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5]
-port 31 nsew signal bidirectional
-flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6]
-port 32 nsew signal bidirectional
-flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7]
-port 33 nsew signal bidirectional
-flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8]
-port 34 nsew signal bidirectional
-flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9]
-port 35 nsew signal bidirectional
-flabel metal3 s 291150 338992 292400 341492 0 FreeSans 560 0 0 0 io_analog[0]
-port 36 nsew signal bidirectional
-flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10]
-port 37 nsew signal bidirectional
-flabel metal3 s 283297 351150 285797 352400 0 FreeSans 960 180 0 0 io_analog[1]
-port 38 nsew signal bidirectional
-flabel metal3 s 232697 351150 235197 352400 0 FreeSans 960 180 0 0 io_analog[2]
-port 39 nsew signal bidirectional
-flabel metal3 s 206697 351150 209197 352400 0 FreeSans 960 180 0 0 io_analog[3]
-port 40 nsew signal bidirectional
-flabel metal3 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal3 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal3 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal3 s 60097 351150 62597 352400 0 FreeSans 960 180 0 0 io_analog[7]
-port 44 nsew signal bidirectional
-flabel metal3 s 34097 351150 36597 352400 0 FreeSans 960 180 0 0 io_analog[8]
-port 45 nsew signal bidirectional
-flabel metal3 s 8097 351150 10597 352400 0 FreeSans 960 180 0 0 io_analog[9]
-port 46 nsew signal bidirectional
-flabel metal3 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal3 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal3 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
-flabel metal3 s 163397 351150 164497 352400 0 FreeSans 960 180 0 0 io_clamp_high[0]
-port 50 nsew signal bidirectional
-flabel metal3 s 112547 351150 113647 352400 0 FreeSans 960 180 0 0 io_clamp_high[1]
-port 51 nsew signal bidirectional
-flabel metal3 s 86697 351150 87797 352400 0 FreeSans 960 180 0 0 io_clamp_high[2]
-port 52 nsew signal bidirectional
-flabel metal3 s 162147 351150 163247 352400 0 FreeSans 960 180 0 0 io_clamp_low[0]
-port 53 nsew signal bidirectional
-flabel metal3 s 111297 351150 112397 352400 0 FreeSans 960 180 0 0 io_clamp_low[1]
-port 54 nsew signal bidirectional
-flabel metal3 s 85447 351150 86547 352400 0 FreeSans 960 180 0 0 io_clamp_low[2]
-port 55 nsew signal bidirectional
-flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0]
-port 56 nsew signal input
-flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10]
-port 57 nsew signal input
-flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11]
-port 58 nsew signal input
-flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12]
-port 59 nsew signal input
-flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13]
-port 60 nsew signal input
-flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14]
-port 61 nsew signal input
-flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15]
-port 62 nsew signal input
-flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16]
-port 63 nsew signal input
-flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17]
-port 64 nsew signal input
-flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18]
-port 65 nsew signal input
-flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19]
-port 66 nsew signal input
-flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1]
-port 67 nsew signal input
-flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20]
-port 68 nsew signal input
-flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21]
-port 69 nsew signal input
-flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22]
-port 70 nsew signal input
-flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23]
-port 71 nsew signal input
-flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24]
-port 72 nsew signal input
-flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25]
-port 73 nsew signal input
-flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26]
-port 74 nsew signal input
-flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2]
-port 75 nsew signal input
-flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3]
-port 76 nsew signal input
-flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4]
-port 77 nsew signal input
-flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5]
-port 78 nsew signal input
-flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6]
-port 79 nsew signal input
-flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7]
-port 80 nsew signal input
-flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8]
-port 81 nsew signal input
-flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9]
-port 82 nsew signal input
-flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0]
-port 83 nsew signal input
-flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10]
-port 84 nsew signal input
-flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11]
-port 85 nsew signal input
-flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12]
-port 86 nsew signal input
-flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13]
-port 87 nsew signal input
-flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14]
-port 88 nsew signal input
-flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15]
-port 89 nsew signal input
-flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16]
-port 90 nsew signal input
-flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17]
-port 91 nsew signal input
-flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18]
-port 92 nsew signal input
-flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19]
-port 93 nsew signal input
-flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1]
-port 94 nsew signal input
-flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20]
-port 95 nsew signal input
-flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21]
-port 96 nsew signal input
-flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22]
-port 97 nsew signal input
-flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23]
-port 98 nsew signal input
-flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24]
-port 99 nsew signal input
-flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25]
-port 100 nsew signal input
-flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26]
-port 101 nsew signal input
-flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2]
-port 102 nsew signal input
-flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3]
-port 103 nsew signal input
-flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4]
-port 104 nsew signal input
-flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5]
-port 105 nsew signal input
-flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6]
-port 106 nsew signal input
-flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7]
-port 107 nsew signal input
-flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8]
-port 108 nsew signal input
-flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9]
-port 109 nsew signal input
-flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0]
-port 110 nsew signal tristate
-flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10]
-port 111 nsew signal tristate
-flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11]
-port 112 nsew signal tristate
-flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12]
-port 113 nsew signal tristate
-flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13]
-port 114 nsew signal tristate
-flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14]
-port 115 nsew signal tristate
-flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15]
-port 116 nsew signal tristate
-flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16]
-port 117 nsew signal tristate
-flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17]
-port 118 nsew signal tristate
-flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18]
-port 119 nsew signal tristate
-flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19]
-port 120 nsew signal tristate
-flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1]
-port 121 nsew signal tristate
-flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20]
-port 122 nsew signal tristate
-flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21]
-port 123 nsew signal tristate
-flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22]
-port 124 nsew signal tristate
-flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23]
-port 125 nsew signal tristate
-flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24]
-port 126 nsew signal tristate
-flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25]
-port 127 nsew signal tristate
-flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26]
-port 128 nsew signal tristate
-flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2]
-port 129 nsew signal tristate
-flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3]
-port 130 nsew signal tristate
-flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4]
-port 131 nsew signal tristate
-flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5]
-port 132 nsew signal tristate
-flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6]
-port 133 nsew signal tristate
-flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7]
-port 134 nsew signal tristate
-flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8]
-port 135 nsew signal tristate
-flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9]
-port 136 nsew signal tristate
-flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0]
-port 137 nsew signal tristate
-flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10]
-port 138 nsew signal tristate
-flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11]
-port 139 nsew signal tristate
-flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12]
-port 140 nsew signal tristate
-flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13]
-port 141 nsew signal tristate
-flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14]
-port 142 nsew signal tristate
-flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15]
-port 143 nsew signal tristate
-flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16]
-port 144 nsew signal tristate
-flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17]
-port 145 nsew signal tristate
-flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18]
-port 146 nsew signal tristate
-flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19]
-port 147 nsew signal tristate
-flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1]
-port 148 nsew signal tristate
-flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20]
-port 149 nsew signal tristate
-flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21]
-port 150 nsew signal tristate
-flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22]
-port 151 nsew signal tristate
-flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23]
-port 152 nsew signal tristate
-flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24]
-port 153 nsew signal tristate
-flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25]
-port 154 nsew signal tristate
-flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26]
-port 155 nsew signal tristate
-flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2]
-port 156 nsew signal tristate
-flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3]
-port 157 nsew signal tristate
-flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4]
-port 158 nsew signal tristate
-flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5]
-port 159 nsew signal tristate
-flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6]
-port 160 nsew signal tristate
-flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7]
-port 161 nsew signal tristate
-flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8]
-port 162 nsew signal tristate
-flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9]
-port 163 nsew signal tristate
-flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0]
-port 164 nsew signal input
-flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100]
-port 165 nsew signal input
-flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101]
-port 166 nsew signal input
-flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102]
-port 167 nsew signal input
-flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103]
-port 168 nsew signal input
-flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104]
-port 169 nsew signal input
-flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105]
-port 170 nsew signal input
-flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106]
-port 171 nsew signal input
-flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107]
-port 172 nsew signal input
-flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108]
-port 173 nsew signal input
-flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109]
-port 174 nsew signal input
-flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10]
-port 175 nsew signal input
-flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110]
-port 176 nsew signal input
-flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111]
-port 177 nsew signal input
-flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112]
-port 178 nsew signal input
-flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113]
-port 179 nsew signal input
-flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114]
-port 180 nsew signal input
-flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115]
-port 181 nsew signal input
-flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116]
-port 182 nsew signal input
-flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117]
-port 183 nsew signal input
-flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118]
-port 184 nsew signal input
-flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119]
-port 185 nsew signal input
-flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11]
-port 186 nsew signal input
-flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120]
-port 187 nsew signal input
-flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121]
-port 188 nsew signal input
-flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122]
-port 189 nsew signal input
-flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123]
-port 190 nsew signal input
-flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124]
-port 191 nsew signal input
-flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125]
-port 192 nsew signal input
-flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126]
-port 193 nsew signal input
-flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127]
-port 194 nsew signal input
-flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12]
-port 195 nsew signal input
-flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13]
-port 196 nsew signal input
-flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14]
-port 197 nsew signal input
-flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15]
-port 198 nsew signal input
-flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16]
-port 199 nsew signal input
-flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17]
-port 200 nsew signal input
-flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18]
-port 201 nsew signal input
-flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19]
-port 202 nsew signal input
-flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1]
-port 203 nsew signal input
-flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20]
-port 204 nsew signal input
-flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21]
-port 205 nsew signal input
-flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22]
-port 206 nsew signal input
-flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23]
-port 207 nsew signal input
-flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24]
-port 208 nsew signal input
-flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25]
-port 209 nsew signal input
-flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26]
-port 210 nsew signal input
-flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27]
-port 211 nsew signal input
-flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28]
-port 212 nsew signal input
-flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29]
-port 213 nsew signal input
-flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2]
-port 214 nsew signal input
-flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30]
-port 215 nsew signal input
-flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31]
-port 216 nsew signal input
-flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32]
-port 217 nsew signal input
-flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33]
-port 218 nsew signal input
-flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34]
-port 219 nsew signal input
-flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35]
-port 220 nsew signal input
-flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36]
-port 221 nsew signal input
-flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37]
-port 222 nsew signal input
-flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38]
-port 223 nsew signal input
-flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39]
-port 224 nsew signal input
-flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3]
-port 225 nsew signal input
-flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40]
-port 226 nsew signal input
-flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41]
-port 227 nsew signal input
-flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42]
-port 228 nsew signal input
-flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43]
-port 229 nsew signal input
-flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44]
-port 230 nsew signal input
-flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45]
-port 231 nsew signal input
-flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46]
-port 232 nsew signal input
-flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47]
-port 233 nsew signal input
-flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48]
-port 234 nsew signal input
-flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49]
-port 235 nsew signal input
-flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4]
-port 236 nsew signal input
-flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50]
-port 237 nsew signal input
-flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51]
-port 238 nsew signal input
-flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52]
-port 239 nsew signal input
-flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53]
-port 240 nsew signal input
-flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54]
-port 241 nsew signal input
-flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55]
-port 242 nsew signal input
-flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56]
-port 243 nsew signal input
-flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57]
-port 244 nsew signal input
-flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58]
-port 245 nsew signal input
-flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59]
-port 246 nsew signal input
-flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5]
-port 247 nsew signal input
-flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60]
-port 248 nsew signal input
-flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61]
-port 249 nsew signal input
-flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62]
-port 250 nsew signal input
-flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63]
-port 251 nsew signal input
-flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64]
-port 252 nsew signal input
-flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65]
-port 253 nsew signal input
-flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66]
-port 254 nsew signal input
-flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67]
-port 255 nsew signal input
-flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68]
-port 256 nsew signal input
-flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69]
-port 257 nsew signal input
-flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6]
-port 258 nsew signal input
-flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70]
-port 259 nsew signal input
-flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71]
-port 260 nsew signal input
-flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72]
-port 261 nsew signal input
-flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73]
-port 262 nsew signal input
-flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74]
-port 263 nsew signal input
-flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75]
-port 264 nsew signal input
-flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76]
-port 265 nsew signal input
-flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77]
-port 266 nsew signal input
-flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78]
-port 267 nsew signal input
-flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79]
-port 268 nsew signal input
-flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7]
-port 269 nsew signal input
-flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80]
-port 270 nsew signal input
-flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81]
-port 271 nsew signal input
-flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82]
-port 272 nsew signal input
-flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83]
-port 273 nsew signal input
-flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84]
-port 274 nsew signal input
-flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85]
-port 275 nsew signal input
-flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86]
-port 276 nsew signal input
-flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87]
-port 277 nsew signal input
-flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88]
-port 278 nsew signal input
-flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89]
-port 279 nsew signal input
-flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8]
-port 280 nsew signal input
-flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90]
-port 281 nsew signal input
-flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91]
-port 282 nsew signal input
-flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92]
-port 283 nsew signal input
-flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93]
-port 284 nsew signal input
-flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94]
-port 285 nsew signal input
-flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95]
-port 286 nsew signal input
-flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96]
-port 287 nsew signal input
-flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97]
-port 288 nsew signal input
-flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98]
-port 289 nsew signal input
-flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99]
-port 290 nsew signal input
-flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9]
-port 291 nsew signal input
-flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0]
-port 292 nsew signal tristate
-flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100]
-port 293 nsew signal tristate
-flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101]
-port 294 nsew signal tristate
-flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102]
-port 295 nsew signal tristate
-flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103]
-port 296 nsew signal tristate
-flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104]
-port 297 nsew signal tristate
-flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105]
-port 298 nsew signal tristate
-flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106]
-port 299 nsew signal tristate
-flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107]
-port 300 nsew signal tristate
-flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108]
-port 301 nsew signal tristate
-flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109]
-port 302 nsew signal tristate
-flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10]
-port 303 nsew signal tristate
-flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110]
-port 304 nsew signal tristate
-flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111]
-port 305 nsew signal tristate
-flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112]
-port 306 nsew signal tristate
-flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113]
-port 307 nsew signal tristate
-flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114]
-port 308 nsew signal tristate
-flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115]
-port 309 nsew signal tristate
-flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116]
-port 310 nsew signal tristate
-flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117]
-port 311 nsew signal tristate
-flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118]
-port 312 nsew signal tristate
-flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119]
-port 313 nsew signal tristate
-flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11]
-port 314 nsew signal tristate
-flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120]
-port 315 nsew signal tristate
-flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121]
-port 316 nsew signal tristate
-flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122]
-port 317 nsew signal tristate
-flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123]
-port 318 nsew signal tristate
-flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124]
-port 319 nsew signal tristate
-flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125]
-port 320 nsew signal tristate
-flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126]
-port 321 nsew signal tristate
-flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127]
-port 322 nsew signal tristate
-flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12]
-port 323 nsew signal tristate
-flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13]
-port 324 nsew signal tristate
-flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14]
-port 325 nsew signal tristate
-flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15]
-port 326 nsew signal tristate
-flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16]
-port 327 nsew signal tristate
-flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17]
-port 328 nsew signal tristate
-flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18]
-port 329 nsew signal tristate
-flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19]
-port 330 nsew signal tristate
-flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1]
-port 331 nsew signal tristate
-flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20]
-port 332 nsew signal tristate
-flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21]
-port 333 nsew signal tristate
-flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22]
-port 334 nsew signal tristate
-flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23]
-port 335 nsew signal tristate
-flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24]
-port 336 nsew signal tristate
-flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25]
-port 337 nsew signal tristate
-flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26]
-port 338 nsew signal tristate
-flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27]
-port 339 nsew signal tristate
-flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28]
-port 340 nsew signal tristate
-flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29]
-port 341 nsew signal tristate
-flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2]
-port 342 nsew signal tristate
-flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30]
-port 343 nsew signal tristate
-flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31]
-port 344 nsew signal tristate
-flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32]
-port 345 nsew signal tristate
-flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33]
-port 346 nsew signal tristate
-flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34]
-port 347 nsew signal tristate
-flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35]
-port 348 nsew signal tristate
-flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36]
-port 349 nsew signal tristate
-flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37]
-port 350 nsew signal tristate
-flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38]
-port 351 nsew signal tristate
-flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39]
-port 352 nsew signal tristate
-flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3]
-port 353 nsew signal tristate
-flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40]
-port 354 nsew signal tristate
-flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41]
-port 355 nsew signal tristate
-flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42]
-port 356 nsew signal tristate
-flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43]
-port 357 nsew signal tristate
-flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44]
-port 358 nsew signal tristate
-flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45]
-port 359 nsew signal tristate
-flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46]
-port 360 nsew signal tristate
-flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47]
-port 361 nsew signal tristate
-flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48]
-port 362 nsew signal tristate
-flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49]
-port 363 nsew signal tristate
-flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4]
-port 364 nsew signal tristate
-flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50]
-port 365 nsew signal tristate
-flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51]
-port 366 nsew signal tristate
-flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52]
-port 367 nsew signal tristate
-flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53]
-port 368 nsew signal tristate
-flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54]
-port 369 nsew signal tristate
-flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55]
-port 370 nsew signal tristate
-flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56]
-port 371 nsew signal tristate
-flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57]
-port 372 nsew signal tristate
-flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58]
-port 373 nsew signal tristate
-flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59]
-port 374 nsew signal tristate
-flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5]
-port 375 nsew signal tristate
-flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60]
-port 376 nsew signal tristate
-flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61]
-port 377 nsew signal tristate
-flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62]
-port 378 nsew signal tristate
-flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63]
-port 379 nsew signal tristate
-flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64]
-port 380 nsew signal tristate
-flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65]
-port 381 nsew signal tristate
-flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66]
-port 382 nsew signal tristate
-flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67]
-port 383 nsew signal tristate
-flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68]
-port 384 nsew signal tristate
-flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69]
-port 385 nsew signal tristate
-flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6]
-port 386 nsew signal tristate
-flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70]
-port 387 nsew signal tristate
-flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71]
-port 388 nsew signal tristate
-flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72]
-port 389 nsew signal tristate
-flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73]
-port 390 nsew signal tristate
-flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74]
-port 391 nsew signal tristate
-flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75]
-port 392 nsew signal tristate
-flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76]
-port 393 nsew signal tristate
-flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77]
-port 394 nsew signal tristate
-flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78]
-port 395 nsew signal tristate
-flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79]
-port 396 nsew signal tristate
-flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7]
-port 397 nsew signal tristate
-flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80]
-port 398 nsew signal tristate
-flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81]
-port 399 nsew signal tristate
-flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82]
-port 400 nsew signal tristate
-flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83]
-port 401 nsew signal tristate
-flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84]
-port 402 nsew signal tristate
-flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85]
-port 403 nsew signal tristate
-flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86]
-port 404 nsew signal tristate
-flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87]
-port 405 nsew signal tristate
-flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88]
-port 406 nsew signal tristate
-flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89]
-port 407 nsew signal tristate
-flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8]
-port 408 nsew signal tristate
-flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90]
-port 409 nsew signal tristate
-flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91]
-port 410 nsew signal tristate
-flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92]
-port 411 nsew signal tristate
-flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93]
-port 412 nsew signal tristate
-flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94]
-port 413 nsew signal tristate
-flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95]
-port 414 nsew signal tristate
-flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96]
-port 415 nsew signal tristate
-flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97]
-port 416 nsew signal tristate
-flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98]
-port 417 nsew signal tristate
-flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99]
-port 418 nsew signal tristate
-flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9]
-port 419 nsew signal tristate
-flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0]
-port 420 nsew signal input
-flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100]
-port 421 nsew signal input
-flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101]
-port 422 nsew signal input
-flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102]
-port 423 nsew signal input
-flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103]
-port 424 nsew signal input
-flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104]
-port 425 nsew signal input
-flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105]
-port 426 nsew signal input
-flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106]
-port 427 nsew signal input
-flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107]
-port 428 nsew signal input
-flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108]
-port 429 nsew signal input
-flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109]
-port 430 nsew signal input
-flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10]
-port 431 nsew signal input
-flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110]
-port 432 nsew signal input
-flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111]
-port 433 nsew signal input
-flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112]
-port 434 nsew signal input
-flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113]
-port 435 nsew signal input
-flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114]
-port 436 nsew signal input
-flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115]
-port 437 nsew signal input
-flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116]
-port 438 nsew signal input
-flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117]
-port 439 nsew signal input
-flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118]
-port 440 nsew signal input
-flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119]
-port 441 nsew signal input
-flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11]
-port 442 nsew signal input
-flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120]
-port 443 nsew signal input
-flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121]
-port 444 nsew signal input
-flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122]
-port 445 nsew signal input
-flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123]
-port 446 nsew signal input
-flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124]
-port 447 nsew signal input
-flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125]
-port 448 nsew signal input
-flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126]
-port 449 nsew signal input
-flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127]
-port 450 nsew signal input
-flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12]
-port 451 nsew signal input
-flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13]
-port 452 nsew signal input
-flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14]
-port 453 nsew signal input
-flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15]
-port 454 nsew signal input
-flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16]
-port 455 nsew signal input
-flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17]
-port 456 nsew signal input
-flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18]
-port 457 nsew signal input
-flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19]
-port 458 nsew signal input
-flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1]
-port 459 nsew signal input
-flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20]
-port 460 nsew signal input
-flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21]
-port 461 nsew signal input
-flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22]
-port 462 nsew signal input
-flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23]
-port 463 nsew signal input
-flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24]
-port 464 nsew signal input
-flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25]
-port 465 nsew signal input
-flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26]
-port 466 nsew signal input
-flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27]
-port 467 nsew signal input
-flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28]
-port 468 nsew signal input
-flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29]
-port 469 nsew signal input
-flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2]
-port 470 nsew signal input
-flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30]
-port 471 nsew signal input
-flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31]
-port 472 nsew signal input
-flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32]
-port 473 nsew signal input
-flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33]
-port 474 nsew signal input
-flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34]
-port 475 nsew signal input
-flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35]
-port 476 nsew signal input
-flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36]
-port 477 nsew signal input
-flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37]
-port 478 nsew signal input
-flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38]
-port 479 nsew signal input
-flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39]
-port 480 nsew signal input
-flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3]
-port 481 nsew signal input
-flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40]
-port 482 nsew signal input
-flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41]
-port 483 nsew signal input
-flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42]
-port 484 nsew signal input
-flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43]
-port 485 nsew signal input
-flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44]
-port 486 nsew signal input
-flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45]
-port 487 nsew signal input
-flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46]
-port 488 nsew signal input
-flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47]
-port 489 nsew signal input
-flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48]
-port 490 nsew signal input
-flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49]
-port 491 nsew signal input
-flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4]
-port 492 nsew signal input
-flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50]
-port 493 nsew signal input
-flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51]
-port 494 nsew signal input
-flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52]
-port 495 nsew signal input
-flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53]
-port 496 nsew signal input
-flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54]
-port 497 nsew signal input
-flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55]
-port 498 nsew signal input
-flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56]
-port 499 nsew signal input
-flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57]
-port 500 nsew signal input
-flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58]
-port 501 nsew signal input
-flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59]
-port 502 nsew signal input
-flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5]
-port 503 nsew signal input
-flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60]
-port 504 nsew signal input
-flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61]
-port 505 nsew signal input
-flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62]
-port 506 nsew signal input
-flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63]
-port 507 nsew signal input
-flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64]
-port 508 nsew signal input
-flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65]
-port 509 nsew signal input
-flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66]
-port 510 nsew signal input
-flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67]
-port 511 nsew signal input
-flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68]
-port 512 nsew signal input
-flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69]
-port 513 nsew signal input
-flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6]
-port 514 nsew signal input
-flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70]
-port 515 nsew signal input
-flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71]
-port 516 nsew signal input
-flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72]
-port 517 nsew signal input
-flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73]
-port 518 nsew signal input
-flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74]
-port 519 nsew signal input
-flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75]
-port 520 nsew signal input
-flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76]
-port 521 nsew signal input
-flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77]
-port 522 nsew signal input
-flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78]
-port 523 nsew signal input
-flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79]
-port 524 nsew signal input
-flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7]
-port 525 nsew signal input
-flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80]
-port 526 nsew signal input
-flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81]
-port 527 nsew signal input
-flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82]
-port 528 nsew signal input
-flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83]
-port 529 nsew signal input
-flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84]
-port 530 nsew signal input
-flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85]
-port 531 nsew signal input
-flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86]
-port 532 nsew signal input
-flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87]
-port 533 nsew signal input
-flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88]
-port 534 nsew signal input
-flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89]
-port 535 nsew signal input
-flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8]
-port 536 nsew signal input
-flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90]
-port 537 nsew signal input
-flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91]
-port 538 nsew signal input
-flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92]
-port 539 nsew signal input
-flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93]
-port 540 nsew signal input
-flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94]
-port 541 nsew signal input
-flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95]
-port 542 nsew signal input
-flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96]
-port 543 nsew signal input
-flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97]
-port 544 nsew signal input
-flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98]
-port 545 nsew signal input
-flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99]
-port 546 nsew signal input
-flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9]
-port 547 nsew signal input
-flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2
-port 548 nsew signal input
-flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0]
-port 549 nsew signal tristate
-flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1]
-port 550 nsew signal tristate
-flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2]
-port 551 nsew signal tristate
-flabel metal3 s 291170 319892 292400 322292 0 FreeSans 560 0 0 0 vccd1
-port 552 nsew signal bidirectional
-flabel metal3 s 291170 314892 292400 317292 0 FreeSans 560 0 0 0 vccd1
-port 553 nsew signal bidirectional
-flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2
-port 554 nsew signal bidirectional
-flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2
-port 555 nsew signal bidirectional
-flabel metal3 s 291170 270281 292400 272681 0 FreeSans 560 0 0 0 vdda1
-port 556 nsew signal bidirectional
-flabel metal3 s 291170 275281 292400 277681 0 FreeSans 560 0 0 0 vdda1
-port 557 nsew signal bidirectional
-flabel metal3 s 291170 117615 292400 120015 0 FreeSans 560 0 0 0 vdda1
-port 558 nsew signal bidirectional
-flabel metal3 s 291170 112615 292400 115015 0 FreeSans 560 0 0 0 vdda1
-port 559 nsew signal bidirectional
-flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2
-port 560 nsew signal bidirectional
-flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2
-port 561 nsew signal bidirectional
-flabel metal3 s 260297 351170 262697 352400 0 FreeSans 960 180 0 0 vssa1
-port 562 nsew signal bidirectional
-flabel metal3 s 255297 351170 257697 352400 0 FreeSans 960 180 0 0 vssa1
-port 563 nsew signal bidirectional
-flabel metal3 s 291170 73415 292400 75815 0 FreeSans 560 0 0 0 vssa1
-port 564 nsew signal bidirectional
-flabel metal3 s 291170 68415 292400 70815 0 FreeSans 560 0 0 0 vssa1
-port 565 nsew signal bidirectional
-flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2
-port 566 nsew signal bidirectional
-flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2
-port 567 nsew signal bidirectional
-flabel metal3 s 291170 95715 292400 98115 0 FreeSans 560 0 0 0 vssd1
-port 568 nsew signal bidirectional
-flabel metal3 s 291170 90715 292400 93115 0 FreeSans 560 0 0 0 vssd1
-port 569 nsew signal bidirectional
-flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2
-port 570 nsew signal bidirectional
-flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2
-port 571 nsew signal bidirectional
-flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i
-port 572 nsew signal input
-flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i
-port 573 nsew signal input
-flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o
-port 574 nsew signal tristate
-flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0]
-port 575 nsew signal input
-flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10]
-port 576 nsew signal input
-flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11]
-port 577 nsew signal input
-flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12]
-port 578 nsew signal input
-flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13]
-port 579 nsew signal input
-flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14]
-port 580 nsew signal input
-flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15]
-port 581 nsew signal input
-flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16]
-port 582 nsew signal input
-flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17]
-port 583 nsew signal input
-flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18]
-port 584 nsew signal input
-flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19]
-port 585 nsew signal input
-flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1]
-port 586 nsew signal input
-flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20]
-port 587 nsew signal input
-flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21]
-port 588 nsew signal input
-flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22]
-port 589 nsew signal input
-flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23]
-port 590 nsew signal input
-flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24]
-port 591 nsew signal input
-flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25]
-port 592 nsew signal input
-flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26]
-port 593 nsew signal input
-flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27]
-port 594 nsew signal input
-flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28]
-port 595 nsew signal input
-flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29]
-port 596 nsew signal input
-flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2]
-port 597 nsew signal input
-flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30]
-port 598 nsew signal input
-flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31]
-port 599 nsew signal input
-flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3]
-port 600 nsew signal input
-flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4]
-port 601 nsew signal input
-flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5]
-port 602 nsew signal input
-flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6]
-port 603 nsew signal input
-flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7]
-port 604 nsew signal input
-flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8]
-port 605 nsew signal input
-flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9]
-port 606 nsew signal input
-flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i
-port 607 nsew signal input
-flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0]
-port 608 nsew signal input
-flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10]
-port 609 nsew signal input
-flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11]
-port 610 nsew signal input
-flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12]
-port 611 nsew signal input
-flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13]
-port 612 nsew signal input
-flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14]
-port 613 nsew signal input
-flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15]
-port 614 nsew signal input
-flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16]
-port 615 nsew signal input
-flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17]
-port 616 nsew signal input
-flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18]
-port 617 nsew signal input
-flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19]
-port 618 nsew signal input
-flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1]
-port 619 nsew signal input
-flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20]
-port 620 nsew signal input
-flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21]
-port 621 nsew signal input
-flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22]
-port 622 nsew signal input
-flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23]
-port 623 nsew signal input
-flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24]
-port 624 nsew signal input
-flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25]
-port 625 nsew signal input
-flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26]
-port 626 nsew signal input
-flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27]
-port 627 nsew signal input
-flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28]
-port 628 nsew signal input
-flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29]
-port 629 nsew signal input
-flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2]
-port 630 nsew signal input
-flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30]
-port 631 nsew signal input
-flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31]
-port 632 nsew signal input
-flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3]
-port 633 nsew signal input
-flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4]
-port 634 nsew signal input
-flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5]
-port 635 nsew signal input
-flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6]
-port 636 nsew signal input
-flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7]
-port 637 nsew signal input
-flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8]
-port 638 nsew signal input
-flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9]
-port 639 nsew signal input
-flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0]
-port 640 nsew signal tristate
-flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10]
-port 641 nsew signal tristate
-flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11]
-port 642 nsew signal tristate
-flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12]
-port 643 nsew signal tristate
-flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13]
-port 644 nsew signal tristate
-flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14]
-port 645 nsew signal tristate
-flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15]
-port 646 nsew signal tristate
-flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16]
-port 647 nsew signal tristate
-flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17]
-port 648 nsew signal tristate
-flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18]
-port 649 nsew signal tristate
-flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19]
-port 650 nsew signal tristate
-flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1]
-port 651 nsew signal tristate
-flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20]
-port 652 nsew signal tristate
-flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21]
-port 653 nsew signal tristate
-flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22]
-port 654 nsew signal tristate
-flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23]
-port 655 nsew signal tristate
-flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24]
-port 656 nsew signal tristate
-flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25]
-port 657 nsew signal tristate
-flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26]
-port 658 nsew signal tristate
-flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27]
-port 659 nsew signal tristate
-flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28]
-port 660 nsew signal tristate
-flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29]
-port 661 nsew signal tristate
-flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2]
-port 662 nsew signal tristate
-flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30]
-port 663 nsew signal tristate
-flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31]
-port 664 nsew signal tristate
-flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3]
-port 665 nsew signal tristate
-flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4]
-port 666 nsew signal tristate
-flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5]
-port 667 nsew signal tristate
-flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6]
-port 668 nsew signal tristate
-flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7]
-port 669 nsew signal tristate
-flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8]
-port 670 nsew signal tristate
-flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9]
-port 671 nsew signal tristate
-flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0]
-port 672 nsew signal input
-flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1]
-port 673 nsew signal input
-flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2]
-port 674 nsew signal input
-flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3]
-port 675 nsew signal input
-flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i
-port 676 nsew signal input
-flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i
-port 677 nsew signal input
-<< properties >>
-string FIXED_BBOX 0 0 292000 352000
-<< end >>