rename testbench
diff --git a/verilog/dv/mprj_por/Makefile b/verilog/dv/comparator/Makefile
similarity index 100%
rename from verilog/dv/mprj_por/Makefile
rename to verilog/dv/comparator/Makefile
diff --git a/verilog/dv/mprj_por/mprj_por.c b/verilog/dv/comparator/comparator.c
similarity index 100%
rename from verilog/dv/mprj_por/mprj_por.c
rename to verilog/dv/comparator/comparator.c
diff --git a/verilog/dv/mprj_por/mprj_por_tb.v b/verilog/dv/comparator/comparator.v
similarity index 98%
rename from verilog/dv/mprj_por/mprj_por_tb.v
rename to verilog/dv/comparator/comparator.v
index 39e4a36..d038cff 100644
--- a/verilog/dv/mprj_por/mprj_por_tb.v
+++ b/verilog/dv/comparator/comparator.v
@@ -22,7 +22,7 @@
 `include "spiflash.v"
 `include "tbuart.v"
 
-module mprj_por_tb;
+module comparator_tb;
     // Signals declaration
     reg clock;
     reg RSTB;
diff --git a/verilog/dv/mprj_por/mprj_por.hex b/verilog/dv/comparator/mprj_por.hex
similarity index 100%
rename from verilog/dv/mprj_por/mprj_por.hex
rename to verilog/dv/comparator/mprj_por.hex