update to most recent version of main
diff --git a/.gitignore b/.gitignore
index bd35ce4..70529dd 100644
--- a/.gitignore
+++ b/.gitignore
@@ -1,3 +1,7 @@
 precheck_results
 */tmp
-*/*/tmp
\ No newline at end of file
+*/*/tmp
+/comparator/sky130_fd_pr
+/old-comparator/sky130_fd_pr
+*.ext
+*.out
diff --git a/.gitmodules b/.gitmodules
index c73b442..347ce5a 100644
--- a/.gitmodules
+++ b/.gitmodules
@@ -1,3 +1,7 @@
 [submodule "caravel-lite"]
-	path = caravel
+	path = caravel-lite
 	url = https://github.com/efabless/caravel-lite
+
+[submodule "caravel"]
+	path = caravel
+	url = https://github.com/efabless/caravel
diff --git a/.magicrc b/.magicrc
new file mode 100644
index 0000000..cb421a8
--- /dev/null
+++ b/.magicrc
@@ -0,0 +1,74 @@
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# scale to one
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 1
+}
+
+# drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "~/open_sky130/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE maglef
+}
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+}
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
+
+# set units for grid
+snap on
+
+ext2spice scale off
+ext2spice ngspice
\ No newline at end of file
diff --git a/EXPORT_BEFORE_PRECHECK.txt b/EXPORT_BEFORE_PRECHECK.txt
new file mode 100644
index 0000000..e052c1c
--- /dev/null
+++ b/EXPORT_BEFORE_PRECHECK.txt
@@ -0,0 +1,4 @@
+#( export PDK_ROOT=~ )
+#FOR XOR CHECK: 
+export PDK_ROOT=~/open_sky130
+export CARAVEL_ROOT=~/caravel
\ No newline at end of file
diff --git a/README.md b/README.md
index d7d53a3..e1d84df 100644
--- a/README.md
+++ b/README.md
@@ -4,15 +4,19 @@
 
 ---
 
-| :exclamation: Important Note            |
-|-----------------------------------------|
+## Mixed signal tests
+Collection of analog and mixed signal test circuits.
 
-## Please fill in your project documentation in this README.md file 
+Basic goal: a comparator based on the circuit in "CMOS Design" (Jacob Baker).
 
+Extra goals:
 
-:warning: | Use this sample project for analog user projects. 
-:---: | :---
+- A small array (eg 1x8) of Flash cells for characterisation
+- An alternative comparator layout using only self-biased amplifiers (no bias voltage required)
+- The digital section of delta-sigma ADC
+- Demo charge pump
+- Sample-and-hold circuit
 
 ---
 
-Refer to [README](docs/source/index.rst) for this sample project documentation. 
+Refer to [README](docs/source/index.rst) for the sample project documentation. 
diff --git a/caravel b/caravel
index 0f16ba8..f80b2fe 160000
--- a/caravel
+++ b/caravel
@@ -1 +1 @@
-Subproject commit 0f16ba8eaae841a6f122fc0d5837005d3312fd2b
+Subproject commit f80b2fea4aa53d68baec2160f6640b9e3b8d86e5
diff --git a/caravel-lite b/caravel-lite
new file mode 160000
index 0000000..0f16ba8
--- /dev/null
+++ b/caravel-lite
@@ -0,0 +1 @@
+Subproject commit 0f16ba8eaae841a6f122fc0d5837005d3312fd2b
diff --git a/comparator/.magicrc b/comparator/.magicrc
new file mode 100644
index 0000000..cb421a8
--- /dev/null
+++ b/comparator/.magicrc
@@ -0,0 +1,74 @@
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# scale to one
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 1
+}
+
+# drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "~/open_sky130/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE maglef
+}
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+}
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
+
+# set units for grid
+snap on
+
+ext2spice scale off
+ext2spice ngspice
\ No newline at end of file
diff --git a/comparator/bsim4v5.out b/comparator/bsim4v5.out
new file mode 100644
index 0000000..a5ae07f
--- /dev/null
+++ b/comparator/bsim4v5.out
@@ -0,0 +1,5 @@
+BSIM4v5: Berkeley Short Channel IGFET Model-4
+Developed by Xuemei (Jane) Xi, Mohan Dunga, Prof. Ali Niknejad and Prof. Chenming Hu in 2003.
+
+++++++++++ BSIM4v5 PARAMETER CHECKING BELOW ++++++++++
+Model = x1.xcomparator_0.x0:sky130_fd_pr__nfet_03v3_nvt__model.2
diff --git a/comparator/comparator-decision.spice b/comparator/comparator-decision.spice
new file mode 100644
index 0000000..cdfc45b
--- /dev/null
+++ b/comparator/comparator-decision.spice
@@ -0,0 +1,36 @@
+* scale: 1e6 units = 1 micron
+
+.subckt comparator-decision VPAMP VNAMP VOP VON VGND VPWR
+
+* voltage to current
+* X0 VPWR VPAMP VOP VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+* X1 VPWR VNAMP VON VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+* 
+* * decision feedback
+* X2 VOP VOP ISINK VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X3 VOP VON ISINK VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* X4 VON VOP ISINK VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X5 VON VON ISINK VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* * current sink
+* X6 ISINK ISINK VGND VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+
+* extracted
+
+X2 VOP VPAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0    w=1.5e+06u l=500000u
+X3 VON VNAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0    w=1.5e+06u l=500000u
+X4 ISINK VOP VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0      w=1e+06u l=600000u
+
+X6 ISINK VON VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0      w=1e+06u l=600000u
+X7 ISINK VOP VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0      w=1e+06u l=600000u
+X8 ISINK VON VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0      w=1e+06u l=600000u
+
+X10 VGND ISINK ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+
+C0 VPWR VGND 6.67fF
+C1 ISINK VGND 2.29fF
+C2 VON VGND 2.41fF
+
+
+.ends
\ No newline at end of file
diff --git a/comparator/comparator-diffamp.spice b/comparator/comparator-diffamp.spice
new file mode 100644
index 0000000..07bc89f
--- /dev/null
+++ b/comparator/comparator-diffamp.spice
@@ -0,0 +1,46 @@
+* scale: 1e6 units = 1 micron
+
+* This is a 1.8V version: make sure expected inputs in range
+
+.subckt comparator-diffamp VOP VON VOUT VGND VPWR
+
+*load transistors
+* X0 VPWR VONAMP VOPAMP VPWR sky130_fd_pr__pfet_01v8 w=3e+06u l=0.18e+6u
+* X1 VPWR VONAMP VONAMP VPWR sky130_fd_pr__pfet_01v8 w=3e+06u l=0.18e+6u
+* 
+* *diff pair
+* X2 VOPAMP VOP ISINK VGND sky130_fd_pr__nfet_01v8 w=1e+06u l=0.18e+6u
+* X3 VONAMP VON ISINK VGND sky130_fd_pr__nfet_01v8 w=1e+06u l=0.18e+6u
+* 
+* * current source
+* X4 ISINK VONAMP VGND VGND sky130_fd_pr__nfet_01v8 w=1e+06u l=0.18e+6u
+* 
+* *output inverter
+* X5 VPWR VOPAMP VOUT VPWR sky130_fd_pr__pfet_01v8 w=2e+06u l=0.15e+6u
+* X6 VOUT VOPAMP VGND VGND sky130_fd_pr__nfet_01v8 w=1e+06u l=0.15e+6u
+
+*extracted 
+X0 VGND VGND VGND VGND sky130_fd_pr__nfet_01v8 ad=0 pd=0 as=0 ps=0      w=1e+06u l=180000u
+X1 VOPAMP VOP ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0 pd=0 as=-0 ps=0  w=1e+06u l=180000u
+X2 VGND VGND VGND VGND sky130_fd_pr__nfet_01v8 ad=0 pd=0 as=0 ps=0      w=1e+06u l=180000u
+X3 VONAMP VON ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0 pd=0 as=-0 ps=0  w=1e+06u l=180000u
+X4 VGND VONAMP ISINK VGND sky130_fd_pr__nfet_01v8 ad=0 pd=0 as=-0 ps=0  w=1e+06u l=180000u
+X5 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0      w=1e+06u l=180000u
+X6 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0      w=1e+06u l=180000u
+X7 VONAMP VONAMP VPWR VPWR sky130_fd_pr__pfet_01v8 ad=-0 pd=0 as=0 ps=0 w=1e+06u l=180000u
+X8 VPWR VONAMP VOPAMP VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=-0 ps=0 w=1e+06u l=180000u
+X9 VPWR VONAMP VONAMP VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=-0 ps=0 w=1e+06u l=180000u
+X10 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0     w=1e+06u l=180000u
+X11 VOPAMP VONAMP VPWR VPWR sky130_fd_pr__pfet_01v8 ad=-0 pd=0 as=0 ps=0 w=1e+06u l=180000u
+X12 VPWR VONAMP VONAMP VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=-0 ps=0 w=1e+06u l=180000u
+X13 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0     w=1e+06u l=180000u
+X14 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0     w=1e+06u l=180000u
+X15 VPWR VONAMP VOPAMP VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=-0 ps=0 w=1e+06u l=180000u
+X16 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0     w=1e+06u l=180000u
+X17 VPWR VOPAMP VOUT VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0   w=2e+06u l=150000u
+X18 VGND VOPAMP VOUT VGND sky130_fd_pr__nfet_01v8 ad=0 pd=0 as=0 ps=0   w=1e+06u l=150000u
+C0 VPWR VGND 11.52fF
+C1 VONAMP VGND 2.55fF
+
+
+.ends
\ No newline at end of file
diff --git a/comparator/comparator-preamp.spice b/comparator/comparator-preamp.spice
new file mode 100644
index 0000000..edb143a
--- /dev/null
+++ b/comparator/comparator-preamp.spice
@@ -0,0 +1,34 @@
+* scale: 1e6 units = 1 micron
+
+.subckt comparator-preamp VP VN VPAMP VNAMP VI BIASN VGND VPWR
+
+*constant current source: paralleled to allow for size matching
+* X0 VI BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X1 VI BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* *Diff Pair
+* X12 VPAMP VP VI VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X13 VNAMP VN VI VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* *Load transistors
+* X24 VPWR VPAMP VPAMP VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+* X25 VPWR VNAMP VNAMP VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+
+* extracted
+*.option scale=1u
+
+* Load
+X2 VPAMP VPAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0 w=1.5e+06u l=500000u
+X3 VNAMP VNAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0 w=1.5e+06u l=500000u
+
+* Current Sink
+X4 VGND BIASN VI VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+X6 VI BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+
+* Diff pair
+X12 VI VN VNAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+X13 VI VP VPAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+C0 VPWR VGND 8.16fF
+
+
+.ends
\ No newline at end of file
diff --git a/comparator/comparator.asc b/comparator/comparator.asc
new file mode 100644
index 0000000..0f2c3fa
--- /dev/null
+++ b/comparator/comparator.asc
@@ -0,0 +1,285 @@
+Version 4
+SHEET 1 2824 740
+WIRE 144 -368 -80 -368
+WIRE 464 -368 144 -368
+WIRE 992 -368 464 -368
+WIRE 1200 -368 992 -368
+WIRE 1728 -368 1200 -368
+WIRE 1984 -368 1728 -368
+WIRE 2576 -368 1984 -368
+WIRE -80 -320 -80 -368
+WIRE 992 -256 992 -368
+WIRE 1056 -256 992 -256
+WIRE 992 -240 992 -256
+WIRE 1728 -224 1728 -368
+WIRE 1792 -224 1728 -224
+WIRE 1984 -224 1984 -368
+WIRE 2048 -224 1984 -224
+WIRE -80 -208 -80 -240
+WIRE 1728 -208 1728 -224
+WIRE 1984 -208 1984 -224
+WIRE 1056 -192 1056 -256
+WIRE 1056 -192 992 -192
+WIRE 144 -176 144 -368
+WIRE 208 -176 144 -176
+WIRE 2576 -176 2576 -368
+WIRE 2640 -176 2576 -176
+WIRE 144 -160 144 -176
+WIRE 608 -160 320 -160
+WIRE 944 -160 608 -160
+WIRE 1792 -160 1792 -224
+WIRE 1792 -160 1728 -160
+WIRE 2048 -160 2048 -224
+WIRE 2048 -160 1984 -160
+WIRE 2576 -160 2576 -176
+WIRE 1680 -128 1632 -128
+WIRE 208 -112 208 -176
+WIRE 208 -112 144 -112
+WIRE 2048 -112 1984 -112
+WIRE 2640 -112 2640 -176
+WIRE 2640 -112 2576 -112
+WIRE 464 -96 464 -368
+WIRE 528 -96 464 -96
+WIRE 464 -80 464 -96
+WIRE 1632 -80 1632 -128
+WIRE 1728 -80 1728 -112
+WIRE 1728 -80 1632 -80
+WIRE 1936 -80 1936 -128
+WIRE 1936 -80 1824 -80
+WIRE 2528 -80 2480 -80
+WIRE 1728 -48 1728 -80
+WIRE 1824 -48 1824 -80
+WIRE 1824 -48 1728 -48
+WIRE 96 -32 96 -80
+WIRE 144 -32 144 -64
+WIRE 144 -32 96 -32
+WIRE 528 -32 528 -96
+WIRE 528 -32 464 -32
+WIRE 1200 -32 1200 -368
+WIRE 1264 -32 1200 -32
+WIRE 2048 -32 2048 -112
+WIRE 2480 -32 2480 -80
+WIRE 2480 -32 2048 -32
+WIRE 2576 -32 2576 -64
+WIRE 2768 -32 2576 -32
+WIRE 144 -16 144 -32
+WIRE 320 -16 320 -160
+WIRE 320 -16 144 -16
+WIRE 1200 -16 1200 -32
+WIRE 2576 16 2576 -32
+WIRE 1264 32 1264 -32
+WIRE 1264 32 1200 32
+WIRE 416 48 416 0
+WIRE 464 48 464 16
+WIRE 464 48 416 48
+WIRE 464 64 464 48
+WIRE 608 64 464 64
+WIRE 1152 64 608 64
+WIRE 1728 64 1728 -48
+WIRE 2672 64 2576 64
+WIRE 144 96 144 -16
+WIRE 2480 96 2480 -32
+WIRE 2528 96 2480 96
+WIRE 1888 112 1728 112
+WIRE 368 128 304 128
+WIRE 2048 128 2048 -32
+WIRE 2576 128 2576 112
+WIRE 2672 128 2672 64
+WIRE 2672 128 2576 128
+WIRE 240 144 144 144
+WIRE 1680 144 1600 144
+WIRE 464 160 464 64
+WIRE 1200 160 1200 80
+WIRE 1200 160 912 160
+WIRE 2576 160 2576 128
+WIRE 96 176 -64 176
+WIRE 304 176 304 128
+WIRE 2144 176 2048 176
+WIRE -64 192 -64 176
+WIRE 576 208 464 208
+WIRE 2000 208 1952 208
+WIRE 800 224 720 224
+WIRE 992 224 992 -144
+WIRE 992 224 800 224
+WIRE 1120 224 992 224
+WIRE 1200 224 1200 160
+WIRE 1312 224 1200 224
+WIRE 1392 224 1312 224
+WIRE 368 240 368 128
+WIRE 416 240 368 240
+WIRE 800 240 800 224
+WIRE 992 240 992 224
+WIRE 1200 240 1200 224
+WIRE 1392 240 1392 224
+WIRE 1888 240 1888 112
+WIRE 2144 240 2144 176
+WIRE 2144 240 1888 240
+WIRE 240 256 240 144
+WIRE 304 256 240 256
+WIRE 1888 256 1888 240
+WIRE 304 272 304 256
+WIRE 880 288 800 288
+WIRE 1072 288 992 288
+WIRE 1280 288 1200 288
+WIRE 1472 288 1392 288
+WIRE 1728 288 1728 160
+WIRE 1888 288 1728 288
+WIRE 2048 288 2048 224
+WIRE 2048 288 1888 288
+WIRE -64 304 -64 272
+WIRE 576 304 576 208
+WIRE 144 320 144 192
+WIRE 304 320 144 320
+WIRE 464 320 464 256
+WIRE 464 320 304 320
+WIRE 720 320 720 224
+WIRE 752 320 720 320
+WIRE 912 320 912 160
+WIRE 944 320 912 320
+WIRE 1120 320 1120 224
+WIRE 1152 320 1120 320
+WIRE 1312 320 1312 224
+WIRE 1344 320 1312 320
+WIRE 1072 336 1072 288
+WIRE 1072 336 1024 336
+WIRE 1888 336 1888 288
+WIRE 304 368 304 320
+WIRE 800 368 800 336
+WIRE 992 368 992 336
+WIRE 992 368 800 368
+WIRE 1072 368 992 368
+WIRE 1200 368 1200 336
+WIRE 1200 368 1072 368
+WIRE 1392 368 1392 336
+WIRE 1392 368 1200 368
+WIRE 1968 384 1888 384
+WIRE 880 400 880 288
+WIRE 896 400 880 400
+WIRE 1024 400 1024 336
+WIRE 1024 400 896 400
+WIRE 384 416 304 416
+WIRE 896 416 896 400
+WIRE 1280 416 1280 288
+WIRE 1392 416 1280 416
+WIRE 1472 416 1472 288
+WIRE 1472 416 1392 416
+WIRE 1824 416 1824 -48
+WIRE 1840 416 1824 416
+WIRE 1072 432 1072 368
+WIRE 1072 432 992 432
+WIRE 1968 432 1968 384
+WIRE 1968 432 1888 432
+WIRE 256 448 128 448
+WIRE 1072 448 1072 432
+WIRE 1392 448 1392 416
+WIRE 384 464 384 416
+WIRE 384 464 304 464
+WIRE 1888 464 1888 432
+WIRE 304 496 304 464
+WIRE 1152 496 1072 496
+WIRE 128 528 128 448
+WIRE 992 528 992 432
+WIRE 1024 528 992 528
+WIRE 1152 544 1152 496
+WIRE 1152 544 1072 544
+WIRE 1072 624 1072 544
+WIRE 128 640 128 608
+FLAG 304 496 0
+FLAG 128 640 0
+FLAG 304 272 0
+FLAG 576 304 0
+FLAG -80 -208 0
+FLAG -64 304 0
+FLAG -64 176 Vp
+FLAG 304 128 Vn
+FLAG 608 -160 Vp1
+FLAG 608 64 Vn1
+FLAG 1072 624 0
+FLAG 720 224 Vop
+FLAG 1392 224 Von
+FLAG 1888 464 0
+FLAG 1888 256 0
+FLAG 1600 144 Von
+FLAG 1952 208 Vop
+FLAG 2576 160 0
+FLAG 2768 -32 out
+FLAG 1392 448 0
+FLAG 896 416 0
+SYMBOL nmos4 96 96 R0
+SYMATTR InstName M1
+SYMATTR Value2 l=2 w=10
+SYMBOL nmos4 416 160 R0
+SYMATTR InstName M2
+SYMATTR Value2 l=2 w=10
+SYMBOL nmos4 256 368 R0
+SYMATTR InstName M3
+SYMATTR Value2 l=2u w=40u
+SYMBOL voltage 304 160 R0
+WINDOW 123 0 0 Left 0
+WINDOW 39 0 0 Left 0
+SYMATTR InstName V1
+SYMATTR Value 0.5
+SYMBOL voltage 128 512 R0
+WINDOW 123 0 0 Left 0
+WINDOW 39 0 0 Left 0
+SYMATTR InstName V2
+SYMATTR Value 0.45
+SYMBOL pmos4 416 -80 R0
+SYMATTR InstName M4
+SYMATTR Value2 l=2 w=30
+SYMBOL pmos4 96 -160 R0
+SYMATTR InstName M5
+SYMATTR Value2 l=2 w=30
+SYMBOL voltage -80 -336 R0
+WINDOW 123 0 0 Left 0
+WINDOW 39 0 0 Left 0
+SYMATTR InstName V3
+SYMATTR Value 3
+SYMBOL voltage -64 176 R0
+WINDOW 123 0 0 Left 0
+WINDOW 39 0 0 Left 0
+SYMATTR InstName V4
+SYMATTR Value SINE(1.5 1.5 1000Meg)
+SYMBOL pmos4 944 -240 R0
+SYMATTR InstName M6
+SYMATTR Value2 l=2 w=30
+SYMBOL pmos4 1152 -16 R0
+SYMATTR InstName M7
+SYMATTR Value2 l=2 w=30
+SYMBOL nmos4 752 240 R0
+SYMATTR InstName M8
+SYMATTR Value2 l=2 w=10
+SYMBOL nmos4 944 240 R0
+SYMATTR InstName M9
+SYMATTR Value2 l=2 w=10
+SYMBOL nmos4 1152 240 R0
+SYMATTR InstName M10
+SYMATTR Value2 l=2 w=10
+SYMBOL nmos4 1344 240 R0
+SYMATTR InstName M11
+SYMATTR Value2 l=2 w=10
+SYMBOL nmos4 1024 448 R0
+SYMATTR InstName M12
+SYMATTR Value2 l=2 w=10
+SYMBOL pmos4 1680 -208 R0
+SYMATTR InstName M13
+SYMATTR Value2 l=2 w=30
+SYMBOL pmos4 1936 -208 R0
+SYMATTR InstName M14
+SYMATTR Value2 l=2 w=30
+SYMBOL nmos4 1680 64 R0
+SYMATTR InstName M15
+SYMATTR Value2 l=2 w=10
+SYMBOL nmos4 2000 128 R0
+SYMATTR InstName M16
+SYMATTR Value2 l=2 w=10
+SYMBOL nmos4 1840 336 R0
+SYMATTR InstName M17
+SYMATTR Value2 l=2u w=40u
+SYMBOL pmos4 2528 -160 R0
+SYMATTR InstName M18
+SYMATTR Value2 l=2 w=30
+SYMBOL nmos4 2528 16 R0
+SYMATTR InstName M19
+SYMATTR Value2 l=2 w=10
+TEXT 720 624 Left 2 !.dc V4 0 3 0.01 V1 0 3 0.1
diff --git a/comparator/comparator.mag b/comparator/comparator.mag
new file mode 100644
index 0000000..80e61c8
--- /dev/null
+++ b/comparator/comparator.mag
@@ -0,0 +1,654 @@
+magic
+tech sky130A
+timestamp 1633173780
+<< nwell >>
+rect 210 -330 730 240
+rect 930 -770 1320 -140
+<< pwell >>
+rect -250 -190 140 230
+rect -310 -240 140 -190
+rect -250 -270 140 -240
+rect -250 -1040 -230 -270
+rect -215 -1025 -115 -285
+rect -110 -440 140 -270
+rect -110 -1040 510 -440
+rect -250 -1060 510 -1040
+<< nmos >>
+rect 280 -810 298 -710
+rect 350 -810 368 -710
+rect 60 -960 78 -860
+rect 190 -1030 205 -930
+<< pmos >>
+rect 990 -460 1008 -160
+rect 1060 -460 1078 -160
+rect 990 -750 1005 -550
+<< nnmos >>
+rect 20 110 120 170
+rect 20 0 120 60
+rect 20 -190 120 -130
+rect 20 -300 120 -240
+rect 60 -560 120 -460
+rect 170 -560 230 -460
+rect 280 -560 340 -460
+rect 390 -560 450 -460
+rect 60 -760 120 -660
+<< mvpmos >>
+rect 250 110 400 160
+rect 250 10 400 60
+rect 250 -150 400 -100
+rect 250 -250 400 -200
+<< ndiff >>
+rect 240 -720 280 -710
+rect 240 -800 250 -720
+rect 270 -800 280 -720
+rect 240 -810 280 -800
+rect 298 -720 350 -710
+rect 298 -800 310 -720
+rect 340 -800 350 -720
+rect 298 -810 350 -800
+rect 368 -720 410 -710
+rect 368 -800 380 -720
+rect 400 -800 410 -720
+rect 368 -810 410 -800
+rect 20 -870 60 -860
+rect 20 -950 30 -870
+rect 50 -950 60 -870
+rect 20 -960 60 -950
+rect 78 -870 120 -860
+rect 78 -950 90 -870
+rect 110 -950 120 -870
+rect 78 -960 120 -950
+rect 150 -940 190 -930
+rect 150 -1020 160 -940
+rect 180 -1020 190 -940
+rect 150 -1030 190 -1020
+rect 205 -940 250 -930
+rect 205 -1020 220 -940
+rect 240 -1020 250 -940
+rect 205 -1030 250 -1020
+<< pdiff >>
+rect 950 -170 990 -160
+rect 950 -450 960 -170
+rect 980 -450 990 -170
+rect 950 -460 990 -450
+rect 1008 -170 1060 -160
+rect 1008 -450 1020 -170
+rect 1050 -450 1060 -170
+rect 1008 -460 1060 -450
+rect 1078 -170 1120 -160
+rect 1078 -450 1090 -170
+rect 1110 -450 1120 -170
+rect 1078 -460 1120 -450
+rect 950 -560 990 -550
+rect 950 -740 960 -560
+rect 980 -740 990 -560
+rect 950 -750 990 -740
+rect 1005 -560 1050 -550
+rect 1005 -740 1020 -560
+rect 1040 -740 1050 -560
+rect 1005 -750 1050 -740
+<< mvndiff >>
+rect 20 200 120 210
+rect 20 180 30 200
+rect 110 180 120 200
+rect 20 170 120 180
+rect 20 100 120 110
+rect 20 70 30 100
+rect 110 70 120 100
+rect 20 60 120 70
+rect 20 -10 120 0
+rect 20 -30 30 -10
+rect 110 -30 120 -10
+rect 20 -40 120 -30
+rect 20 -100 120 -90
+rect 20 -120 30 -100
+rect 110 -120 120 -100
+rect 20 -130 120 -120
+rect 20 -200 120 -190
+rect 20 -230 30 -200
+rect 110 -230 120 -200
+rect 20 -240 120 -230
+rect 20 -310 120 -300
+rect 20 -330 30 -310
+rect 110 -330 120 -310
+rect 20 -340 120 -330
+rect 20 -470 60 -460
+rect 20 -550 30 -470
+rect 50 -550 60 -470
+rect 20 -560 60 -550
+rect 120 -470 170 -460
+rect 120 -550 130 -470
+rect 160 -550 170 -470
+rect 120 -560 170 -550
+rect 230 -470 280 -460
+rect 230 -550 240 -470
+rect 270 -550 280 -470
+rect 230 -560 280 -550
+rect 340 -470 390 -460
+rect 340 -550 350 -470
+rect 380 -550 390 -470
+rect 340 -560 390 -550
+rect 450 -470 490 -460
+rect 450 -550 460 -470
+rect 480 -550 490 -470
+rect 450 -560 490 -550
+rect 20 -670 60 -660
+rect 20 -750 30 -670
+rect 50 -750 60 -670
+rect 20 -760 60 -750
+rect 120 -670 160 -660
+rect 120 -750 130 -670
+rect 150 -750 160 -670
+rect 120 -760 160 -750
+<< mvpdiff >>
+rect 250 190 400 200
+rect 250 170 260 190
+rect 390 170 400 190
+rect 250 160 400 170
+rect 250 100 400 110
+rect 250 70 260 100
+rect 390 70 400 100
+rect 250 60 400 70
+rect 250 0 400 10
+rect 250 -20 260 0
+rect 390 -20 400 0
+rect 250 -30 400 -20
+rect 250 -70 400 -60
+rect 250 -90 260 -70
+rect 390 -90 400 -70
+rect 250 -100 400 -90
+rect 250 -160 400 -150
+rect 250 -190 260 -160
+rect 390 -190 400 -160
+rect 250 -200 400 -190
+rect 250 -260 400 -250
+rect 250 -280 260 -260
+rect 390 -280 400 -260
+rect 250 -290 400 -280
+<< ndiffc >>
+rect 250 -800 270 -720
+rect 310 -800 340 -720
+rect 380 -800 400 -720
+rect 30 -950 50 -870
+rect 90 -950 110 -870
+rect 160 -1020 180 -940
+rect 220 -1020 240 -940
+<< pdiffc >>
+rect 960 -450 980 -170
+rect 1020 -450 1050 -170
+rect 1090 -450 1110 -170
+rect 960 -740 980 -560
+rect 1020 -740 1040 -560
+<< mvndiffc >>
+rect 30 180 110 200
+rect 30 70 110 100
+rect 30 -30 110 -10
+rect 30 -120 110 -100
+rect 30 -230 110 -200
+rect 30 -330 110 -310
+rect 30 -550 50 -470
+rect 130 -550 160 -470
+rect 240 -550 270 -470
+rect 350 -550 380 -470
+rect 460 -550 480 -470
+rect 30 -750 50 -670
+rect 130 -750 150 -670
+<< mvpdiffc >>
+rect 260 170 390 190
+rect 260 70 390 100
+rect 260 -20 390 0
+rect 260 -90 390 -70
+rect 260 -190 390 -160
+rect 260 -280 390 -260
+<< psubdiff >>
+rect -230 -160 -215 -40
+rect -115 -160 -100 -40
+rect -230 -285 -100 -270
+rect -230 -1025 -215 -285
+rect -115 -1025 -100 -285
+rect -230 -1040 -100 -1025
+<< nsubdiff >>
+rect 600 185 690 200
+rect 600 -275 615 185
+rect 600 -290 690 -275
+rect 1200 -175 1300 -160
+rect 1200 -735 1215 -175
+rect 1285 -735 1300 -175
+rect 1200 -750 1300 -735
+<< psubdiffcont >>
+rect -215 -160 -115 -40
+rect -215 -1025 -115 -285
+<< nsubdiffcont >>
+rect 615 -275 690 185
+rect 1215 -735 1285 -175
+<< poly >>
+rect -60 160 20 170
+rect -60 120 -50 160
+rect -10 120 20 160
+rect -60 110 20 120
+rect 120 110 150 170
+rect 220 110 250 160
+rect 400 150 550 160
+rect 400 130 440 150
+rect 460 130 550 150
+rect 400 110 550 130
+rect -60 50 20 60
+rect -60 10 -50 50
+rect -10 10 20 50
+rect -60 0 20 10
+rect 120 0 150 60
+rect 220 10 250 60
+rect 400 40 470 60
+rect 400 20 440 40
+rect 460 20 470 40
+rect 400 10 470 20
+rect 420 -100 470 10
+rect -50 -190 20 -130
+rect 120 -190 150 -130
+rect 220 -150 250 -100
+rect 400 -150 470 -100
+rect -50 -200 0 -190
+rect -50 -230 -40 -200
+rect -10 -230 0 -200
+rect -50 -240 0 -230
+rect 500 -200 550 110
+rect -50 -300 20 -240
+rect 120 -300 150 -240
+rect 220 -250 250 -200
+rect 400 -250 550 -200
+rect 990 -110 1030 -100
+rect 990 -130 1000 -110
+rect 1020 -130 1078 -110
+rect 990 -140 1078 -130
+rect 990 -160 1008 -140
+rect 1060 -160 1078 -140
+rect 160 -360 210 -350
+rect 160 -370 170 -360
+rect 60 -390 170 -370
+rect 200 -370 210 -360
+rect 200 -390 450 -370
+rect 60 -400 450 -390
+rect 60 -460 120 -400
+rect 170 -460 230 -430
+rect 280 -460 340 -430
+rect 390 -460 450 -400
+rect 990 -490 1008 -460
+rect 1060 -490 1078 -460
+rect 990 -550 1005 -520
+rect 60 -590 120 -560
+rect 170 -590 230 -560
+rect 280 -580 340 -560
+rect 280 -590 300 -580
+rect -10 -610 30 -600
+rect -10 -630 0 -610
+rect 20 -620 30 -610
+rect 170 -610 300 -590
+rect 330 -610 340 -580
+rect 390 -590 450 -560
+rect 170 -620 340 -610
+rect 20 -630 120 -620
+rect -10 -640 120 -630
+rect 60 -660 120 -640
+rect 250 -660 310 -650
+rect 250 -680 260 -660
+rect 280 -680 310 -660
+rect 250 -690 310 -680
+rect 350 -660 395 -650
+rect 350 -680 365 -660
+rect 385 -680 395 -660
+rect 350 -690 395 -680
+rect 280 -710 298 -690
+rect 350 -710 368 -690
+rect 60 -790 120 -760
+rect 440 -780 480 -770
+rect 990 -780 1005 -750
+rect 440 -800 450 -780
+rect 470 -800 480 -780
+rect 140 -820 180 -810
+rect 60 -840 150 -820
+rect 170 -840 180 -820
+rect 280 -840 298 -810
+rect 350 -840 368 -810
+rect 60 -860 78 -840
+rect 140 -850 180 -840
+rect 440 -880 480 -800
+rect 730 -790 1130 -780
+rect 730 -830 740 -790
+rect 840 -830 1020 -790
+rect 1120 -830 1130 -790
+rect 730 -840 1130 -830
+rect 190 -910 480 -880
+rect 190 -930 205 -910
+rect 60 -990 78 -960
+rect 190 -1060 205 -1030
+<< polycont >>
+rect -50 120 -10 160
+rect 440 130 460 150
+rect -50 10 -10 50
+rect 440 20 460 40
+rect -40 -230 -10 -200
+rect 1000 -130 1020 -110
+rect 170 -390 200 -360
+rect 0 -630 20 -610
+rect 300 -610 330 -580
+rect 260 -680 280 -660
+rect 365 -680 385 -660
+rect 450 -800 470 -780
+rect 150 -840 170 -820
+rect 740 -830 840 -790
+rect 1020 -830 1120 -790
+<< locali >>
+rect 20 200 470 210
+rect 20 180 30 200
+rect 110 190 470 200
+rect 110 180 260 190
+rect 250 170 260 180
+rect 390 170 470 190
+rect -430 160 0 170
+rect -430 120 -50 160
+rect -10 120 0 160
+rect 430 150 470 170
+rect 430 130 440 150
+rect 460 130 470 150
+rect 430 120 470 130
+rect -430 110 0 120
+rect -430 50 0 60
+rect -430 10 -50 50
+rect -10 10 0 50
+rect -430 0 0 10
+rect 430 40 470 50
+rect 430 20 440 40
+rect 460 20 470 40
+rect 430 0 470 20
+rect 250 -10 260 0
+rect 20 -30 30 -10
+rect 110 -20 260 -10
+rect 390 -20 470 0
+rect 110 -30 470 -20
+rect 20 -40 470 -30
+rect -110 -90 -100 -40
+rect 170 -70 400 -60
+rect 170 -90 260 -70
+rect 390 -90 400 -70
+rect -20 -100 120 -90
+rect -20 -120 30 -100
+rect 110 -120 120 -100
+rect -110 -160 -100 -120
+rect -430 -200 -10 -190
+rect -430 -230 -40 -200
+rect -430 -240 -10 -230
+rect -110 -1040 -100 -270
+rect 170 -350 200 -90
+rect 250 -280 260 -260
+rect 390 -280 400 -260
+rect 250 -290 400 -280
+rect 600 -290 610 200
+rect 870 -130 1000 -110
+rect 1020 -130 1030 -110
+rect 870 -170 980 -130
+rect 160 -360 210 -350
+rect 160 -370 170 -360
+rect 130 -390 170 -370
+rect 200 -390 210 -360
+rect 130 -400 210 -390
+rect 310 -370 340 -290
+rect 310 -400 380 -370
+rect -10 -560 20 -460
+rect 130 -470 160 -400
+rect -10 -610 30 -560
+rect -10 -630 0 -610
+rect 20 -630 30 -610
+rect 130 -590 160 -550
+rect 350 -470 380 -400
+rect 870 -450 960 -170
+rect 870 -460 980 -450
+rect 1090 -170 1130 -160
+rect 1110 -450 1130 -170
+rect 350 -580 380 -550
+rect 130 -620 230 -590
+rect 280 -610 300 -580
+rect 330 -610 440 -580
+rect -10 -640 30 -630
+rect 200 -660 230 -620
+rect 400 -660 440 -610
+rect 200 -680 260 -660
+rect 280 -680 300 -660
+rect 350 -680 365 -660
+rect 385 -680 440 -660
+rect 240 -720 270 -710
+rect 240 -800 250 -720
+rect 240 -810 270 -800
+rect 380 -720 410 -710
+rect 400 -770 410 -720
+rect 400 -780 850 -770
+rect 400 -800 450 -780
+rect 470 -790 850 -780
+rect 470 -800 740 -790
+rect 140 -820 270 -810
+rect 140 -840 150 -820
+rect 170 -840 270 -820
+rect 140 -850 270 -840
+rect 380 -830 740 -800
+rect 840 -830 850 -790
+rect 380 -850 850 -830
+rect 240 -870 270 -850
+rect 870 -870 910 -460
+rect 240 -910 910 -870
+rect 940 -560 980 -550
+rect 940 -740 960 -560
+rect 940 -900 980 -740
+rect 1090 -780 1130 -450
+rect 1200 -750 1210 -160
+rect 1010 -790 1130 -780
+rect 1010 -830 1020 -790
+rect 1120 -830 1130 -790
+rect 1010 -840 1130 -830
+rect 150 -940 180 -930
+rect 150 -1000 160 -940
+rect 940 -960 1450 -900
+rect 250 -1000 530 -960
+rect 570 -1000 1450 -960
+<< viali >>
+rect 20 70 30 100
+rect 30 70 110 100
+rect 110 70 120 100
+rect 250 70 260 100
+rect 260 70 390 100
+rect 390 70 400 100
+rect -230 -160 -215 -40
+rect -215 -160 -115 -40
+rect -115 -90 -110 -40
+rect -115 -120 -20 -90
+rect -115 -160 -110 -120
+rect 20 -230 30 -200
+rect 30 -230 110 -200
+rect 110 -230 120 -200
+rect -230 -285 -110 -270
+rect -230 -1025 -215 -285
+rect -215 -1025 -115 -285
+rect -115 -1025 -110 -285
+rect -230 -1040 -110 -1025
+rect 20 -330 30 -310
+rect 30 -330 110 -310
+rect 110 -330 120 -310
+rect 20 -340 120 -330
+rect 250 -190 260 -160
+rect 260 -190 390 -160
+rect 390 -190 400 -160
+rect 610 185 690 200
+rect 610 -275 615 185
+rect 615 -275 690 185
+rect 610 -290 690 -275
+rect 20 -470 50 -460
+rect 20 -550 30 -470
+rect 30 -550 50 -470
+rect 20 -560 50 -550
+rect 240 -470 270 -460
+rect 240 -550 270 -470
+rect 240 -560 270 -550
+rect 1020 -170 1050 -160
+rect 1020 -450 1050 -170
+rect 1020 -460 1050 -450
+rect 460 -470 490 -460
+rect 460 -550 480 -470
+rect 480 -550 490 -470
+rect 460 -560 490 -550
+rect 20 -670 50 -660
+rect 20 -750 30 -670
+rect 30 -750 50 -670
+rect 20 -760 50 -750
+rect 130 -670 160 -660
+rect 130 -750 150 -670
+rect 150 -750 160 -670
+rect 130 -760 160 -750
+rect 310 -720 340 -710
+rect 310 -800 340 -720
+rect 310 -810 340 -800
+rect 20 -870 50 -860
+rect 20 -950 30 -870
+rect 30 -950 50 -870
+rect 20 -960 50 -950
+rect 90 -870 120 -860
+rect 90 -950 110 -870
+rect 110 -950 120 -870
+rect 1020 -560 1050 -550
+rect 1020 -740 1040 -560
+rect 1040 -740 1050 -560
+rect 1020 -750 1050 -740
+rect 1210 -175 1300 -160
+rect 1210 -735 1215 -175
+rect 1215 -735 1285 -175
+rect 1285 -735 1300 -175
+rect 1210 -750 1300 -735
+rect 90 -960 120 -950
+rect 150 -1020 160 -1000
+rect 160 -1020 180 -1000
+rect 150 -1050 180 -1020
+rect 220 -940 250 -930
+rect 220 -1020 240 -940
+rect 240 -1020 250 -940
+rect 530 -1000 570 -960
+rect 220 -1030 250 -1020
+<< metal1 >>
+rect -400 -40 -100 300
+rect 600 200 800 300
+rect 600 120 610 200
+rect -400 -160 -230 -40
+rect -110 -80 -100 -40
+rect 10 100 130 110
+rect 10 70 20 100
+rect 120 70 130 100
+rect -110 -90 -10 -80
+rect -20 -120 -10 -90
+rect -110 -130 -10 -120
+rect -110 -160 -100 -130
+rect -400 -270 -100 -160
+rect 10 -200 130 70
+rect 240 100 610 120
+rect 240 70 250 100
+rect 400 70 610 100
+rect 240 60 610 70
+rect 600 -140 610 60
+rect 240 -160 610 -140
+rect 240 -190 250 -160
+rect 400 -190 610 -160
+rect 240 -200 610 -190
+rect 10 -230 20 -200
+rect 120 -230 130 -200
+rect 10 -240 130 -230
+rect -400 -1040 -230 -270
+rect -110 -300 -100 -270
+rect 600 -290 610 -200
+rect 690 -290 800 200
+rect 1200 -150 1400 300
+rect -110 -310 130 -300
+rect -110 -340 20 -310
+rect 120 -340 130 -310
+rect -110 -350 130 -340
+rect -110 -650 -100 -350
+rect 10 -460 500 -450
+rect 10 -560 20 -460
+rect 50 -560 240 -460
+rect 270 -560 460 -460
+rect 490 -560 500 -460
+rect 10 -570 500 -560
+rect -110 -660 60 -650
+rect -110 -760 20 -660
+rect 50 -760 60 -660
+rect -110 -770 60 -760
+rect 120 -660 170 -570
+rect 120 -760 130 -660
+rect 160 -760 170 -660
+rect 120 -770 170 -760
+rect 300 -710 350 -700
+rect -110 -850 -100 -770
+rect 300 -810 310 -710
+rect 340 -810 350 -710
+rect 300 -850 350 -810
+rect -110 -860 60 -850
+rect -110 -960 20 -860
+rect 50 -960 60 -860
+rect -110 -990 60 -960
+rect 80 -860 350 -850
+rect 80 -960 90 -860
+rect 120 -900 350 -860
+rect 120 -960 130 -900
+rect 80 -970 130 -960
+rect 210 -930 260 -920
+rect -110 -1000 190 -990
+rect -110 -1040 150 -1000
+rect -400 -1050 150 -1040
+rect 180 -1050 190 -1000
+rect 210 -1030 220 -930
+rect 250 -960 260 -930
+rect 520 -960 580 -950
+rect 250 -1000 530 -960
+rect 570 -1000 580 -960
+rect 250 -1030 260 -1000
+rect 520 -1010 580 -1000
+rect 210 -1040 260 -1030
+rect -400 -1060 190 -1050
+rect -400 -1100 -100 -1060
+rect 600 -1100 800 -290
+rect 1010 -160 1400 -150
+rect 1010 -460 1020 -160
+rect 1050 -460 1210 -160
+rect 1010 -470 1210 -460
+rect 1200 -540 1210 -470
+rect 1010 -550 1210 -540
+rect 1010 -750 1020 -550
+rect 1050 -750 1210 -550
+rect 1300 -750 1400 -160
+rect 1010 -760 1400 -750
+rect 1200 -1100 1400 -760
+<< labels >>
+rlabel metal1 -400 -1100 -100 -1080 1 VGND
+port 1 n
+rlabel metal1 600 -1100 800 -1080 1 VDD3v3
+port 2 n
+rlabel metal1 1200 -1100 1400 -1080 1 VDD1v8
+port 3 n
+rlabel locali 540 -800 560 -780 1 VOPAMP
+rlabel locali 400 -650 420 -630 1 VOP
+rlabel locali 170 190 190 210 1 VPAMP
+rlabel locali 160 -30 180 -20 1 VNAMP
+rlabel locali 180 -260 190 -240 1 VON
+rlabel metal1 50 -70 80 -50 1 ISINK
+rlabel metal1 140 -650 150 -640 1 DECISINK
+rlabel locali 540 -900 570 -880 1 VONAMP
+rlabel metal1 140 -890 170 -860 1 DIFFSINK
+rlabel metal1 -400 280 -100 300 1 VGND
+port 1 n
+rlabel locali -430 110 -410 170 1 VP
+port 7 n
+rlabel locali -430 0 -410 60 1 VN
+port 6 n
+rlabel locali -430 -240 -410 -190 1 BIASN
+port 5 n
+rlabel locali 1430 -1000 1450 -900 1 VOUT
+port 4 n
+rlabel metal1 600 280 800 300 1 VDD3v3
+port 2 n
+rlabel metal1 1200 280 1400 300 1 VDD1v8
+port 3 n
+<< end >>
diff --git a/comparator/comparator.spice b/comparator/comparator.spice
new file mode 100644
index 0000000..4efcf0a
--- /dev/null
+++ b/comparator/comparator.spice
@@ -0,0 +1,26 @@
+* SPICE3 file created from comparator.ext - technology: sky130A
+
+.subckt comparator VGND VDD3v3 VDD1v8 VOUT BIASN VN VP
+X0 VPAMP VP ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X1 VGND BIASN ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X2 VOPAMP VOP DIFFSINK VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X3 DECISINK VON VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X4 VON VNAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X5 ISINK VN VNAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X6 DECISINK VOP VON VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X7 VDD1v8 VOPAMP VOUT VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=2e+06u l=150000u
+X8 DIFFSINK VONAMP VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X9 VPAMP VPAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X10 ISINK BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X11 DIFFSINK VON VONAMP VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X12 VON VON DECISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X13 VOPAMP VONAMP VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=180000u
+X14 VOUT VOPAMP VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X15 VDD3v3 VNAMP VNAMP VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X16 VOP VOP DECISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X17 DECISINK DECISINK VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X18 VDD3v3 VPAMP VOP VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X19 VDD1v8 VONAMP VONAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=180000u
+C0 VOPAMP VGND 2.89fF
+C1 VON VGND 2.03fF
+.ends
diff --git a/comparator/full_chip_sim.spice b/comparator/full_chip_sim.spice
new file mode 100644
index 0000000..450dfec
--- /dev/null
+++ b/comparator/full_chip_sim.spice
@@ -0,0 +1,151 @@
+* comparator Simulation
+* this file edited to remove everything not in tt lib
+.lib "~/open_sky130/sky130_fd_pr/models/sky130.lib.spice" tt
+.include user_analog_project_wrapper.spice
+.include comparator.spice
+
+* instantiate the comparator
+X1 gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[7] io_analog[8] io_analog[9] io_analog[4]
++ io_analog[5] io_analog[6] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
++ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
++ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
++ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
++ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
++ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18]
++ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23]
++ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4]
++ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10]
++ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18]
++ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25]
++ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8]
++ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15]
++ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22]
++ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5]
++ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101]
++ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106]
++ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111]
++ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116]
++ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121]
++ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126]
++ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16]
++ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21]
++ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27]
++ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32]
++ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38]
++ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43]
++ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49]
++ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54]
++ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5]
++ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65]
++ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70]
++ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76]
++ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81]
++ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87]
++ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92]
++ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98]
++ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102]
++ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107]
++ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111]
++ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116]
++ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120]
++ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125]
++ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14]
++ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19]
++ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24]
++ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29]
++ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34]
++ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39]
++ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44]
++ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49]
++ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54]
++ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59]
++ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64]
++ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69]
++ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74]
++ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79]
++ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84]
++ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89]
++ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94]
++ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99]
++ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104]
++ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110]
++ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117]
++ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123]
++ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14]
++ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20]
++ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27]
++ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33]
++ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3]
++ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46]
++ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52]
++ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59]
++ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65]
++ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71]
++ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78]
++ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84]
++ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
++ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
++ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
++ VDD1v8 VDD1v8 VDD3v3 VDD3v3 VGND VGND VGND VGND wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
++ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
++ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
++ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
++ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
++ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
++ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
++ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
++ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
++ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
++ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8]
++ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13]
++ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19]
++ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24]
++ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
++ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
++ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
++ wbs_stb_i wbs_we_i user_analog_project_wrapper
+
+* set gnd and power
+Vgnd VGND 0 0
+Vdd3v3 VDD3v3 VGND 3
+Vdd1v8 VDD1v8  VGND 1.8
+
+* set bias voltage
+Vbias BIASN VGND 0.3
+
+VNin VN VGND 1.5
+
+
+* create VP-in
+*VPin VP VGND pulse(0 3 1p 1n 1n 1n 2n)
+VPin VP VGND SINE(1.5 0.5 10Meg)
+*VPin VP VGND 1.5
+
+*connect inputs and outputs
+R0 VP gpio_analog[14] 0
+R1 VN gpio_analog[15] 0
+R2 BIASN gpio_analog[16] 0
+R3 VOUT gpio_analog[17] 0
+R4 VOUT_BUFF io_out[24]
+
+.tran 10e-12 200e-09 0e-00
+*.dc VPin 0 3 0.002 VNin 0 3 0.2
+
+.control
+run
+set color0 = white
+set color1 = black
+plot VOUT VOUT_BUFF VP VN VDD3v3
+*plot VPAMP VNAMP VI
+*plot VOP VON
+plot i(Vdd3v3) i(Vdd1v8)
+.endc
+
+.end
diff --git a/comparator/simulation.spice b/comparator/simulation.spice
new file mode 100644
index 0000000..c579cec
--- /dev/null
+++ b/comparator/simulation.spice
@@ -0,0 +1,43 @@
+* comparator Simulation
+* this file edited to remove everything not in tt lib
+.lib "~/open_sky130/sky130_fd_pr/models/sky130.lib.spice" tt
+.include comparator.spice
+.include comparator-preamp.spice
+.include comparator-decision.spice
+.include comparator-diffamp.spice
+
+
+* instantiate the comparator
+Xcomp VGND VDD3v3 VDD1v8 VOUT BIASN VN VP comparator
+Xpre VP VN VPAMP VNAMP VI BIASN VGND VDD3v3 comparator-preamp
+Xdec VPAMP VNAMP VOP VON VGND VDD3v3 comparator-decision
+Xdiff VOP VON VOUT2 VGND VDD1v8 comparator-diffamp
+
+* set gnd and power
+Vgnd VGND 0 0
+Vdd3v3 VDD3v3 VGND 3
+Vdd1v8 VDD1v8  VGND 1.8
+
+* set bias voltage
+Vbias BIASN VGND 0.3
+
+VNin VN VGND 1.5
+
+
+* create VP-in
+*VPin VP VGND pulse(0 3 1p 1n 1n 1n 2n)
+VPin VP VGND SINE(1.5 0.05 10000k)
+*VPin VP VGND 1.5
+
+.tran 10e-12 200e-09 0e-00
+.dc VPin 0 3 0.002 VNin 0 3 0.2
+
+.control
+run
+set color0 = white
+set color1 = black
+plot VOUT VOUT2 VP VN VDD3v3
+plot i(Vdd3v3) i(Vdd1v8)
+.endc
+
+.end
diff --git a/comparator/sky130_fd_pr__nfet_03v3_nvt_DHUYC7.mag b/comparator/sky130_fd_pr__nfet_03v3_nvt_DHUYC7.mag
new file mode 100644
index 0000000..ef8ce23
--- /dev/null
+++ b/comparator/sky130_fd_pr__nfet_03v3_nvt_DHUYC7.mag
@@ -0,0 +1,51 @@
+magic
+tech sky130A
+timestamp 1633173629
+<< pwell >>
+rect -80 -50 80 210
+<< nnmos >>
+rect -30 90 20 190
+rect -25 -21 25 21
+<< mvndiff >>
+rect -70 180 -30 190
+rect -70 100 -60 180
+rect -40 100 -30 180
+rect -70 90 -30 100
+rect 20 180 60 190
+rect 20 100 30 180
+rect 50 100 60 180
+rect 20 90 60 100
+rect -55 15 -25 21
+rect -55 -15 -49 15
+rect -31 -15 -25 15
+rect -55 -21 -25 -15
+rect 25 15 55 21
+rect 25 -15 31 15
+rect 49 -15 55 15
+rect 25 -21 55 -15
+<< mvndiffc >>
+rect -60 100 -40 180
+rect 30 100 50 180
+rect -49 -15 -31 15
+rect 31 -15 49 15
+<< poly >>
+rect -30 190 20 210
+rect -30 70 20 90
+rect -25 21 25 34
+rect -25 -34 25 -21
+<< locali >>
+rect -70 180 -40 190
+rect -70 100 -60 180
+rect -70 90 -40 100
+rect 30 180 60 190
+rect 50 100 60 180
+rect 30 90 60 100
+rect -50 15 -30 30
+rect -50 -15 -49 15
+rect -31 -15 -30 15
+rect -50 -30 -30 -15
+rect 30 15 50 30
+rect 30 -15 31 15
+rect 49 -15 50 15
+rect 30 -30 50 -15
+<< end >>
diff --git a/comparator/sky130_fd_pr__nfet_03v3_nvt_DHUYC7.spice b/comparator/sky130_fd_pr__nfet_03v3_nvt_DHUYC7.spice
new file mode 100644
index 0000000..7e11f3f
--- /dev/null
+++ b/comparator/sky130_fd_pr__nfet_03v3_nvt_DHUYC7.spice
@@ -0,0 +1,4 @@
+* SPICE3 file created from sky130_fd_pr__nfet_03v3_nvt_DHUYC7.ext - technology: sky130A
+
+X0 a_25_n21# a_n25_n34# a_n55_n21# w_n80_n50# sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=420000u l=500000u
+X1 a_20_90# a_n30_70# a_n70_90# w_n80_n50# sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=500000u
diff --git a/comparator/user_analog_project_wrapper.spice b/comparator/user_analog_project_wrapper.spice
new file mode 100644
index 0000000..3bc1796
--- /dev/null
+++ b/comparator/user_analog_project_wrapper.spice
@@ -0,0 +1,117 @@
+* SPICE3 file created from user_analog_project_wrapper.ext - technology: sky130A
+
+.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[7] io_analog[8] io_analog[9] io_analog[4]
++ io_analog[5] io_analog[6] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
++ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
++ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
++ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
++ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
++ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18]
++ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23]
++ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4]
++ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10]
++ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18]
++ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25]
++ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8]
++ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15]
++ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22]
++ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5]
++ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101]
++ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106]
++ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111]
++ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116]
++ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121]
++ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126]
++ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16]
++ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21]
++ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27]
++ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32]
++ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38]
++ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43]
++ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49]
++ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54]
++ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5]
++ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65]
++ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70]
++ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76]
++ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81]
++ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87]
++ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92]
++ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98]
++ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102]
++ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107]
++ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111]
++ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116]
++ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120]
++ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125]
++ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14]
++ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19]
++ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24]
++ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29]
++ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34]
++ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39]
++ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44]
++ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49]
++ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54]
++ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59]
++ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64]
++ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69]
++ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74]
++ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79]
++ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84]
++ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89]
++ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94]
++ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99]
++ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104]
++ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110]
++ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117]
++ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123]
++ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14]
++ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20]
++ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27]
++ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33]
++ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3]
++ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46]
++ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52]
++ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59]
++ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65]
++ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71]
++ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78]
++ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84]
++ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
++ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
++ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
++ vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
++ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
++ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
++ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
++ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
++ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
++ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
++ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
++ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
++ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
++ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8]
++ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13]
++ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19]
++ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24]
++ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
++ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
++ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
++ wbs_stb_i wbs_we_i
+R0 io_out[25] gpio_analog[17] sky130_fd_pr__res_generic_m2 w=6e+06u l=6e+06u
+C0 vdda2 vccd2 19.74fF
+Xcomparator_0 vssd2 vdda2 vccd2 gpio_analog[17] gpio_analog[16] gpio_analog[15] gpio_analog[14]
++ comparator
+C1 vssa1 vssd2 2.35fF
+C2 vccd2 vssd2 20.99fF
+C3 comparator_0/VOPAMP vssd2 2.89fF **FLOATING
+C4 comparator_0/VON vssd2 2.03fF **FLOATING
+.ends
diff --git a/example/user_analog_project_wrapper_empty.mag b/example/user_analog_project_wrapper_empty.mag
new file mode 100644
index 0000000..053243c
--- /dev/null
+++ b/example/user_analog_project_wrapper_empty.mag
@@ -0,0 +1,2053 @@
+magic
+tech sky130A
+timestamp 1620244087
+<< checkpaint >>
+rect -680 351370 292680 352680
+rect -680 630 630 351370
+rect 291370 630 292680 351370
+rect -680 -680 292680 630
+<< metal2 >>
+rect 262 -400 318 240
+rect 853 -400 909 240
+rect 1444 -400 1500 240
+rect 2035 -400 2091 240
+rect 2626 -400 2682 240
+rect 3217 -400 3273 240
+rect 3808 -400 3864 240
+rect 4399 -400 4455 240
+rect 4990 -400 5046 240
+rect 5581 -400 5637 240
+rect 6172 -400 6228 240
+rect 6763 -400 6819 240
+rect 7354 -400 7410 240
+rect 7945 -400 8001 240
+rect 8536 -400 8592 240
+rect 9127 -400 9183 240
+rect 9718 -400 9774 240
+rect 10309 -400 10365 240
+rect 10900 -400 10956 240
+rect 11491 -400 11547 240
+rect 12082 -400 12138 240
+rect 12673 -400 12729 240
+rect 13264 -400 13320 240
+rect 13855 -400 13911 240
+rect 14446 -400 14502 240
+rect 15037 -400 15093 240
+rect 15628 -400 15684 240
+rect 16219 -400 16275 240
+rect 16810 -400 16866 240
+rect 17401 -400 17457 240
+rect 17992 -400 18048 240
+rect 18583 -400 18639 240
+rect 19174 -400 19230 240
+rect 19765 -400 19821 240
+rect 20356 -400 20412 240
+rect 20947 -400 21003 240
+rect 21538 -400 21594 240
+rect 22129 -400 22185 240
+rect 22720 -400 22776 240
+rect 23311 -400 23367 240
+rect 23902 -400 23958 240
+rect 24493 -400 24549 240
+rect 25084 -400 25140 240
+rect 25675 -400 25731 240
+rect 26266 -400 26322 240
+rect 26857 -400 26913 240
+rect 27448 -400 27504 240
+rect 28039 -400 28095 240
+rect 28630 -400 28686 240
+rect 29221 -400 29277 240
+rect 29812 -400 29868 240
+rect 30403 -400 30459 240
+rect 30994 -400 31050 240
+rect 31585 -400 31641 240
+rect 32176 -400 32232 240
+rect 32767 -400 32823 240
+rect 33358 -400 33414 240
+rect 33949 -400 34005 240
+rect 34540 -400 34596 240
+rect 35131 -400 35187 240
+rect 35722 -400 35778 240
+rect 36313 -400 36369 240
+rect 36904 -400 36960 240
+rect 37495 -400 37551 240
+rect 38086 -400 38142 240
+rect 38677 -400 38733 240
+rect 39268 -400 39324 240
+rect 39859 -400 39915 240
+rect 40450 -400 40506 240
+rect 41041 -400 41097 240
+rect 41632 -400 41688 240
+rect 42223 -400 42279 240
+rect 42814 -400 42870 240
+rect 43405 -400 43461 240
+rect 43996 -400 44052 240
+rect 44587 -400 44643 240
+rect 45178 -400 45234 240
+rect 45769 -400 45825 240
+rect 46360 -400 46416 240
+rect 46951 -400 47007 240
+rect 47542 -400 47598 240
+rect 48133 -400 48189 240
+rect 48724 -400 48780 240
+rect 49315 -400 49371 240
+rect 49906 -400 49962 240
+rect 50497 -400 50553 240
+rect 51088 -400 51144 240
+rect 51679 -400 51735 240
+rect 52270 -400 52326 240
+rect 52861 -400 52917 240
+rect 53452 -400 53508 240
+rect 54043 -400 54099 240
+rect 54634 -400 54690 240
+rect 55225 -400 55281 240
+rect 55816 -400 55872 240
+rect 56407 -400 56463 240
+rect 56998 -400 57054 240
+rect 57589 -400 57645 240
+rect 58180 -400 58236 240
+rect 58771 -400 58827 240
+rect 59362 -400 59418 240
+rect 59953 -400 60009 240
+rect 60544 -400 60600 240
+rect 61135 -400 61191 240
+rect 61726 -400 61782 240
+rect 62317 -400 62373 240
+rect 62908 -400 62964 240
+rect 63499 -400 63555 240
+rect 64090 -400 64146 240
+rect 64681 -400 64737 240
+rect 65272 -400 65328 240
+rect 65863 -400 65919 240
+rect 66454 -400 66510 240
+rect 67045 -400 67101 240
+rect 67636 -400 67692 240
+rect 68227 -400 68283 240
+rect 68818 -400 68874 240
+rect 69409 -400 69465 240
+rect 70000 -400 70056 240
+rect 70591 -400 70647 240
+rect 71182 -400 71238 240
+rect 71773 -400 71829 240
+rect 72364 -400 72420 240
+rect 72955 -400 73011 240
+rect 73546 -400 73602 240
+rect 74137 -400 74193 240
+rect 74728 -400 74784 240
+rect 75319 -400 75375 240
+rect 75910 -400 75966 240
+rect 76501 -400 76557 240
+rect 77092 -400 77148 240
+rect 77683 -400 77739 240
+rect 78274 -400 78330 240
+rect 78865 -400 78921 240
+rect 79456 -400 79512 240
+rect 80047 -400 80103 240
+rect 80638 -400 80694 240
+rect 81229 -400 81285 240
+rect 81820 -400 81876 240
+rect 82411 -400 82467 240
+rect 83002 -400 83058 240
+rect 83593 -400 83649 240
+rect 84184 -400 84240 240
+rect 84775 -400 84831 240
+rect 85366 -400 85422 240
+rect 85957 -400 86013 240
+rect 86548 -400 86604 240
+rect 87139 -400 87195 240
+rect 87730 -400 87786 240
+rect 88321 -400 88377 240
+rect 88912 -400 88968 240
+rect 89503 -400 89559 240
+rect 90094 -400 90150 240
+rect 90685 -400 90741 240
+rect 91276 -400 91332 240
+rect 91867 -400 91923 240
+rect 92458 -400 92514 240
+rect 93049 -400 93105 240
+rect 93640 -400 93696 240
+rect 94231 -400 94287 240
+rect 94822 -400 94878 240
+rect 95413 -400 95469 240
+rect 96004 -400 96060 240
+rect 96595 -400 96651 240
+rect 97186 -400 97242 240
+rect 97777 -400 97833 240
+rect 98368 -400 98424 240
+rect 98959 -400 99015 240
+rect 99550 -400 99606 240
+rect 100141 -400 100197 240
+rect 100732 -400 100788 240
+rect 101323 -400 101379 240
+rect 101914 -400 101970 240
+rect 102505 -400 102561 240
+rect 103096 -400 103152 240
+rect 103687 -400 103743 240
+rect 104278 -400 104334 240
+rect 104869 -400 104925 240
+rect 105460 -400 105516 240
+rect 106051 -400 106107 240
+rect 106642 -400 106698 240
+rect 107233 -400 107289 240
+rect 107824 -400 107880 240
+rect 108415 -400 108471 240
+rect 109006 -400 109062 240
+rect 109597 -400 109653 240
+rect 110188 -400 110244 240
+rect 110779 -400 110835 240
+rect 111370 -400 111426 240
+rect 111961 -400 112017 240
+rect 112552 -400 112608 240
+rect 113143 -400 113199 240
+rect 113734 -400 113790 240
+rect 114325 -400 114381 240
+rect 114916 -400 114972 240
+rect 115507 -400 115563 240
+rect 116098 -400 116154 240
+rect 116689 -400 116745 240
+rect 117280 -400 117336 240
+rect 117871 -400 117927 240
+rect 118462 -400 118518 240
+rect 119053 -400 119109 240
+rect 119644 -400 119700 240
+rect 120235 -400 120291 240
+rect 120826 -400 120882 240
+rect 121417 -400 121473 240
+rect 122008 -400 122064 240
+rect 122599 -400 122655 240
+rect 123190 -400 123246 240
+rect 123781 -400 123837 240
+rect 124372 -400 124428 240
+rect 124963 -400 125019 240
+rect 125554 -400 125610 240
+rect 126145 -400 126201 240
+rect 126736 -400 126792 240
+rect 127327 -400 127383 240
+rect 127918 -400 127974 240
+rect 128509 -400 128565 240
+rect 129100 -400 129156 240
+rect 129691 -400 129747 240
+rect 130282 -400 130338 240
+rect 130873 -400 130929 240
+rect 131464 -400 131520 240
+rect 132055 -400 132111 240
+rect 132646 -400 132702 240
+rect 133237 -400 133293 240
+rect 133828 -400 133884 240
+rect 134419 -400 134475 240
+rect 135010 -400 135066 240
+rect 135601 -400 135657 240
+rect 136192 -400 136248 240
+rect 136783 -400 136839 240
+rect 137374 -400 137430 240
+rect 137965 -400 138021 240
+rect 138556 -400 138612 240
+rect 139147 -400 139203 240
+rect 139738 -400 139794 240
+rect 140329 -400 140385 240
+rect 140920 -400 140976 240
+rect 141511 -400 141567 240
+rect 142102 -400 142158 240
+rect 142693 -400 142749 240
+rect 143284 -400 143340 240
+rect 143875 -400 143931 240
+rect 144466 -400 144522 240
+rect 145057 -400 145113 240
+rect 145648 -400 145704 240
+rect 146239 -400 146295 240
+rect 146830 -400 146886 240
+rect 147421 -400 147477 240
+rect 148012 -400 148068 240
+rect 148603 -400 148659 240
+rect 149194 -400 149250 240
+rect 149785 -400 149841 240
+rect 150376 -400 150432 240
+rect 150967 -400 151023 240
+rect 151558 -400 151614 240
+rect 152149 -400 152205 240
+rect 152740 -400 152796 240
+rect 153331 -400 153387 240
+rect 153922 -400 153978 240
+rect 154513 -400 154569 240
+rect 155104 -400 155160 240
+rect 155695 -400 155751 240
+rect 156286 -400 156342 240
+rect 156877 -400 156933 240
+rect 157468 -400 157524 240
+rect 158059 -400 158115 240
+rect 158650 -400 158706 240
+rect 159241 -400 159297 240
+rect 159832 -400 159888 240
+rect 160423 -400 160479 240
+rect 161014 -400 161070 240
+rect 161605 -400 161661 240
+rect 162196 -400 162252 240
+rect 162787 -400 162843 240
+rect 163378 -400 163434 240
+rect 163969 -400 164025 240
+rect 164560 -400 164616 240
+rect 165151 -400 165207 240
+rect 165742 -400 165798 240
+rect 166333 -400 166389 240
+rect 166924 -400 166980 240
+rect 167515 -400 167571 240
+rect 168106 -400 168162 240
+rect 168697 -400 168753 240
+rect 169288 -400 169344 240
+rect 169879 -400 169935 240
+rect 170470 -400 170526 240
+rect 171061 -400 171117 240
+rect 171652 -400 171708 240
+rect 172243 -400 172299 240
+rect 172834 -400 172890 240
+rect 173425 -400 173481 240
+rect 174016 -400 174072 240
+rect 174607 -400 174663 240
+rect 175198 -400 175254 240
+rect 175789 -400 175845 240
+rect 176380 -400 176436 240
+rect 176971 -400 177027 240
+rect 177562 -400 177618 240
+rect 178153 -400 178209 240
+rect 178744 -400 178800 240
+rect 179335 -400 179391 240
+rect 179926 -400 179982 240
+rect 180517 -400 180573 240
+rect 181108 -400 181164 240
+rect 181699 -400 181755 240
+rect 182290 -400 182346 240
+rect 182881 -400 182937 240
+rect 183472 -400 183528 240
+rect 184063 -400 184119 240
+rect 184654 -400 184710 240
+rect 185245 -400 185301 240
+rect 185836 -400 185892 240
+rect 186427 -400 186483 240
+rect 187018 -400 187074 240
+rect 187609 -400 187665 240
+rect 188200 -400 188256 240
+rect 188791 -400 188847 240
+rect 189382 -400 189438 240
+rect 189973 -400 190029 240
+rect 190564 -400 190620 240
+rect 191155 -400 191211 240
+rect 191746 -400 191802 240
+rect 192337 -400 192393 240
+rect 192928 -400 192984 240
+rect 193519 -400 193575 240
+rect 194110 -400 194166 240
+rect 194701 -400 194757 240
+rect 195292 -400 195348 240
+rect 195883 -400 195939 240
+rect 196474 -400 196530 240
+rect 197065 -400 197121 240
+rect 197656 -400 197712 240
+rect 198247 -400 198303 240
+rect 198838 -400 198894 240
+rect 199429 -400 199485 240
+rect 200020 -400 200076 240
+rect 200611 -400 200667 240
+rect 201202 -400 201258 240
+rect 201793 -400 201849 240
+rect 202384 -400 202440 240
+rect 202975 -400 203031 240
+rect 203566 -400 203622 240
+rect 204157 -400 204213 240
+rect 204748 -400 204804 240
+rect 205339 -400 205395 240
+rect 205930 -400 205986 240
+rect 206521 -400 206577 240
+rect 207112 -400 207168 240
+rect 207703 -400 207759 240
+rect 208294 -400 208350 240
+rect 208885 -400 208941 240
+rect 209476 -400 209532 240
+rect 210067 -400 210123 240
+rect 210658 -400 210714 240
+rect 211249 -400 211305 240
+rect 211840 -400 211896 240
+rect 212431 -400 212487 240
+rect 213022 -400 213078 240
+rect 213613 -400 213669 240
+rect 214204 -400 214260 240
+rect 214795 -400 214851 240
+rect 215386 -400 215442 240
+rect 215977 -400 216033 240
+rect 216568 -400 216624 240
+rect 217159 -400 217215 240
+rect 217750 -400 217806 240
+rect 218341 -400 218397 240
+rect 218932 -400 218988 240
+rect 219523 -400 219579 240
+rect 220114 -400 220170 240
+rect 220705 -400 220761 240
+rect 221296 -400 221352 240
+rect 221887 -400 221943 240
+rect 222478 -400 222534 240
+rect 223069 -400 223125 240
+rect 223660 -400 223716 240
+rect 224251 -400 224307 240
+rect 224842 -400 224898 240
+rect 225433 -400 225489 240
+rect 226024 -400 226080 240
+rect 226615 -400 226671 240
+rect 227206 -400 227262 240
+rect 227797 -400 227853 240
+rect 228388 -400 228444 240
+rect 228979 -400 229035 240
+rect 229570 -400 229626 240
+rect 230161 -400 230217 240
+rect 230752 -400 230808 240
+rect 231343 -400 231399 240
+rect 231934 -400 231990 240
+rect 232525 -400 232581 240
+rect 233116 -400 233172 240
+rect 233707 -400 233763 240
+rect 234298 -400 234354 240
+rect 234889 -400 234945 240
+rect 235480 -400 235536 240
+rect 236071 -400 236127 240
+rect 236662 -400 236718 240
+rect 237253 -400 237309 240
+rect 237844 -400 237900 240
+rect 238435 -400 238491 240
+rect 239026 -400 239082 240
+rect 239617 -400 239673 240
+rect 240208 -400 240264 240
+rect 240799 -400 240855 240
+rect 241390 -400 241446 240
+rect 241981 -400 242037 240
+rect 242572 -400 242628 240
+rect 243163 -400 243219 240
+rect 243754 -400 243810 240
+rect 244345 -400 244401 240
+rect 244936 -400 244992 240
+rect 245527 -400 245583 240
+rect 246118 -400 246174 240
+rect 246709 -400 246765 240
+rect 247300 -400 247356 240
+rect 247891 -400 247947 240
+rect 248482 -400 248538 240
+rect 249073 -400 249129 240
+rect 249664 -400 249720 240
+rect 250255 -400 250311 240
+rect 250846 -400 250902 240
+rect 251437 -400 251493 240
+rect 252028 -400 252084 240
+rect 252619 -400 252675 240
+rect 253210 -400 253266 240
+rect 253801 -400 253857 240
+rect 254392 -400 254448 240
+rect 254983 -400 255039 240
+rect 255574 -400 255630 240
+rect 256165 -400 256221 240
+rect 256756 -400 256812 240
+rect 257347 -400 257403 240
+rect 257938 -400 257994 240
+rect 258529 -400 258585 240
+rect 259120 -400 259176 240
+rect 259711 -400 259767 240
+rect 260302 -400 260358 240
+rect 260893 -400 260949 240
+rect 261484 -400 261540 240
+rect 262075 -400 262131 240
+rect 262666 -400 262722 240
+rect 263257 -400 263313 240
+rect 263848 -400 263904 240
+rect 264439 -400 264495 240
+rect 265030 -400 265086 240
+rect 265621 -400 265677 240
+rect 266212 -400 266268 240
+rect 266803 -400 266859 240
+rect 267394 -400 267450 240
+rect 267985 -400 268041 240
+rect 268576 -400 268632 240
+rect 269167 -400 269223 240
+rect 269758 -400 269814 240
+rect 270349 -400 270405 240
+rect 270940 -400 270996 240
+rect 271531 -400 271587 240
+rect 272122 -400 272178 240
+rect 272713 -400 272769 240
+rect 273304 -400 273360 240
+rect 273895 -400 273951 240
+rect 274486 -400 274542 240
+rect 275077 -400 275133 240
+rect 275668 -400 275724 240
+rect 276259 -400 276315 240
+rect 276850 -400 276906 240
+rect 277441 -400 277497 240
+rect 278032 -400 278088 240
+rect 278623 -400 278679 240
+rect 279214 -400 279270 240
+rect 279805 -400 279861 240
+rect 280396 -400 280452 240
+rect 280987 -400 281043 240
+rect 281578 -400 281634 240
+rect 282169 -400 282225 240
+rect 282760 -400 282816 240
+rect 283351 -400 283407 240
+rect 283942 -400 283998 240
+rect 284533 -400 284589 240
+rect 285124 -400 285180 240
+rect 285715 -400 285771 240
+rect 286306 -400 286362 240
+rect 286897 -400 286953 240
+rect 287488 -400 287544 240
+rect 288079 -400 288135 240
+rect 288670 -400 288726 240
+rect 289261 -400 289317 240
+rect 289852 -400 289908 240
+rect 290443 -400 290499 240
+rect 291034 -400 291090 240
+rect 291625 -400 291681 240
+<< metal3 >>
+rect 8097 351150 10597 352400
+rect 34097 351150 36597 352400
+rect 60097 351150 62597 352400
+rect 82797 351150 85297 352400
+rect 85447 351150 86547 352400
+rect 86697 351150 87797 352400
+rect 87947 351150 90447 352400
+rect 108647 351150 111147 352400
+rect 111297 351150 112397 352400
+rect 112547 351150 113647 352400
+rect 113797 351150 116297 352400
+rect 159497 351150 161997 352400
+rect 162147 351150 163247 352400
+rect 163397 351150 164497 352400
+rect 164647 351150 167147 352400
+rect 206697 351150 209197 352400
+rect 232697 351150 235197 352400
+rect 255297 351170 257697 352400
+rect 260297 351170 262697 352400
+rect 283297 351150 285797 352400
+rect -400 340121 850 342621
+rect 291150 338992 292400 341492
+rect -400 321921 830 324321
+rect 291170 319892 292400 322292
+rect -400 316921 830 319321
+rect 291170 314892 292400 317292
+rect 291760 294736 292400 294792
+rect 291760 294145 292400 294201
+rect 291760 293554 292400 293610
+rect 291760 292963 292400 293019
+rect 291760 292372 292400 292428
+rect 291760 291781 292400 291837
+rect -400 279721 830 282121
+rect -400 274721 830 277121
+rect 291170 275281 292400 277681
+rect 291170 270281 292400 272681
+rect -400 255765 240 255821
+rect -400 255174 240 255230
+rect -400 254583 240 254639
+rect -400 253992 240 254048
+rect -400 253401 240 253457
+rect -400 252810 240 252866
+rect 291760 250025 292400 250081
+rect 291760 249434 292400 249490
+rect 291760 248843 292400 248899
+rect 291760 248252 292400 248308
+rect 291760 247661 292400 247717
+rect 291760 247070 292400 247126
+rect -400 234154 240 234210
+rect -400 233563 240 233619
+rect -400 232972 240 233028
+rect -400 232381 240 232437
+rect -400 231790 240 231846
+rect -400 231199 240 231255
+rect 291760 227814 292400 227870
+rect 291760 227223 292400 227279
+rect 291760 226632 292400 226688
+rect 291760 226041 292400 226097
+rect 291760 225450 292400 225506
+rect 291760 224859 292400 224915
+rect -400 212543 240 212599
+rect -400 211952 240 212008
+rect -400 211361 240 211417
+rect -400 210770 240 210826
+rect -400 210179 240 210235
+rect -400 209588 240 209644
+rect 291760 205603 292400 205659
+rect 291760 205012 292400 205068
+rect 291760 204421 292400 204477
+rect 291760 203830 292400 203886
+rect 291760 203239 292400 203295
+rect 291760 202648 292400 202704
+rect -400 190932 240 190988
+rect -400 190341 240 190397
+rect -400 189750 240 189806
+rect -400 189159 240 189215
+rect -400 188568 240 188624
+rect -400 187977 240 188033
+rect 291760 182392 292400 182448
+rect 291760 181801 292400 181857
+rect 291760 181210 292400 181266
+rect 291760 180619 292400 180675
+rect 291760 180028 292400 180084
+rect 291760 179437 292400 179493
+rect -400 169321 240 169377
+rect -400 168730 240 168786
+rect -400 168139 240 168195
+rect -400 167548 240 167604
+rect -400 166957 240 167013
+rect -400 166366 240 166422
+rect 291760 159781 292400 159837
+rect 291760 159190 292400 159246
+rect 291760 158599 292400 158655
+rect 291760 158008 292400 158064
+rect 291760 157417 292400 157473
+rect 291760 156826 292400 156882
+rect -400 147710 240 147766
+rect -400 147119 240 147175
+rect -400 146528 240 146584
+rect -400 145937 240 145993
+rect -400 145346 240 145402
+rect -400 144755 240 144811
+rect 291760 137570 292400 137626
+rect 291760 136979 292400 137035
+rect 291760 136388 292400 136444
+rect 291760 135797 292400 135853
+rect 291760 135206 292400 135262
+rect 291760 134615 292400 134671
+rect -400 126199 240 126255
+rect -400 125608 240 125664
+rect -400 125017 240 125073
+rect -400 124426 240 124482
+rect -400 123835 240 123891
+rect -400 123244 240 123300
+rect 291170 117615 292400 120015
+rect 291170 112615 292400 115015
+rect -400 107444 830 109844
+rect -400 102444 830 104844
+rect 291170 95715 292400 98115
+rect 291170 90715 292400 93115
+rect -400 86444 830 88844
+rect -400 81444 830 83844
+rect 291170 73415 292400 75815
+rect 291170 68415 292400 70815
+rect -400 62388 240 62444
+rect -400 61797 240 61853
+rect -400 61206 240 61262
+rect -400 60615 240 60671
+rect -400 60024 240 60080
+rect -400 59433 240 59489
+rect 291760 47559 292400 47615
+rect 291760 46968 292400 47024
+rect 291760 46377 292400 46433
+rect 291760 45786 292400 45842
+rect -400 40777 240 40833
+rect -400 40186 240 40242
+rect -400 39595 240 39651
+rect -400 39004 240 39060
+rect -400 38413 240 38469
+rect -400 37822 240 37878
+rect 291760 25230 292400 25286
+rect 291760 24639 292400 24695
+rect 291760 24048 292400 24104
+rect 291760 23457 292400 23513
+rect -400 19166 240 19222
+rect -400 18575 240 18631
+rect -400 17984 240 18040
+rect -400 17393 240 17449
+rect -400 16802 240 16858
+rect -400 16211 240 16267
+rect 291760 12001 292400 12057
+rect 291760 11410 292400 11466
+rect 291760 10819 292400 10875
+rect 291760 10228 292400 10284
+rect 291760 9637 292400 9693
+rect 291760 9046 292400 9102
+rect -400 8455 240 8511
+rect 291760 8455 292400 8511
+rect -400 7864 240 7920
+rect 291760 7864 292400 7920
+rect -400 7273 240 7329
+rect 291760 7273 292400 7329
+rect -400 6682 240 6738
+rect 291760 6682 292400 6738
+rect -400 6091 240 6147
+rect 291760 6091 292400 6147
+rect -400 5500 240 5556
+rect 291760 5500 292400 5556
+rect -400 4909 240 4965
+rect 291760 4909 292400 4965
+rect -400 4318 240 4374
+rect 291760 4318 292400 4374
+rect -400 3727 240 3783
+rect 291760 3727 292400 3783
+rect -400 3136 240 3192
+rect 291760 3136 292400 3192
+rect -400 2545 240 2601
+rect 291760 2545 292400 2601
+rect -400 1954 240 2010
+rect 291760 1954 292400 2010
+rect -400 1363 240 1419
+rect 291760 1363 292400 1419
+rect -400 772 240 828
+rect 291760 772 292400 828
+<< comment >>
+rect -50 352000 292050 352050
+rect -50 0 0 352000
+rect 292000 0 292050 352000
+rect -50 -50 292050 0
+<< labels >>
+flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
+flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s 291150 338992 292400 341492 0 FreeSans 560 0 0 0 io_analog[0]
+port 36 nsew signal bidirectional
+flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s 283297 351150 285797 352400 0 FreeSans 960 180 0 0 io_analog[1]
+port 38 nsew signal bidirectional
+flabel metal3 s 232697 351150 235197 352400 0 FreeSans 960 180 0 0 io_analog[2]
+port 39 nsew signal bidirectional
+flabel metal3 s 206697 351150 209197 352400 0 FreeSans 960 180 0 0 io_analog[3]
+port 40 nsew signal bidirectional
+flabel metal3 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal3 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal3 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 60097 351150 62597 352400 0 FreeSans 960 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+flabel metal3 s 34097 351150 36597 352400 0 FreeSans 960 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 8097 351150 10597 352400 0 FreeSans 960 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal3 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal3 s 163397 351150 164497 352400 0 FreeSans 960 180 0 0 io_clamp_high[0]
+port 50 nsew signal bidirectional
+flabel metal3 s 112547 351150 113647 352400 0 FreeSans 960 180 0 0 io_clamp_high[1]
+port 51 nsew signal bidirectional
+flabel metal3 s 86697 351150 87797 352400 0 FreeSans 960 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal3 s 162147 351150 163247 352400 0 FreeSans 960 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 111297 351150 112397 352400 0 FreeSans 960 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 85447 351150 86547 352400 0 FreeSans 960 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal3 s 291170 319892 292400 322292 0 FreeSans 560 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 291170 314892 292400 317292 0 FreeSans 560 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 291170 270281 292400 272681 0 FreeSans 560 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 291170 275281 292400 277681 0 FreeSans 560 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 291170 117615 292400 120015 0 FreeSans 560 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 291170 112615 292400 115015 0 FreeSans 560 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 260297 351170 262697 352400 0 FreeSans 960 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 255297 351170 257697 352400 0 FreeSans 960 180 0 0 vssa1
+port 563 nsew signal bidirectional
+flabel metal3 s 291170 73415 292400 75815 0 FreeSans 560 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 291170 68415 292400 70815 0 FreeSans 560 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 291170 95715 292400 98115 0 FreeSans 560 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 291170 90715 292400 93115 0 FreeSans 560 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i
+port 677 nsew signal input
+<< properties >>
+string FIXED_BBOX 0 0 292000 352000
+<< end >>
diff --git a/flash_array/.magicrc b/flash_array/.magicrc
new file mode 100644
index 0000000..cb421a8
--- /dev/null
+++ b/flash_array/.magicrc
@@ -0,0 +1,74 @@
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# scale to one
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 1
+}
+
+# drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "~/open_sky130/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE maglef
+}
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+}
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
+
+# set units for grid
+snap on
+
+ext2spice scale off
+ext2spice ngspice
\ No newline at end of file
diff --git a/flash_array/flash_array_2x4.mag b/flash_array/flash_array_2x4.mag
new file mode 100644
index 0000000..6d91278
--- /dev/null
+++ b/flash_array/flash_array_2x4.mag
@@ -0,0 +1,128 @@
+magic
+tech sky130A
+timestamp 1634337368
+<< dnwell >>
+rect -1700 0 -500 800
+<< pwell >>
+rect -1600 100 -500 700
+<< psubdiff >>
+rect -2100 1000 1400 1200
+rect -2100 900 -1900 1000
+rect 1200 900 1400 1000
+rect -650 510 -530 530
+rect -650 240 -630 510
+rect -550 240 -530 510
+rect -650 220 -530 240
+rect -2100 -400 -1900 -300
+rect 1200 -400 1400 -300
+rect -2100 -600 -1800 -400
+rect 1100 -600 1400 -400
+<< psubdiffcont >>
+rect -2100 -300 -1900 900
+rect -630 240 -550 510
+rect 1200 -300 1400 900
+rect -1800 -600 1100 -400
+<< locali >>
+rect -2150 900 -1850 950
+rect -2150 -300 -2100 900
+rect -1900 -300 -1850 900
+rect -1470 390 -1380 1300
+rect -1340 450 -1250 1300
+rect -1210 390 -1120 1300
+rect -1470 290 -1350 390
+rect -1240 290 -1120 390
+rect -1070 390 -980 1300
+rect -940 450 -850 1300
+rect -810 390 -720 1300
+rect -440 800 -260 1300
+rect -170 800 -50 1300
+rect -20 800 40 1300
+rect 70 800 110 1300
+rect 150 800 190 1300
+rect 240 850 280 1300
+rect 310 850 350 1300
+rect 240 800 285 850
+rect 305 800 350 850
+rect 390 800 430 1300
+rect 470 800 510 1300
+rect -1070 290 -950 390
+rect -840 290 -720 390
+rect -650 510 -530 530
+rect -650 240 -630 510
+rect -550 240 -530 510
+rect 700 430 800 1300
+rect 850 320 950 1300
+rect -650 220 -530 240
+rect -1400 160 -530 220
+rect -650 -60 -530 160
+rect -170 -60 -50 270
+rect 700 220 950 320
+rect 1150 900 1450 950
+rect -650 -180 -50 -60
+rect -2150 -350 -1850 -300
+rect 1150 -300 1200 900
+rect 1400 700 1450 900
+rect 1400 -100 1600 700
+rect 1400 -300 1450 -100
+rect 1150 -350 1450 -300
+rect -2150 -400 1450 -350
+rect -2150 -600 -1800 -400
+rect 1100 -600 1450 -400
+rect -2150 -650 1450 -600
+<< viali >>
+rect 1600 -100 2400 700
+<< metal1 >>
+rect 1500 700 2700 800
+rect 1500 -100 1600 700
+rect 2400 -100 2700 700
+rect 1500 -200 2700 -100
+use sky130_fd_bs_flash__special_sonosfet_star_VH7TJW  sky130_fd_bs_flash__special_sonosfet_star_VH7TJW_0
+timestamp 1633983039
+transform 1 0 291 0 1 371
+box -791 -371 409 429
+use sky130_fd_bs_flash__special_sonosfet_star_JJF9VQ  sky130_fd_bs_flash__special_sonosfet_star_JJF9VQ_0
+timestamp 1633982189
+transform 1 0 -898 0 1 340
+box -102 -140 108 150
+use sky130_fd_bs_flash__special_sonosfet_star_JJF9VQ  sky130_fd_bs_flash__special_sonosfet_star_JJF9VQ_1
+timestamp 1633982189
+transform 1 0 -1298 0 1 340
+box -102 -140 108 150
+<< labels >>
+rlabel metal1 2500 -200 2700 800 1 VGND
+port 10 n
+rlabel locali 70 1280 110 1300 1 SEL_BOT
+port 11 n
+rlabel locali 150 1280 190 1300 1 RA3
+port 12 n
+rlabel locali 240 1280 280 1300 1 RA2
+port 13 n
+rlabel locali 310 1280 350 1300 1 RA1
+port 14 n
+rlabel locali 390 1280 430 1300 1 RA0
+port 15 n
+rlabel locali 470 1280 510 1300 1 SEL_TOP
+port 16 n
+rlabel locali -20 1280 40 1300 1 FLASH_SOURCE
+port 17 n
+rlabel locali 700 1280 800 1300 1 BL0
+port 18 n
+rlabel locali 850 1280 950 1300 1 BL1
+port 19 n
+rlabel locali -170 1280 -50 1300 1 PWELL
+port 2 n
+rlabel locali -440 1280 -260 1300 1 DNWELL
+port 1 n
+rlabel locali -1470 1280 -1380 1300 1 FS0
+port 6 n
+rlabel locali -1340 1280 -1250 1300 1 FG0
+port 4 n
+rlabel locali -1210 1280 -1120 1300 1 FD0
+port 7 n
+rlabel locali -1070 1280 -980 1300 1 FS1
+port 8 n
+rlabel locali -940 1280 -850 1300 1 FG1
+port 5 n
+rlabel locali -810 1280 -720 1300 1 FD1
+port 9 n
+<< end >>
diff --git a/flash_array/sky130_fd_bs_flash__special_sonosfet_star_JJF9VQ.mag b/flash_array/sky130_fd_bs_flash__special_sonosfet_star_JJF9VQ.mag
new file mode 100644
index 0000000..09accc3
--- /dev/null
+++ b/flash_array/sky130_fd_bs_flash__special_sonosfet_star_JJF9VQ.mag
@@ -0,0 +1,53 @@
+magic
+tech sky130A
+timestamp 1633982189
+<< nsonos >>
+rect -7 -50 9 50
+<< ndiff >>
+rect -52 40 -7 50
+rect -52 -40 -42 40
+rect -22 -40 -7 40
+rect -52 -50 -7 -40
+rect 9 40 58 50
+rect 9 -40 28 40
+rect 48 -40 58 40
+rect 9 -50 58 -40
+<< ndiffc >>
+rect -42 -40 -22 40
+rect 28 -40 48 40
+<< psubdiff >>
+rect -102 130 108 150
+rect -102 -120 -82 130
+rect 88 -120 108 130
+rect -102 -140 -42 -120
+rect 48 -140 108 -120
+<< psubdiffcont >>
+rect -42 -140 48 -120
+<< poly >>
+rect -22 100 28 110
+rect -22 80 -12 100
+rect 18 80 28 100
+rect -22 70 28 80
+rect -7 50 9 70
+rect -7 -70 9 -50
+<< polycont >>
+rect -12 80 18 100
+<< locali >>
+rect -22 100 28 110
+rect -22 80 -12 100
+rect 18 80 28 100
+rect -22 70 28 80
+rect -52 40 -22 50
+rect -52 -40 -42 40
+rect -52 -50 -22 -40
+rect 28 40 58 50
+rect 48 -40 58 40
+rect 28 -50 58 -40
+rect -52 -140 -42 -120
+rect 48 -140 58 -120
+<< properties >>
+string gencell sky130_fd_bs_flash__special_sonosfet_star
+string FIXED_BBOX -82 -130 82 130
+string parameters w 1 l 0.18 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 0 grc 0 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/flash_array/sky130_fd_bs_flash__special_sonosfet_star_VH7TJW.mag b/flash_array/sky130_fd_bs_flash__special_sonosfet_star_VH7TJW.mag
new file mode 100644
index 0000000..1613647
--- /dev/null
+++ b/flash_array/sky130_fd_bs_flash__special_sonosfet_star_VH7TJW.mag
@@ -0,0 +1,239 @@
+magic
+tech sky130A
+timestamp 1633983039
+<< dnwell >>
+rect -791 -371 409 429
+<< nwell >>
+rect -751 -271 -531 329
+<< pwell >>
+rect -461 329 -341 429
+rect -311 329 -251 429
+rect -491 -271 309 329
+<< nmos >>
+rect -211 59 -193 159
+rect 189 59 207 159
+rect -211 -151 -193 -51
+rect 189 -151 207 -51
+<< nsonos >>
+rect -82 55 -64 155
+rect -33 55 -15 155
+rect 16 55 34 155
+rect 65 55 83 155
+rect -82 -156 -64 -56
+rect -33 -156 -15 -56
+rect 16 -156 34 -56
+rect 65 -156 83 -56
+<< ndiff >>
+rect -251 149 -211 159
+rect -251 69 -241 149
+rect -221 69 -211 149
+rect -251 59 -211 69
+rect -193 149 -151 159
+rect -193 69 -181 149
+rect -161 69 -151 149
+rect -193 59 -151 69
+rect -112 149 -82 155
+rect -112 61 -106 149
+rect -88 61 -82 149
+rect -112 55 -82 61
+rect -64 55 -33 155
+rect -15 55 16 155
+rect 34 55 65 155
+rect 83 149 113 155
+rect 83 61 89 149
+rect 107 61 113 149
+rect 83 55 113 61
+rect 149 149 189 159
+rect 149 69 159 149
+rect 179 69 189 149
+rect 149 59 189 69
+rect 207 149 249 159
+rect 207 69 219 149
+rect 239 69 249 149
+rect 207 59 249 69
+rect -251 -61 -211 -51
+rect -251 -141 -241 -61
+rect -221 -141 -211 -61
+rect -251 -151 -211 -141
+rect -193 -61 -151 -51
+rect -193 -141 -181 -61
+rect -161 -141 -151 -61
+rect -193 -151 -151 -141
+rect -112 -62 -82 -56
+rect -112 -150 -106 -62
+rect -88 -150 -82 -62
+rect -112 -156 -82 -150
+rect -64 -156 -33 -56
+rect -15 -156 16 -56
+rect 34 -156 65 -56
+rect 83 -62 113 -56
+rect 83 -150 89 -62
+rect 107 -150 113 -62
+rect 83 -156 113 -150
+rect 149 -61 189 -51
+rect 149 -141 159 -61
+rect 179 -141 189 -61
+rect 149 -151 189 -141
+rect 207 -61 249 -51
+rect 207 -141 219 -61
+rect 239 -141 249 -61
+rect 207 -151 249 -141
+<< ndiffc >>
+rect -241 69 -221 149
+rect -181 69 -161 149
+rect -106 61 -88 149
+rect 89 61 107 149
+rect 159 69 179 149
+rect 219 69 239 149
+rect -241 -141 -221 -61
+rect -181 -141 -161 -61
+rect -106 -150 -88 -62
+rect 89 -150 107 -62
+rect 159 -141 179 -61
+rect 219 -141 239 -61
+<< psubdiff >>
+rect -461 139 -341 159
+rect -461 -131 -441 139
+rect -361 -131 -341 139
+rect -461 -151 -341 -131
+<< nsubdiff >>
+rect -731 289 -551 309
+rect -731 -231 -711 289
+rect -571 -231 -551 289
+rect -731 -251 -551 -231
+<< psubdiffcont >>
+rect -441 -131 -361 139
+<< nsubdiffcont >>
+rect -711 -231 -571 289
+<< poly >>
+rect -36 279 -6 289
+rect -36 259 -31 279
+rect -11 259 -6 279
+rect -36 249 -6 259
+rect 64 279 94 289
+rect 64 259 69 279
+rect 89 259 94 279
+rect 64 249 94 259
+rect -221 219 -181 229
+rect -221 199 -211 219
+rect -191 199 -181 219
+rect -221 189 -181 199
+rect -96 219 -61 229
+rect -96 199 -91 219
+rect -71 199 -61 219
+rect -96 189 -61 199
+rect -211 159 -193 189
+rect -82 155 -64 189
+rect -33 155 -15 249
+rect 14 219 44 229
+rect 14 199 19 219
+rect 39 199 44 219
+rect 14 189 44 199
+rect 16 155 34 189
+rect 65 155 83 249
+rect 179 219 219 229
+rect 179 199 189 219
+rect 209 199 219 219
+rect 179 189 219 199
+rect 189 159 207 189
+rect -211 -51 -193 59
+rect -82 -56 -64 55
+rect -33 -56 -15 55
+rect 16 -56 34 55
+rect 65 -56 83 55
+rect 189 -51 207 59
+rect -211 -171 -193 -151
+rect -82 -171 -64 -156
+rect -33 -171 -15 -156
+rect 16 -171 34 -156
+rect 65 -171 83 -156
+rect 189 -171 207 -151
+<< polycont >>
+rect -31 259 -11 279
+rect 69 259 89 279
+rect -211 199 -191 219
+rect -91 199 -71 219
+rect 19 199 39 219
+rect 189 199 209 219
+<< locali >>
+rect -731 289 -551 429
+rect -731 -231 -711 289
+rect -571 -231 -551 289
+rect -461 139 -341 429
+rect -461 -131 -441 139
+rect -361 -131 -341 139
+rect -461 -151 -341 -131
+rect -311 159 -251 429
+rect -221 219 -181 429
+rect -141 359 -111 429
+rect -141 329 -61 359
+rect -221 199 -211 219
+rect -191 199 -181 219
+rect -221 189 -181 199
+rect -96 219 -61 329
+rect -36 279 -6 429
+rect -36 259 -31 279
+rect -11 259 -6 279
+rect -36 249 -6 259
+rect -96 199 -91 219
+rect -71 199 -61 219
+rect -96 189 -61 199
+rect 14 219 44 429
+rect 109 359 139 429
+rect 64 329 139 359
+rect 64 279 94 329
+rect 64 259 69 279
+rect 89 259 94 279
+rect 64 249 94 259
+rect 14 199 19 219
+rect 39 199 44 219
+rect 14 189 44 199
+rect 179 219 219 429
+rect 179 199 189 219
+rect 209 199 219 219
+rect 179 189 219 199
+rect -311 149 -221 159
+rect -311 69 -241 149
+rect -311 59 -221 69
+rect -181 149 -151 159
+rect -106 149 -88 157
+rect -161 69 -106 149
+rect -181 61 -106 69
+rect -181 59 -88 61
+rect -311 -51 -251 59
+rect -106 53 -88 59
+rect 89 149 107 157
+rect 149 149 179 159
+rect 107 69 159 149
+rect 107 61 179 69
+rect 89 59 179 61
+rect 219 149 409 159
+rect 239 69 409 149
+rect 219 59 409 69
+rect 89 53 107 59
+rect -311 -61 -221 -51
+rect -311 -141 -241 -61
+rect -311 -151 -221 -141
+rect -181 -61 -151 -51
+rect -106 -61 -88 -54
+rect -161 -62 -88 -61
+rect -161 -141 -106 -62
+rect -181 -150 -106 -141
+rect -181 -151 -88 -150
+rect -106 -158 -88 -151
+rect 89 -61 107 -54
+rect 149 -61 179 -51
+rect 89 -62 159 -61
+rect 107 -141 159 -62
+rect 107 -150 179 -141
+rect 89 -151 179 -150
+rect 219 -61 409 -51
+rect 239 -141 409 -61
+rect 219 -151 409 -141
+rect 89 -158 107 -151
+rect -731 -251 -551 -231
+<< properties >>
+string gencell sky130_fd_bs_flash__special_sonosfet_star
+string parameters w 1 l 0.18 m 2 nf 4 diffcov 100 polycov 100 guard 0 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/gds/comparator.gds b/gds/comparator.gds
new file mode 100644
index 0000000..d3dea0b
--- /dev/null
+++ b/gds/comparator.gds
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 6c15cc7..35ca7a7 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/gds/user_analog_project_wrapper_empty.gds b/gds/user_analog_project_wrapper_empty.gds
new file mode 100644
index 0000000..6bb65fc
--- /dev/null
+++ b/gds/user_analog_project_wrapper_empty.gds
Binary files differ
diff --git a/info.yaml b/info.yaml
index d4a0c7b..cacee19 100644
--- a/info.yaml
+++ b/info.yaml
@@ -1,19 +1,17 @@
 ---
 project:
-  description: "An analog project for Google sponsored Open MPW shuttles for SKY130."
+  description: "A set of analog and mixed signal test circuits for Google sponsored Open MPW shuttles for SKY130."
   foundry: "SkyWater"
-  git_url: "https://github.com/efabless/caravel_analog_user.git"
-  organization: "Efabless"
-  organization_url: "http://efabless.com"
-  owner: "Tim Edwards"
+  git_url: "https://github.com/H-S-S-11/caravel_user_project_analog.git"
+  owner: "Harry Snell"
   process: "SKY130"
-  project_name: "Caravel Analog User"
+  project_name: "Sky130 comparator"
   project_id: "00000000"
   tags:
     - "Open MPW"
     - "Test Harness"
   category: "Test Harness"
-  top_level_netlist: "caravel/spi/lvs/caravan.spice"
+  top_level_netlist: "caravel-lite/spi/lvs/caravan.spice"
   user_level_netlist: "netgen/user_analog_project_wrapper.spice"
   version: "1.00"
   cover_image: "docs/source/_static/caravel_harness.png"
diff --git a/mag/.magicrc b/mag/.magicrc
new file mode 100644
index 0000000..cb421a8
--- /dev/null
+++ b/mag/.magicrc
@@ -0,0 +1,74 @@
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# scale to one
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 1
+}
+
+# drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "~/open_sky130/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE maglef
+}
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+}
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
+
+# set units for grid
+snap on
+
+ext2spice scale off
+ext2spice ngspice
\ No newline at end of file
diff --git a/mag/analog_mux.mag b/mag/analog_mux.mag
new file mode 100644
index 0000000..6e520ad
--- /dev/null
+++ b/mag/analog_mux.mag
@@ -0,0 +1,133 @@
+magic
+tech sky130A
+timestamp 1634766604
+<< nwell >>
+rect 1400 -2600 1900 -2100
+<< nnmos >>
+rect 980 -2240 1050 -2190
+rect 980 -2370 1050 -2320
+rect 980 -2480 1050 -2430
+<< mvpmos >>
+rect 1500 -2240 1600 -2190
+rect 1500 -2370 1600 -2320
+rect 1500 -2480 1600 -2430
+<< mvndiff >>
+rect 980 -2160 1050 -2150
+rect 980 -2180 990 -2160
+rect 1040 -2180 1050 -2160
+rect 980 -2190 1050 -2180
+rect 980 -2250 1050 -2240
+rect 980 -2310 990 -2250
+rect 1040 -2310 1050 -2250
+rect 980 -2320 1050 -2310
+rect 980 -2430 1050 -2370
+rect 980 -2490 1050 -2480
+rect 980 -2510 990 -2490
+rect 1040 -2510 1050 -2490
+rect 980 -2520 1050 -2510
+<< mvpdiff >>
+rect 1500 -2160 1600 -2150
+rect 1500 -2180 1510 -2160
+rect 1590 -2180 1600 -2160
+rect 1500 -2190 1600 -2180
+rect 1500 -2250 1600 -2240
+rect 1500 -2310 1510 -2250
+rect 1590 -2310 1600 -2250
+rect 1500 -2320 1600 -2310
+rect 1500 -2380 1600 -2370
+rect 1500 -2410 1510 -2380
+rect 1590 -2410 1600 -2380
+rect 1500 -2430 1600 -2410
+rect 1500 -2490 1600 -2480
+rect 1500 -2510 1510 -2490
+rect 1590 -2510 1600 -2490
+rect 1500 -2520 1600 -2510
+<< mvndiffc >>
+rect 990 -2180 1040 -2160
+rect 990 -2310 1040 -2250
+rect 990 -2510 1040 -2490
+<< mvpdiffc >>
+rect 1510 -2180 1590 -2160
+rect 1510 -2310 1590 -2250
+rect 1510 -2410 1590 -2380
+rect 1510 -2510 1590 -2490
+<< poly >>
+rect 1220 -2190 1340 -2180
+rect 960 -2240 980 -2190
+rect 1050 -2240 1230 -2190
+rect 1330 -2240 1500 -2190
+rect 1600 -2240 1620 -2190
+rect 1220 -2250 1340 -2240
+rect 960 -2370 980 -2320
+rect 1050 -2370 1500 -2320
+rect 1600 -2370 1620 -2320
+rect 960 -2480 980 -2430
+rect 1050 -2480 1500 -2430
+rect 1600 -2480 1620 -2430
+<< polycont >>
+rect 1230 -2240 1330 -2190
+<< locali >>
+rect 800 1850 1050 1900
+rect 1500 1850 1750 1900
+rect 800 -1950 1050 -1900
+rect 980 -2100 1050 -1950
+rect 1500 -1950 1750 -1900
+rect 1500 -1970 1550 -1950
+rect 980 -2160 1050 -2150
+rect 980 -2180 990 -2160
+rect 1040 -2180 1050 -2160
+rect 1400 -2020 1550 -1970
+rect 1220 -2190 1340 -2180
+rect 1400 -2190 1450 -2020
+rect 1500 -2160 1600 -2150
+rect 1500 -2180 1510 -2160
+rect 1590 -2180 1600 -2160
+rect 1100 -2240 1230 -2190
+rect 1330 -2240 1450 -2190
+rect 790 -2310 990 -2250
+rect 1040 -2310 1050 -2250
+rect 1100 -2490 1150 -2240
+rect 1220 -2250 1340 -2240
+rect 1400 -2380 1450 -2240
+rect 1500 -2310 1510 -2250
+rect 1590 -2310 1790 -2250
+rect 1400 -2410 1510 -2380
+rect 1590 -2410 1600 -2380
+rect 980 -2510 990 -2490
+rect 1040 -2510 1150 -2490
+rect 980 -2520 1150 -2510
+rect 1500 -2510 1510 -2490
+rect 1590 -2510 1790 -2490
+rect 1500 -2520 1790 -2510
+<< viali >>
+rect 980 -2150 1050 -2100
+rect 1500 -2150 1600 -2100
+<< metal1 >>
+rect 2750 1150 2800 1550
+rect -50 350 0 750
+rect 2750 -750 2800 -350
+rect -50 -1550 0 -1150
+rect 970 -2100 1610 -2090
+rect 970 -2150 980 -2100
+rect 1050 -2150 1500 -2100
+rect 1600 -2150 1610 -2100
+rect 970 -2160 1610 -2150
+<< metal2 >>
+rect 100 1850 600 1900
+rect 2150 1850 2650 1900
+rect 100 -50 600 0
+rect 2150 -50 2650 0
+rect 100 -1950 600 -1900
+rect 2150 -1950 2650 -1900
+use analog_switch  analog_switch_1
+timestamp 1634419951
+transform 1 0 500 0 1 -1150
+box -500 -750 2250 1100
+use analog_switch  analog_switch_0
+timestamp 1634419951
+transform 1 0 500 0 1 750
+box -500 -750 2250 1100
+<< labels >>
+rlabel locali 990 -2040 1040 -1990 1 EN0
+rlabel locali 1450 -2010 1490 -1980 1 ENB0
+<< end >>
diff --git a/mag/analog_switch.mag b/mag/analog_switch.mag
new file mode 100644
index 0000000..7a3810d
--- /dev/null
+++ b/mag/analog_switch.mag
@@ -0,0 +1,198 @@
+magic
+tech sky130A
+timestamp 1634764606
+<< nwell >>
+rect 800 -600 2150 900
+<< pwell >>
+rect -400 -600 650 900
+<< nnmos >>
+rect 400 -500 450 500
+<< mvpmos >>
+rect 1000 -500 1050 200
+rect 1200 -500 1250 200
+<< mvndiff >>
+rect 300 490 400 500
+rect 300 -490 310 490
+rect 380 -490 400 490
+rect 300 -500 400 -490
+rect 450 490 550 500
+rect 450 -490 470 490
+rect 540 -490 550 490
+rect 450 -500 550 -490
+<< mvpdiff >>
+rect 900 190 1000 200
+rect 900 -490 910 190
+rect 980 -490 1000 190
+rect 900 -500 1000 -490
+rect 1050 180 1200 200
+rect 1050 -490 1070 180
+rect 1180 -490 1200 180
+rect 1050 -500 1200 -490
+rect 1250 190 1350 200
+rect 1250 -490 1270 190
+rect 1340 -490 1350 190
+rect 1250 -500 1350 -490
+<< mvndiffc >>
+rect 310 -490 380 490
+rect 470 -490 540 490
+<< mvpdiffc >>
+rect 910 -490 980 190
+rect 1070 -490 1180 180
+rect 1270 -490 1340 190
+<< mvpsubdiff >>
+rect -400 850 100 900
+rect -400 450 -350 850
+rect 50 450 100 850
+rect -400 400 100 450
+<< mvnsubdiff >>
+rect 1650 -50 2100 0
+rect 1650 -450 1700 -50
+rect 2050 -450 2100 -50
+rect 1650 -500 2100 -450
+<< mvpsubdiffcont >>
+rect -350 450 50 850
+<< mvnsubdiffcont >>
+rect 1700 -450 2050 -50
+<< poly >>
+rect 300 650 550 700
+rect 300 600 350 650
+rect 500 600 550 650
+rect 300 550 550 600
+rect 400 500 450 550
+rect 1000 350 1250 400
+rect 1000 300 1050 350
+rect 1200 300 1250 350
+rect 1000 250 1250 300
+rect 1000 200 1050 250
+rect 1200 200 1250 250
+rect 400 -550 450 -500
+rect 1000 -550 1050 -500
+rect 1200 -550 1250 -500
+rect 300 -600 550 -550
+rect 300 -650 350 -600
+rect 500 -650 550 -600
+rect 300 -700 550 -650
+rect 1000 -600 1250 -550
+rect 1000 -650 1050 -600
+rect 1200 -650 1250 -600
+rect 1000 -700 1250 -650
+<< polycont >>
+rect 350 600 500 650
+rect 1050 300 1200 350
+rect 350 -650 500 -600
+rect 1050 -650 1200 -600
+<< locali >>
+rect -400 850 100 900
+rect -400 450 -350 850
+rect 50 450 100 850
+rect 300 650 550 1100
+rect 300 600 350 650
+rect 500 600 550 650
+rect 300 550 550 600
+rect -400 400 100 450
+rect 470 490 900 500
+rect 540 480 900 490
+rect 540 170 570 480
+rect 880 200 900 480
+rect 1000 350 1250 1100
+rect 1000 300 1050 350
+rect 1200 300 1250 350
+rect 1000 250 1250 300
+rect 880 190 980 200
+rect 880 170 910 190
+rect 540 -490 910 170
+rect 470 -500 980 -490
+rect 1270 190 1350 200
+rect 1340 -490 1350 190
+rect 1270 -500 1350 -490
+rect 1650 -50 2150 0
+rect 1650 -450 1700 -50
+rect 2100 -450 2150 -50
+rect 1650 -500 2150 -450
+rect 300 -600 550 -550
+rect 300 -650 350 -600
+rect 500 -650 550 -600
+rect 300 -750 550 -650
+rect 1000 -600 1250 -550
+rect 1000 -650 1050 -600
+rect 1200 -650 1250 -600
+rect 1000 -750 1250 -650
+<< viali >>
+rect -350 450 50 850
+rect 220 490 380 500
+rect 220 -490 310 490
+rect 310 -490 380 490
+rect 220 -500 380 -490
+rect 570 170 880 480
+rect 1070 180 1180 200
+rect 1070 -490 1180 180
+rect 1070 -500 1180 -490
+rect 1350 -500 1500 500
+rect 1700 -450 2050 -50
+rect 2050 -450 2100 -50
+<< metal1 >>
+rect -400 850 100 900
+rect -400 450 -350 850
+rect 50 450 100 850
+rect -400 400 100 450
+rect 200 500 400 520
+rect 200 0 220 500
+rect -500 -400 220 0
+rect 200 -500 220 -400
+rect 380 0 400 500
+rect 550 500 2250 800
+rect 550 480 1350 500
+rect 550 170 570 480
+rect 880 300 1350 480
+rect 880 170 900 300
+rect 550 150 900 170
+rect 1050 200 1200 210
+rect 1050 0 1070 200
+rect 380 -400 1070 0
+rect 380 -500 400 -400
+rect 200 -520 400 -500
+rect 1050 -500 1070 -400
+rect 1180 -500 1200 200
+rect 1050 -520 1200 -500
+rect 1330 -500 1350 300
+rect 1500 400 2250 500
+rect 1500 -500 1520 400
+rect 1650 -50 2150 0
+rect 1650 -450 1700 -50
+rect 2100 -450 2150 -50
+rect 1650 -500 2150 -450
+rect 1330 -520 1520 -500
+<< via1 >>
+rect -350 450 50 850
+rect 1700 -450 2100 -50
+<< metal2 >>
+rect -400 850 100 1100
+rect -400 450 -350 850
+rect 50 450 100 850
+rect -400 -750 100 450
+rect 1650 -50 2150 1100
+rect 1650 -450 1700 -50
+rect 2100 -450 2150 -50
+rect 1650 -750 2150 -450
+<< labels >>
+rlabel metal1 2200 400 2250 800 1 VOUT
+port 1 n
+rlabel metal1 -500 -400 -450 0 1 VIN
+port 2 n
+rlabel locali 300 1050 550 1100 1 EN
+port 3 n
+rlabel locali 1000 1050 1250 1100 1 ENB
+port 4 n
+rlabel metal2 1650 1050 2150 1100 1 VDD3v3
+port 5 n
+rlabel metal2 -400 1050 100 1100 1 VGND
+port 6 n
+rlabel metal2 -400 -750 100 -700 1 VGND
+port 6 n
+rlabel metal2 1650 -750 2150 -700 1 VDD3v3
+port 5 n
+rlabel locali 300 -750 550 -700 1 EN
+port 3 n
+rlabel locali 1000 -750 1250 -700 1 ENB
+port 4 n
+<< end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index bd86994..6a163f7 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,2341 +1,2274 @@
 magic
 tech sky130A
-magscale 1 2
-timestamp 1620395479
-<< mvpsubdiff >>
-rect 345740 628255 345764 629032
-rect 371078 628255 371102 629032
-<< mvpsubdiffcont >>
-rect 345764 628255 371078 629032
+timestamp 1634290889
 << locali >>
-rect 345748 628255 345764 629032
-rect 371078 628255 371094 629032
+rect 3350 53100 3850 53250
+rect 3650 53070 3850 53100
+rect 3650 53010 4000 53070
+rect 3650 52950 4000 52960
+rect 3150 52900 4000 52950
+rect 3150 52850 3850 52900
+rect 3350 52800 3850 52850
+rect 3550 52720 3850 52750
+rect 3550 52660 4000 52720
+rect 3550 52600 3850 52660
+rect 3550 52450 3750 52600
+rect 6000 52000 6200 52100
+rect 5880 51900 6200 52000
+rect 6000 51700 6200 51900
 << viali >>
-rect 357593 628300 359298 629000
+rect 3150 53050 3350 53250
+rect 3150 52650 3350 52850
+rect 3550 52250 3750 52450
+rect 6200 51700 6600 52100
 << metal1 >>
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
+rect 3600 54100 4400 54200
+rect 1100 53800 1700 53900
+rect 1100 53400 1200 53800
+rect 1600 53400 1700 53800
+rect 3600 53500 3700 54100
+rect 4300 53500 4400 54100
+rect 3600 53400 4400 53500
+rect 4600 54100 5400 54200
+rect 4600 53500 4700 54100
+rect 5300 53500 5400 54100
+rect 4600 53400 5400 53500
+rect 5600 54100 6400 54200
+rect 5600 53500 5700 54100
+rect 6300 53500 6400 54100
+rect 5600 53400 6400 53500
+rect 1100 53300 1700 53400
+rect 1100 53250 3400 53300
+rect 1100 53050 3150 53250
+rect 3350 53050 3400 53250
+rect 4030 53200 4330 53400
+rect 5030 53200 5230 53400
+rect 5630 53200 5830 53400
+rect 1100 53000 3400 53050
+rect 1100 52850 3400 52900
+rect 1100 52650 3150 52850
+rect 3350 52650 3400 52850
+rect 1100 52600 3400 52650
+rect 1100 52500 1700 52600
+rect 1100 52100 1200 52500
+rect 1600 52100 1700 52500
+rect 1100 52000 1700 52100
+rect 2300 52450 3800 52500
+rect 2300 52250 3550 52450
+rect 3750 52250 3800 52450
+rect 2300 52200 3800 52250
+rect 2300 52100 2900 52200
+rect 2300 51700 2400 52100
+rect 2800 51700 2900 52100
+rect 2300 51600 2900 51700
+rect 6100 52100 6700 52200
+rect 6100 51700 6200 52100
+rect 6600 51700 6700 52100
+rect 6100 51500 6700 51700
+rect 6100 51100 6200 51500
+rect 6600 51100 6700 51500
+rect 6100 51000 6700 51100
 << via1 >>
-rect 357538 629000 359388 629399
-rect 357538 628300 357593 629000
-rect 357593 628300 359298 629000
-rect 359298 628300 359388 629000
-rect 357538 628057 359388 628300
+rect 1200 53400 1600 53800
+rect 3700 53500 4300 54100
+rect 4700 53500 5300 54100
+rect 5700 53500 6300 54100
+rect 1200 52100 1600 52500
+rect 2400 51700 2800 52100
+rect 6200 51100 6600 51500
 << metal2 >>
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
-rect 524 -800 636 480
-rect 1706 -800 1818 480
-rect 2888 -800 3000 480
-rect 4070 -800 4182 480
-rect 5252 -800 5364 480
-rect 6434 -800 6546 480
-rect 7616 -800 7728 480
-rect 8798 -800 8910 480
-rect 9980 -800 10092 480
-rect 11162 -800 11274 480
-rect 12344 -800 12456 480
-rect 13526 -800 13638 480
-rect 14708 -800 14820 480
-rect 15890 -800 16002 480
-rect 17072 -800 17184 480
-rect 18254 -800 18366 480
-rect 19436 -800 19548 480
-rect 20618 -800 20730 480
-rect 21800 -800 21912 480
-rect 22982 -800 23094 480
-rect 24164 -800 24276 480
-rect 25346 -800 25458 480
-rect 26528 -800 26640 480
-rect 27710 -800 27822 480
-rect 28892 -800 29004 480
-rect 30074 -800 30186 480
-rect 31256 -800 31368 480
-rect 32438 -800 32550 480
-rect 33620 -800 33732 480
-rect 34802 -800 34914 480
-rect 35984 -800 36096 480
-rect 37166 -800 37278 480
-rect 38348 -800 38460 480
-rect 39530 -800 39642 480
-rect 40712 -800 40824 480
-rect 41894 -800 42006 480
-rect 43076 -800 43188 480
-rect 44258 -800 44370 480
-rect 45440 -800 45552 480
-rect 46622 -800 46734 480
-rect 47804 -800 47916 480
-rect 48986 -800 49098 480
-rect 50168 -800 50280 480
-rect 51350 -800 51462 480
-rect 52532 -800 52644 480
-rect 53714 -800 53826 480
-rect 54896 -800 55008 480
-rect 56078 -800 56190 480
-rect 57260 -800 57372 480
-rect 58442 -800 58554 480
-rect 59624 -800 59736 480
-rect 60806 -800 60918 480
-rect 61988 -800 62100 480
-rect 63170 -800 63282 480
-rect 64352 -800 64464 480
-rect 65534 -800 65646 480
-rect 66716 -800 66828 480
-rect 67898 -800 68010 480
-rect 69080 -800 69192 480
-rect 70262 -800 70374 480
-rect 71444 -800 71556 480
-rect 72626 -800 72738 480
-rect 73808 -800 73920 480
-rect 74990 -800 75102 480
-rect 76172 -800 76284 480
-rect 77354 -800 77466 480
-rect 78536 -800 78648 480
-rect 79718 -800 79830 480
-rect 80900 -800 81012 480
-rect 82082 -800 82194 480
-rect 83264 -800 83376 480
-rect 84446 -800 84558 480
-rect 85628 -800 85740 480
-rect 86810 -800 86922 480
-rect 87992 -800 88104 480
-rect 89174 -800 89286 480
-rect 90356 -800 90468 480
-rect 91538 -800 91650 480
-rect 92720 -800 92832 480
-rect 93902 -800 94014 480
-rect 95084 -800 95196 480
-rect 96266 -800 96378 480
-rect 97448 -800 97560 480
-rect 98630 -800 98742 480
-rect 99812 -800 99924 480
-rect 100994 -800 101106 480
-rect 102176 -800 102288 480
-rect 103358 -800 103470 480
-rect 104540 -800 104652 480
-rect 105722 -800 105834 480
-rect 106904 -800 107016 480
-rect 108086 -800 108198 480
-rect 109268 -800 109380 480
-rect 110450 -800 110562 480
-rect 111632 -800 111744 480
-rect 112814 -800 112926 480
-rect 113996 -800 114108 480
-rect 115178 -800 115290 480
-rect 116360 -800 116472 480
-rect 117542 -800 117654 480
-rect 118724 -800 118836 480
-rect 119906 -800 120018 480
-rect 121088 -800 121200 480
-rect 122270 -800 122382 480
-rect 123452 -800 123564 480
-rect 124634 -800 124746 480
-rect 125816 -800 125928 480
-rect 126998 -800 127110 480
-rect 128180 -800 128292 480
-rect 129362 -800 129474 480
-rect 130544 -800 130656 480
-rect 131726 -800 131838 480
-rect 132908 -800 133020 480
-rect 134090 -800 134202 480
-rect 135272 -800 135384 480
-rect 136454 -800 136566 480
-rect 137636 -800 137748 480
-rect 138818 -800 138930 480
-rect 140000 -800 140112 480
-rect 141182 -800 141294 480
-rect 142364 -800 142476 480
-rect 143546 -800 143658 480
-rect 144728 -800 144840 480
-rect 145910 -800 146022 480
-rect 147092 -800 147204 480
-rect 148274 -800 148386 480
-rect 149456 -800 149568 480
-rect 150638 -800 150750 480
-rect 151820 -800 151932 480
-rect 153002 -800 153114 480
-rect 154184 -800 154296 480
-rect 155366 -800 155478 480
-rect 156548 -800 156660 480
-rect 157730 -800 157842 480
-rect 158912 -800 159024 480
-rect 160094 -800 160206 480
-rect 161276 -800 161388 480
-rect 162458 -800 162570 480
-rect 163640 -800 163752 480
-rect 164822 -800 164934 480
-rect 166004 -800 166116 480
-rect 167186 -800 167298 480
-rect 168368 -800 168480 480
-rect 169550 -800 169662 480
-rect 170732 -800 170844 480
-rect 171914 -800 172026 480
-rect 173096 -800 173208 480
-rect 174278 -800 174390 480
-rect 175460 -800 175572 480
-rect 176642 -800 176754 480
-rect 177824 -800 177936 480
-rect 179006 -800 179118 480
-rect 180188 -800 180300 480
-rect 181370 -800 181482 480
-rect 182552 -800 182664 480
-rect 183734 -800 183846 480
-rect 184916 -800 185028 480
-rect 186098 -800 186210 480
-rect 187280 -800 187392 480
-rect 188462 -800 188574 480
-rect 189644 -800 189756 480
-rect 190826 -800 190938 480
-rect 192008 -800 192120 480
-rect 193190 -800 193302 480
-rect 194372 -800 194484 480
-rect 195554 -800 195666 480
-rect 196736 -800 196848 480
-rect 197918 -800 198030 480
-rect 199100 -800 199212 480
-rect 200282 -800 200394 480
-rect 201464 -800 201576 480
-rect 202646 -800 202758 480
-rect 203828 -800 203940 480
-rect 205010 -800 205122 480
-rect 206192 -800 206304 480
-rect 207374 -800 207486 480
-rect 208556 -800 208668 480
-rect 209738 -800 209850 480
-rect 210920 -800 211032 480
-rect 212102 -800 212214 480
-rect 213284 -800 213396 480
-rect 214466 -800 214578 480
-rect 215648 -800 215760 480
-rect 216830 -800 216942 480
-rect 218012 -800 218124 480
-rect 219194 -800 219306 480
-rect 220376 -800 220488 480
-rect 221558 -800 221670 480
-rect 222740 -800 222852 480
-rect 223922 -800 224034 480
-rect 225104 -800 225216 480
-rect 226286 -800 226398 480
-rect 227468 -800 227580 480
-rect 228650 -800 228762 480
-rect 229832 -800 229944 480
-rect 231014 -800 231126 480
-rect 232196 -800 232308 480
-rect 233378 -800 233490 480
-rect 234560 -800 234672 480
-rect 235742 -800 235854 480
-rect 236924 -800 237036 480
-rect 238106 -800 238218 480
-rect 239288 -800 239400 480
-rect 240470 -800 240582 480
-rect 241652 -800 241764 480
-rect 242834 -800 242946 480
-rect 244016 -800 244128 480
-rect 245198 -800 245310 480
-rect 246380 -800 246492 480
-rect 247562 -800 247674 480
-rect 248744 -800 248856 480
-rect 249926 -800 250038 480
-rect 251108 -800 251220 480
-rect 252290 -800 252402 480
-rect 253472 -800 253584 480
-rect 254654 -800 254766 480
-rect 255836 -800 255948 480
-rect 257018 -800 257130 480
-rect 258200 -800 258312 480
-rect 259382 -800 259494 480
-rect 260564 -800 260676 480
-rect 261746 -800 261858 480
-rect 262928 -800 263040 480
-rect 264110 -800 264222 480
-rect 265292 -800 265404 480
-rect 266474 -800 266586 480
-rect 267656 -800 267768 480
-rect 268838 -800 268950 480
-rect 270020 -800 270132 480
-rect 271202 -800 271314 480
-rect 272384 -800 272496 480
-rect 273566 -800 273678 480
-rect 274748 -800 274860 480
-rect 275930 -800 276042 480
-rect 277112 -800 277224 480
-rect 278294 -800 278406 480
-rect 279476 -800 279588 480
-rect 280658 -800 280770 480
-rect 281840 -800 281952 480
-rect 283022 -800 283134 480
-rect 284204 -800 284316 480
-rect 285386 -800 285498 480
-rect 286568 -800 286680 480
-rect 287750 -800 287862 480
-rect 288932 -800 289044 480
-rect 290114 -800 290226 480
-rect 291296 -800 291408 480
-rect 292478 -800 292590 480
-rect 293660 -800 293772 480
-rect 294842 -800 294954 480
-rect 296024 -800 296136 480
-rect 297206 -800 297318 480
-rect 298388 -800 298500 480
-rect 299570 -800 299682 480
-rect 300752 -800 300864 480
-rect 301934 -800 302046 480
-rect 303116 -800 303228 480
-rect 304298 -800 304410 480
-rect 305480 -800 305592 480
-rect 306662 -800 306774 480
-rect 307844 -800 307956 480
-rect 309026 -800 309138 480
-rect 310208 -800 310320 480
-rect 311390 -800 311502 480
-rect 312572 -800 312684 480
-rect 313754 -800 313866 480
-rect 314936 -800 315048 480
-rect 316118 -800 316230 480
-rect 317300 -800 317412 480
-rect 318482 -800 318594 480
-rect 319664 -800 319776 480
-rect 320846 -800 320958 480
-rect 322028 -800 322140 480
-rect 323210 -800 323322 480
-rect 324392 -800 324504 480
-rect 325574 -800 325686 480
-rect 326756 -800 326868 480
-rect 327938 -800 328050 480
-rect 329120 -800 329232 480
-rect 330302 -800 330414 480
-rect 331484 -800 331596 480
-rect 332666 -800 332778 480
-rect 333848 -800 333960 480
-rect 335030 -800 335142 480
-rect 336212 -800 336324 480
-rect 337394 -800 337506 480
-rect 338576 -800 338688 480
-rect 339758 -800 339870 480
-rect 340940 -800 341052 480
-rect 342122 -800 342234 480
-rect 343304 -800 343416 480
-rect 344486 -800 344598 480
-rect 345668 -800 345780 480
-rect 346850 -800 346962 480
-rect 348032 -800 348144 480
-rect 349214 -800 349326 480
-rect 350396 -800 350508 480
-rect 351578 -800 351690 480
-rect 352760 -800 352872 480
-rect 353942 -800 354054 480
-rect 355124 -800 355236 480
-rect 356306 -800 356418 480
-rect 357488 -800 357600 480
-rect 358670 -800 358782 480
-rect 359852 -800 359964 480
-rect 361034 -800 361146 480
-rect 362216 -800 362328 480
-rect 363398 -800 363510 480
-rect 364580 -800 364692 480
-rect 365762 -800 365874 480
-rect 366944 -800 367056 480
-rect 368126 -800 368238 480
-rect 369308 -800 369420 480
-rect 370490 -800 370602 480
-rect 371672 -800 371784 480
-rect 372854 -800 372966 480
-rect 374036 -800 374148 480
-rect 375218 -800 375330 480
-rect 376400 -800 376512 480
-rect 377582 -800 377694 480
-rect 378764 -800 378876 480
-rect 379946 -800 380058 480
-rect 381128 -800 381240 480
-rect 382310 -800 382422 480
-rect 383492 -800 383604 480
-rect 384674 -800 384786 480
-rect 385856 -800 385968 480
-rect 387038 -800 387150 480
-rect 388220 -800 388332 480
-rect 389402 -800 389514 480
-rect 390584 -800 390696 480
-rect 391766 -800 391878 480
-rect 392948 -800 393060 480
-rect 394130 -800 394242 480
-rect 395312 -800 395424 480
-rect 396494 -800 396606 480
-rect 397676 -800 397788 480
-rect 398858 -800 398970 480
-rect 400040 -800 400152 480
-rect 401222 -800 401334 480
-rect 402404 -800 402516 480
-rect 403586 -800 403698 480
-rect 404768 -800 404880 480
-rect 405950 -800 406062 480
-rect 407132 -800 407244 480
-rect 408314 -800 408426 480
-rect 409496 -800 409608 480
-rect 410678 -800 410790 480
-rect 411860 -800 411972 480
-rect 413042 -800 413154 480
-rect 414224 -800 414336 480
-rect 415406 -800 415518 480
-rect 416588 -800 416700 480
-rect 417770 -800 417882 480
-rect 418952 -800 419064 480
-rect 420134 -800 420246 480
-rect 421316 -800 421428 480
-rect 422498 -800 422610 480
-rect 423680 -800 423792 480
-rect 424862 -800 424974 480
-rect 426044 -800 426156 480
-rect 427226 -800 427338 480
-rect 428408 -800 428520 480
-rect 429590 -800 429702 480
-rect 430772 -800 430884 480
-rect 431954 -800 432066 480
-rect 433136 -800 433248 480
-rect 434318 -800 434430 480
-rect 435500 -800 435612 480
-rect 436682 -800 436794 480
-rect 437864 -800 437976 480
-rect 439046 -800 439158 480
-rect 440228 -800 440340 480
-rect 441410 -800 441522 480
-rect 442592 -800 442704 480
-rect 443774 -800 443886 480
-rect 444956 -800 445068 480
-rect 446138 -800 446250 480
-rect 447320 -800 447432 480
-rect 448502 -800 448614 480
-rect 449684 -800 449796 480
-rect 450866 -800 450978 480
-rect 452048 -800 452160 480
-rect 453230 -800 453342 480
-rect 454412 -800 454524 480
-rect 455594 -800 455706 480
-rect 456776 -800 456888 480
-rect 457958 -800 458070 480
-rect 459140 -800 459252 480
-rect 460322 -800 460434 480
-rect 461504 -800 461616 480
-rect 462686 -800 462798 480
-rect 463868 -800 463980 480
-rect 465050 -800 465162 480
-rect 466232 -800 466344 480
-rect 467414 -800 467526 480
-rect 468596 -800 468708 480
-rect 469778 -800 469890 480
-rect 470960 -800 471072 480
-rect 472142 -800 472254 480
-rect 473324 -800 473436 480
-rect 474506 -800 474618 480
-rect 475688 -800 475800 480
-rect 476870 -800 476982 480
-rect 478052 -800 478164 480
-rect 479234 -800 479346 480
-rect 480416 -800 480528 480
-rect 481598 -800 481710 480
-rect 482780 -800 482892 480
-rect 483962 -800 484074 480
-rect 485144 -800 485256 480
-rect 486326 -800 486438 480
-rect 487508 -800 487620 480
-rect 488690 -800 488802 480
-rect 489872 -800 489984 480
-rect 491054 -800 491166 480
-rect 492236 -800 492348 480
-rect 493418 -800 493530 480
-rect 494600 -800 494712 480
-rect 495782 -800 495894 480
-rect 496964 -800 497076 480
-rect 498146 -800 498258 480
-rect 499328 -800 499440 480
-rect 500510 -800 500622 480
-rect 501692 -800 501804 480
-rect 502874 -800 502986 480
-rect 504056 -800 504168 480
-rect 505238 -800 505350 480
-rect 506420 -800 506532 480
-rect 507602 -800 507714 480
-rect 508784 -800 508896 480
-rect 509966 -800 510078 480
-rect 511148 -800 511260 480
-rect 512330 -800 512442 480
-rect 513512 -800 513624 480
-rect 514694 -800 514806 480
-rect 515876 -800 515988 480
-rect 517058 -800 517170 480
-rect 518240 -800 518352 480
-rect 519422 -800 519534 480
-rect 520604 -800 520716 480
-rect 521786 -800 521898 480
-rect 522968 -800 523080 480
-rect 524150 -800 524262 480
-rect 525332 -800 525444 480
-rect 526514 -800 526626 480
-rect 527696 -800 527808 480
-rect 528878 -800 528990 480
-rect 530060 -800 530172 480
-rect 531242 -800 531354 480
-rect 532424 -800 532536 480
-rect 533606 -800 533718 480
-rect 534788 -800 534900 480
-rect 535970 -800 536082 480
-rect 537152 -800 537264 480
-rect 538334 -800 538446 480
-rect 539516 -800 539628 480
-rect 540698 -800 540810 480
-rect 541880 -800 541992 480
-rect 543062 -800 543174 480
-rect 544244 -800 544356 480
-rect 545426 -800 545538 480
-rect 546608 -800 546720 480
-rect 547790 -800 547902 480
-rect 548972 -800 549084 480
-rect 550154 -800 550266 480
-rect 551336 -800 551448 480
-rect 552518 -800 552630 480
-rect 553700 -800 553812 480
-rect 554882 -800 554994 480
-rect 556064 -800 556176 480
-rect 557246 -800 557358 480
-rect 558428 -800 558540 480
-rect 559610 -800 559722 480
-rect 560792 -800 560904 480
-rect 561974 -800 562086 480
-rect 563156 -800 563268 480
-rect 564338 -800 564450 480
-rect 565520 -800 565632 480
-rect 566702 -800 566814 480
-rect 567884 -800 567996 480
-rect 569066 -800 569178 480
-rect 570248 -800 570360 480
-rect 571430 -800 571542 480
-rect 572612 -800 572724 480
-rect 573794 -800 573906 480
-rect 574976 -800 575088 480
-rect 576158 -800 576270 480
-rect 577340 -800 577452 480
-rect 578522 -800 578634 480
-rect 579704 -800 579816 480
-rect 580886 -800 580998 480
-rect 582068 -800 582180 480
-rect 583250 -800 583362 480
+rect 5600 319100 6400 319200
+rect 5600 317000 5700 319100
+rect 6300 317000 6400 319100
+rect 4600 104600 5400 104700
+rect 4600 102600 4700 104600
+rect 5300 102600 5400 104600
+rect 3600 83600 4400 83700
+rect 3600 81600 3700 83600
+rect 4300 81600 4400 83600
+rect 1100 62600 1700 62700
+rect 1100 62200 1200 62600
+rect 1600 62200 1700 62600
+rect 1100 53800 1700 62200
+rect 1100 53400 1200 53800
+rect 1600 53400 1700 53800
+rect 3600 54100 4400 81600
+rect 3600 53500 3700 54100
+rect 4300 53500 4400 54100
+rect 3600 53400 4400 53500
+rect 4600 54100 5400 102600
+rect 4600 53500 4700 54100
+rect 5300 53500 5400 54100
+rect 4600 53400 5400 53500
+rect 5600 54100 6400 317000
+rect 5600 53500 5700 54100
+rect 6300 53500 6400 54100
+rect 5600 53400 6400 53500
+rect 1100 53300 1700 53400
+rect 1100 52500 1700 52600
+rect 1100 52100 1200 52500
+rect 1600 52100 1700 52500
+rect 1100 41000 1700 52100
+rect 1100 40600 1200 41000
+rect 1600 40600 1700 41000
+rect 1100 40500 1700 40600
+rect 2300 52100 2900 52200
+rect 2300 51700 2400 52100
+rect 2800 51700 2900 52100
+rect 2300 19400 2900 51700
+rect 2300 19000 2400 19400
+rect 2800 19000 2900 19400
+rect 2300 18900 2900 19000
+rect 3500 51500 6700 51600
+rect 3500 51100 6200 51500
+rect 6600 51100 6700 51500
+rect 3500 51000 6700 51100
+rect 3500 8700 4100 51000
+rect 3500 8300 3600 8700
+rect 4000 8300 4100 8700
+rect 3500 7600 4100 8300
+rect 3500 4000 4100 7000
+rect 3500 3600 3600 4000
+rect 4000 3600 4100 4000
+rect 3500 3500 4100 3600
+rect 262 -400 318 240
+rect 853 -400 909 240
+rect 1444 -400 1500 240
+rect 2035 -400 2091 240
+rect 2626 -400 2682 240
+rect 3217 -400 3273 240
+rect 3808 -400 3864 240
+rect 4399 -400 4455 240
+rect 4990 -400 5046 240
+rect 5581 -400 5637 240
+rect 6172 -400 6228 240
+rect 6763 -400 6819 240
+rect 7354 -400 7410 240
+rect 7945 -400 8001 240
+rect 8536 -400 8592 240
+rect 9127 -400 9183 240
+rect 9718 -400 9774 240
+rect 10309 -400 10365 240
+rect 10900 -400 10956 240
+rect 11491 -400 11547 240
+rect 12082 -400 12138 240
+rect 12673 -400 12729 240
+rect 13264 -400 13320 240
+rect 13855 -400 13911 240
+rect 14446 -400 14502 240
+rect 15037 -400 15093 240
+rect 15628 -400 15684 240
+rect 16219 -400 16275 240
+rect 16810 -400 16866 240
+rect 17401 -400 17457 240
+rect 17992 -400 18048 240
+rect 18583 -400 18639 240
+rect 19174 -400 19230 240
+rect 19765 -400 19821 240
+rect 20356 -400 20412 240
+rect 20947 -400 21003 240
+rect 21538 -400 21594 240
+rect 22129 -400 22185 240
+rect 22720 -400 22776 240
+rect 23311 -400 23367 240
+rect 23902 -400 23958 240
+rect 24493 -400 24549 240
+rect 25084 -400 25140 240
+rect 25675 -400 25731 240
+rect 26266 -400 26322 240
+rect 26857 -400 26913 240
+rect 27448 -400 27504 240
+rect 28039 -400 28095 240
+rect 28630 -400 28686 240
+rect 29221 -400 29277 240
+rect 29812 -400 29868 240
+rect 30403 -400 30459 240
+rect 30994 -400 31050 240
+rect 31585 -400 31641 240
+rect 32176 -400 32232 240
+rect 32767 -400 32823 240
+rect 33358 -400 33414 240
+rect 33949 -400 34005 240
+rect 34540 -400 34596 240
+rect 35131 -400 35187 240
+rect 35722 -400 35778 240
+rect 36313 -400 36369 240
+rect 36904 -400 36960 240
+rect 37495 -400 37551 240
+rect 38086 -400 38142 240
+rect 38677 -400 38733 240
+rect 39268 -400 39324 240
+rect 39859 -400 39915 240
+rect 40450 -400 40506 240
+rect 41041 -400 41097 240
+rect 41632 -400 41688 240
+rect 42223 -400 42279 240
+rect 42814 -400 42870 240
+rect 43405 -400 43461 240
+rect 43996 -400 44052 240
+rect 44587 -400 44643 240
+rect 45178 -400 45234 240
+rect 45769 -400 45825 240
+rect 46360 -400 46416 240
+rect 46951 -400 47007 240
+rect 47542 -400 47598 240
+rect 48133 -400 48189 240
+rect 48724 -400 48780 240
+rect 49315 -400 49371 240
+rect 49906 -400 49962 240
+rect 50497 -400 50553 240
+rect 51088 -400 51144 240
+rect 51679 -400 51735 240
+rect 52270 -400 52326 240
+rect 52861 -400 52917 240
+rect 53452 -400 53508 240
+rect 54043 -400 54099 240
+rect 54634 -400 54690 240
+rect 55225 -400 55281 240
+rect 55816 -400 55872 240
+rect 56407 -400 56463 240
+rect 56998 -400 57054 240
+rect 57589 -400 57645 240
+rect 58180 -400 58236 240
+rect 58771 -400 58827 240
+rect 59362 -400 59418 240
+rect 59953 -400 60009 240
+rect 60544 -400 60600 240
+rect 61135 -400 61191 240
+rect 61726 -400 61782 240
+rect 62317 -400 62373 240
+rect 62908 -400 62964 240
+rect 63499 -400 63555 240
+rect 64090 -400 64146 240
+rect 64681 -400 64737 240
+rect 65272 -400 65328 240
+rect 65863 -400 65919 240
+rect 66454 -400 66510 240
+rect 67045 -400 67101 240
+rect 67636 -400 67692 240
+rect 68227 -400 68283 240
+rect 68818 -400 68874 240
+rect 69409 -400 69465 240
+rect 70000 -400 70056 240
+rect 70591 -400 70647 240
+rect 71182 -400 71238 240
+rect 71773 -400 71829 240
+rect 72364 -400 72420 240
+rect 72955 -400 73011 240
+rect 73546 -400 73602 240
+rect 74137 -400 74193 240
+rect 74728 -400 74784 240
+rect 75319 -400 75375 240
+rect 75910 -400 75966 240
+rect 76501 -400 76557 240
+rect 77092 -400 77148 240
+rect 77683 -400 77739 240
+rect 78274 -400 78330 240
+rect 78865 -400 78921 240
+rect 79456 -400 79512 240
+rect 80047 -400 80103 240
+rect 80638 -400 80694 240
+rect 81229 -400 81285 240
+rect 81820 -400 81876 240
+rect 82411 -400 82467 240
+rect 83002 -400 83058 240
+rect 83593 -400 83649 240
+rect 84184 -400 84240 240
+rect 84775 -400 84831 240
+rect 85366 -400 85422 240
+rect 85957 -400 86013 240
+rect 86548 -400 86604 240
+rect 87139 -400 87195 240
+rect 87730 -400 87786 240
+rect 88321 -400 88377 240
+rect 88912 -400 88968 240
+rect 89503 -400 89559 240
+rect 90094 -400 90150 240
+rect 90685 -400 90741 240
+rect 91276 -400 91332 240
+rect 91867 -400 91923 240
+rect 92458 -400 92514 240
+rect 93049 -400 93105 240
+rect 93640 -400 93696 240
+rect 94231 -400 94287 240
+rect 94822 -400 94878 240
+rect 95413 -400 95469 240
+rect 96004 -400 96060 240
+rect 96595 -400 96651 240
+rect 97186 -400 97242 240
+rect 97777 -400 97833 240
+rect 98368 -400 98424 240
+rect 98959 -400 99015 240
+rect 99550 -400 99606 240
+rect 100141 -400 100197 240
+rect 100732 -400 100788 240
+rect 101323 -400 101379 240
+rect 101914 -400 101970 240
+rect 102505 -400 102561 240
+rect 103096 -400 103152 240
+rect 103687 -400 103743 240
+rect 104278 -400 104334 240
+rect 104869 -400 104925 240
+rect 105460 -400 105516 240
+rect 106051 -400 106107 240
+rect 106642 -400 106698 240
+rect 107233 -400 107289 240
+rect 107824 -400 107880 240
+rect 108415 -400 108471 240
+rect 109006 -400 109062 240
+rect 109597 -400 109653 240
+rect 110188 -400 110244 240
+rect 110779 -400 110835 240
+rect 111370 -400 111426 240
+rect 111961 -400 112017 240
+rect 112552 -400 112608 240
+rect 113143 -400 113199 240
+rect 113734 -400 113790 240
+rect 114325 -400 114381 240
+rect 114916 -400 114972 240
+rect 115507 -400 115563 240
+rect 116098 -400 116154 240
+rect 116689 -400 116745 240
+rect 117280 -400 117336 240
+rect 117871 -400 117927 240
+rect 118462 -400 118518 240
+rect 119053 -400 119109 240
+rect 119644 -400 119700 240
+rect 120235 -400 120291 240
+rect 120826 -400 120882 240
+rect 121417 -400 121473 240
+rect 122008 -400 122064 240
+rect 122599 -400 122655 240
+rect 123190 -400 123246 240
+rect 123781 -400 123837 240
+rect 124372 -400 124428 240
+rect 124963 -400 125019 240
+rect 125554 -400 125610 240
+rect 126145 -400 126201 240
+rect 126736 -400 126792 240
+rect 127327 -400 127383 240
+rect 127918 -400 127974 240
+rect 128509 -400 128565 240
+rect 129100 -400 129156 240
+rect 129691 -400 129747 240
+rect 130282 -400 130338 240
+rect 130873 -400 130929 240
+rect 131464 -400 131520 240
+rect 132055 -400 132111 240
+rect 132646 -400 132702 240
+rect 133237 -400 133293 240
+rect 133828 -400 133884 240
+rect 134419 -400 134475 240
+rect 135010 -400 135066 240
+rect 135601 -400 135657 240
+rect 136192 -400 136248 240
+rect 136783 -400 136839 240
+rect 137374 -400 137430 240
+rect 137965 -400 138021 240
+rect 138556 -400 138612 240
+rect 139147 -400 139203 240
+rect 139738 -400 139794 240
+rect 140329 -400 140385 240
+rect 140920 -400 140976 240
+rect 141511 -400 141567 240
+rect 142102 -400 142158 240
+rect 142693 -400 142749 240
+rect 143284 -400 143340 240
+rect 143875 -400 143931 240
+rect 144466 -400 144522 240
+rect 145057 -400 145113 240
+rect 145648 -400 145704 240
+rect 146239 -400 146295 240
+rect 146830 -400 146886 240
+rect 147421 -400 147477 240
+rect 148012 -400 148068 240
+rect 148603 -400 148659 240
+rect 149194 -400 149250 240
+rect 149785 -400 149841 240
+rect 150376 -400 150432 240
+rect 150967 -400 151023 240
+rect 151558 -400 151614 240
+rect 152149 -400 152205 240
+rect 152740 -400 152796 240
+rect 153331 -400 153387 240
+rect 153922 -400 153978 240
+rect 154513 -400 154569 240
+rect 155104 -400 155160 240
+rect 155695 -400 155751 240
+rect 156286 -400 156342 240
+rect 156877 -400 156933 240
+rect 157468 -400 157524 240
+rect 158059 -400 158115 240
+rect 158650 -400 158706 240
+rect 159241 -400 159297 240
+rect 159832 -400 159888 240
+rect 160423 -400 160479 240
+rect 161014 -400 161070 240
+rect 161605 -400 161661 240
+rect 162196 -400 162252 240
+rect 162787 -400 162843 240
+rect 163378 -400 163434 240
+rect 163969 -400 164025 240
+rect 164560 -400 164616 240
+rect 165151 -400 165207 240
+rect 165742 -400 165798 240
+rect 166333 -400 166389 240
+rect 166924 -400 166980 240
+rect 167515 -400 167571 240
+rect 168106 -400 168162 240
+rect 168697 -400 168753 240
+rect 169288 -400 169344 240
+rect 169879 -400 169935 240
+rect 170470 -400 170526 240
+rect 171061 -400 171117 240
+rect 171652 -400 171708 240
+rect 172243 -400 172299 240
+rect 172834 -400 172890 240
+rect 173425 -400 173481 240
+rect 174016 -400 174072 240
+rect 174607 -400 174663 240
+rect 175198 -400 175254 240
+rect 175789 -400 175845 240
+rect 176380 -400 176436 240
+rect 176971 -400 177027 240
+rect 177562 -400 177618 240
+rect 178153 -400 178209 240
+rect 178744 -400 178800 240
+rect 179335 -400 179391 240
+rect 179926 -400 179982 240
+rect 180517 -400 180573 240
+rect 181108 -400 181164 240
+rect 181699 -400 181755 240
+rect 182290 -400 182346 240
+rect 182881 -400 182937 240
+rect 183472 -400 183528 240
+rect 184063 -400 184119 240
+rect 184654 -400 184710 240
+rect 185245 -400 185301 240
+rect 185836 -400 185892 240
+rect 186427 -400 186483 240
+rect 187018 -400 187074 240
+rect 187609 -400 187665 240
+rect 188200 -400 188256 240
+rect 188791 -400 188847 240
+rect 189382 -400 189438 240
+rect 189973 -400 190029 240
+rect 190564 -400 190620 240
+rect 191155 -400 191211 240
+rect 191746 -400 191802 240
+rect 192337 -400 192393 240
+rect 192928 -400 192984 240
+rect 193519 -400 193575 240
+rect 194110 -400 194166 240
+rect 194701 -400 194757 240
+rect 195292 -400 195348 240
+rect 195883 -400 195939 240
+rect 196474 -400 196530 240
+rect 197065 -400 197121 240
+rect 197656 -400 197712 240
+rect 198247 -400 198303 240
+rect 198838 -400 198894 240
+rect 199429 -400 199485 240
+rect 200020 -400 200076 240
+rect 200611 -400 200667 240
+rect 201202 -400 201258 240
+rect 201793 -400 201849 240
+rect 202384 -400 202440 240
+rect 202975 -400 203031 240
+rect 203566 -400 203622 240
+rect 204157 -400 204213 240
+rect 204748 -400 204804 240
+rect 205339 -400 205395 240
+rect 205930 -400 205986 240
+rect 206521 -400 206577 240
+rect 207112 -400 207168 240
+rect 207703 -400 207759 240
+rect 208294 -400 208350 240
+rect 208885 -400 208941 240
+rect 209476 -400 209532 240
+rect 210067 -400 210123 240
+rect 210658 -400 210714 240
+rect 211249 -400 211305 240
+rect 211840 -400 211896 240
+rect 212431 -400 212487 240
+rect 213022 -400 213078 240
+rect 213613 -400 213669 240
+rect 214204 -400 214260 240
+rect 214795 -400 214851 240
+rect 215386 -400 215442 240
+rect 215977 -400 216033 240
+rect 216568 -400 216624 240
+rect 217159 -400 217215 240
+rect 217750 -400 217806 240
+rect 218341 -400 218397 240
+rect 218932 -400 218988 240
+rect 219523 -400 219579 240
+rect 220114 -400 220170 240
+rect 220705 -400 220761 240
+rect 221296 -400 221352 240
+rect 221887 -400 221943 240
+rect 222478 -400 222534 240
+rect 223069 -400 223125 240
+rect 223660 -400 223716 240
+rect 224251 -400 224307 240
+rect 224842 -400 224898 240
+rect 225433 -400 225489 240
+rect 226024 -400 226080 240
+rect 226615 -400 226671 240
+rect 227206 -400 227262 240
+rect 227797 -400 227853 240
+rect 228388 -400 228444 240
+rect 228979 -400 229035 240
+rect 229570 -400 229626 240
+rect 230161 -400 230217 240
+rect 230752 -400 230808 240
+rect 231343 -400 231399 240
+rect 231934 -400 231990 240
+rect 232525 -400 232581 240
+rect 233116 -400 233172 240
+rect 233707 -400 233763 240
+rect 234298 -400 234354 240
+rect 234889 -400 234945 240
+rect 235480 -400 235536 240
+rect 236071 -400 236127 240
+rect 236662 -400 236718 240
+rect 237253 -400 237309 240
+rect 237844 -400 237900 240
+rect 238435 -400 238491 240
+rect 239026 -400 239082 240
+rect 239617 -400 239673 240
+rect 240208 -400 240264 240
+rect 240799 -400 240855 240
+rect 241390 -400 241446 240
+rect 241981 -400 242037 240
+rect 242572 -400 242628 240
+rect 243163 -400 243219 240
+rect 243754 -400 243810 240
+rect 244345 -400 244401 240
+rect 244936 -400 244992 240
+rect 245527 -400 245583 240
+rect 246118 -400 246174 240
+rect 246709 -400 246765 240
+rect 247300 -400 247356 240
+rect 247891 -400 247947 240
+rect 248482 -400 248538 240
+rect 249073 -400 249129 240
+rect 249664 -400 249720 240
+rect 250255 -400 250311 240
+rect 250846 -400 250902 240
+rect 251437 -400 251493 240
+rect 252028 -400 252084 240
+rect 252619 -400 252675 240
+rect 253210 -400 253266 240
+rect 253801 -400 253857 240
+rect 254392 -400 254448 240
+rect 254983 -400 255039 240
+rect 255574 -400 255630 240
+rect 256165 -400 256221 240
+rect 256756 -400 256812 240
+rect 257347 -400 257403 240
+rect 257938 -400 257994 240
+rect 258529 -400 258585 240
+rect 259120 -400 259176 240
+rect 259711 -400 259767 240
+rect 260302 -400 260358 240
+rect 260893 -400 260949 240
+rect 261484 -400 261540 240
+rect 262075 -400 262131 240
+rect 262666 -400 262722 240
+rect 263257 -400 263313 240
+rect 263848 -400 263904 240
+rect 264439 -400 264495 240
+rect 265030 -400 265086 240
+rect 265621 -400 265677 240
+rect 266212 -400 266268 240
+rect 266803 -400 266859 240
+rect 267394 -400 267450 240
+rect 267985 -400 268041 240
+rect 268576 -400 268632 240
+rect 269167 -400 269223 240
+rect 269758 -400 269814 240
+rect 270349 -400 270405 240
+rect 270940 -400 270996 240
+rect 271531 -400 271587 240
+rect 272122 -400 272178 240
+rect 272713 -400 272769 240
+rect 273304 -400 273360 240
+rect 273895 -400 273951 240
+rect 274486 -400 274542 240
+rect 275077 -400 275133 240
+rect 275668 -400 275724 240
+rect 276259 -400 276315 240
+rect 276850 -400 276906 240
+rect 277441 -400 277497 240
+rect 278032 -400 278088 240
+rect 278623 -400 278679 240
+rect 279214 -400 279270 240
+rect 279805 -400 279861 240
+rect 280396 -400 280452 240
+rect 280987 -400 281043 240
+rect 281578 -400 281634 240
+rect 282169 -400 282225 240
+rect 282760 -400 282816 240
+rect 283351 -400 283407 240
+rect 283942 -400 283998 240
+rect 284533 -400 284589 240
+rect 285124 -400 285180 240
+rect 285715 -400 285771 240
+rect 286306 -400 286362 240
+rect 286897 -400 286953 240
+rect 287488 -400 287544 240
+rect 288079 -400 288135 240
+rect 288670 -400 288726 240
+rect 289261 -400 289317 240
+rect 289852 -400 289908 240
+rect 290443 -400 290499 240
+rect 291034 -400 291090 240
+rect 291625 -400 291681 240
+<< rmetal2 >>
+rect 3500 7000 4100 7600
 << via2 >>
-rect 357538 628057 359388 629399
+rect 5700 317000 6300 319100
+rect 4700 102600 5300 104600
+rect 3700 81600 4300 83600
+rect 1200 62200 1600 62600
+rect 1200 40600 1600 41000
+rect 2400 19000 2800 19400
+rect 3600 8300 4000 8700
+rect 3600 3600 4000 4000
 << metal3 >>
-rect 16194 702300 21194 704800
-rect 68194 702300 73194 704800
-rect 120194 702300 125194 704800
-rect 165594 702300 170594 704800
-rect 170894 690603 173094 704800
-rect -800 680242 1700 685242
-rect 170894 683764 173094 684327
-rect 173394 690603 175594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 173394 683764 175594 684327
-rect 222594 690636 224794 704800
-rect 222594 683913 224794 684360
-rect 225094 690636 227294 704800
-rect 227594 702300 232594 704800
-rect 225094 683913 227294 684360
-rect 318994 649497 323994 704800
-rect 324294 690618 326494 704800
-rect 326794 694292 328994 704800
-rect 329294 694292 334294 704800
-rect 413394 702300 418394 704800
-rect 465394 702300 470394 704800
-rect 326794 692092 334294 694292
-rect 324294 684038 326494 684344
-rect -800 643842 1660 648642
-rect 318994 642983 323994 643740
-rect 329294 649497 334294 692092
-rect 329294 642983 334294 643740
-rect 510594 690564 515394 704800
-rect -800 633842 1660 638642
-rect 510594 637598 515394 684332
-rect 510594 631116 515394 631780
-rect 520594 690564 525394 704800
-rect 566594 702300 571594 704800
-rect 520594 637598 525394 684332
-rect 582300 677984 584800 682984
-rect 560050 639784 560566 644584
-rect 566742 639784 584800 644584
-rect 520594 631116 525394 631780
-rect 560050 629784 560566 634584
-rect 566742 629784 584800 634584
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
-rect 339960 620294 345660 620363
-rect 371099 620302 533609 620371
-rect -800 559442 1660 564242
-rect -800 549442 1660 554242
-rect 339960 511642 340072 620294
-rect 341733 619574 341739 619684
-rect 341849 619637 341855 619684
-rect 533089 619645 533095 619647
-rect 341849 619577 345660 619637
-rect 371099 619585 533095 619645
-rect 533089 619583 533095 619585
-rect 533159 619583 533165 619647
-rect 341849 619574 341855 619577
-rect 533105 619280 533111 619282
-rect -800 511530 340072 511642
-rect 340967 619212 345660 619272
-rect 371099 619220 533111 619280
-rect 533105 619218 533111 619220
-rect 533175 619218 533181 619282
-rect -800 510348 480 510460
-rect -800 509166 480 509278
-rect -800 507984 480 508096
-rect -800 506802 480 506914
-rect -800 505620 480 505732
-rect -800 468308 480 468420
-rect -800 467126 480 467238
-rect -800 465944 480 466056
-rect -800 464762 480 464874
-rect 340967 463692 341079 619212
-rect -800 463580 341079 463692
-rect 341738 618632 341850 618638
-rect -800 462398 13894 462510
-rect 17564 462398 17711 462510
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect -800 421540 480 421652
-rect 341738 420470 341850 618520
-rect -800 420358 341850 420470
-rect -800 419176 13887 419288
-rect 17599 419176 17694 419288
-rect 533497 405408 533609 620302
-rect 533894 619647 533958 619653
-rect 533958 619585 539606 619645
-rect 533894 619577 533958 619583
-rect 533904 619282 533968 619288
-rect 533968 619220 537488 619280
-rect 533904 619212 533968 619218
-rect 537376 454558 537488 619220
-rect 539494 498980 539606 619585
-rect 583520 589472 584800 589584
-rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
-rect 583520 585926 584800 586038
-rect 583520 584744 584800 584856
-rect 583520 583562 584800 583674
-rect 555452 550562 556229 555362
-rect 562346 550562 584800 555362
-rect 555452 540562 556229 545362
-rect 562346 540562 584800 545362
-rect 573371 500050 573548 500162
-rect 576743 500050 584800 500162
-rect 539494 498868 584800 498980
-rect 583520 497686 584800 497798
-rect 583520 496504 584800 496616
-rect 583520 495322 584800 495434
-rect 583520 494140 584800 494252
-rect 573405 455628 573556 455740
-rect 576731 455628 584800 455740
-rect 537376 454446 584800 454558
-rect 583520 453264 584800 453376
-rect 583520 452082 584800 452194
-rect 583520 450900 584800 451012
-rect 583520 449718 584800 449830
-rect 583520 411206 584800 411318
-rect 583520 410024 584800 410136
-rect 583520 408842 584800 408954
-rect 583520 407660 584800 407772
-rect 583520 406478 584800 406590
-rect 533497 405296 584800 405408
-rect -800 381864 480 381976
-rect -800 380682 480 380794
-rect -800 379500 480 379612
-rect -800 378318 480 378430
-rect -800 377136 480 377248
-rect -800 375954 480 376066
-rect 583520 364784 584800 364896
-rect 583520 363602 584800 363714
-rect 583520 362420 584800 362532
-rect 583520 361238 584800 361350
-rect 583520 360056 584800 360168
-rect 583520 358874 584800 358986
-rect -800 338642 480 338754
-rect -800 337460 480 337572
-rect -800 336278 480 336390
-rect -800 335096 480 335208
-rect -800 333914 480 334026
-rect -800 332732 480 332844
-rect 583520 319562 584800 319674
-rect 583520 318380 584800 318492
-rect 583520 317198 584800 317310
-rect 583520 316016 584800 316128
-rect 583520 314834 584800 314946
-rect 583520 313652 584800 313764
-rect -800 295420 480 295532
-rect -800 294238 480 294350
-rect -800 293056 480 293168
-rect -800 291874 480 291986
-rect -800 290692 480 290804
-rect -800 289510 480 289622
-rect 583520 275140 584800 275252
-rect 583520 273958 584800 274070
-rect 583520 272776 584800 272888
-rect 583520 271594 584800 271706
-rect 583520 270412 584800 270524
-rect 583520 269230 584800 269342
-rect -800 252398 480 252510
-rect -800 251216 480 251328
-rect -800 250034 480 250146
-rect -800 248852 480 248964
-rect -800 247670 480 247782
-rect -800 246488 480 246600
-rect 582340 235230 584800 240030
-rect 582340 225230 584800 230030
-rect -800 214888 1660 219688
-rect -800 204888 1660 209688
-rect 13406 191430 13991 196230
-rect 17427 191430 573605 196230
-rect 576629 191430 584800 196230
-rect 582340 181430 584800 186230
-rect -800 172888 1660 177688
-rect -800 162888 1660 167688
-rect 582340 146830 584800 151630
-rect 582340 136830 584800 141630
-rect -800 124776 480 124888
-rect -800 123594 480 123706
-rect -800 122412 480 122524
-rect -800 121230 480 121342
-rect -800 120048 480 120160
-rect -800 118866 480 118978
-rect 583520 95118 584800 95230
-rect 583520 93936 584800 94048
-rect 583520 92754 584800 92866
-rect 583520 91572 584800 91684
-rect -800 81554 480 81666
-rect -800 80372 480 80484
-rect -800 79190 480 79302
-rect -800 78008 480 78120
-rect -800 76826 480 76938
-rect -800 75644 480 75756
-rect 583520 50460 584800 50572
-rect 583520 49278 584800 49390
-rect 583520 48096 584800 48208
-rect 583520 46914 584800 47026
-rect -800 38332 480 38444
-rect -800 37150 480 37262
-rect -800 35968 480 36080
-rect -800 34786 480 34898
-rect -800 33604 480 33716
-rect -800 32422 480 32534
-rect 583520 24002 584800 24114
-rect 583520 22820 584800 22932
-rect 583520 21638 584800 21750
-rect 583520 20456 584800 20568
-rect 583520 19274 584800 19386
-rect 583520 18092 584800 18204
-rect -800 16910 480 17022
-rect 583520 16910 584800 17022
-rect -800 15728 480 15840
-rect 583520 15728 584800 15840
-rect -800 14546 480 14658
-rect 583520 14546 584800 14658
-rect -800 13364 480 13476
-rect 583520 13364 584800 13476
-rect -800 12182 480 12294
-rect 583520 12182 584800 12294
-rect -800 11000 480 11112
-rect 583520 11000 584800 11112
-rect -800 9818 480 9930
-rect 583520 9818 584800 9930
-rect -800 8636 480 8748
-rect 583520 8636 584800 8748
-rect -800 7454 480 7566
-rect 583520 7454 584800 7566
-rect -800 6272 480 6384
-rect 583520 6272 584800 6384
-rect -800 5090 480 5202
-rect 583520 5090 584800 5202
-rect -800 3908 480 4020
-rect 583520 3908 584800 4020
-rect -800 2726 480 2838
-rect 583520 2726 584800 2838
-rect -800 1544 480 1656
-rect 583520 1544 584800 1656
-<< via3 >>
-rect 170894 684327 173094 690603
-rect 173394 684327 175594 690603
-rect 222594 684360 224794 690636
-rect 225094 684360 227294 690636
-rect 324294 684344 326494 690618
-rect 318994 643740 323994 649497
-rect 329294 643740 334294 649497
-rect 510594 684332 515394 690564
-rect 510594 631780 515394 637598
-rect 520594 684332 525394 690564
-rect 560566 639784 566742 644584
-rect 520594 631780 525394 637598
-rect 560566 629784 566742 634584
-rect 357538 628057 359388 629399
-rect 341739 619574 341849 619684
-rect 533095 619583 533159 619647
-rect 533111 619218 533175 619282
-rect 341738 618520 341850 618632
-rect 13894 462398 17564 462510
-rect 13887 419176 17599 419288
-rect 533894 619583 533958 619647
-rect 533904 619218 533968 619282
-rect 556229 550562 562346 555362
-rect 556229 540562 562346 545362
-rect 573548 500050 576743 500162
-rect 573556 455628 576731 455740
-rect 13991 191430 17427 196230
-rect 573605 191430 576629 196230
+rect 8097 351150 10597 352400
+rect 34097 351150 36597 352400
+rect 60097 351150 62597 352400
+rect 82797 351150 85297 352400
+rect 85447 351150 86547 352400
+rect 86697 351150 87797 352400
+rect 87947 351150 90447 352400
+rect 108647 351150 111147 352400
+rect 111297 351150 112397 352400
+rect 112547 351150 113647 352400
+rect 113797 351150 116297 352400
+rect 159497 351150 161997 352400
+rect 162147 351150 163247 352400
+rect 163397 351150 164497 352400
+rect 164647 351150 167147 352400
+rect 206697 351150 209197 352400
+rect 232697 351150 235197 352400
+rect 255297 351170 257697 352400
+rect 260297 351170 262697 352400
+rect 283297 351150 285797 352400
+rect -400 340121 850 342621
+rect 291150 338992 292400 341492
+rect -400 321921 830 324321
+rect 291170 319892 292400 322292
+rect -400 319100 8815 319321
+rect -400 317000 5700 319100
+rect 6300 317000 8815 319100
+rect -400 316921 8815 317000
+rect 291170 314892 292400 317292
+rect 291760 294736 292400 294792
+rect 291760 294145 292400 294201
+rect 291760 293554 292400 293610
+rect 291760 292963 292400 293019
+rect 291760 292372 292400 292428
+rect 291760 291781 292400 291837
+rect -400 279721 830 282121
+rect -400 274721 830 277121
+rect 291170 275281 292400 277681
+rect 291170 270281 292400 272681
+rect -400 255765 240 255821
+rect -400 255174 240 255230
+rect -400 254583 240 254639
+rect -400 253992 240 254048
+rect -400 253401 240 253457
+rect -400 252810 240 252866
+rect 291760 250025 292400 250081
+rect 291760 249434 292400 249490
+rect 291760 248843 292400 248899
+rect 291760 248252 292400 248308
+rect 291760 247661 292400 247717
+rect 291760 247070 292400 247126
+rect -400 234154 240 234210
+rect -400 233563 240 233619
+rect -400 232972 240 233028
+rect -400 232381 240 232437
+rect -400 231790 240 231846
+rect -400 231199 240 231255
+rect 291760 227814 292400 227870
+rect 291760 227223 292400 227279
+rect 291760 226632 292400 226688
+rect 291760 226041 292400 226097
+rect 291760 225450 292400 225506
+rect 291760 224859 292400 224915
+rect -400 212543 240 212599
+rect -400 211952 240 212008
+rect -400 211361 240 211417
+rect -400 210770 240 210826
+rect -400 210179 240 210235
+rect -400 209588 240 209644
+rect 291760 205603 292400 205659
+rect 291760 205012 292400 205068
+rect 291760 204421 292400 204477
+rect 291760 203830 292400 203886
+rect 291760 203239 292400 203295
+rect 291760 202648 292400 202704
+rect -400 190932 240 190988
+rect -400 190341 240 190397
+rect -400 189750 240 189806
+rect -400 189159 240 189215
+rect -400 188568 240 188624
+rect -400 187977 240 188033
+rect 291760 182392 292400 182448
+rect 291760 181801 292400 181857
+rect 291760 181210 292400 181266
+rect 291760 180619 292400 180675
+rect 291760 180028 292400 180084
+rect 291760 179437 292400 179493
+rect -400 169321 240 169377
+rect -400 168730 240 168786
+rect -400 168139 240 168195
+rect -400 167548 240 167604
+rect -400 166957 240 167013
+rect -400 166366 240 166422
+rect 291760 159781 292400 159837
+rect 291760 159190 292400 159246
+rect 291760 158599 292400 158655
+rect 291760 158008 292400 158064
+rect 291760 157417 292400 157473
+rect 291760 156826 292400 156882
+rect -400 147710 240 147766
+rect -400 147119 240 147175
+rect -400 146528 240 146584
+rect -400 145937 240 145993
+rect -400 145346 240 145402
+rect -400 144755 240 144811
+rect 291760 137570 292400 137626
+rect 291760 136979 292400 137035
+rect 291760 136388 292400 136444
+rect 291760 135797 292400 135853
+rect 291760 135206 292400 135262
+rect 291760 134615 292400 134671
+rect -400 126199 240 126255
+rect -400 125608 240 125664
+rect -400 125017 240 125073
+rect -400 124426 240 124482
+rect -400 123835 240 123891
+rect -400 123244 240 123300
+rect 291170 117615 292400 120015
+rect 291170 112615 292400 115015
+rect -400 107444 830 109844
+rect -400 104600 12015 104844
+rect -400 102600 4700 104600
+rect 5300 102600 12015 104600
+rect -400 102444 12015 102600
+rect 291170 95715 292400 98115
+rect 291170 90715 292400 93115
+rect -400 86444 830 88844
+rect -400 83600 13615 83844
+rect -400 81600 3700 83600
+rect 4300 81600 13615 83600
+rect -400 81444 13615 81600
+rect 291170 73415 292400 75815
+rect 291170 68415 292400 70815
+rect 1100 62600 1700 62700
+rect 1100 62500 1200 62600
+rect 700 62444 1200 62500
+rect -400 62388 1200 62444
+rect 700 62300 1200 62388
+rect 1100 62200 1200 62300
+rect 1600 62200 1700 62600
+rect 1100 62100 1700 62200
+rect -400 61797 240 61853
+rect -400 61206 240 61262
+rect -400 60615 240 60671
+rect -400 60024 240 60080
+rect -400 59433 240 59489
+rect 291760 47559 292400 47615
+rect 291760 46968 292400 47024
+rect 291760 46377 292400 46433
+rect 291760 45786 292400 45842
+rect 1100 41000 1700 41100
+rect 1100 40900 1200 41000
+rect 700 40833 1200 40900
+rect -400 40777 1200 40833
+rect 700 40700 1200 40777
+rect 1100 40600 1200 40700
+rect 1600 40600 1700 41000
+rect 1100 40500 1700 40600
+rect -400 40186 240 40242
+rect -400 39595 240 39651
+rect -400 39004 240 39060
+rect -400 38413 240 38469
+rect -400 37822 240 37878
+rect 291760 25230 292400 25286
+rect 291760 24639 292400 24695
+rect 291760 24048 292400 24104
+rect 291760 23457 292400 23513
+rect 1100 19400 2900 19500
+rect 1100 19300 2400 19400
+rect 700 19222 2400 19300
+rect -400 19166 2400 19222
+rect 700 19100 2400 19166
+rect 1100 19000 2400 19100
+rect 2800 19000 2900 19400
+rect 1100 18900 2900 19000
+rect -400 18575 240 18631
+rect -400 17984 240 18040
+rect -400 17393 240 17449
+rect -400 16802 240 16858
+rect -400 16211 240 16267
+rect 291760 12001 292400 12057
+rect 291760 11410 292400 11466
+rect 291760 10819 292400 10875
+rect 291760 10228 292400 10284
+rect 291760 9637 292400 9693
+rect 291760 9046 292400 9102
+rect 1100 8700 4100 8800
+rect 1100 8600 3600 8700
+rect 700 8511 3600 8600
+rect -400 8455 3600 8511
+rect 700 8400 3600 8455
+rect 1100 8300 3600 8400
+rect 4000 8300 4100 8700
+rect 291760 8455 292400 8511
+rect 1100 8200 4100 8300
+rect -400 7864 240 7920
+rect 291760 7864 292400 7920
+rect -400 7273 240 7329
+rect 291760 7273 292400 7329
+rect -400 6682 240 6738
+rect 291760 6682 292400 6738
+rect -400 6091 240 6147
+rect 291760 6091 292400 6147
+rect -400 5500 240 5556
+rect 291760 5500 292400 5556
+rect -400 4909 240 4965
+rect 291760 4909 292400 4965
+rect -400 4318 240 4374
+rect 291760 4318 292400 4374
+rect 1100 4000 4100 4100
+rect 1100 3900 3600 4000
+rect 700 3783 3600 3900
+rect -400 3727 3600 3783
+rect 700 3700 3600 3727
+rect 1100 3600 3600 3700
+rect 4000 3600 4100 4000
+rect 291760 3727 292400 3783
+rect 1100 3500 4100 3600
+rect -400 3136 240 3192
+rect 291760 3136 292400 3192
+rect -400 2545 240 2601
+rect 291760 2545 292400 2601
+rect -400 1954 240 2010
+rect 291760 1954 292400 2010
+rect -400 1363 240 1419
+rect 291760 1363 292400 1419
+rect -400 772 240 828
+rect 291760 772 292400 828
 << metal4 >>
-rect 329294 702300 334294 704800
-rect 318994 702300 323994 704800
-rect 227594 702300 232594 704800
-rect 217294 702300 222294 704800
-rect 175894 702300 180894 704800
-rect 165594 702300 170594 704800
-rect 170628 690636 526162 690737
-rect 170628 690603 222594 690636
-rect 170628 684327 170894 690603
-rect 173094 684327 173394 690603
-rect 175594 684360 222594 690603
-rect 224794 684360 225094 690636
-rect 227294 690618 526162 690636
-rect 227294 684360 324294 690618
-rect 175594 684344 324294 684360
-rect 326494 690564 526162 690618
-rect 326494 684344 510594 690564
-rect 175594 684332 510594 684344
-rect 515394 684332 520594 690564
-rect 525394 684332 526162 690564
-rect 175594 684327 526162 684332
-rect 170628 684183 526162 684327
-rect 318330 649837 359973 649898
-rect 318330 649497 357559 649837
-rect 318330 643740 318994 649497
-rect 323994 643740 329294 649497
-rect 334294 643740 357559 649497
-rect 318330 643394 357559 643740
-rect 359314 643394 359973 649837
-rect 318330 643344 359973 643394
-rect 560425 644584 566979 644980
-rect 560425 639784 560566 644584
-rect 566742 639784 566979 644584
-rect 356144 637598 525696 637898
-rect 356144 631780 510594 637598
-rect 515394 631780 520594 637598
-rect 525394 631780 525696 637598
-rect 356144 631344 525696 631780
-rect 560425 634584 566979 639784
-rect 357442 629399 359470 631344
-rect 357442 628057 357538 629399
-rect 359388 628057 359470 629399
-rect 357442 619873 359470 628057
-rect 560425 629784 560566 634584
-rect 566742 629784 566979 634584
-rect 341738 619684 341850 619685
-rect 341738 619574 341739 619684
-rect 341849 619574 341850 619684
-rect 341738 618633 341850 619574
-rect 356867 619473 359885 619873
-rect 533094 619647 533160 619648
-rect 533094 619583 533095 619647
-rect 533159 619645 533160 619647
-rect 533893 619647 533959 619648
-rect 533893 619645 533894 619647
-rect 533159 619585 533894 619645
-rect 533159 619583 533160 619585
-rect 533094 619582 533160 619583
-rect 533893 619583 533894 619585
-rect 533958 619583 533959 619647
-rect 533893 619582 533959 619583
-rect 533110 619282 533176 619283
-rect 533110 619218 533111 619282
-rect 533175 619280 533176 619282
-rect 533903 619282 533969 619283
-rect 533903 619280 533904 619282
-rect 533175 619220 533904 619280
-rect 533175 619218 533176 619220
-rect 533110 619217 533176 619218
-rect 533903 619218 533904 619220
-rect 533968 619218 533969 619282
-rect 533903 619217 533969 619218
-rect 341737 618632 341851 618633
-rect 341737 618520 341738 618632
-rect 341850 618520 341851 618632
-rect 341737 618519 341851 618520
-rect 345773 613756 346828 618849
-rect 351928 617829 353757 618856
-rect 351928 615249 352028 617829
-rect 353603 615249 353757 617829
-rect 351928 615131 353757 615249
-rect 363328 617835 365157 618884
-rect 363328 615255 363412 617835
-rect 364987 615255 365157 617835
-rect 363328 615131 365157 615255
-rect 369823 613756 370980 618859
-rect 560425 613756 566979 629784
-rect 345256 607202 566979 613756
-rect 362658 601572 562613 601756
-rect 362658 597231 363414 601572
-rect 364992 597231 562613 601572
-rect 362658 595202 562613 597231
-rect 556059 555362 562613 595202
-rect 556059 550562 556229 555362
-rect 562346 550562 562613 555362
-rect 556059 545362 562613 550562
-rect 556059 540562 556229 545362
-rect 562346 540562 562613 545362
-rect 556059 540155 562613 540562
-rect 573464 500162 576816 500473
-rect 573464 500050 573548 500162
-rect 576743 500050 576816 500162
-rect 13814 462510 17684 462771
-rect 13814 462398 13894 462510
-rect 17564 462398 17684 462510
-rect 13814 419288 17684 462398
-rect 13814 419176 13887 419288
-rect 17599 419176 17684 419288
-rect 13814 227257 17684 419176
-rect 573464 455740 576816 500050
-rect 573464 455628 573556 455740
-rect 576731 455628 576816 455740
-rect 13811 196230 17688 227257
-rect 13811 191430 13991 196230
-rect 17427 191430 17688 196230
-rect 13811 191098 17688 191430
-rect 573464 196230 576816 455628
-rect 573464 191430 573605 196230
-rect 576629 191430 576816 196230
-rect 573464 191191 576816 191430
-<< via4 >>
-rect 357559 643394 359314 649837
-rect 352028 615249 353603 617829
-rect 363412 615255 364987 617835
-rect 363414 597231 364992 601572
+rect 82797 351150 85297 352400
+rect 87947 351150 90447 352400
+rect 108647 351150 111147 352400
+rect 113797 351150 116297 352400
+rect 159497 351150 161997 352400
+rect 164647 351150 167147 352400
 << metal5 >>
-rect 329294 702300 334294 704800
-rect 318994 702300 323994 704800
-rect 227594 702300 232594 704800
-rect 217294 702300 222294 704800
-rect 175894 702300 180894 704800
-rect 165594 702300 170594 704800
-rect 357521 649837 359350 649991
-rect 357521 643394 357559 649837
-rect 359314 643394 359350 649837
-rect 351918 617829 353747 617929
-rect 351918 615249 352028 617829
-rect 353603 615249 353747 617829
-rect 351918 614900 353747 615249
-rect 357521 614900 359350 643394
-rect 351918 613071 359350 614900
-rect 363318 617835 365147 617929
-rect 363318 615255 363412 617835
-rect 364987 615255 365147 617835
-rect 363318 601572 365147 615255
-rect 363318 597231 363414 601572
-rect 364992 597231 365147 601572
-rect 363318 597052 365147 597231
+rect 82797 351150 85297 352400
+rect 87947 351150 90447 352400
+rect 108647 351150 111147 352400
+rect 113797 351150 116297 352400
+rect 159497 351150 161997 352400
+rect 164647 351150 167147 352400
 << comment >>
-rect -100 704000 584100 704100
-rect -100 0 0 704000
-rect 584000 0 584100 704000
-rect -100 -100 584100 0
-use user_analog_proj_example  user_analog_proj_example_0
-timestamp 1620310959
-transform 1 0 345668 0 -1 627114
-box -59 -22 25476 8324
+rect -50 352000 292050 352050
+rect -50 0 0 352000
+rect 292000 0 292050 352000
+rect -50 -50 292050 0
+use comparator  comparator_0
+timestamp 1633173780
+transform 1 0 4430 0 1 52900
+box -430 -1100 1450 300
 << labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0]
 port 0 nsew signal bidirectional
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10]
 port 1 nsew signal bidirectional
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11]
 port 2 nsew signal bidirectional
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12]
 port 3 nsew signal bidirectional
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13]
 port 4 nsew signal bidirectional
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14]
 port 5 nsew signal bidirectional
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15]
 port 6 nsew signal bidirectional
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16]
 port 7 nsew signal bidirectional
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17]
 port 8 nsew signal bidirectional
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1]
 port 9 nsew signal bidirectional
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2]
 port 10 nsew signal bidirectional
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3]
 port 11 nsew signal bidirectional
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4]
 port 12 nsew signal bidirectional
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5]
 port 13 nsew signal bidirectional
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6]
 port 14 nsew signal bidirectional
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7]
 port 15 nsew signal bidirectional
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8]
 port 16 nsew signal bidirectional
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9]
 port 17 nsew signal bidirectional
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0]
 port 18 nsew signal bidirectional
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10]
 port 19 nsew signal bidirectional
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11]
 port 20 nsew signal bidirectional
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12]
 port 21 nsew signal bidirectional
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13]
 port 22 nsew signal bidirectional
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14]
 port 23 nsew signal bidirectional
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15]
 port 24 nsew signal bidirectional
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16]
 port 25 nsew signal bidirectional
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17]
 port 26 nsew signal bidirectional
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1]
 port 27 nsew signal bidirectional
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2]
 port 28 nsew signal bidirectional
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3]
 port 29 nsew signal bidirectional
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4]
 port 30 nsew signal bidirectional
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5]
 port 31 nsew signal bidirectional
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6]
 port 32 nsew signal bidirectional
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7]
 port 33 nsew signal bidirectional
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8]
 port 34 nsew signal bidirectional
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9]
 port 35 nsew signal bidirectional
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+flabel metal3 s 291150 338992 292400 341492 0 FreeSans 560 0 0 0 io_analog[0]
 port 36 nsew signal bidirectional
-flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10]
 port 37 nsew signal bidirectional
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+flabel metal3 s 283297 351150 285797 352400 0 FreeSans 960 180 0 0 io_analog[1]
 port 38 nsew signal bidirectional
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+flabel metal3 s 232697 351150 235197 352400 0 FreeSans 960 180 0 0 io_analog[2]
 port 39 nsew signal bidirectional
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+flabel metal3 s 206697 351150 209197 352400 0 FreeSans 960 180 0 0 io_analog[3]
 port 40 nsew signal bidirectional
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+flabel metal3 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+flabel metal4 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+flabel metal5 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+flabel metal3 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+flabel metal4 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+flabel metal5 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+flabel metal3 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+flabel metal4 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+flabel metal5 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+flabel metal3 s 60097 351150 62597 352400 0 FreeSans 960 180 0 0 io_analog[7]
 port 44 nsew signal bidirectional
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+flabel metal3 s 34097 351150 36597 352400 0 FreeSans 960 180 0 0 io_analog[8]
 port 45 nsew signal bidirectional
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+flabel metal3 s 8097 351150 10597 352400 0 FreeSans 960 180 0 0 io_analog[9]
 port 46 nsew signal bidirectional
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+flabel metal3 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+flabel metal4 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+flabel metal5 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+flabel metal3 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+flabel metal4 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+flabel metal5 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+flabel metal3 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+flabel metal4 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+flabel metal5 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+flabel metal3 s 163397 351150 164497 352400 0 FreeSans 960 180 0 0 io_clamp_high[0]
 port 50 nsew signal bidirectional
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+flabel metal3 s 112547 351150 113647 352400 0 FreeSans 960 180 0 0 io_clamp_high[1]
 port 51 nsew signal bidirectional
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+flabel metal3 s 86697 351150 87797 352400 0 FreeSans 960 180 0 0 io_clamp_high[2]
 port 52 nsew signal bidirectional
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+flabel metal3 s 162147 351150 163247 352400 0 FreeSans 960 180 0 0 io_clamp_low[0]
 port 53 nsew signal bidirectional
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+flabel metal3 s 111297 351150 112397 352400 0 FreeSans 960 180 0 0 io_clamp_low[1]
 port 54 nsew signal bidirectional
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+flabel metal3 s 85447 351150 86547 352400 0 FreeSans 960 180 0 0 io_clamp_low[2]
 port 55 nsew signal bidirectional
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0]
 port 56 nsew signal input
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10]
 port 57 nsew signal input
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11]
 port 58 nsew signal input
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12]
 port 59 nsew signal input
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13]
 port 60 nsew signal input
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14]
 port 61 nsew signal input
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15]
 port 62 nsew signal input
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16]
 port 63 nsew signal input
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17]
 port 64 nsew signal input
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18]
 port 65 nsew signal input
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19]
 port 66 nsew signal input
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1]
 port 67 nsew signal input
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20]
 port 68 nsew signal input
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21]
 port 69 nsew signal input
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22]
 port 70 nsew signal input
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23]
 port 71 nsew signal input
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24]
 port 72 nsew signal input
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25]
 port 73 nsew signal input
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26]
 port 74 nsew signal input
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2]
 port 75 nsew signal input
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3]
 port 76 nsew signal input
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4]
 port 77 nsew signal input
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5]
 port 78 nsew signal input
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6]
 port 79 nsew signal input
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7]
 port 80 nsew signal input
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8]
 port 81 nsew signal input
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9]
 port 82 nsew signal input
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0]
 port 83 nsew signal input
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10]
 port 84 nsew signal input
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11]
 port 85 nsew signal input
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12]
 port 86 nsew signal input
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13]
 port 87 nsew signal input
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14]
 port 88 nsew signal input
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15]
 port 89 nsew signal input
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16]
 port 90 nsew signal input
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17]
 port 91 nsew signal input
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18]
 port 92 nsew signal input
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19]
 port 93 nsew signal input
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1]
 port 94 nsew signal input
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20]
 port 95 nsew signal input
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21]
 port 96 nsew signal input
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22]
 port 97 nsew signal input
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23]
 port 98 nsew signal input
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24]
 port 99 nsew signal input
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25]
 port 100 nsew signal input
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26]
 port 101 nsew signal input
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2]
 port 102 nsew signal input
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3]
 port 103 nsew signal input
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4]
 port 104 nsew signal input
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5]
 port 105 nsew signal input
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6]
 port 106 nsew signal input
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7]
 port 107 nsew signal input
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8]
 port 108 nsew signal input
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9]
 port 109 nsew signal input
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0]
 port 110 nsew signal tristate
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10]
 port 111 nsew signal tristate
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11]
 port 112 nsew signal tristate
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12]
 port 113 nsew signal tristate
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13]
 port 114 nsew signal tristate
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14]
 port 115 nsew signal tristate
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15]
 port 116 nsew signal tristate
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16]
 port 117 nsew signal tristate
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17]
 port 118 nsew signal tristate
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18]
 port 119 nsew signal tristate
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19]
 port 120 nsew signal tristate
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1]
 port 121 nsew signal tristate
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20]
 port 122 nsew signal tristate
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21]
 port 123 nsew signal tristate
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22]
 port 124 nsew signal tristate
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23]
 port 125 nsew signal tristate
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24]
 port 126 nsew signal tristate
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25]
 port 127 nsew signal tristate
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26]
 port 128 nsew signal tristate
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2]
 port 129 nsew signal tristate
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3]
 port 130 nsew signal tristate
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4]
 port 131 nsew signal tristate
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5]
 port 132 nsew signal tristate
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6]
 port 133 nsew signal tristate
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7]
 port 134 nsew signal tristate
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8]
 port 135 nsew signal tristate
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9]
 port 136 nsew signal tristate
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0]
 port 137 nsew signal tristate
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10]
 port 138 nsew signal tristate
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11]
 port 139 nsew signal tristate
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12]
 port 140 nsew signal tristate
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13]
 port 141 nsew signal tristate
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14]
 port 142 nsew signal tristate
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15]
 port 143 nsew signal tristate
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16]
 port 144 nsew signal tristate
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17]
 port 145 nsew signal tristate
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18]
 port 146 nsew signal tristate
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19]
 port 147 nsew signal tristate
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1]
 port 148 nsew signal tristate
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20]
 port 149 nsew signal tristate
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21]
 port 150 nsew signal tristate
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22]
 port 151 nsew signal tristate
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23]
 port 152 nsew signal tristate
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24]
 port 153 nsew signal tristate
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25]
 port 154 nsew signal tristate
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26]
 port 155 nsew signal tristate
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2]
 port 156 nsew signal tristate
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3]
 port 157 nsew signal tristate
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4]
 port 158 nsew signal tristate
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5]
 port 159 nsew signal tristate
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6]
 port 160 nsew signal tristate
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7]
 port 161 nsew signal tristate
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8]
 port 162 nsew signal tristate
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9]
 port 163 nsew signal tristate
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0]
 port 164 nsew signal input
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100]
 port 165 nsew signal input
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101]
 port 166 nsew signal input
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102]
 port 167 nsew signal input
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103]
 port 168 nsew signal input
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104]
 port 169 nsew signal input
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105]
 port 170 nsew signal input
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106]
 port 171 nsew signal input
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107]
 port 172 nsew signal input
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108]
 port 173 nsew signal input
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109]
 port 174 nsew signal input
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10]
 port 175 nsew signal input
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110]
 port 176 nsew signal input
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111]
 port 177 nsew signal input
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112]
 port 178 nsew signal input
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113]
 port 179 nsew signal input
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114]
 port 180 nsew signal input
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115]
 port 181 nsew signal input
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116]
 port 182 nsew signal input
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117]
 port 183 nsew signal input
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118]
 port 184 nsew signal input
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119]
 port 185 nsew signal input
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11]
 port 186 nsew signal input
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120]
 port 187 nsew signal input
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121]
 port 188 nsew signal input
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122]
 port 189 nsew signal input
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123]
 port 190 nsew signal input
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124]
 port 191 nsew signal input
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125]
 port 192 nsew signal input
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126]
 port 193 nsew signal input
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127]
 port 194 nsew signal input
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12]
 port 195 nsew signal input
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13]
 port 196 nsew signal input
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14]
 port 197 nsew signal input
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15]
 port 198 nsew signal input
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16]
 port 199 nsew signal input
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17]
 port 200 nsew signal input
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18]
 port 201 nsew signal input
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19]
 port 202 nsew signal input
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1]
 port 203 nsew signal input
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20]
 port 204 nsew signal input
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21]
 port 205 nsew signal input
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22]
 port 206 nsew signal input
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23]
 port 207 nsew signal input
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24]
 port 208 nsew signal input
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25]
 port 209 nsew signal input
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26]
 port 210 nsew signal input
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27]
 port 211 nsew signal input
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28]
 port 212 nsew signal input
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29]
 port 213 nsew signal input
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2]
 port 214 nsew signal input
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30]
 port 215 nsew signal input
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31]
 port 216 nsew signal input
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32]
 port 217 nsew signal input
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33]
 port 218 nsew signal input
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34]
 port 219 nsew signal input
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35]
 port 220 nsew signal input
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36]
 port 221 nsew signal input
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37]
 port 222 nsew signal input
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38]
 port 223 nsew signal input
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39]
 port 224 nsew signal input
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3]
 port 225 nsew signal input
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40]
 port 226 nsew signal input
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41]
 port 227 nsew signal input
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42]
 port 228 nsew signal input
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43]
 port 229 nsew signal input
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44]
 port 230 nsew signal input
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45]
 port 231 nsew signal input
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46]
 port 232 nsew signal input
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47]
 port 233 nsew signal input
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48]
 port 234 nsew signal input
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49]
 port 235 nsew signal input
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4]
 port 236 nsew signal input
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50]
 port 237 nsew signal input
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51]
 port 238 nsew signal input
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52]
 port 239 nsew signal input
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53]
 port 240 nsew signal input
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54]
 port 241 nsew signal input
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55]
 port 242 nsew signal input
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56]
 port 243 nsew signal input
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57]
 port 244 nsew signal input
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58]
 port 245 nsew signal input
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59]
 port 246 nsew signal input
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5]
 port 247 nsew signal input
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60]
 port 248 nsew signal input
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61]
 port 249 nsew signal input
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62]
 port 250 nsew signal input
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63]
 port 251 nsew signal input
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64]
 port 252 nsew signal input
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65]
 port 253 nsew signal input
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66]
 port 254 nsew signal input
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67]
 port 255 nsew signal input
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68]
 port 256 nsew signal input
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69]
 port 257 nsew signal input
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6]
 port 258 nsew signal input
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70]
 port 259 nsew signal input
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71]
 port 260 nsew signal input
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72]
 port 261 nsew signal input
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73]
 port 262 nsew signal input
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74]
 port 263 nsew signal input
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75]
 port 264 nsew signal input
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76]
 port 265 nsew signal input
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77]
 port 266 nsew signal input
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78]
 port 267 nsew signal input
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79]
 port 268 nsew signal input
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7]
 port 269 nsew signal input
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80]
 port 270 nsew signal input
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81]
 port 271 nsew signal input
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82]
 port 272 nsew signal input
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83]
 port 273 nsew signal input
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84]
 port 274 nsew signal input
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85]
 port 275 nsew signal input
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86]
 port 276 nsew signal input
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87]
 port 277 nsew signal input
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88]
 port 278 nsew signal input
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89]
 port 279 nsew signal input
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8]
 port 280 nsew signal input
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90]
 port 281 nsew signal input
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91]
 port 282 nsew signal input
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92]
 port 283 nsew signal input
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93]
 port 284 nsew signal input
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94]
 port 285 nsew signal input
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95]
 port 286 nsew signal input
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96]
 port 287 nsew signal input
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97]
 port 288 nsew signal input
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98]
 port 289 nsew signal input
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99]
 port 290 nsew signal input
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9]
 port 291 nsew signal input
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0]
 port 292 nsew signal tristate
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100]
 port 293 nsew signal tristate
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101]
 port 294 nsew signal tristate
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102]
 port 295 nsew signal tristate
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103]
 port 296 nsew signal tristate
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104]
 port 297 nsew signal tristate
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105]
 port 298 nsew signal tristate
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106]
 port 299 nsew signal tristate
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107]
 port 300 nsew signal tristate
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108]
 port 301 nsew signal tristate
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109]
 port 302 nsew signal tristate
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10]
 port 303 nsew signal tristate
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110]
 port 304 nsew signal tristate
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111]
 port 305 nsew signal tristate
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112]
 port 306 nsew signal tristate
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113]
 port 307 nsew signal tristate
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114]
 port 308 nsew signal tristate
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115]
 port 309 nsew signal tristate
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116]
 port 310 nsew signal tristate
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117]
 port 311 nsew signal tristate
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118]
 port 312 nsew signal tristate
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119]
 port 313 nsew signal tristate
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11]
 port 314 nsew signal tristate
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120]
 port 315 nsew signal tristate
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121]
 port 316 nsew signal tristate
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122]
 port 317 nsew signal tristate
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123]
 port 318 nsew signal tristate
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124]
 port 319 nsew signal tristate
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125]
 port 320 nsew signal tristate
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126]
 port 321 nsew signal tristate
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127]
 port 322 nsew signal tristate
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12]
 port 323 nsew signal tristate
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13]
 port 324 nsew signal tristate
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14]
 port 325 nsew signal tristate
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15]
 port 326 nsew signal tristate
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16]
 port 327 nsew signal tristate
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17]
 port 328 nsew signal tristate
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18]
 port 329 nsew signal tristate
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19]
 port 330 nsew signal tristate
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1]
 port 331 nsew signal tristate
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20]
 port 332 nsew signal tristate
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21]
 port 333 nsew signal tristate
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22]
 port 334 nsew signal tristate
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23]
 port 335 nsew signal tristate
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24]
 port 336 nsew signal tristate
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25]
 port 337 nsew signal tristate
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26]
 port 338 nsew signal tristate
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27]
 port 339 nsew signal tristate
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28]
 port 340 nsew signal tristate
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29]
 port 341 nsew signal tristate
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2]
 port 342 nsew signal tristate
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30]
 port 343 nsew signal tristate
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31]
 port 344 nsew signal tristate
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32]
 port 345 nsew signal tristate
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33]
 port 346 nsew signal tristate
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34]
 port 347 nsew signal tristate
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35]
 port 348 nsew signal tristate
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36]
 port 349 nsew signal tristate
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37]
 port 350 nsew signal tristate
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38]
 port 351 nsew signal tristate
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39]
 port 352 nsew signal tristate
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3]
 port 353 nsew signal tristate
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40]
 port 354 nsew signal tristate
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41]
 port 355 nsew signal tristate
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42]
 port 356 nsew signal tristate
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43]
 port 357 nsew signal tristate
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44]
 port 358 nsew signal tristate
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45]
 port 359 nsew signal tristate
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46]
 port 360 nsew signal tristate
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47]
 port 361 nsew signal tristate
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48]
 port 362 nsew signal tristate
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49]
 port 363 nsew signal tristate
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4]
 port 364 nsew signal tristate
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50]
 port 365 nsew signal tristate
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51]
 port 366 nsew signal tristate
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52]
 port 367 nsew signal tristate
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53]
 port 368 nsew signal tristate
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54]
 port 369 nsew signal tristate
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55]
 port 370 nsew signal tristate
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56]
 port 371 nsew signal tristate
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57]
 port 372 nsew signal tristate
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58]
 port 373 nsew signal tristate
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59]
 port 374 nsew signal tristate
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5]
 port 375 nsew signal tristate
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60]
 port 376 nsew signal tristate
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61]
 port 377 nsew signal tristate
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62]
 port 378 nsew signal tristate
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63]
 port 379 nsew signal tristate
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64]
 port 380 nsew signal tristate
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65]
 port 381 nsew signal tristate
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66]
 port 382 nsew signal tristate
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67]
 port 383 nsew signal tristate
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68]
 port 384 nsew signal tristate
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69]
 port 385 nsew signal tristate
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6]
 port 386 nsew signal tristate
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70]
 port 387 nsew signal tristate
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71]
 port 388 nsew signal tristate
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72]
 port 389 nsew signal tristate
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73]
 port 390 nsew signal tristate
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74]
 port 391 nsew signal tristate
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75]
 port 392 nsew signal tristate
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76]
 port 393 nsew signal tristate
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77]
 port 394 nsew signal tristate
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78]
 port 395 nsew signal tristate
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79]
 port 396 nsew signal tristate
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7]
 port 397 nsew signal tristate
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80]
 port 398 nsew signal tristate
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81]
 port 399 nsew signal tristate
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82]
 port 400 nsew signal tristate
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83]
 port 401 nsew signal tristate
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84]
 port 402 nsew signal tristate
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85]
 port 403 nsew signal tristate
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86]
 port 404 nsew signal tristate
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87]
 port 405 nsew signal tristate
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88]
 port 406 nsew signal tristate
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89]
 port 407 nsew signal tristate
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8]
 port 408 nsew signal tristate
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90]
 port 409 nsew signal tristate
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91]
 port 410 nsew signal tristate
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92]
 port 411 nsew signal tristate
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93]
 port 412 nsew signal tristate
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94]
 port 413 nsew signal tristate
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95]
 port 414 nsew signal tristate
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96]
 port 415 nsew signal tristate
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97]
 port 416 nsew signal tristate
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98]
 port 417 nsew signal tristate
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99]
 port 418 nsew signal tristate
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9]
 port 419 nsew signal tristate
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0]
 port 420 nsew signal input
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100]
 port 421 nsew signal input
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101]
 port 422 nsew signal input
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102]
 port 423 nsew signal input
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103]
 port 424 nsew signal input
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104]
 port 425 nsew signal input
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105]
 port 426 nsew signal input
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106]
 port 427 nsew signal input
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107]
 port 428 nsew signal input
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108]
 port 429 nsew signal input
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109]
 port 430 nsew signal input
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10]
 port 431 nsew signal input
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110]
 port 432 nsew signal input
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111]
 port 433 nsew signal input
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112]
 port 434 nsew signal input
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113]
 port 435 nsew signal input
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114]
 port 436 nsew signal input
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115]
 port 437 nsew signal input
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116]
 port 438 nsew signal input
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117]
 port 439 nsew signal input
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118]
 port 440 nsew signal input
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119]
 port 441 nsew signal input
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11]
 port 442 nsew signal input
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120]
 port 443 nsew signal input
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121]
 port 444 nsew signal input
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122]
 port 445 nsew signal input
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123]
 port 446 nsew signal input
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124]
 port 447 nsew signal input
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125]
 port 448 nsew signal input
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126]
 port 449 nsew signal input
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127]
 port 450 nsew signal input
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12]
 port 451 nsew signal input
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13]
 port 452 nsew signal input
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14]
 port 453 nsew signal input
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15]
 port 454 nsew signal input
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16]
 port 455 nsew signal input
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17]
 port 456 nsew signal input
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18]
 port 457 nsew signal input
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19]
 port 458 nsew signal input
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1]
 port 459 nsew signal input
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20]
 port 460 nsew signal input
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21]
 port 461 nsew signal input
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22]
 port 462 nsew signal input
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23]
 port 463 nsew signal input
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24]
 port 464 nsew signal input
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25]
 port 465 nsew signal input
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26]
 port 466 nsew signal input
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27]
 port 467 nsew signal input
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28]
 port 468 nsew signal input
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29]
 port 469 nsew signal input
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2]
 port 470 nsew signal input
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30]
 port 471 nsew signal input
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31]
 port 472 nsew signal input
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32]
 port 473 nsew signal input
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33]
 port 474 nsew signal input
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34]
 port 475 nsew signal input
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35]
 port 476 nsew signal input
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36]
 port 477 nsew signal input
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37]
 port 478 nsew signal input
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38]
 port 479 nsew signal input
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39]
 port 480 nsew signal input
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3]
 port 481 nsew signal input
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40]
 port 482 nsew signal input
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41]
 port 483 nsew signal input
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42]
 port 484 nsew signal input
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43]
 port 485 nsew signal input
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44]
 port 486 nsew signal input
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45]
 port 487 nsew signal input
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46]
 port 488 nsew signal input
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47]
 port 489 nsew signal input
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48]
 port 490 nsew signal input
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49]
 port 491 nsew signal input
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4]
 port 492 nsew signal input
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50]
 port 493 nsew signal input
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51]
 port 494 nsew signal input
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52]
 port 495 nsew signal input
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53]
 port 496 nsew signal input
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54]
 port 497 nsew signal input
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55]
 port 498 nsew signal input
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56]
 port 499 nsew signal input
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57]
 port 500 nsew signal input
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58]
 port 501 nsew signal input
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59]
 port 502 nsew signal input
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5]
 port 503 nsew signal input
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60]
 port 504 nsew signal input
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61]
 port 505 nsew signal input
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62]
 port 506 nsew signal input
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63]
 port 507 nsew signal input
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64]
 port 508 nsew signal input
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65]
 port 509 nsew signal input
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66]
 port 510 nsew signal input
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67]
 port 511 nsew signal input
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68]
 port 512 nsew signal input
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69]
 port 513 nsew signal input
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6]
 port 514 nsew signal input
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70]
 port 515 nsew signal input
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71]
 port 516 nsew signal input
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72]
 port 517 nsew signal input
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73]
 port 518 nsew signal input
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74]
 port 519 nsew signal input
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75]
 port 520 nsew signal input
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76]
 port 521 nsew signal input
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77]
 port 522 nsew signal input
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78]
 port 523 nsew signal input
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79]
 port 524 nsew signal input
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7]
 port 525 nsew signal input
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80]
 port 526 nsew signal input
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81]
 port 527 nsew signal input
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82]
 port 528 nsew signal input
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83]
 port 529 nsew signal input
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84]
 port 530 nsew signal input
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85]
 port 531 nsew signal input
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86]
 port 532 nsew signal input
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87]
 port 533 nsew signal input
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88]
 port 534 nsew signal input
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89]
 port 535 nsew signal input
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8]
 port 536 nsew signal input
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90]
 port 537 nsew signal input
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91]
 port 538 nsew signal input
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92]
 port 539 nsew signal input
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93]
 port 540 nsew signal input
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94]
 port 541 nsew signal input
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95]
 port 542 nsew signal input
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96]
 port 543 nsew signal input
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97]
 port 544 nsew signal input
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98]
 port 545 nsew signal input
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99]
 port 546 nsew signal input
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9]
 port 547 nsew signal input
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2
 port 548 nsew signal input
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0]
 port 549 nsew signal tristate
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1]
 port 550 nsew signal tristate
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2]
 port 551 nsew signal tristate
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+flabel metal3 s 291170 319892 292400 322292 0 FreeSans 560 0 0 0 vccd1
 port 552 nsew signal bidirectional
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+flabel metal3 s 291170 314892 292400 317292 0 FreeSans 560 0 0 0 vccd1
 port 553 nsew signal bidirectional
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2
 port 554 nsew signal bidirectional
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2
 port 555 nsew signal bidirectional
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+flabel metal3 s 291170 270281 292400 272681 0 FreeSans 560 0 0 0 vdda1
 port 556 nsew signal bidirectional
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+flabel metal3 s 291170 275281 292400 277681 0 FreeSans 560 0 0 0 vdda1
 port 557 nsew signal bidirectional
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+flabel metal3 s 291170 117615 292400 120015 0 FreeSans 560 0 0 0 vdda1
 port 558 nsew signal bidirectional
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+flabel metal3 s 291170 112615 292400 115015 0 FreeSans 560 0 0 0 vdda1
 port 559 nsew signal bidirectional
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2
 port 560 nsew signal bidirectional
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2
 port 561 nsew signal bidirectional
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+flabel metal3 s 260297 351170 262697 352400 0 FreeSans 960 180 0 0 vssa1
 port 562 nsew signal bidirectional
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+flabel metal3 s 255297 351170 257697 352400 0 FreeSans 960 180 0 0 vssa1
 port 563 nsew signal bidirectional
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+flabel metal3 s 291170 73415 292400 75815 0 FreeSans 560 0 0 0 vssa1
 port 564 nsew signal bidirectional
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+flabel metal3 s 291170 68415 292400 70815 0 FreeSans 560 0 0 0 vssa1
 port 565 nsew signal bidirectional
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2
 port 566 nsew signal bidirectional
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2
 port 567 nsew signal bidirectional
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+flabel metal3 s 291170 95715 292400 98115 0 FreeSans 560 0 0 0 vssd1
 port 568 nsew signal bidirectional
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+flabel metal3 s 291170 90715 292400 93115 0 FreeSans 560 0 0 0 vssd1
 port 569 nsew signal bidirectional
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2
 port 570 nsew signal bidirectional
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2
 port 571 nsew signal bidirectional
-flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i
 port 572 nsew signal input
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i
 port 573 nsew signal input
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o
 port 574 nsew signal tristate
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0]
 port 575 nsew signal input
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10]
 port 576 nsew signal input
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11]
 port 577 nsew signal input
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12]
 port 578 nsew signal input
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13]
 port 579 nsew signal input
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14]
 port 580 nsew signal input
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15]
 port 581 nsew signal input
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16]
 port 582 nsew signal input
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17]
 port 583 nsew signal input
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18]
 port 584 nsew signal input
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19]
 port 585 nsew signal input
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1]
 port 586 nsew signal input
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20]
 port 587 nsew signal input
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21]
 port 588 nsew signal input
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22]
 port 589 nsew signal input
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23]
 port 590 nsew signal input
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24]
 port 591 nsew signal input
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25]
 port 592 nsew signal input
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26]
 port 593 nsew signal input
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27]
 port 594 nsew signal input
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28]
 port 595 nsew signal input
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29]
 port 596 nsew signal input
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2]
 port 597 nsew signal input
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30]
 port 598 nsew signal input
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31]
 port 599 nsew signal input
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3]
 port 600 nsew signal input
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4]
 port 601 nsew signal input
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5]
 port 602 nsew signal input
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6]
 port 603 nsew signal input
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7]
 port 604 nsew signal input
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8]
 port 605 nsew signal input
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9]
 port 606 nsew signal input
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i
 port 607 nsew signal input
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0]
 port 608 nsew signal input
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10]
 port 609 nsew signal input
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11]
 port 610 nsew signal input
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12]
 port 611 nsew signal input
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13]
 port 612 nsew signal input
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14]
 port 613 nsew signal input
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15]
 port 614 nsew signal input
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16]
 port 615 nsew signal input
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17]
 port 616 nsew signal input
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18]
 port 617 nsew signal input
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19]
 port 618 nsew signal input
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1]
 port 619 nsew signal input
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20]
 port 620 nsew signal input
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21]
 port 621 nsew signal input
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22]
 port 622 nsew signal input
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23]
 port 623 nsew signal input
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24]
 port 624 nsew signal input
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25]
 port 625 nsew signal input
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26]
 port 626 nsew signal input
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27]
 port 627 nsew signal input
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28]
 port 628 nsew signal input
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29]
 port 629 nsew signal input
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2]
 port 630 nsew signal input
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30]
 port 631 nsew signal input
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31]
 port 632 nsew signal input
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3]
 port 633 nsew signal input
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4]
 port 634 nsew signal input
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5]
 port 635 nsew signal input
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6]
 port 636 nsew signal input
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7]
 port 637 nsew signal input
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8]
 port 638 nsew signal input
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9]
 port 639 nsew signal input
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0]
 port 640 nsew signal tristate
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10]
 port 641 nsew signal tristate
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11]
 port 642 nsew signal tristate
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12]
 port 643 nsew signal tristate
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13]
 port 644 nsew signal tristate
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14]
 port 645 nsew signal tristate
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15]
 port 646 nsew signal tristate
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16]
 port 647 nsew signal tristate
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17]
 port 648 nsew signal tristate
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18]
 port 649 nsew signal tristate
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19]
 port 650 nsew signal tristate
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1]
 port 651 nsew signal tristate
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20]
 port 652 nsew signal tristate
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21]
 port 653 nsew signal tristate
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22]
 port 654 nsew signal tristate
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23]
 port 655 nsew signal tristate
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24]
 port 656 nsew signal tristate
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25]
 port 657 nsew signal tristate
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26]
 port 658 nsew signal tristate
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27]
 port 659 nsew signal tristate
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28]
 port 660 nsew signal tristate
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29]
 port 661 nsew signal tristate
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2]
 port 662 nsew signal tristate
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30]
 port 663 nsew signal tristate
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31]
 port 664 nsew signal tristate
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3]
 port 665 nsew signal tristate
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4]
 port 666 nsew signal tristate
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5]
 port 667 nsew signal tristate
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6]
 port 668 nsew signal tristate
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7]
 port 669 nsew signal tristate
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8]
 port 670 nsew signal tristate
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9]
 port 671 nsew signal tristate
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0]
 port 672 nsew signal input
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1]
 port 673 nsew signal input
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2]
 port 674 nsew signal input
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3]
 port 675 nsew signal input
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i
 port 676 nsew signal input
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i
 port 677 nsew signal input
-flabel metal3 572152 640142 580220 644150 0 FreeSans 16000 0 0 0 VCCD1
-flabel metal3 567038 550960 577302 554546 0 FreeSans 16000 0 0 0 VDDA1
-flabel metal3 511190 664896 514962 676272 0 FreeSans 16000 90 0 0 VSSA1
-flabel metal3 561703 191929 571721 195859 0 FreeSans 16000 0 0 0 VSSD1
 << properties >>
-string FIXED_BBOX 0 0 584000 704000
+string FIXED_BBOX 0 0 292000 352000
 << end >>
diff --git a/netgen/comparator.spice b/netgen/comparator.spice
new file mode 100644
index 0000000..4efcf0a
--- /dev/null
+++ b/netgen/comparator.spice
@@ -0,0 +1,26 @@
+* SPICE3 file created from comparator.ext - technology: sky130A
+
+.subckt comparator VGND VDD3v3 VDD1v8 VOUT BIASN VN VP
+X0 VPAMP VP ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X1 VGND BIASN ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X2 VOPAMP VOP DIFFSINK VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X3 DECISINK VON VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X4 VON VNAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X5 ISINK VN VNAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X6 DECISINK VOP VON VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X7 VDD1v8 VOPAMP VOUT VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=2e+06u l=150000u
+X8 DIFFSINK VONAMP VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X9 VPAMP VPAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X10 ISINK BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X11 DIFFSINK VON VONAMP VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X12 VON VON DECISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X13 VOPAMP VONAMP VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=180000u
+X14 VOUT VOPAMP VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X15 VDD3v3 VNAMP VNAMP VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X16 VOP VOP DECISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X17 DECISINK DECISINK VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X18 VDD3v3 VPAMP VOP VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X19 VDD1v8 VONAMP VONAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=180000u
+C0 VOPAMP VGND 2.89fF
+C1 VON VGND 2.03fF
+.ends
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index a3f3994..3bc1796 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -1,224 +1,4 @@
-* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
-
-.subckt sky130_fd_pr__cap_mim_m3_2_W5U4AW c2_n3079_n3000# m4_n3179_n3100# VSUBS
-X0 c2_n3079_n3000# m4_n3179_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u
-.ends
-
-.subckt sky130_fd_sc_hvl__buf_8 A VGND VNB VPB VPWR X
-X0 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X1 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X2 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X3 a_45_443# A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X4 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X5 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X6 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X7 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X8 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X9 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X10 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X11 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X12 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X13 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X14 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X15 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X16 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X17 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X18 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X19 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X20 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X21 a_45_443# A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-.ends
-
-.subckt sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ a_n683_n200# a_n189_n297# a_29_n297# a_189_n200#
-+ a_n901_n200# a_247_n297# a_n407_n297# a_465_n297# a_407_n200# a_n625_n297# a_683_n297#
-+ a_625_n200# a_n843_n297# w_n1101_n497# a_843_n200# a_n29_n200# a_n247_n200# a_n465_n200#
-+ VSUBS
-X0 a_n247_n200# a_n407_n297# a_n465_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X1 a_843_n200# a_683_n297# a_625_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X2 a_407_n200# a_247_n297# a_189_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X3 a_189_n200# a_29_n297# a_n29_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X4 a_n465_n200# a_n625_n297# a_n683_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X5 a_625_n200# a_465_n297# a_407_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X6 a_n29_n200# a_n189_n297# a_n247_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X7 a_n683_n200# a_n843_n297# a_n901_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-.ends
-
-.subckt sky130_fd_pr__nfet_g5v0d10v5_TGFUGS a_n792_n200# a_298_n200# a_516_n200# a_734_n200#
-+ w_n962_n458# a_138_n288# a_n298_n288# a_80_n200# a_356_n288# a_n516_n288# a_574_n288#
-+ a_n734_n288# a_n138_n200# a_n356_n200# a_n574_n200# a_n80_n288#
-X0 a_n574_n200# a_n734_n288# a_n792_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X1 a_734_n200# a_574_n288# a_516_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X2 a_298_n200# a_138_n288# a_80_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X3 a_n138_n200# a_n298_n288# a_n356_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X4 a_n356_n200# a_n516_n288# a_n574_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X5 a_516_n200# a_356_n288# a_298_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X6 a_80_n200# a_n80_n288# a_n138_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-.ends
-
-.subckt sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 a_n1806_2500# a_n4122_n2932# a_n5280_2500#
-+ a_2054_n2932# a_896_n2932# a_4756_2500# a_3598_n2932# a_3212_2500# a_n3736_n2932#
-+ a_1668_n2932# a_n1806_n2932# a_5142_n2932# a_896_2500# a_510_n2932# a_n3350_2500#
-+ a_n4508_2500# a_3212_n2932# a_n4894_2500# a_1282_2500# w_n5446_n3098# a_4756_n2932#
-+ a_2826_2500# a_2826_n2932# a_n2192_n2932# a_n1034_2500# a_n2578_2500# a_n1420_2500#
-+ a_n2964_2500# a_n648_n2932# a_n648_2500# a_n5280_n2932# a_n3350_n2932# a_4370_2500#
-+ a_1282_n2932# a_124_n2932# a_n1420_n2932# a_n4894_n2932# a_124_2500# a_n2964_n2932#
-+ a_n4122_2500# a_2054_2500# a_510_2500# a_n4508_n2932# a_4370_n2932# a_3598_2500#
-+ a_3984_2500# a_2440_n2932# a_2440_2500# a_3984_n2932# a_n2192_2500# a_n3736_2500#
-+ a_1668_2500# a_n262_n2932# a_n262_2500# a_n1034_n2932# a_5142_2500# a_n2578_n2932#
-X0 a_n1420_n2932# a_n1420_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X1 a_n2578_n2932# a_n2578_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X2 a_n1806_n2932# a_n1806_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X3 a_3212_n2932# a_3212_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X4 a_3598_n2932# a_3598_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X5 a_n2964_n2932# a_n2964_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X6 a_2826_n2932# a_2826_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X7 a_4370_n2932# a_4370_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X8 a_3984_n2932# a_3984_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X9 a_n262_n2932# a_n262_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X10 a_n3350_n2932# a_n3350_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X11 a_n4122_n2932# a_n4122_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X12 a_n3736_n2932# a_n3736_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X13 a_5142_n2932# a_5142_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X14 a_n4894_n2932# a_n4894_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X15 a_1282_n2932# a_1282_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X16 a_4756_n2932# a_4756_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X17 a_124_n2932# a_124_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X18 a_510_n2932# a_510_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X19 a_896_n2932# a_896_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X20 a_n5280_n2932# a_n5280_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X21 a_n648_n2932# a_n648_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X22 a_n1034_n2932# a_n1034_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X23 a_n4508_n2932# a_n4508_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X24 a_n2192_n2932# a_n2192_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X25 a_2054_n2932# a_2054_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X26 a_1668_n2932# a_1668_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-X27 a_2440_n2932# a_2440_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
-.ends
-
-.subckt sky130_fd_pr__pfet_g5v0d10v5_3YBPVB a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200#
-+ VSUBS
-X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-.ends
-
-.subckt sky130_fd_sc_hvl__schmittbuf_1 A VGND VNB VPB VPWR X
-X0 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X1 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u
-X2 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X3 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u
-X4 a_64_207# VPWR VPB sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u
-X5 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X6 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X7 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X8 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u
-X9 a_78_463# VGND VNB sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u
-.ends
-
-.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPXE a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200#
-+ VSUBS
-X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-.ends
-
-.subckt sky130_fd_pr__nfet_g5v0d10v5_PKVMTM w_n308_n458# a_80_n200# a_n138_n200# a_n80_n288#
-X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-.ends
-
-.subckt sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC w_n308_n458# a_80_n200# a_n138_n200# a_n80_n288#
-X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-.ends
-
-.subckt sky130_fd_pr__cap_mim_m3_1_WRT4AW c1_n3036_n3000# m3_n3136_n3100# VSUBS
-X0 c1_n3036_n3000# m3_n3136_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u
-.ends
-
-.subckt sky130_fd_pr__pfet_g5v0d10v5_YEUEBV a_n792_n200# a_138_n297# a_n298_n297#
-+ a_298_n200# a_356_n297# a_n516_n297# a_574_n297# a_516_n200# a_n734_n297# a_734_n200#
-+ a_n80_n297# a_80_n200# a_n138_n200# a_n356_n200# a_n574_n200# w_n992_n497# VSUBS
-X0 a_80_n200# a_n80_n297# a_n138_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X1 a_n574_n200# a_n734_n297# a_n792_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X2 a_734_n200# a_574_n297# a_516_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X3 a_298_n200# a_138_n297# a_80_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X4 a_n138_n200# a_n298_n297# a_n356_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X5 a_n356_n200# a_n516_n297# a_n574_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-X6 a_516_n200# a_356_n297# a_298_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-.ends
-
-.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPBG a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200#
-+ VSUBS
-X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u
-.ends
-
-.subckt sky130_fd_sc_hvl__inv_8 A VGND VNB VPB VPWR Y
-X0 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X1 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X2 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X3 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X4 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X5 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X6 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X7 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X8 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X9 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X10 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X11 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X12 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X13 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u
-X14 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-X15 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
-.ends
-
-.subckt example_por vdd3v3 vdd1v8 vss porb_h por_l porb_l
-Xsky130_fd_pr__cap_mim_m3_2_W5U4AW_0 vss sky130_fd_sc_hvl__schmittbuf_1_0/A vss sky130_fd_pr__cap_mim_m3_2_W5U4AW
-Xsky130_fd_sc_hvl__buf_8_1 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd1v8 vdd1v8 porb_l
-+ sky130_fd_sc_hvl__buf_8
-Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653#
-+ vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653#
-+ m1_502_7653# vdd3v3 vdd3v3 vdd3v3 m1_502_7653# vdd3v3 vss sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ
-Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 m1_721_6815# vss m1_721_6815# vss vss m1_721_6815#
-+ m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# vss
-+ m1_721_6815# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS
-Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 li_3322_5813# li_1391_165# vss li_7567_165#
-+ li_6023_165# vdd3v3 li_9111_165# li_8726_5813# li_1391_165# li_6795_165# li_3707_165#
-+ vss li_6410_5813# li_6023_165# li_1778_5813# li_1006_5813# li_8339_165# vss li_6410_5813#
-+ vss li_9883_165# li_7954_5813# li_8339_165# li_2935_165# li_4094_5813# li_2550_5813#
-+ li_4094_5813# li_2550_5813# li_4479_165# li_4866_5813# vss li_2163_165# li_9498_5813#
-+ li_6795_165# li_5251_165# li_3707_165# li_619_165# li_5638_5813# li_2163_165# li_1006_5813#
-+ li_7182_5813# li_5638_5813# li_619_165# li_9883_165# li_8726_5813# li_9498_5813#
-+ li_7567_165# li_7954_5813# li_9111_165# li_3322_5813# li_1778_5813# li_7182_5813#
-+ li_5251_165# li_4866_5813# li_4479_165# vss li_2935_165# sky130_fd_pr__res_xhigh_po_0p69_S5N9F3
-Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 m1_185_6573# m1_721_6815# vdd3v3 m1_2993_7658#
-+ vss sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
-Xsky130_fd_sc_hvl__schmittbuf_1_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vss vdd3v3
-+ vdd3v3 sky130_fd_sc_hvl__inv_8_0/A sky130_fd_sc_hvl__schmittbuf_1
-Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 m1_2756_6573# m1_4283_8081# vdd3v3 m1_2756_6573#
-+ vss sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
-Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 m1_2756_6573# sky130_fd_sc_hvl__schmittbuf_1_0/A
-+ vdd3v3 m1_6249_7690# vss sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
-Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 m1_185_6573# m1_502_7653# vdd3v3 m1_185_6573#
-+ vss sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
-Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 m1_4283_8081# m1_6249_7690# vdd3v3 vdd3v3 vss
-+ sky130_fd_pr__pfet_g5v0d10v5_YUHPXE
-Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 vss m1_2756_6573# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_PKVMTM
-Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 vss m1_185_6573# vss li_2550_5813# sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC
-Xsky130_fd_pr__cap_mim_m3_1_WRT4AW_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vss sky130_fd_pr__cap_mim_m3_1_WRT4AW
-Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081#
-+ m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081#
-+ vdd3v3 m1_4283_8081# vdd3v3 m1_4283_8081# vdd3v3 vss sky130_fd_pr__pfet_g5v0d10v5_YEUEBV
-Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 m1_502_7653# m1_2993_7658# vdd3v3 vdd3v3 vss
-+ sky130_fd_pr__pfet_g5v0d10v5_YUHPBG
-Xsky130_fd_sc_hvl__inv_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd1v8 vdd1v8 por_l
-+ sky130_fd_sc_hvl__inv_8
-Xsky130_fd_sc_hvl__buf_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd3v3 vdd3v3 porb_h
-+ sky130_fd_sc_hvl__buf_8
-.ends
-
-.subckt user_analog_proj_example example_por_0/por_l VSUBS example_por_1/por_l example_por_0/vdd1v8
-+ example_por_1/vdd3v3 example_por_1/porb_l example_por_0/vdd3v3 example_por_1/porb_h
-+ example_por_0/porb_l example_por_0/porb_h example_por_1/vdd1v8
-Xexample_por_0 example_por_0/vdd3v3 example_por_0/vdd1v8 VSUBS example_por_0/porb_h
-+ example_por_0/por_l example_por_0/porb_l example_por
-Xexample_por_1 example_por_1/vdd3v3 example_por_1/vdd1v8 VSUBS example_por_1/porb_h
-+ example_por_1/por_l example_por_1/porb_l example_por
-.ends
+* SPICE3 file created from user_analog_project_wrapper.ext - technology: sky130A
 
 .subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
 + gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
@@ -227,7 +7,7 @@
 + gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
 + gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
 + gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
-+ io_analog[1] io_analog[2] io_analog[3] io_analog[4] io_analog[7] io_analog[8] io_analog[9]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[7] io_analog[8] io_analog[9] io_analog[4]
 + io_analog[5] io_analog[6] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
 + io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
 + io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
@@ -326,7 +106,12 @@
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
 + wbs_stb_i wbs_we_i
-Xuser_analog_proj_example_0 io_out[16] vssa1 io_out[12] vccd1 vdda1 io_out[11] io_clamp_high[0]
-+ gpio_analog[3] io_out[15] gpio_analog[7] vccd1 user_analog_proj_example
+R0 io_out[25] gpio_analog[17] sky130_fd_pr__res_generic_m2 w=6e+06u l=6e+06u
+C0 vdda2 vccd2 19.74fF
+Xcomparator_0 vssd2 vdda2 vccd2 gpio_analog[17] gpio_analog[16] gpio_analog[15] gpio_analog[14]
++ comparator
+C1 vssa1 vssd2 2.35fF
+C2 vccd2 vssd2 20.99fF
+C3 comparator_0/VOPAMP vssd2 2.89fF **FLOATING
+C4 comparator_0/VON vssd2 2.03fF **FLOATING
 .ends
-
diff --git a/old-comparator/.magicrc b/old-comparator/.magicrc
new file mode 100644
index 0000000..cb421a8
--- /dev/null
+++ b/old-comparator/.magicrc
@@ -0,0 +1,74 @@
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# scale to one
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 1
+}
+
+# drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "~/open_sky130/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE maglef
+}
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+}
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
+
+# set units for grid
+snap on
+
+ext2spice scale off
+ext2spice ngspice
\ No newline at end of file
diff --git a/old-comparator/bsim4v5.out b/old-comparator/bsim4v5.out
new file mode 100644
index 0000000..be7feb1
--- /dev/null
+++ b/old-comparator/bsim4v5.out
@@ -0,0 +1,5 @@
+BSIM4v5: Berkeley Short Channel IGFET Model-4
+Developed by Xuemei (Jane) Xi, Mohan Dunga, Prof. Ali Niknejad and Prof. Chenming Hu in 2003.
+
+++++++++++ BSIM4v5 PARAMETER CHECKING BELOW ++++++++++
+Model = xpre.x2:sky130_fd_pr__pfet_g5v0d10v5__model.55
diff --git a/old-comparator/comparator-decision.spice b/old-comparator/comparator-decision.spice
new file mode 100644
index 0000000..b6f5d85
--- /dev/null
+++ b/old-comparator/comparator-decision.spice
@@ -0,0 +1,38 @@
+* scale: 1e6 units = 1 micron
+
+.subckt comparator-decision VPAMP VNAMP VOP VON VGND VPWR
+
+* voltage to current
+* X0 VPWR VPAMP VOP VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+* X1 VPWR VNAMP VON VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+* 
+* * decision feedback
+* X2 VOP VOP ISINK VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X3 VOP VON ISINK VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* X4 VON VOP ISINK VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X5 VON VON ISINK VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* * current sink
+* X6 ISINK ISINK VGND VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+
+* extracted
+X0 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=-0 pd=0 as=-0 ps=0   w=1.5e+06u l=500000u
+X1 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=-0 pd=0 as=-0 ps=0   w=1.5e+06u l=500000u
+X2 VOP VPAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0    w=1.5e+06u l=500000u
+X3 VON VNAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0    w=1.5e+06u l=500000u
+X4 ISINK VOP VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0      w=1e+06u l=600000u
+X5 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0    w=1e+06u l=600000u
+X6 ISINK VON VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0      w=1e+06u l=600000u
+X7 ISINK VOP VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0      w=1e+06u l=600000u
+X8 ISINK VON VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0      w=1e+06u l=600000u
+X9 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0    w=1e+06u l=600000u
+X10 VGND ISINK ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+X11 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0   w=1e+06u l=600000u
+X12 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0   w=1e+06u l=600000u
+C0 VPWR VGND 6.67fF
+C1 ISINK VGND 2.29fF
+C2 VON VGND 2.41fF
+
+
+.ends
\ No newline at end of file
diff --git a/old-comparator/comparator-diffamp.spice b/old-comparator/comparator-diffamp.spice
new file mode 100644
index 0000000..07bc89f
--- /dev/null
+++ b/old-comparator/comparator-diffamp.spice
@@ -0,0 +1,46 @@
+* scale: 1e6 units = 1 micron
+
+* This is a 1.8V version: make sure expected inputs in range
+
+.subckt comparator-diffamp VOP VON VOUT VGND VPWR
+
+*load transistors
+* X0 VPWR VONAMP VOPAMP VPWR sky130_fd_pr__pfet_01v8 w=3e+06u l=0.18e+6u
+* X1 VPWR VONAMP VONAMP VPWR sky130_fd_pr__pfet_01v8 w=3e+06u l=0.18e+6u
+* 
+* *diff pair
+* X2 VOPAMP VOP ISINK VGND sky130_fd_pr__nfet_01v8 w=1e+06u l=0.18e+6u
+* X3 VONAMP VON ISINK VGND sky130_fd_pr__nfet_01v8 w=1e+06u l=0.18e+6u
+* 
+* * current source
+* X4 ISINK VONAMP VGND VGND sky130_fd_pr__nfet_01v8 w=1e+06u l=0.18e+6u
+* 
+* *output inverter
+* X5 VPWR VOPAMP VOUT VPWR sky130_fd_pr__pfet_01v8 w=2e+06u l=0.15e+6u
+* X6 VOUT VOPAMP VGND VGND sky130_fd_pr__nfet_01v8 w=1e+06u l=0.15e+6u
+
+*extracted 
+X0 VGND VGND VGND VGND sky130_fd_pr__nfet_01v8 ad=0 pd=0 as=0 ps=0      w=1e+06u l=180000u
+X1 VOPAMP VOP ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0 pd=0 as=-0 ps=0  w=1e+06u l=180000u
+X2 VGND VGND VGND VGND sky130_fd_pr__nfet_01v8 ad=0 pd=0 as=0 ps=0      w=1e+06u l=180000u
+X3 VONAMP VON ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0 pd=0 as=-0 ps=0  w=1e+06u l=180000u
+X4 VGND VONAMP ISINK VGND sky130_fd_pr__nfet_01v8 ad=0 pd=0 as=-0 ps=0  w=1e+06u l=180000u
+X5 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0      w=1e+06u l=180000u
+X6 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0      w=1e+06u l=180000u
+X7 VONAMP VONAMP VPWR VPWR sky130_fd_pr__pfet_01v8 ad=-0 pd=0 as=0 ps=0 w=1e+06u l=180000u
+X8 VPWR VONAMP VOPAMP VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=-0 ps=0 w=1e+06u l=180000u
+X9 VPWR VONAMP VONAMP VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=-0 ps=0 w=1e+06u l=180000u
+X10 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0     w=1e+06u l=180000u
+X11 VOPAMP VONAMP VPWR VPWR sky130_fd_pr__pfet_01v8 ad=-0 pd=0 as=0 ps=0 w=1e+06u l=180000u
+X12 VPWR VONAMP VONAMP VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=-0 ps=0 w=1e+06u l=180000u
+X13 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0     w=1e+06u l=180000u
+X14 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0     w=1e+06u l=180000u
+X15 VPWR VONAMP VOPAMP VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=-0 ps=0 w=1e+06u l=180000u
+X16 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0     w=1e+06u l=180000u
+X17 VPWR VOPAMP VOUT VPWR sky130_fd_pr__pfet_01v8 ad=0 pd=0 as=0 ps=0   w=2e+06u l=150000u
+X18 VGND VOPAMP VOUT VGND sky130_fd_pr__nfet_01v8 ad=0 pd=0 as=0 ps=0   w=1e+06u l=150000u
+C0 VPWR VGND 11.52fF
+C1 VONAMP VGND 2.55fF
+
+
+.ends
\ No newline at end of file
diff --git a/old-comparator/comparator-large.mag b/old-comparator/comparator-large.mag
new file mode 100644
index 0000000..4b35282
--- /dev/null
+++ b/old-comparator/comparator-large.mag
@@ -0,0 +1,114 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632865024
+<< locali >>
+rect 1760 1900 1860 1920
+rect 1760 1840 1780 1900
+rect 1840 1840 1860 1900
+rect 1760 1540 1860 1840
+rect 1760 1480 1780 1540
+rect 1840 1480 1860 1540
+rect 1760 1460 1860 1480
+<< viali >>
+rect 1780 1840 1840 1900
+rect 1780 1480 1840 1540
+<< metal1 >>
+rect -240 3240 2400 3280
+rect -240 3040 2100 3240
+rect 2360 3040 2400 3240
+rect -240 3000 2400 3040
+rect -240 2420 40 3000
+rect 480 2720 1620 2760
+rect 480 2420 520 2720
+rect 820 2420 1620 2720
+rect 2060 2460 2400 3000
+rect 4560 3000 5600 3200
+rect 2720 2720 4420 2760
+rect 2720 2600 4080 2720
+rect 480 2180 1620 2420
+rect 4040 2420 4080 2600
+rect 4380 2420 4420 2720
+rect 4040 2320 4420 2420
+rect 4560 2320 4660 3000
+rect 4940 2720 5320 2800
+rect 4940 2420 4980 2720
+rect 5280 2420 5320 2720
+rect 4940 2380 5320 2420
+rect 4940 2320 5360 2380
+rect 1140 1980 1620 2180
+rect -440 1900 1860 1920
+rect -440 1840 1780 1900
+rect 1840 1840 1860 1900
+rect -440 1820 1860 1840
+rect 2900 1780 3900 1880
+rect 460 1640 2000 1740
+rect 460 1420 580 1640
+rect 1760 1540 1860 1560
+rect 1760 1480 1780 1540
+rect 1840 1480 1860 1540
+rect 1230 1380 1600 1470
+rect -440 1270 540 1350
+rect 1500 1340 1600 1380
+rect 1760 1340 1860 1480
+rect 1500 1240 1860 1340
+rect 1900 1320 2000 1640
+rect 3800 1280 3900 1780
+rect -440 1160 540 1240
+rect 460 860 580 1080
+rect 1900 860 2000 1220
+rect 460 760 2000 860
+rect 3800 720 3900 840
+rect 2880 620 3900 720
+<< via1 >>
+rect 2100 3040 2360 3240
+rect 520 2420 820 2720
+rect 4080 2420 4380 2720
+rect 4980 2420 5280 2720
+<< metal2 >>
+rect 480 2760 840 3400
+rect 2060 3240 2400 3400
+rect 2060 3040 2100 3240
+rect 2360 3040 2400 3240
+rect 2060 3000 2400 3040
+rect 480 2720 4420 2760
+rect 480 2420 520 2720
+rect 820 2420 4080 2720
+rect 4380 2420 4420 2720
+rect 480 2380 4420 2420
+rect 4940 2720 5320 3400
+rect 4940 2420 4980 2720
+rect 5280 2420 5320 2720
+rect 4940 2380 5320 2420
+use diffamp  diffamp_0
+timestamp 1632488633
+transform 1 0 4840 0 1 -1080
+box -940 980 620 3400
+use decision  decision_0
+timestamp 1632485541
+transform 1 0 2020 0 1 145
+box -120 -245 1711 2620
+use preamp  preamp_0
+timestamp 1632332974
+transform 1 0 -145 0 1 115
+box -255 -115 1909 2460
+<< labels >>
+rlabel metal1 -440 1270 -430 1350 1 VP
+port 2 n
+rlabel metal1 -440 1160 -430 1240 1 VN
+port 1 n
+rlabel metal1 -440 1820 -420 1920 1 BIASN
+port 3 n
+rlabel metal1 2060 2760 2400 2800 1 VDD3v3
+port 4 n
+rlabel metal1 -240 2760 40 2800 1 VDD3v3
+port 4 n
+rlabel metal2 480 3320 840 3400 1 VGND
+port 8 n
+rlabel metal2 2060 3320 2400 3400 1 VDD3v3
+port 4 n
+rlabel metal2 4940 3300 5320 3400 1 VDD1v8
+port 9 n
+rlabel metal1 5560 3000 5600 3200 1 VOUT
+port 10 n
+<< end >>
diff --git a/old-comparator/comparator-large.spice b/old-comparator/comparator-large.spice
new file mode 100644
index 0000000..8477fc8
--- /dev/null
+++ b/old-comparator/comparator-large.spice
@@ -0,0 +1,56 @@
+* SPICE3 file created from comparator.ext - technology: sky130A
+
+.subckt comparator VN VP BIASN VDD3v3 VGND VDD1v8 VOUT
+X0 diffamp_0/VOPAMP diffamp_0/VOP diffamp_0/ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X1 VGND VGND VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X2 VGND VGND VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X3 diffamp_0/VONAMP diffamp_0/VON diffamp_0/ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X4 VGND diffamp_0/VONAMP diffamp_0/ISINK VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X5 diffamp_0/VONAMP diffamp_0/VONAMP VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=-0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X6 VDD1v8 diffamp_0/VONAMP diffamp_0/VONAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X7 VDD1v8 VDD1v8 VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X8 VDD1v8 diffamp_0/VONAMP diffamp_0/VONAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X9 VDD1v8 diffamp_0/VONAMP diffamp_0/VOPAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X10 VDD1v8 diffamp_0/VONAMP diffamp_0/VOPAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X11 VDD1v8 VDD1v8 VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X12 diffamp_0/VOPAMP diffamp_0/VONAMP VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=-0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X13 VDD1v8 VDD1v8 VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X14 VDD1v8 VDD1v8 VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X15 VDD1v8 VDD1v8 VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X16 VDD1v8 VDD1v8 VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X17 VGND diffamp_0/VOPAMP VOUT VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X18 VDD1v8 diffamp_0/VOPAMP VOUT VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=2e+06u l=150000u
+X19 preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_250000_3270000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_n250000_2785000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_n540000_3270000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/w_n1540000_n6255000# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X20 preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_250000_590000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_n250000_105000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_n540000_590000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/w_n1540000_n6255000# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X21 preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_250000_n4770000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_n250000_n5255000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_n540000_n4770000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/w_n1540000_n6255000# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X22 preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_250000_n2090000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_n250000_n2575000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/a_n540000_n2090000# preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/w_n1540000_n6255000# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X23 preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n145000_n500000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n745000_n940000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n1035000_n500000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/w_n1885000_n3880000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X24 preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n145000_1590000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n745000_1150000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n1035000_1590000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/w_n1885000_n3880000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X25 preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_745000_n500000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_145000_n940000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n145000_n500000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/w_n1885000_n3880000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X26 preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n145000_n2590000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n745000_n3030000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n1035000_n2590000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/w_n1885000_n3880000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X27 preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_745000_1590000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_145000_1150000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n145000_1590000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/w_n1885000_n3880000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X28 preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_745000_n2590000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_145000_n3030000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/a_n145000_n2590000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0/w_n1885000_n3880000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X29 preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_300000_2635000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_n300000_2195000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_n590000_2635000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/w_n1440000_n4925000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X30 preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_300000_n1545000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_n300000_n1985000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_n590000_n1545000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/w_n1440000_n4925000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X31 preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_300000_545000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_n300000_105000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_n590000_545000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/w_n1440000_n4925000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X32 preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_300000_n3635000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_n300000_n4075000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/a_n590000_n3635000# preamp_0/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0/w_n1440000_n4925000# sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X33 VDD3v3 VDD3v3 VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=-0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X34 diffamp_0/VOP preamp_0/VPAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X35 VDD3v3 VDD3v3 VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=-0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X36 diffamp_0/VON preamp_0/VNAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X37 decision_0/ISINK diffamp_0/VOP diffamp_0/VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X38 decision_0/ISINK diffamp_0/VOP diffamp_0/VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X39 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X40 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X41 decision_0/ISINK diffamp_0/VON diffamp_0/VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X42 decision_0/ISINK diffamp_0/VON diffamp_0/VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X43 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X44 VGND decision_0/ISINK decision_0/ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X45 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+C0 VDD3v3 VGND 3.47fF
+C1 decision_0/ISINK VGND 2.29fF
+C2 diffamp_0/VON VGND 2.88fF
+C3 preamp_0/sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0/w_n1540000_n6255000# VGND 4.80fF
+C4 VDD1v8 VGND 10.96fF
+C5 diffamp_0/VONAMP VGND 2.51fF
+.ends
diff --git a/old-comparator/comparator-preamp-selfbias.spice b/old-comparator/comparator-preamp-selfbias.spice
new file mode 100644
index 0000000..2dac93e
--- /dev/null
+++ b/old-comparator/comparator-preamp-selfbias.spice
@@ -0,0 +1,33 @@
+* scale: 1e6 units = 1 micron
+
+.subckt comparator-preamp-selfbias VP VN VPAMP VNAMP VGND VPWR
+
+*constant current source: paralleled to allow for size matching
+* X0 VI VI VGND VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X1 VI VI VGND VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* *Diff Pair
+* X12 VPAMP VP VI VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X13 VNAMP VN VI VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* *Load transistors
+* X24 VPWR VPAMP VPAMP VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+* X25 VPWR VNAMP VNAMP VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+
+* extracted
+*.option scale=1u
+
+
+X2 VPAMP VPAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0 w=1.5e+06u l=500000u
+X3 VNAMP VPAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0 w=1.5e+06u l=500000u
+
+X4 VGND VI VI VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+X5 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+X6 VI VI VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+
+X12 VI VN VNAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+X13 VI VP VPAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+C0 VPWR VGND 8.16fF
+
+
+.ends
\ No newline at end of file
diff --git a/old-comparator/comparator-preamp.spice b/old-comparator/comparator-preamp.spice
new file mode 100644
index 0000000..6472fba
--- /dev/null
+++ b/old-comparator/comparator-preamp.spice
@@ -0,0 +1,37 @@
+* scale: 1e6 units = 1 micron
+
+.subckt comparator-preamp VP VN VPAMP VNAMP VI BIASN VGND VPWR
+
+*constant current source: paralleled to allow for size matching
+* X0 VI BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X1 VI BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* *Diff Pair
+* X12 VPAMP VP VI VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* X13 VNAMP VN VI VGND sky130_fd_pr__nfet_03v3_nvt w=1e+6u l=0.6e+6u
+* 
+* *Load transistors
+* X24 VPWR VPAMP VPAMP VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+* X25 VPWR VNAMP VNAMP VPWR sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+6u l=0.5e+6u
+
+* extracted
+*.option scale=1u
+
+X0 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=-0 pd=0 as=-0 ps=0 w=1.5e+06u l=500000u
+X1 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=-0 pd=0 as=-0 ps=0 w=1.5e+06u l=500000u
+X2 VPAMP VPAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0 w=1.5e+06u l=500000u
+X3 VNAMP VNAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0 pd=0 as=-0 ps=0 w=1.5e+06u l=500000u
+X4 VGND BIASN VI VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+X5 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+X6 VI BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+X7 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+X8 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+X9 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+X10 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+X11 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0 pd=0 as=0 ps=0 w=1e+06u l=600000u
+X12 VI VN VNAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+X13 VI VP VPAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0 pd=0 as=-0 ps=0 w=1e+06u l=600000u
+C0 VPWR VGND 8.16fF
+
+
+.ends
\ No newline at end of file
diff --git a/old-comparator/comparator-whole.spice b/old-comparator/comparator-whole.spice
new file mode 100644
index 0000000..0ae19c9
--- /dev/null
+++ b/old-comparator/comparator-whole.spice
@@ -0,0 +1,52 @@
+.subckt comparator-whole VP VN BIASN VOUT VGND VDD3v3 VDD1v8
+* SPICE3 file created from comparator.ext - technology: sky130A
+
+X32 preamp_0/VI VP preamp_0/VPAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X31 preamp_0/VI VN preamp_0/VNAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+
+X23 VGND BIASN preamp_0/VI VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X25 preamp_0/VI BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+
+X21 preamp_0/VPAMP preamp_0/VPAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X22 preamp_0/VNAMP preamp_0/VNAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+
+X35 diffamp_0/VOP preamp_0/VPAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+X36 diffamp_0/VON preamp_0/VNAMP VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u
+
+X37 decision_0/ISINK diffamp_0/VOP diffamp_0/VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X39 decision_0/ISINK diffamp_0/VON diffamp_0/VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X40 decision_0/ISINK diffamp_0/VOP diffamp_0/VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X41 decision_0/ISINK diffamp_0/VON diffamp_0/VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+
+X43 VGND decision_0/ISINK decision_0/ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+
+
+X1 diffamp_0/VOPAMP diffamp_0/VOP diffamp_0/ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X3 diffamp_0/VONAMP diffamp_0/VON diffamp_0/ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+
+X4 VGND diffamp_0/VONAMP diffamp_0/ISINK VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+
+
+
+X7 diffamp_0/VONAMP diffamp_0/VONAMP VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=-0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X9 VDD1v8 diffamp_0/VONAMP diffamp_0/VONAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X12 VDD1v8 diffamp_0/VONAMP diffamp_0/VONAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X8 VDD1v8 diffamp_0/VONAMP diffamp_0/VOPAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X11 diffamp_0/VOPAMP diffamp_0/VONAMP VDD1v8 VDD1v8 sky130_fd_pr__pfet_01v8 ad=-0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X15 VDD1v8 diffamp_0/VONAMP diffamp_0/VOPAMP VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+
+X17 VDD1v8 diffamp_0/VOPAMP VOUT VDD1v8 sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=2e+06u l=150000u
+X18 VGND diffamp_0/VOPAMP VOUT VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+
+
+
+
+C0 decision_0/ISINK VGND 2.29fF
+C1 diffamp_0/VON VGND 3.61fF
+C2 VDD3v3 VGND 13.15fF
+C3 VDD1v8 VGND 12.14fF
+C4 diffamp_0/VONAMP VGND 2.51fF
+
+
+
+.ends
\ No newline at end of file
diff --git a/old-comparator/decision.mag b/old-comparator/decision.mag
new file mode 100644
index 0000000..1693b15
--- /dev/null
+++ b/old-comparator/decision.mag
@@ -0,0 +1,127 @@
+magic
+tech sky130A
+magscale 1 10000
+timestamp 1632485541
+<< nwell >>
+rect 1718137 3700000 1850000 5200000
+<< pwell >>
+rect 3500000 4800000 3800000 5300000
+rect 3500000 3500000 4300000 3550000
+rect 3500000 1800000 3900000 3400000
+<< locali >>
+rect 3200000 12400000 3600000 12500000
+rect 5100000 12400000 5450000 12500000
+rect 300000 11600000 1800000 11700000
+rect 50000 9050000 2100000 11550000
+rect 3200000 10300000 5450000 12400000
+rect 2050000 9000000 2100000 9050000
+rect 3500000 9400000 4300000 9800000
+rect 6000000 9250000 6400000 9350000
+rect 7900000 9250000 8200000 9350000
+rect 3500000 8050000 4300000 8500000
+rect 50000 6700000 650000 7550000
+rect 3500000 6350000 3800000 8050000
+rect 4800000 6650000 5150000 9250000
+rect 6000000 7150000 8200000 9250000
+rect 500000 5950000 1350000 6150000
+rect 300000 5900000 1350000 5950000
+rect 3500000 6000000 4600000 6100000
+rect 3500000 5900000 4500000 6000000
+rect 300000 5600000 1350000 5650000
+rect 500000 5400000 1350000 5600000
+rect 3500000 5300000 3700000 5900000
+rect 50000 4050000 650000 4900000
+rect 3500000 3550000 3800000 5300000
+rect 4800000 4950000 4850000 6650000
+rect 7500000 5300000 8250000 6300000
+rect 3500000 3100000 4300000 3550000
+rect 50000 0 2100000 2550000
+rect 4800000 2900000 5150000 4950000
+rect 4750000 2300000 5150000 2900000
+rect 6050000 2250000 8250000 4450000
+rect 3500000 1800000 4300000 2200000
+rect 3200000 -900000 5450000 1300000
+<< viali >>
+rect 3600000 12400000 5100000 12900000
+rect 300000 11700000 1800000 12200000
+rect 3500000 8500000 4300000 9400000
+rect 6400000 9250000 7900000 9750000
+rect 1350000 6350000 1850000 7900000
+rect 300000 5950000 500000 6150000
+rect 300000 5400000 500000 5600000
+rect 4150000 5400000 4450000 5700000
+rect 1400000 3700000 1850000 5200000
+rect 4850000 4950000 5150000 6650000
+rect 6350000 4950000 7150000 6650000
+rect 3500000 2200000 4300000 3100000
+<< metal1 >>
+rect 200000 12200000 1900000 13100000
+rect 3500000 12900000 8000000 13100000
+rect 3500000 12400000 3600000 12900000
+rect 5100000 12400000 8000000 12900000
+rect 3500000 12300000 8000000 12400000
+rect 200000 11700000 300000 12200000
+rect 1800000 11700000 1900000 12200000
+rect 200000 11600000 1900000 11700000
+rect 6300000 9750000 8000000 12300000
+rect 3400000 9400000 4550000 9500000
+rect 3400000 9300000 3500000 9400000
+rect 1250000 8600000 3500000 9300000
+rect 1250000 7900000 1950000 8600000
+rect 3400000 8500000 3500000 8600000
+rect 4300000 8500000 4550000 9400000
+rect 6300000 9250000 6400000 9750000
+rect 7900000 9250000 8000000 9750000
+rect 6300000 9150000 8000000 9250000
+rect 3400000 8400000 4550000 8500000
+rect 1250000 6350000 1350000 7900000
+rect 1850000 6350000 1950000 7900000
+rect 1250000 6250000 1950000 6350000
+rect -600000 6150000 600000 6250000
+rect -600000 5950000 300000 6150000
+rect 500000 5950000 600000 6150000
+rect -600000 5850000 600000 5950000
+rect 4050000 5700000 4550000 8400000
+rect -600000 5600000 600000 5700000
+rect -600000 5400000 300000 5600000
+rect 500000 5400000 600000 5600000
+rect -600000 5300000 600000 5400000
+rect 4050000 5400000 4150000 5700000
+rect 4450000 5400000 4550000 5700000
+rect 4050000 5300000 4550000 5400000
+rect 4750000 6650000 7250000 6750000
+rect 1250000 5200000 1950000 5300000
+rect 1250000 3700000 1400000 5200000
+rect 1850000 3700000 1950000 5200000
+rect 4750000 4950000 4850000 6650000
+rect 5150000 4950000 6350000 6650000
+rect 7150000 4950000 7250000 6650000
+rect 4750000 4850000 7250000 4950000
+rect 1250000 3000000 1950000 3700000
+rect 3400000 3100000 4400000 3200000
+rect 3400000 3000000 3500000 3100000
+rect 1250000 2300000 3500000 3000000
+rect 3350000 2200000 3500000 2300000
+rect 4300000 2200000 4400000 3100000
+rect 3350000 2100000 4400000 2200000
+use sky130_fd_pr__pfet_g5v0d10v5_CABTE7  sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0
+timestamp 1632332974
+transform 1 0 1065000 0 1 5780000
+box -1540000 -6255000 1540000 6255000
+use sky130_fd_pr__nfet_03v3_nvt_BKHJCF  sky130_fd_pr__nfet_03v3_nvt_BKHJCF_0
+timestamp 1632337456
+transform 1 0 4315000 0 1 5790000
+box -1440000 -7015000 1440000 7015000
+use sky130_fd_pr__nfet_03v3_nvt_NAHJCF  sky130_fd_pr__nfet_03v3_nvt_NAHJCF_0
+timestamp 1632334879
+transform 1 0 7115000 0 1 5805000
+box -1440000 -3880000 1440000 3880000
+<< labels >>
+rlabel metal1 200000 12900000 1900000 13100000 1 VPWR
+rlabel metal1 1250000 6250000 1950000 8000000 1 VOP
+rlabel metal1 1250000 3600000 1950000 5300000 1 VON
+rlabel metal1 -600000 5850000 -500000 6250000 1 VPAMP
+rlabel metal1 -600000 5300000 -500000 5700000 1 VNAMP
+rlabel metal1 6250000 4850000 7250000 6750000 1 ISINK
+rlabel metal1 3500000 12900000 8000000 13100000 1 VGND
+<< end >>
diff --git a/old-comparator/decision.spice b/old-comparator/decision.spice
new file mode 100644
index 0000000..2a60668
--- /dev/null
+++ b/old-comparator/decision.spice
@@ -0,0 +1,18 @@
+* SPICE3 file created from decision.ext - technology: sky130A
+
+X0 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=-0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X1 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=-0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X2 VOP VPAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X3 VON VNAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X4 ISINK VOP VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X5 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X6 ISINK VON VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X7 ISINK VOP VON VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X8 ISINK VON VOP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X9 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X10 VGND ISINK ISINK VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X11 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X12 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+C0 VPWR VGND 6.52fF
+C1 ISINK VGND 2.29fF
+C2 VON VGND 2.41fF
diff --git a/old-comparator/diffamp.mag b/old-comparator/diffamp.mag
new file mode 100644
index 0000000..050ab4c
--- /dev/null
+++ b/old-comparator/diffamp.mag
@@ -0,0 +1,202 @@
+magic
+tech sky130A
+magscale 1 4
+timestamp 1632488633
+<< nwell >>
+rect -880 5200 1240 6200
+rect -40 2280 1240 5200
+<< pwell >>
+rect -1840 5040 -960 6560
+rect -1840 4000 -320 5040
+rect -1840 1960 -960 4000
+<< nmos >>
+rect -640 4560 -580 4960
+<< pmos >>
+rect -640 5280 -580 6080
+<< ndiff >>
+rect -800 4920 -640 4960
+rect -800 4600 -760 4920
+rect -680 4600 -640 4920
+rect -800 4560 -640 4600
+rect -580 4920 -400 4960
+rect -580 4600 -520 4920
+rect -440 4600 -400 4920
+rect -580 4560 -400 4600
+<< pdiff >>
+rect -800 6040 -640 6080
+rect -800 5320 -760 6040
+rect -680 5320 -640 6040
+rect -800 5280 -640 5320
+rect -580 6040 -400 6080
+rect -580 5320 -520 6040
+rect -440 5320 -400 6040
+rect -580 5280 -400 5320
+<< ndiffc >>
+rect -760 4600 -680 4920
+rect -520 4600 -440 4920
+<< pdiffc >>
+rect -760 5320 -680 6040
+rect -520 5320 -440 6040
+<< psubdiff >>
+rect -640 4360 -360 4440
+rect -640 4240 -560 4360
+rect -440 4240 -360 4360
+rect -640 4160 -360 4240
+<< psubdiffcont >>
+rect -560 4240 -440 4360
+<< poly >>
+rect -640 6080 -580 6140
+rect -640 5160 -580 5280
+rect -320 5160 -120 5200
+rect -640 5040 -280 5160
+rect -160 5040 -120 5160
+rect -640 4960 -580 5040
+rect -320 5000 -120 5040
+rect -640 4490 -580 4560
+<< polycont >>
+rect -280 5040 -160 5160
+<< locali >>
+rect -1720 5640 -1080 6440
+rect -800 6360 -520 6480
+rect -800 6040 -680 6360
+rect -800 5320 -760 6040
+rect -1760 5040 -1480 5200
+rect -1760 4360 -1640 5040
+rect -800 4920 -680 5320
+rect -520 6040 280 6080
+rect 960 6040 1120 6080
+rect -440 5320 1120 6040
+rect -520 5280 1120 5320
+rect 120 5240 1120 5280
+rect -320 5160 -120 5200
+rect -320 5040 -280 5160
+rect -160 5040 -120 5160
+rect -320 5000 -120 5040
+rect 480 4980 1140 5060
+rect -800 4600 -760 4920
+rect -800 4560 -680 4600
+rect -520 4920 -400 4960
+rect -440 4600 -400 4920
+rect -520 4440 -400 4600
+rect -640 4400 -360 4440
+rect -1760 4200 -1480 4360
+rect -1760 3520 -1640 4200
+rect -1320 4160 -1080 4360
+rect -640 4200 -600 4400
+rect -400 4200 -360 4400
+rect 280 4340 380 4960
+rect 480 4460 560 4980
+rect 660 4340 760 4900
+rect 860 4460 940 4980
+rect 280 4260 760 4340
+rect -640 4160 -360 4200
+rect 480 4100 1100 4180
+rect -1400 3900 -1320 3980
+rect -1480 3780 -1380 3800
+rect -1480 3720 -1340 3780
+rect 240 3520 380 4020
+rect 480 3600 560 4100
+rect 660 3520 760 4020
+rect 860 3580 940 4100
+rect -1760 3360 -1520 3520
+rect 240 3480 760 3520
+rect 360 3420 760 3480
+rect -1720 2080 -1080 2880
+rect 120 2400 1120 3240
+<< viali >>
+rect -1560 6440 -1240 6720
+rect -520 6360 -400 6480
+rect -1080 5360 -880 5560
+rect -1320 5000 -1240 5200
+rect 280 6040 960 6440
+rect -280 5040 -160 5160
+rect 240 4960 400 5160
+rect -1440 4740 -1360 4820
+rect -1080 4640 -880 4840
+rect -600 4360 -400 4400
+rect -600 4240 -560 4360
+rect -560 4240 -440 4360
+rect -440 4240 -400 4360
+rect -600 4200 -400 4240
+rect -1320 3900 -1240 3980
+rect -1560 3720 -1480 3800
+rect -1320 3320 -1240 3520
+rect 240 3360 360 3480
+<< metal1 >>
+rect -1600 6720 -840 6800
+rect -1600 6440 -1560 6720
+rect -1240 6440 -840 6720
+rect -1600 6400 -840 6440
+rect -1120 5560 -840 6400
+rect -560 6480 -360 6800
+rect -560 6360 -520 6480
+rect -400 6360 -360 6480
+rect -560 6320 -360 6360
+rect 200 6440 1040 6800
+rect 200 6040 280 6440
+rect 960 6040 1040 6440
+rect 200 5960 1040 6040
+rect -1120 5360 -1080 5560
+rect -880 5360 -840 5560
+rect -1120 5320 -840 5360
+rect -1360 5200 -1200 5240
+rect -1360 5000 -1320 5200
+rect -1240 5160 -1200 5200
+rect -320 5160 -120 5200
+rect 200 5160 440 5200
+rect -1240 5040 -280 5160
+rect -160 5040 240 5160
+rect -1240 5000 -1200 5040
+rect -320 5000 -120 5040
+rect -1360 4960 -1200 5000
+rect 200 4960 240 5040
+rect 400 4960 440 5160
+rect 200 4920 440 4960
+rect -1120 4840 -840 4880
+rect -1880 4820 -1320 4840
+rect -1880 4740 -1440 4820
+rect -1360 4740 -1320 4820
+rect -1880 4720 -1320 4740
+rect -1120 4640 -1080 4840
+rect -880 4640 -840 4840
+rect -1120 4440 -840 4640
+rect -1120 4400 -360 4440
+rect -1120 4200 -600 4400
+rect -400 4200 -360 4400
+rect -1120 4160 -360 4200
+rect -1360 3980 -1200 4020
+rect -1360 3900 -1320 3980
+rect -1240 3900 -1200 3980
+rect -1360 3860 -1200 3900
+rect -1880 3800 -1440 3840
+rect -1880 3720 -1560 3800
+rect -1480 3720 -1440 3800
+rect -1600 3680 -1440 3720
+rect -1320 3560 -1240 3860
+rect -1360 3520 -1200 3560
+rect -1360 3320 -1320 3520
+rect -1240 3480 -1200 3520
+rect 200 3480 400 3520
+rect -1240 3360 240 3480
+rect 360 3360 400 3480
+rect -1240 3320 -1200 3360
+rect 200 3320 400 3360
+rect -1360 3280 -1200 3320
+use sky130_fd_pr__pfet_01v8_XAY5ZQ  sky130_fd_pr__pfet_01v8_XAY5ZQ_0
+timestamp 1632400215
+transform 1 0 613 0 1 4240
+box -619 -1946 619 1946
+use sky130_fd_pr__nfet_01v8_T49HPK  sky130_fd_pr__nfet_01v8_T49HPK_0
+timestamp 1632403905
+transform 1 0 -1398 0 1 4266
+box -428 -2292 428 2292
+<< labels >>
+rlabel locali -1640 5080 -1560 5160 1 ISINK
+rlabel metal1 -1880 3720 -1840 3840 1 VON
+rlabel metal1 -1880 4720 -1840 4840 1 VOP
+rlabel metal1 -920 5040 -840 5160 1 VOPAMP
+rlabel metal1 -840 3360 -720 3480 1 VONAMP
+rlabel metal1 -1600 6760 -840 6800 1 VGND
+rlabel metal1 -560 6760 -360 6800 1 VOUT
+rlabel metal1 200 6760 1040 6800 1 VDD1v8
+<< end >>
diff --git a/old-comparator/diffamp.spice b/old-comparator/diffamp.spice
new file mode 100644
index 0000000..a60f200
--- /dev/null
+++ b/old-comparator/diffamp.spice
@@ -0,0 +1,23 @@
+* SPICE3 file created from diffamp.ext - technology: sky130A
+
+X0 VGND VGND VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X1 VOPAMP VOP ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X2 VGND VGND VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X3 VONAMP VON ISINK VGND sky130_fd_pr__nfet_01v8 ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X4 VGND VONAMP ISINK VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X5 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X6 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X7 VONAMP VONAMP VPWR VPWR sky130_fd_pr__pfet_01v8 ad=-0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X8 VPWR VONAMP VOPAMP VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X9 VPWR VONAMP VONAMP VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X10 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X11 VOPAMP VONAMP VPWR VPWR sky130_fd_pr__pfet_01v8 ad=-0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X12 VPWR VONAMP VONAMP VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X13 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X14 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X15 VPWR VONAMP VOPAMP VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=-0p ps=0u w=1e+06u l=180000u
+X16 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=180000u
+X17 VPWR VOPAMP VOUT VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=2e+06u l=150000u
+X18 VGND VOPAMP VOUT VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+C0 VPWR VGND 11.52fF
+C1 VONAMP VGND 2.51fF
diff --git a/old-comparator/preamp.mag b/old-comparator/preamp.mag
new file mode 100644
index 0000000..12dc987
--- /dev/null
+++ b/old-comparator/preamp.mag
@@ -0,0 +1,153 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632332974
+<< nwell >>
+rect 60 1210 150 1540
+rect 0 1170 150 1210
+rect 0 1060 150 1100
+rect 60 730 150 1060
+<< pwell >>
+rect 880 1260 950 1440
+rect 620 1160 850 1210
+rect 620 1070 850 1120
+rect 890 840 950 1020
+rect 660 330 910 460
+<< locali >>
+rect -80 2440 180 2460
+rect -80 2340 -60 2440
+rect 160 2340 180 2440
+rect -80 2280 180 2340
+rect 640 2200 920 2220
+rect -160 1780 270 2170
+rect 640 2100 660 2200
+rect 900 2100 920 2200
+rect 640 2040 920 2100
+rect 1300 2000 1760 2020
+rect 660 1860 910 1950
+rect 1300 1900 1320 2000
+rect 1740 1900 1760 2000
+rect 550 1820 1010 1860
+rect 1300 1840 1760 1900
+rect 550 1680 700 1820
+rect 860 1680 1010 1820
+rect 1460 1750 1610 1840
+rect 1330 1660 1730 1750
+rect -160 1260 -20 1540
+rect 60 1440 150 1540
+rect 1220 1480 1850 1660
+rect 60 1210 150 1350
+rect 1330 1390 1730 1480
+rect 0 1170 150 1210
+rect 660 1170 850 1210
+rect 620 1160 850 1170
+rect 620 1110 850 1120
+rect 0 1060 150 1100
+rect 660 1070 850 1110
+rect 1220 1080 1360 1240
+rect 1490 1180 1570 1190
+rect 1490 1120 1500 1180
+rect 1560 1120 1570 1180
+rect 1490 1110 1570 1120
+rect 1210 1070 1360 1080
+rect 1700 1080 1840 1240
+rect 1700 1070 1850 1080
+rect 1210 1060 1350 1070
+rect 1710 1060 1850 1070
+rect -160 730 -20 1010
+rect 60 930 150 1060
+rect 60 730 150 840
+rect 1330 820 1730 910
+rect 1220 640 1840 820
+rect -160 100 270 490
+rect 550 460 700 600
+rect 860 460 1010 600
+rect 1330 550 1730 640
+rect 550 420 1010 460
+rect 1460 440 1610 550
+rect 660 330 910 420
+<< viali >>
+rect -60 2340 160 2440
+rect 660 2100 900 2200
+rect 1320 1900 1740 2000
+rect 60 1350 150 1440
+rect 630 1350 690 1440
+rect 860 1260 950 1440
+rect 1400 1290 1490 1330
+rect 1580 1290 1670 1330
+rect 620 1170 660 1210
+rect 620 1070 660 1110
+rect 1500 1120 1560 1180
+rect 60 840 150 930
+rect 630 840 690 930
+rect 860 840 950 1020
+<< metal1 >>
+rect -80 2440 180 2460
+rect -80 2340 -60 2440
+rect 160 2340 180 2440
+rect -80 2320 180 2340
+rect 640 2200 1760 2460
+rect 640 2100 660 2200
+rect 900 2100 1760 2200
+rect 640 2080 1760 2100
+rect 1300 2000 1760 2080
+rect 1300 1900 1320 2000
+rect 1740 1900 1760 2000
+rect 1300 1880 1760 1900
+rect 40 1440 710 1460
+rect 40 1350 60 1440
+rect 150 1350 630 1440
+rect 690 1350 710 1440
+rect 40 1330 710 1350
+rect 840 1440 970 1460
+rect 840 1260 860 1440
+rect 950 1260 970 1440
+rect 1380 1330 1690 1350
+rect 1380 1290 1400 1330
+rect 1490 1290 1580 1330
+rect 1670 1290 1690 1330
+rect 1380 1270 1690 1290
+rect 600 1210 680 1230
+rect 600 1170 620 1210
+rect 660 1170 680 1210
+rect 600 1160 680 1170
+rect 840 1200 970 1260
+rect 840 1180 1580 1200
+rect 840 1120 1500 1180
+rect 1560 1120 1580 1180
+rect 600 1110 680 1120
+rect 600 1070 620 1110
+rect 660 1070 680 1110
+rect 600 1050 680 1070
+rect 840 1100 1580 1120
+rect 840 1020 970 1100
+rect 40 930 710 950
+rect 40 840 60 930
+rect 150 840 630 930
+rect 690 840 710 930
+rect 40 820 710 840
+rect 840 840 860 1020
+rect 950 840 970 1020
+rect 840 820 970 840
+use sky130_fd_pr__pfet_g5v0d10v5_CABTE7  sky130_fd_pr__pfet_g5v0d10v5_CABTE7_0
+timestamp 1632332974
+transform 1 0 53 0 1 1136
+box -308 -1251 308 1251
+use sky130_fd_pr__nfet_03v3_nvt_ZJHJCF  sky130_fd_pr__nfet_03v3_nvt_ZJHJCF_0
+timestamp 1632332784
+transform 1 0 783 0 1 1140
+box -288 -985 288 985
+use sky130_fd_pr__nfet_03v3_nvt_DWHJCV  sky130_fd_pr__nfet_03v3_nvt_DWHJCV_0
+timestamp 1632244313
+transform 1 0 1532 0 1 1151
+box -377 -776 377 776
+<< labels >>
+rlabel metal1 640 2380 1760 2460 1 VGND
+rlabel metal1 -80 2420 180 2460 1 VPWR
+rlabel metal1 1480 1100 1580 1200 1 VI
+rlabel metal1 1380 1270 1690 1350 1 BIASN
+rlabel metal1 600 1160 680 1230 1 VP
+rlabel metal1 600 1050 680 1120 1 VN
+rlabel metal1 610 1330 710 1460 1 VPAMP
+rlabel metal1 610 820 710 950 1 VNAMP
+<< end >>
diff --git a/old-comparator/preamp.spice b/old-comparator/preamp.spice
new file mode 100644
index 0000000..ac4af10
--- /dev/null
+++ b/old-comparator/preamp.spice
@@ -0,0 +1,17 @@
+* NGSPICE file created from preamp.ext - technology: sky130A
+
+X0 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=-0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X1 VPWR VPWR VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=-0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X2 VPAMP VPAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X3 VNAMP VNAMP VPWR VPWR sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=-0p ps=0u w=1.5e+06u l=500000u
+X4 VGND BIASN VI VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X5 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X6 VI BIASN VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X7 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X8 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X9 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X10 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X11 VGND VGND VGND VGND sky130_fd_pr__nfet_03v3_nvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=600000u
+X12 VI VN VNAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+X13 VI VP VPAMP VGND sky130_fd_pr__nfet_03v3_nvt ad=-0p pd=0u as=-0p ps=0u w=1e+06u l=600000u
+C0 VPWR VGND 8.16fF
diff --git a/old-comparator/simulation.spice b/old-comparator/simulation.spice
new file mode 100644
index 0000000..3e93e5f
--- /dev/null
+++ b/old-comparator/simulation.spice
@@ -0,0 +1,51 @@
+* comparator Simulation
+* this file edited to remove everything not in tt lib
+.lib "./sky130_fd_pr/models/sky130.lib.spice" tt
+.include comparator-preamp.spice
+.include comparator-decision.spice
+.include comparator-diffamp.spice
+.include comparator-whole.spice
+.include comparator-preamp-selfbias.spice
+
+* instantiate the comparator
+*Xpre VP VN VPAMP VNAMP VI BIASN VGND VDD3v3 comparator-preamp
+Xpre VP VN VPAMP VNAMP VGND VDD3v3 comparator-preamp-selfbias
+Xdec VPAMP VNAMP VOP VON VGND VDD3v3 comparator-decision
+Xdif VOP VON VOUTdiff VGND VDD1v8 comparator-diffamp
+
+Xpuredif VP VN VOUTpurediff VGND VDD1v8 comparator-diffamp
+
+
+Xcomp VP VN BIASN VOUT VGND VDD3v3 VDD1v8 comparator-whole
+
+* set gnd and power
+Vgnd VGND 0 0
+Vdd3v3 VDD3v3 VGND 3
+Vdd1v8 VDD1v8  VGND 1.8
+
+* set bias voltage
+Vbias BIASN VGND 0.3
+
+VNin VN VGND 1.5
+
+
+* create VP-in
+*VPin VP VGND pulse(0 3 1p 1n 1n 1n 2n)
+*VPin VP VGND SINE(1.5 0.1 10Meg)
+VPin VP VGND 1.5
+
+*.tran 10e-12 200e-09 0e-00
+.dc VPin 0 3 0.002 VNin 0 3 0.2
+
+.control
+run
+set color0 = white
+set color1 = black
+plot VOUT VOUTdiff VDD3v3
+plot VOUTdiff VOUTpurediff VDD3v3
+*plot VPAMP VNAMP VI
+*plot VOP VON
+plot i(Vdd3v3) i(Vdd1v8)
+.endc
+
+.end
diff --git a/old-comparator/sky130_fd_pr__nfet_01v8_T49HPK.mag b/old-comparator/sky130_fd_pr__nfet_01v8_T49HPK.mag
new file mode 100644
index 0000000..cd439de
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__nfet_01v8_T49HPK.mag
@@ -0,0 +1,203 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632403905
+<< pwell >>
+rect -214 -1146 214 1146
+<< nmos >>
+rect -18 736 18 936
+rect -18 318 18 518
+rect -18 -100 18 100
+rect -18 -518 18 -318
+rect -18 -936 18 -736
+<< ndiff >>
+rect -76 924 -18 936
+rect -76 748 -64 924
+rect -30 748 -18 924
+rect -76 736 -18 748
+rect 18 924 76 936
+rect 18 748 30 924
+rect 64 748 76 924
+rect 18 736 76 748
+rect -76 506 -18 518
+rect -76 330 -64 506
+rect -30 330 -18 506
+rect -76 318 -18 330
+rect 18 506 76 518
+rect 18 330 30 506
+rect 64 330 76 506
+rect 18 318 76 330
+rect -76 88 -18 100
+rect -76 -88 -64 88
+rect -30 -88 -18 88
+rect -76 -100 -18 -88
+rect 18 88 76 100
+rect 18 -88 30 88
+rect 64 -88 76 88
+rect 18 -100 76 -88
+rect -76 -330 -18 -318
+rect -76 -506 -64 -330
+rect -30 -506 -18 -330
+rect -76 -518 -18 -506
+rect 18 -330 76 -318
+rect 18 -506 30 -330
+rect 64 -506 76 -330
+rect 18 -518 76 -506
+rect -76 -748 -18 -736
+rect -76 -924 -64 -748
+rect -30 -924 -18 -748
+rect -76 -936 -18 -924
+rect 18 -748 76 -736
+rect 18 -924 30 -748
+rect 64 -924 76 -748
+rect 18 -936 76 -924
+<< ndiffc >>
+rect -64 748 -30 924
+rect 30 748 64 924
+rect -64 330 -30 506
+rect 30 330 64 506
+rect -64 -88 -30 88
+rect 30 -88 64 88
+rect -64 -506 -30 -330
+rect 30 -506 64 -330
+rect -64 -924 -30 -748
+rect 30 -924 64 -748
+<< psubdiff >>
+rect -178 1076 -82 1110
+rect 82 1076 178 1110
+rect -178 1014 -144 1076
+rect 144 1014 178 1076
+rect -178 -583 -144 537
+rect -178 -1076 -144 -1014
+rect 144 -1076 178 -1014
+rect -178 -1110 -82 -1076
+rect 82 -1110 178 -1076
+<< psubdiffcont >>
+rect -82 1076 82 1110
+rect -178 537 -144 1014
+rect -178 -1014 -144 -583
+rect 144 -1014 178 1014
+rect -82 -1110 82 -1076
+<< poly >>
+rect -33 1008 33 1024
+rect -33 974 -17 1008
+rect 17 974 33 1008
+rect -33 958 33 974
+rect -18 936 18 958
+rect -18 714 18 736
+rect -33 698 33 714
+rect -33 664 -17 698
+rect 17 664 33 698
+rect -33 648 33 664
+rect -33 590 33 606
+rect -33 556 -17 590
+rect 17 556 33 590
+rect -33 540 33 556
+rect -18 518 18 540
+rect -18 296 18 318
+rect -33 280 33 296
+rect -33 246 -17 280
+rect 17 246 33 280
+rect -33 230 33 246
+rect -33 172 33 188
+rect -33 138 -17 172
+rect 17 138 33 172
+rect -33 122 33 138
+rect -18 100 18 122
+rect -18 -122 18 -100
+rect -33 -138 33 -122
+rect -33 -172 -17 -138
+rect 17 -172 33 -138
+rect -33 -188 33 -172
+rect -33 -246 33 -230
+rect -33 -280 -17 -246
+rect 17 -280 33 -246
+rect -33 -296 33 -280
+rect -18 -318 18 -296
+rect -18 -540 18 -518
+rect -33 -556 33 -540
+rect -33 -590 -17 -556
+rect 17 -590 33 -556
+rect -33 -606 33 -590
+rect -33 -664 33 -648
+rect -33 -698 -17 -664
+rect 17 -698 33 -664
+rect -33 -714 33 -698
+rect -18 -736 18 -714
+rect -18 -958 18 -936
+rect -33 -974 33 -958
+rect -33 -1008 -17 -974
+rect 17 -1008 33 -974
+rect -33 -1024 33 -1008
+<< polycont >>
+rect -17 974 17 1008
+rect -17 664 17 698
+rect -17 556 17 590
+rect -17 246 17 280
+rect -17 138 17 172
+rect -17 -172 17 -138
+rect -17 -280 17 -246
+rect -17 -590 17 -556
+rect -17 -698 17 -664
+rect -17 -1008 17 -974
+<< locali >>
+rect -178 1076 -82 1110
+rect 82 1076 178 1110
+rect -178 1014 -144 1076
+rect -201 537 -178 567
+rect 144 1014 178 1076
+rect -33 974 -17 1008
+rect 17 974 33 1008
+rect -64 924 -30 940
+rect -64 732 -30 748
+rect 30 924 64 940
+rect 30 732 64 748
+rect -33 664 -17 698
+rect 17 664 33 698
+rect -144 537 -121 567
+rect -33 556 -17 590
+rect 17 556 33 590
+rect -201 517 -121 537
+rect -64 506 -30 522
+rect -64 314 -30 330
+rect 30 506 64 522
+rect 30 314 64 330
+rect -33 246 -17 280
+rect 17 246 33 280
+rect -33 138 -17 172
+rect 17 138 33 172
+rect -64 88 -30 104
+rect -64 -104 -30 -88
+rect 30 88 64 104
+rect 30 -104 64 -88
+rect -33 -172 -17 -138
+rect 17 -172 33 -138
+rect -33 -280 -17 -246
+rect 17 -280 33 -246
+rect -64 -330 -30 -314
+rect -64 -522 -30 -506
+rect 30 -330 64 -314
+rect 30 -522 64 -506
+rect -201 -583 -121 -563
+rect -201 -603 -178 -583
+rect -144 -603 -121 -583
+rect -33 -590 -17 -556
+rect 17 -590 33 -556
+rect -33 -698 -17 -664
+rect 17 -698 33 -664
+rect -64 -748 -30 -732
+rect -64 -940 -30 -924
+rect 30 -748 64 -732
+rect 30 -940 64 -924
+rect -33 -1008 -17 -974
+rect 17 -1008 33 -974
+rect -178 -1076 -144 -1014
+rect 144 -1076 178 -1014
+rect -178 -1110 -82 -1076
+rect 82 -1110 178 -1076
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -161 -1093 161 1093
+string parameters w 1 l 0.18 m 5 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__nfet_01v8_YZDSNP.mag b/old-comparator/sky130_fd_pr__nfet_01v8_YZDSNP.mag
new file mode 100644
index 0000000..8c4743f
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__nfet_01v8_YZDSNP.mag
@@ -0,0 +1,272 @@
+magic
+tech sky130A
+magscale 1 4
+timestamp 1632393576
+<< pwell >>
+rect -619 -1874 619 1874
+<< nmos >>
+rect -226 1054 -154 1454
+rect -36 1054 36 1454
+rect 154 1054 226 1454
+rect -226 218 -154 618
+rect -36 218 36 618
+rect 154 218 226 618
+rect -226 -618 -154 -218
+rect -36 -618 36 -218
+rect 154 -618 226 -218
+rect -226 -1454 -154 -1054
+rect -36 -1454 36 -1054
+rect 154 -1454 226 -1054
+<< ndiff >>
+rect -343 1430 -226 1454
+rect -343 1078 -319 1430
+rect -251 1078 -226 1430
+rect -343 1054 -226 1078
+rect -154 1430 -36 1454
+rect -154 1078 -129 1430
+rect -61 1078 -36 1430
+rect -154 1054 -36 1078
+rect 36 1430 154 1454
+rect 36 1078 61 1430
+rect 129 1078 154 1430
+rect 36 1054 154 1078
+rect 226 1430 343 1454
+rect 226 1078 251 1430
+rect 319 1078 343 1430
+rect 226 1054 343 1078
+rect -343 594 -226 618
+rect -343 242 -319 594
+rect -251 242 -226 594
+rect -343 218 -226 242
+rect -154 594 -36 618
+rect -154 242 -129 594
+rect -61 242 -36 594
+rect -154 218 -36 242
+rect 36 594 154 618
+rect 36 242 61 594
+rect 129 242 154 594
+rect 36 218 154 242
+rect 226 594 343 618
+rect 226 242 251 594
+rect 319 242 343 594
+rect 226 218 343 242
+rect -343 -242 -226 -218
+rect -343 -594 -319 -242
+rect -251 -594 -226 -242
+rect -343 -618 -226 -594
+rect -154 -242 -36 -218
+rect -154 -594 -129 -242
+rect -61 -594 -36 -242
+rect -154 -618 -36 -594
+rect 36 -242 154 -218
+rect 36 -594 61 -242
+rect 129 -594 154 -242
+rect 36 -618 154 -594
+rect 226 -242 343 -218
+rect 226 -594 251 -242
+rect 319 -594 343 -242
+rect 226 -618 343 -594
+rect -343 -1078 -226 -1054
+rect -343 -1430 -319 -1078
+rect -251 -1430 -226 -1078
+rect -343 -1454 -226 -1430
+rect -154 -1078 -36 -1054
+rect -154 -1430 -129 -1078
+rect -61 -1430 -36 -1078
+rect -154 -1454 -36 -1430
+rect 36 -1078 154 -1054
+rect 36 -1430 61 -1078
+rect 129 -1430 154 -1078
+rect 36 -1454 154 -1430
+rect 226 -1078 343 -1054
+rect 226 -1430 251 -1078
+rect 319 -1430 343 -1078
+rect 226 -1454 343 -1430
+<< ndiffc >>
+rect -319 1078 -251 1430
+rect -129 1078 -61 1430
+rect 61 1078 129 1430
+rect 251 1078 319 1430
+rect -319 242 -251 594
+rect -129 242 -61 594
+rect 61 242 129 594
+rect 251 242 319 594
+rect -319 -594 -251 -242
+rect -129 -594 -61 -242
+rect 61 -594 129 -242
+rect 251 -594 319 -242
+rect -319 -1430 -251 -1078
+rect -129 -1430 -61 -1078
+rect 61 -1430 129 -1078
+rect 251 -1430 319 -1078
+<< psubdiff >>
+rect -547 1734 -355 1802
+rect 355 1734 547 1802
+rect -547 1610 -479 1734
+rect 479 1610 547 1734
+rect -547 -1734 -479 -1610
+rect 479 -1734 547 -1610
+rect -547 -1802 -355 -1734
+rect 355 -1802 547 -1734
+<< psubdiffcont >>
+rect -355 1734 355 1802
+rect -547 -1610 -479 1610
+rect 479 -1610 547 1610
+rect -355 -1802 355 -1734
+<< poly >>
+rect -256 1598 -124 1630
+rect -256 1530 -224 1598
+rect -156 1530 -124 1598
+rect -256 1498 -124 1530
+rect 124 1598 256 1630
+rect 124 1530 156 1598
+rect 224 1530 256 1598
+rect -226 1454 -154 1498
+rect -36 1454 36 1506
+rect 124 1498 256 1530
+rect 154 1454 226 1498
+rect -226 1002 -154 1054
+rect -36 1010 36 1054
+rect -66 978 66 1010
+rect 154 1002 226 1054
+rect -66 910 -34 978
+rect 34 910 66 978
+rect -66 878 66 910
+rect -66 762 66 794
+rect -66 694 -34 762
+rect 34 694 66 762
+rect -226 618 -154 670
+rect -66 662 66 694
+rect -36 618 36 662
+rect 154 618 226 670
+rect -226 174 -154 218
+rect -256 142 -124 174
+rect -36 166 36 218
+rect 154 174 226 218
+rect -256 74 -224 142
+rect -156 74 -124 142
+rect -256 42 -124 74
+rect 124 142 256 174
+rect 124 74 156 142
+rect 224 74 256 142
+rect 124 42 256 74
+rect -256 -74 -124 -42
+rect -256 -142 -224 -74
+rect -156 -142 -124 -74
+rect -256 -174 -124 -142
+rect 124 -74 256 -42
+rect 124 -142 156 -74
+rect 224 -142 256 -74
+rect -226 -218 -154 -174
+rect -36 -218 36 -166
+rect 124 -174 256 -142
+rect 154 -218 226 -174
+rect -226 -670 -154 -618
+rect -36 -662 36 -618
+rect -66 -694 66 -662
+rect 154 -670 226 -618
+rect -66 -762 -34 -694
+rect 34 -762 66 -694
+rect -66 -794 66 -762
+rect -66 -910 66 -878
+rect -66 -978 -34 -910
+rect 34 -978 66 -910
+rect -226 -1054 -154 -1002
+rect -66 -1010 66 -978
+rect -36 -1054 36 -1010
+rect 154 -1054 226 -1002
+rect -226 -1498 -154 -1454
+rect -256 -1530 -124 -1498
+rect -36 -1506 36 -1454
+rect 154 -1498 226 -1454
+rect -256 -1598 -224 -1530
+rect -156 -1598 -124 -1530
+rect -256 -1630 -124 -1598
+rect 124 -1530 256 -1498
+rect 124 -1598 156 -1530
+rect 224 -1598 256 -1530
+rect 124 -1630 256 -1598
+<< polycont >>
+rect -224 1530 -156 1598
+rect 156 1530 224 1598
+rect -34 910 34 978
+rect -34 694 34 762
+rect -224 74 -156 142
+rect 156 74 224 142
+rect -224 -142 -156 -74
+rect 156 -142 224 -74
+rect -34 -762 34 -694
+rect -34 -978 34 -910
+rect -224 -1598 -156 -1530
+rect 156 -1598 224 -1530
+<< locali >>
+rect -547 1734 -355 1802
+rect 355 1734 547 1802
+rect -547 1610 -479 1734
+rect 479 1610 547 1734
+rect -256 1530 -224 1598
+rect -156 1530 -124 1598
+rect 124 1530 156 1598
+rect 224 1530 256 1598
+rect -319 1430 -251 1462
+rect -319 1046 -251 1078
+rect -129 1430 -61 1462
+rect -129 1046 -61 1078
+rect 61 1430 129 1462
+rect 61 1046 129 1078
+rect 251 1430 319 1462
+rect 251 1046 319 1078
+rect -66 910 -34 978
+rect 34 910 66 978
+rect -66 694 -34 762
+rect 34 694 66 762
+rect -319 594 -251 626
+rect -319 210 -251 242
+rect -129 594 -61 626
+rect -129 210 -61 242
+rect 61 594 129 626
+rect 61 210 129 242
+rect 251 594 319 626
+rect 251 210 319 242
+rect -256 74 -224 142
+rect -156 74 -124 142
+rect 124 74 156 142
+rect 224 74 256 142
+rect -256 -142 -224 -74
+rect -156 -142 -124 -74
+rect 124 -142 156 -74
+rect 224 -142 256 -74
+rect -319 -242 -251 -210
+rect -319 -626 -251 -594
+rect -129 -242 -61 -210
+rect -129 -626 -61 -594
+rect 61 -242 129 -210
+rect 61 -626 129 -594
+rect 251 -242 319 -210
+rect 251 -626 319 -594
+rect -66 -762 -34 -694
+rect 34 -762 66 -694
+rect -66 -978 -34 -910
+rect 34 -978 66 -910
+rect -319 -1078 -251 -1046
+rect -319 -1462 -251 -1430
+rect -129 -1078 -61 -1046
+rect -129 -1462 -61 -1430
+rect 61 -1078 129 -1046
+rect 61 -1462 129 -1430
+rect 251 -1078 319 -1046
+rect 251 -1462 319 -1430
+rect -256 -1598 -224 -1530
+rect -156 -1598 -124 -1530
+rect 124 -1598 156 -1530
+rect 224 -1598 256 -1530
+rect -547 -1734 -479 -1610
+rect 479 -1734 547 -1610
+rect -547 -1802 -355 -1734
+rect 355 -1802 547 -1734
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -513 -1768 513 1768
+string parameters w 1 l 0.18 m 4 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__nfet_03v3_nvt_BKHJCF.mag b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_BKHJCF.mag
new file mode 100644
index 0000000..21d2929
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_BKHJCF.mag
@@ -0,0 +1,222 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632337456
+<< pwell >>
+rect -288 -1403 288 1403
+<< nnmos >>
+rect -60 945 60 1145
+rect -60 527 60 727
+rect -60 109 60 309
+rect -60 -309 60 -109
+rect -60 -727 60 -527
+rect -60 -1145 60 -945
+<< mvndiff >>
+rect -118 1133 -60 1145
+rect -118 957 -106 1133
+rect -72 957 -60 1133
+rect -118 945 -60 957
+rect 60 1133 118 1145
+rect 60 957 72 1133
+rect 106 957 118 1133
+rect 60 945 118 957
+rect -118 715 -60 727
+rect -118 539 -106 715
+rect -72 539 -60 715
+rect -118 527 -60 539
+rect 60 715 118 727
+rect 60 539 72 715
+rect 106 539 118 715
+rect 60 527 118 539
+rect -118 297 -60 309
+rect -118 121 -106 297
+rect -72 121 -60 297
+rect -118 109 -60 121
+rect 60 297 118 309
+rect 60 121 72 297
+rect 106 121 118 297
+rect 60 109 118 121
+rect -118 -121 -60 -109
+rect -118 -297 -106 -121
+rect -72 -297 -60 -121
+rect -118 -309 -60 -297
+rect 60 -121 118 -109
+rect 60 -297 72 -121
+rect 106 -297 118 -121
+rect 60 -309 118 -297
+rect -118 -539 -60 -527
+rect -118 -715 -106 -539
+rect -72 -715 -60 -539
+rect -118 -727 -60 -715
+rect 60 -539 118 -527
+rect 60 -715 72 -539
+rect 106 -715 118 -539
+rect 60 -727 118 -715
+rect -118 -957 -60 -945
+rect -118 -1133 -106 -957
+rect -72 -1133 -60 -957
+rect -118 -1145 -60 -1133
+rect 60 -957 118 -945
+rect 60 -1133 72 -957
+rect 106 -1133 118 -957
+rect 60 -1145 118 -1133
+<< mvndiffc >>
+rect -106 957 -72 1133
+rect 72 957 106 1133
+rect -106 539 -72 715
+rect 72 539 106 715
+rect -106 121 -72 297
+rect 72 121 106 297
+rect -106 -297 -72 -121
+rect 72 -297 106 -121
+rect -106 -715 -72 -539
+rect 72 -715 106 -539
+rect -106 -1133 -72 -957
+rect 72 -1133 106 -957
+<< mvpsubdiff >>
+rect -252 1355 252 1367
+rect -252 1321 -144 1355
+rect 144 1321 252 1355
+rect -252 1309 252 1321
+rect -252 1259 -194 1309
+rect -252 -1259 -240 1259
+rect -206 -1259 -194 1259
+rect 194 1259 252 1309
+rect -252 -1309 -194 -1259
+rect 194 -1259 206 1259
+rect 240 -1259 252 1259
+rect 194 -1309 252 -1259
+rect -252 -1321 252 -1309
+rect -252 -1355 -144 -1321
+rect 144 -1355 252 -1321
+rect -252 -1367 252 -1355
+<< mvpsubdiffcont >>
+rect -144 1321 144 1355
+rect -240 -1259 -206 1259
+rect 206 -1259 240 1259
+rect -144 -1355 144 -1321
+<< poly >>
+rect -60 1217 60 1233
+rect -60 1183 -44 1217
+rect 44 1183 60 1217
+rect -60 1145 60 1183
+rect -60 907 60 945
+rect -60 873 -44 907
+rect 44 873 60 907
+rect -60 857 60 873
+rect -60 799 60 815
+rect -60 765 -44 799
+rect 44 765 60 799
+rect -60 727 60 765
+rect -60 489 60 527
+rect -60 455 -44 489
+rect 44 455 60 489
+rect -60 439 60 455
+rect -60 381 60 397
+rect -60 347 -44 381
+rect 44 347 60 381
+rect -60 309 60 347
+rect -60 71 60 109
+rect -60 37 -44 71
+rect 44 37 60 71
+rect -60 21 60 37
+rect -60 -37 60 -21
+rect -60 -71 -44 -37
+rect 44 -71 60 -37
+rect -60 -109 60 -71
+rect -60 -347 60 -309
+rect -60 -381 -44 -347
+rect 44 -381 60 -347
+rect -60 -397 60 -381
+rect -60 -455 60 -439
+rect -60 -489 -44 -455
+rect 44 -489 60 -455
+rect -60 -527 60 -489
+rect -60 -765 60 -727
+rect -60 -799 -44 -765
+rect 44 -799 60 -765
+rect -60 -815 60 -799
+rect -60 -873 60 -857
+rect -60 -907 -44 -873
+rect 44 -907 60 -873
+rect -60 -945 60 -907
+rect -60 -1183 60 -1145
+rect -60 -1217 -44 -1183
+rect 44 -1217 60 -1183
+rect -60 -1233 60 -1217
+<< polycont >>
+rect -44 1183 44 1217
+rect -44 873 44 907
+rect -44 765 44 799
+rect -44 455 44 489
+rect -44 347 44 381
+rect -44 37 44 71
+rect -44 -71 44 -37
+rect -44 -381 44 -347
+rect -44 -489 44 -455
+rect -44 -799 44 -765
+rect -44 -907 44 -873
+rect -44 -1217 44 -1183
+<< locali >>
+rect -240 1321 -144 1355
+rect 144 1321 240 1355
+rect -240 1259 -206 1321
+rect 206 1259 240 1321
+rect -60 1183 -44 1217
+rect 44 1183 60 1217
+rect -106 1133 -72 1149
+rect -106 941 -72 957
+rect 72 1133 106 1149
+rect 72 941 106 957
+rect -60 873 -44 907
+rect 44 873 60 907
+rect -60 765 -44 799
+rect 44 765 60 799
+rect -106 715 -72 731
+rect -106 523 -72 539
+rect 72 715 106 731
+rect 72 523 106 539
+rect -60 455 -44 489
+rect 44 455 60 489
+rect -60 347 -44 381
+rect 44 347 60 381
+rect -106 297 -72 313
+rect -106 105 -72 121
+rect 72 297 106 313
+rect 72 105 106 121
+rect -60 37 -44 71
+rect 44 37 60 71
+rect -60 -71 -44 -37
+rect 44 -71 60 -37
+rect -106 -121 -72 -105
+rect -106 -313 -72 -297
+rect 72 -121 106 -105
+rect 72 -313 106 -297
+rect -60 -381 -44 -347
+rect 44 -381 60 -347
+rect -60 -489 -44 -455
+rect 44 -489 60 -455
+rect -106 -539 -72 -523
+rect -106 -731 -72 -715
+rect 72 -539 106 -523
+rect 72 -731 106 -715
+rect -60 -799 -44 -765
+rect 44 -799 60 -765
+rect -60 -907 -44 -873
+rect 44 -907 60 -873
+rect -106 -957 -72 -941
+rect -106 -1149 -72 -1133
+rect 72 -957 106 -941
+rect 72 -1149 106 -1133
+rect -60 -1217 -44 -1183
+rect 44 -1217 60 -1183
+rect -240 -1321 -206 -1259
+rect 206 -1321 240 -1259
+rect -240 -1355 -144 -1321
+rect 144 -1355 240 -1321
+<< properties >>
+string gencell sky130_fd_pr__nfet_03v3_nvt
+string FIXED_BBOX -223 -1338 223 1338
+string parameters w 1 l 0.6 m 6 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__nfet_03v3_nvt_DWHJCV.mag b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_DWHJCV.mag
new file mode 100644
index 0000000..9dd1969
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_DWHJCV.mag
@@ -0,0 +1,201 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632244313
+<< pwell >>
+rect -377 -776 377 776
+<< nnmos >>
+rect -149 318 -29 518
+rect 29 318 149 518
+rect -149 -100 -29 100
+rect 29 -100 149 100
+rect -149 -518 -29 -318
+rect 29 -518 149 -318
+<< mvndiff >>
+rect -207 506 -149 518
+rect -207 330 -195 506
+rect -161 330 -149 506
+rect -207 318 -149 330
+rect -29 506 29 518
+rect -29 330 -17 506
+rect 17 330 29 506
+rect -29 318 29 330
+rect 149 506 207 518
+rect 149 330 161 506
+rect 195 330 207 506
+rect 149 318 207 330
+rect -207 88 -149 100
+rect -207 -88 -195 88
+rect -161 -88 -149 88
+rect -207 -100 -149 -88
+rect -29 88 29 100
+rect -29 -88 -17 88
+rect 17 -88 29 88
+rect -29 -100 29 -88
+rect 149 88 207 100
+rect 149 -88 161 88
+rect 195 -88 207 88
+rect 149 -100 207 -88
+rect -207 -330 -149 -318
+rect -207 -506 -195 -330
+rect -161 -506 -149 -330
+rect -207 -518 -149 -506
+rect -29 -330 29 -318
+rect -29 -506 -17 -330
+rect 17 -506 29 -330
+rect -29 -518 29 -506
+rect 149 -330 207 -318
+rect 149 -506 161 -330
+rect 195 -506 207 -330
+rect 149 -518 207 -506
+<< mvndiffc >>
+rect -195 330 -161 506
+rect -17 330 17 506
+rect 161 330 195 506
+rect -195 -88 -161 88
+rect -17 -88 17 88
+rect 161 -88 195 88
+rect -195 -506 -161 -330
+rect -17 -506 17 -330
+rect 161 -506 195 -330
+<< mvpsubdiff >>
+rect -341 728 341 740
+rect -341 694 -233 728
+rect 233 694 341 728
+rect -341 682 341 694
+rect -341 632 -283 682
+rect -341 -632 -329 632
+rect -295 -632 -283 632
+rect 283 632 341 682
+rect -341 -682 -283 -632
+rect 283 -632 295 632
+rect 329 -632 341 632
+rect 283 -682 341 -632
+rect -341 -694 341 -682
+rect -341 -728 -233 -694
+rect 233 -728 341 -694
+rect -341 -740 341 -728
+<< mvpsubdiffcont >>
+rect -233 694 233 728
+rect -329 -632 -295 632
+rect 295 -632 329 632
+rect -233 -728 233 -694
+<< poly >>
+rect -149 590 -29 606
+rect -149 556 -133 590
+rect -45 556 -29 590
+rect -149 518 -29 556
+rect 29 590 149 606
+rect 29 556 45 590
+rect 133 556 149 590
+rect 29 518 149 556
+rect -149 280 -29 318
+rect -149 246 -133 280
+rect -45 246 -29 280
+rect -149 230 -29 246
+rect 29 280 149 318
+rect 29 246 45 280
+rect 133 246 149 280
+rect 29 230 149 246
+rect -149 172 -29 188
+rect -149 138 -133 172
+rect -45 138 -29 172
+rect -149 100 -29 138
+rect 29 172 149 188
+rect 29 138 45 172
+rect 133 138 149 172
+rect 29 100 149 138
+rect -149 -138 -29 -100
+rect -149 -172 -133 -138
+rect -45 -172 -29 -138
+rect -149 -188 -29 -172
+rect 29 -138 149 -100
+rect 29 -172 45 -138
+rect 133 -172 149 -138
+rect 29 -188 149 -172
+rect -149 -246 -29 -230
+rect -149 -280 -133 -246
+rect -45 -280 -29 -246
+rect -149 -318 -29 -280
+rect 29 -246 149 -230
+rect 29 -280 45 -246
+rect 133 -280 149 -246
+rect 29 -318 149 -280
+rect -149 -556 -29 -518
+rect -149 -590 -133 -556
+rect -45 -590 -29 -556
+rect -149 -606 -29 -590
+rect 29 -556 149 -518
+rect 29 -590 45 -556
+rect 133 -590 149 -556
+rect 29 -606 149 -590
+<< polycont >>
+rect -133 556 -45 590
+rect 45 556 133 590
+rect -133 246 -45 280
+rect 45 246 133 280
+rect -133 138 -45 172
+rect 45 138 133 172
+rect -133 -172 -45 -138
+rect 45 -172 133 -138
+rect -133 -280 -45 -246
+rect 45 -280 133 -246
+rect -133 -590 -45 -556
+rect 45 -590 133 -556
+<< locali >>
+rect -329 694 -233 728
+rect 233 694 329 728
+rect -329 632 -295 694
+rect 295 632 329 694
+rect -149 556 -133 590
+rect -45 556 -29 590
+rect 29 556 45 590
+rect 133 556 149 590
+rect -195 506 -161 522
+rect -195 314 -161 330
+rect -17 506 17 522
+rect -17 314 17 330
+rect 161 506 195 522
+rect 161 314 195 330
+rect -149 246 -133 280
+rect -45 246 -29 280
+rect 29 246 45 280
+rect 133 246 149 280
+rect -149 138 -133 172
+rect -45 138 -29 172
+rect 29 138 45 172
+rect 133 138 149 172
+rect -195 88 -161 104
+rect -195 -104 -161 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 161 88 195 104
+rect 161 -104 195 -88
+rect -149 -172 -133 -138
+rect -45 -172 -29 -138
+rect 29 -172 45 -138
+rect 133 -172 149 -138
+rect -149 -280 -133 -246
+rect -45 -280 -29 -246
+rect 29 -280 45 -246
+rect 133 -280 149 -246
+rect -195 -330 -161 -314
+rect -195 -522 -161 -506
+rect -17 -330 17 -314
+rect -17 -522 17 -506
+rect 161 -330 195 -314
+rect 161 -522 195 -506
+rect -149 -590 -133 -556
+rect -45 -590 -29 -556
+rect 29 -590 45 -556
+rect 133 -590 149 -556
+rect -329 -694 -295 -632
+rect 295 -694 329 -632
+rect -329 -728 -233 -694
+rect 233 -728 329 -694
+<< properties >>
+string gencell sky130_fd_pr__nfet_03v3_nvt
+string FIXED_BBOX -312 -711 312 711
+string parameters w 1 l 0.6 m 3 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__nfet_03v3_nvt_NAHJCF.mag b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_NAHJCF.mag
new file mode 100644
index 0000000..6c8e33e
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_NAHJCF.mag
@@ -0,0 +1,134 @@
+magic
+tech sky130A
+timestamp 1632334879
+<< pwell >>
+rect -144 -388 144 388
+<< nnmos >>
+rect -30 159 30 259
+rect -30 -50 30 50
+rect -30 -259 30 -159
+<< mvndiff >>
+rect -59 253 -30 259
+rect -59 165 -53 253
+rect -36 165 -30 253
+rect -59 159 -30 165
+rect 30 253 59 259
+rect 30 165 36 253
+rect 53 165 59 253
+rect 30 159 59 165
+rect -59 44 -30 50
+rect -59 -44 -53 44
+rect -36 -44 -30 44
+rect -59 -50 -30 -44
+rect 30 44 59 50
+rect 30 -44 36 44
+rect 53 -44 59 44
+rect 30 -50 59 -44
+rect -59 -165 -30 -159
+rect -59 -253 -53 -165
+rect -36 -253 -30 -165
+rect -59 -259 -30 -253
+rect 30 -165 59 -159
+rect 30 -253 36 -165
+rect 53 -253 59 -165
+rect 30 -259 59 -253
+<< mvndiffc >>
+rect -53 165 -36 253
+rect 36 165 53 253
+rect -53 -44 -36 44
+rect 36 -44 53 44
+rect -53 -253 -36 -165
+rect 36 -253 53 -165
+<< mvpsubdiff >>
+rect -126 364 126 370
+rect -126 347 -72 364
+rect 72 347 126 364
+rect -126 341 126 347
+rect -126 316 -97 341
+rect -126 -316 -120 316
+rect -103 -316 -97 316
+rect 97 316 126 341
+rect -126 -341 -97 -316
+rect 97 -316 103 316
+rect 120 -316 126 316
+rect 97 -341 126 -316
+rect -126 -347 126 -341
+rect -126 -364 -72 -347
+rect 72 -364 126 -347
+rect -126 -370 126 -364
+<< mvpsubdiffcont >>
+rect -72 347 72 364
+rect -120 -316 -103 316
+rect 103 -316 120 316
+rect -72 -364 72 -347
+<< poly >>
+rect -30 295 30 303
+rect -30 278 -22 295
+rect 22 278 30 295
+rect -30 259 30 278
+rect -30 140 30 159
+rect -30 123 -22 140
+rect 22 123 30 140
+rect -30 115 30 123
+rect -30 86 30 94
+rect -30 69 -22 86
+rect 22 69 30 86
+rect -30 50 30 69
+rect -30 -69 30 -50
+rect -30 -86 -22 -69
+rect 22 -86 30 -69
+rect -30 -94 30 -86
+rect -30 -123 30 -115
+rect -30 -140 -22 -123
+rect 22 -140 30 -123
+rect -30 -159 30 -140
+rect -30 -278 30 -259
+rect -30 -295 -22 -278
+rect 22 -295 30 -278
+rect -30 -303 30 -295
+<< polycont >>
+rect -22 278 22 295
+rect -22 123 22 140
+rect -22 69 22 86
+rect -22 -86 22 -69
+rect -22 -140 22 -123
+rect -22 -295 22 -278
+<< locali >>
+rect -120 347 -72 364
+rect 72 347 120 364
+rect -120 316 -103 347
+rect 103 316 120 347
+rect -30 278 -22 295
+rect 22 278 30 295
+rect -53 253 -36 261
+rect -53 157 -36 165
+rect 36 253 53 261
+rect 36 157 53 165
+rect -30 123 -22 140
+rect 22 123 30 140
+rect -30 69 -22 86
+rect 22 69 30 86
+rect -53 44 -36 52
+rect -53 -52 -36 -44
+rect 36 44 53 52
+rect 36 -52 53 -44
+rect -30 -86 -22 -69
+rect 22 -86 30 -69
+rect -30 -140 -22 -123
+rect 22 -140 30 -123
+rect -53 -165 -36 -157
+rect -53 -261 -36 -253
+rect 36 -165 53 -157
+rect 36 -261 53 -253
+rect -30 -295 -22 -278
+rect 22 -295 30 -278
+rect -120 -347 -103 -316
+rect 103 -347 120 -316
+rect -120 -364 -72 -347
+rect 72 -364 120 -347
+<< properties >>
+string gencell sky130_fd_pr__nfet_03v3_nvt
+string FIXED_BBOX -111 -355 111 355
+string parameters w 1 l 0.6 m 3 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__nfet_03v3_nvt_RPCQRP.mag b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_RPCQRP.mag
new file mode 100644
index 0000000..1625337
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_RPCQRP.mag
@@ -0,0 +1,76 @@
+magic
+tech sky130A
+timestamp 1632242814
+<< pwell >>
+rect -144 -179 144 179
+<< nnmos >>
+rect -30 -50 30 50
+<< mvndiff >>
+rect -59 44 -30 50
+rect -59 -44 -53 44
+rect -36 -44 -30 44
+rect -59 -50 -30 -44
+rect 30 44 59 50
+rect 30 -44 36 44
+rect 53 -44 59 44
+rect 30 -50 59 -44
+<< mvndiffc >>
+rect -53 -44 -36 44
+rect 36 -44 53 44
+<< mvpsubdiff >>
+rect -126 155 126 161
+rect -126 138 -72 155
+rect 72 138 126 155
+rect -126 132 126 138
+rect -126 107 -97 132
+rect -126 -107 -120 107
+rect -103 -107 -97 107
+rect 97 107 126 132
+rect -126 -132 -97 -107
+rect 97 -107 103 107
+rect 120 -107 126 107
+rect 97 -132 126 -107
+rect -126 -138 126 -132
+rect -126 -155 -72 -138
+rect 72 -155 126 -138
+rect -126 -161 126 -155
+<< mvpsubdiffcont >>
+rect -72 138 72 155
+rect -120 -107 -103 107
+rect 103 -107 120 107
+rect -72 -155 72 -138
+<< poly >>
+rect -30 86 30 94
+rect -30 69 -22 86
+rect 22 69 30 86
+rect -30 50 30 69
+rect -30 -69 30 -50
+rect -30 -86 -22 -69
+rect 22 -86 30 -69
+rect -30 -94 30 -86
+<< polycont >>
+rect -22 69 22 86
+rect -22 -86 22 -69
+<< locali >>
+rect -120 138 -72 155
+rect 72 138 120 155
+rect -120 107 -103 138
+rect 103 107 120 138
+rect -30 69 -22 86
+rect 22 69 30 86
+rect -53 44 -36 52
+rect -53 -52 -36 -44
+rect 36 44 53 52
+rect 36 -52 53 -44
+rect -30 -86 -22 -69
+rect 22 -86 30 -69
+rect -120 -138 -103 -107
+rect 103 -138 120 -107
+rect -120 -155 -72 -138
+rect 72 -155 120 -138
+<< properties >>
+string gencell sky130_fd_pr__nfet_03v3_nvt
+string FIXED_BBOX -111 -146 111 146
+string parameters w 1 l 0.60 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF.mag b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF.mag
new file mode 100644
index 0000000..f5c8493
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__nfet_03v3_nvt_ZJHJCF.mag
@@ -0,0 +1,164 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632332784
+<< pwell >>
+rect -288 -985 288 985
+<< nnmos >>
+rect -60 527 60 727
+rect -60 109 60 309
+rect -60 -309 60 -109
+rect -60 -727 60 -527
+<< mvndiff >>
+rect -118 715 -60 727
+rect -118 539 -106 715
+rect -72 539 -60 715
+rect -118 527 -60 539
+rect 60 715 118 727
+rect 60 539 72 715
+rect 106 539 118 715
+rect 60 527 118 539
+rect -118 297 -60 309
+rect -118 121 -106 297
+rect -72 121 -60 297
+rect -118 109 -60 121
+rect 60 297 118 309
+rect 60 121 72 297
+rect 106 121 118 297
+rect 60 109 118 121
+rect -118 -121 -60 -109
+rect -118 -297 -106 -121
+rect -72 -297 -60 -121
+rect -118 -309 -60 -297
+rect 60 -121 118 -109
+rect 60 -297 72 -121
+rect 106 -297 118 -121
+rect 60 -309 118 -297
+rect -118 -539 -60 -527
+rect -118 -715 -106 -539
+rect -72 -715 -60 -539
+rect -118 -727 -60 -715
+rect 60 -539 118 -527
+rect 60 -715 72 -539
+rect 106 -715 118 -539
+rect 60 -727 118 -715
+<< mvndiffc >>
+rect -106 539 -72 715
+rect 72 539 106 715
+rect -106 121 -72 297
+rect 72 121 106 297
+rect -106 -297 -72 -121
+rect 72 -297 106 -121
+rect -106 -715 -72 -539
+rect 72 -715 106 -539
+<< mvpsubdiff >>
+rect -252 937 252 949
+rect -252 903 -144 937
+rect 144 903 252 937
+rect -252 891 252 903
+rect -252 841 -194 891
+rect -252 -841 -240 841
+rect -206 -841 -194 841
+rect 194 841 252 891
+rect -252 -891 -194 -841
+rect 194 -841 206 841
+rect 240 -841 252 841
+rect 194 -891 252 -841
+rect -252 -903 252 -891
+rect -252 -937 -144 -903
+rect 144 -937 252 -903
+rect -252 -949 252 -937
+<< mvpsubdiffcont >>
+rect -144 903 144 937
+rect -240 -841 -206 841
+rect 206 -841 240 841
+rect -144 -937 144 -903
+<< poly >>
+rect -60 799 60 815
+rect -60 765 -44 799
+rect 44 765 60 799
+rect -60 727 60 765
+rect -60 489 60 527
+rect -60 455 -44 489
+rect 44 455 60 489
+rect -60 439 60 455
+rect -60 381 60 397
+rect -60 347 -44 381
+rect 44 347 60 381
+rect -60 309 60 347
+rect -60 71 60 109
+rect -60 37 -44 71
+rect 44 37 60 71
+rect -60 21 60 37
+rect -60 -37 60 -21
+rect -60 -71 -44 -37
+rect 44 -71 60 -37
+rect -60 -109 60 -71
+rect -60 -347 60 -309
+rect -60 -381 -44 -347
+rect 44 -381 60 -347
+rect -60 -397 60 -381
+rect -60 -455 60 -439
+rect -60 -489 -44 -455
+rect 44 -489 60 -455
+rect -60 -527 60 -489
+rect -60 -765 60 -727
+rect -60 -799 -44 -765
+rect 44 -799 60 -765
+rect -60 -815 60 -799
+<< polycont >>
+rect -44 765 44 799
+rect -44 455 44 489
+rect -44 347 44 381
+rect -44 37 44 71
+rect -44 -71 44 -37
+rect -44 -381 44 -347
+rect -44 -489 44 -455
+rect -44 -799 44 -765
+<< locali >>
+rect -240 903 -144 937
+rect 144 903 240 937
+rect -240 841 -206 903
+rect 206 841 240 903
+rect -60 765 -44 799
+rect 44 765 60 799
+rect -106 715 -72 731
+rect -106 523 -72 539
+rect 72 715 106 731
+rect 72 523 106 539
+rect -60 455 -44 489
+rect 44 455 60 489
+rect -60 347 -44 381
+rect 44 347 60 381
+rect -106 297 -72 313
+rect -106 105 -72 121
+rect 72 297 106 313
+rect 72 105 106 121
+rect -60 37 -44 71
+rect 44 37 60 71
+rect -60 -71 -44 -37
+rect 44 -71 60 -37
+rect -106 -121 -72 -105
+rect -106 -313 -72 -297
+rect 72 -121 106 -105
+rect 72 -313 106 -297
+rect -60 -381 -44 -347
+rect 44 -381 60 -347
+rect -60 -489 -44 -455
+rect 44 -489 60 -455
+rect -106 -539 -72 -523
+rect -106 -731 -72 -715
+rect 72 -539 106 -523
+rect 72 -731 106 -715
+rect -60 -799 -44 -765
+rect 44 -799 60 -765
+rect -240 -903 -206 -841
+rect 206 -903 240 -841
+rect -240 -937 -144 -903
+rect 144 -937 240 -903
+<< properties >>
+string gencell sky130_fd_pr__nfet_03v3_nvt
+string FIXED_BBOX -223 -920 223 920
+string parameters w 1 l 0.6 m 4 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__pfet_01v8_X4T9AL.mag b/old-comparator/sky130_fd_pr__pfet_01v8_X4T9AL.mag
new file mode 100644
index 0000000..ac9d9aa
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__pfet_01v8_X4T9AL.mag
@@ -0,0 +1,48 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632395025
+<< nwell >>
+rect -109 -300 109 300
+<< pmos >>
+rect -15 -200 15 200
+<< pdiff >>
+rect -73 188 -15 200
+rect -73 -188 -61 188
+rect -27 -188 -15 188
+rect -73 -200 -15 -188
+rect 15 188 73 200
+rect 15 -188 27 188
+rect 61 -188 73 188
+rect 15 -200 73 -188
+<< pdiffc >>
+rect -61 -188 -27 188
+rect 27 -188 61 188
+<< poly >>
+rect -33 281 33 297
+rect -33 247 -17 281
+rect 17 247 33 281
+rect -33 231 33 247
+rect -15 200 15 231
+rect -15 -231 15 -200
+rect -33 -247 33 -231
+rect -33 -281 -17 -247
+rect 17 -281 33 -247
+rect -33 -297 33 -281
+<< polycont >>
+rect -17 247 17 281
+rect -17 -281 17 -247
+<< locali >>
+rect -33 247 -17 281
+rect 17 247 33 281
+rect -61 188 -27 204
+rect -61 -204 -27 -188
+rect 27 188 61 204
+rect 27 -204 61 -188
+rect -33 -281 -17 -247
+rect 17 -281 33 -247
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string parameters w 2 l 0.15 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__pfet_01v8_XAY5ZQ.mag b/old-comparator/sky130_fd_pr__pfet_01v8_XAY5ZQ.mag
new file mode 100644
index 0000000..d40ed11
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__pfet_01v8_XAY5ZQ.mag
@@ -0,0 +1,238 @@
+magic
+tech sky130A
+magscale 1 4
+timestamp 1632400215
+<< nwell >>
+rect -619 -1946 619 1946
+<< pmos >>
+rect -226 1108 -154 1508
+rect -36 1108 36 1508
+rect 154 1108 226 1508
+rect -226 236 -154 636
+rect -36 236 36 636
+rect 154 236 226 636
+rect -226 -636 -154 -236
+rect -36 -636 36 -236
+rect 154 -636 226 -236
+rect -226 -1508 -154 -1108
+rect -36 -1508 36 -1108
+rect 154 -1508 226 -1108
+<< pdiff >>
+rect -343 1484 -226 1508
+rect -343 1132 -319 1484
+rect -251 1132 -226 1484
+rect -343 1108 -226 1132
+rect -154 1484 -36 1508
+rect -154 1132 -129 1484
+rect -61 1132 -36 1484
+rect -154 1108 -36 1132
+rect 36 1484 154 1508
+rect 36 1132 61 1484
+rect 129 1132 154 1484
+rect 36 1108 154 1132
+rect 226 1484 343 1508
+rect 226 1132 251 1484
+rect 319 1132 343 1484
+rect 226 1108 343 1132
+rect -343 612 -226 636
+rect -343 260 -319 612
+rect -251 260 -226 612
+rect -343 236 -226 260
+rect -154 612 -36 636
+rect -154 260 -129 612
+rect -61 260 -36 612
+rect -154 236 -36 260
+rect 36 612 154 636
+rect 36 260 61 612
+rect 129 260 154 612
+rect 36 236 154 260
+rect 226 612 343 636
+rect 226 260 251 612
+rect 319 260 343 612
+rect 226 236 343 260
+rect -343 -260 -226 -236
+rect -343 -612 -319 -260
+rect -251 -612 -226 -260
+rect -343 -636 -226 -612
+rect -154 -260 -36 -236
+rect -154 -612 -129 -260
+rect -61 -612 -36 -260
+rect -154 -636 -36 -612
+rect 36 -260 154 -236
+rect 36 -612 61 -260
+rect 129 -612 154 -260
+rect 36 -636 154 -612
+rect 226 -260 343 -236
+rect 226 -612 251 -260
+rect 319 -612 343 -260
+rect 226 -636 343 -612
+rect -343 -1132 -226 -1108
+rect -343 -1484 -319 -1132
+rect -251 -1484 -226 -1132
+rect -343 -1508 -226 -1484
+rect -154 -1132 -36 -1108
+rect -154 -1484 -129 -1132
+rect -61 -1484 -36 -1132
+rect -154 -1508 -36 -1484
+rect 36 -1132 154 -1108
+rect 36 -1484 61 -1132
+rect 129 -1484 154 -1132
+rect 36 -1508 154 -1484
+rect 226 -1132 343 -1108
+rect 226 -1484 251 -1132
+rect 319 -1484 343 -1132
+rect 226 -1508 343 -1484
+<< pdiffc >>
+rect -319 1132 -251 1484
+rect -129 1132 -61 1484
+rect 61 1132 129 1484
+rect 251 1132 319 1484
+rect -319 260 -251 612
+rect -129 260 -61 612
+rect 61 260 129 612
+rect 251 260 319 612
+rect -319 -612 -251 -260
+rect -129 -612 -61 -260
+rect 61 -612 129 -260
+rect 251 -612 319 -260
+rect -319 -1484 -251 -1132
+rect -129 -1484 -61 -1132
+rect 61 -1484 129 -1132
+rect 251 -1484 319 -1132
+<< nsubdiff >>
+rect -547 1806 -355 1874
+rect 355 1806 547 1874
+rect -547 1682 -479 1806
+rect 479 1682 547 1806
+rect -547 -1806 -479 -1682
+rect 479 -1806 547 -1682
+rect -547 -1874 -355 -1806
+rect 355 -1874 547 -1806
+<< nsubdiffcont >>
+rect -355 1806 355 1874
+rect -547 -1682 -479 1682
+rect 479 -1682 547 1682
+rect -355 -1874 355 -1806
+<< poly >>
+rect -256 1670 -124 1702
+rect -256 1602 -224 1670
+rect -156 1602 -124 1670
+rect -256 1570 -124 1602
+rect 124 1670 256 1702
+rect 124 1602 156 1670
+rect 224 1602 256 1670
+rect 124 1570 256 1602
+rect -226 1508 -154 1570
+rect -36 1508 36 1560
+rect 154 1508 226 1570
+rect -226 1056 -154 1108
+rect -36 1046 36 1108
+rect 154 1056 226 1108
+rect -66 1014 66 1046
+rect -66 946 -34 1014
+rect 34 946 66 1014
+rect -66 920 66 946
+rect -226 636 -154 700
+rect -36 636 36 700
+rect 154 636 226 700
+rect -226 180 -154 236
+rect -36 180 36 236
+rect 154 180 226 236
+rect -226 -180 227 180
+rect -226 -236 -154 -180
+rect -36 -236 36 -180
+rect 154 -236 226 -180
+rect -226 -700 -154 -636
+rect -36 -700 36 -636
+rect 154 -700 226 -636
+rect -226 -730 226 -700
+rect -226 -798 -34 -730
+rect 34 -798 226 -730
+rect -226 -820 226 -798
+rect -66 -946 66 -914
+rect -66 -1014 -34 -946
+rect 34 -1014 66 -946
+rect -66 -1046 66 -1014
+rect -226 -1108 -154 -1056
+rect -36 -1108 36 -1046
+rect 154 -1108 226 -1056
+rect -226 -1570 -154 -1508
+rect -36 -1560 36 -1508
+rect 154 -1570 226 -1508
+rect -256 -1602 -124 -1570
+rect -256 -1670 -224 -1602
+rect -156 -1670 -124 -1602
+rect -256 -1702 -124 -1670
+rect 124 -1602 256 -1570
+rect 124 -1670 156 -1602
+rect 224 -1670 256 -1602
+rect 124 -1702 256 -1670
+<< polycont >>
+rect -224 1602 -156 1670
+rect 156 1602 224 1670
+rect -34 946 34 1014
+rect -34 -798 34 -730
+rect -34 -1014 34 -946
+rect -224 -1670 -156 -1602
+rect 156 -1670 224 -1602
+<< locali >>
+rect -547 1806 -355 1874
+rect 355 1806 547 1874
+rect -547 1682 -479 1806
+rect 479 1682 547 1806
+rect -256 1602 -224 1670
+rect -156 1602 -124 1670
+rect 124 1602 156 1670
+rect 224 1602 256 1670
+rect -319 1484 -251 1516
+rect -319 1100 -251 1132
+rect -129 1484 -61 1516
+rect -129 1100 -61 1132
+rect 61 1484 129 1516
+rect 61 1100 129 1132
+rect 251 1484 319 1516
+rect 251 1100 319 1132
+rect -66 946 -34 1014
+rect 34 946 66 1014
+rect -319 612 -251 644
+rect -319 228 -251 260
+rect -129 612 -61 644
+rect -129 228 -61 260
+rect 61 612 129 644
+rect 61 228 129 260
+rect 251 612 319 644
+rect 251 228 319 260
+rect -319 -260 -251 -228
+rect -319 -644 -251 -612
+rect -129 -260 -61 -228
+rect -129 -644 -61 -612
+rect 61 -260 129 -228
+rect 61 -644 129 -612
+rect 251 -260 319 -228
+rect 251 -644 319 -612
+rect -66 -798 -34 -730
+rect 34 -798 66 -730
+rect -66 -1014 -34 -946
+rect 34 -1014 66 -946
+rect -319 -1132 -251 -1100
+rect -319 -1516 -251 -1484
+rect -129 -1132 -61 -1100
+rect -129 -1516 -61 -1484
+rect 61 -1132 129 -1100
+rect 61 -1516 129 -1484
+rect 251 -1132 319 -1100
+rect 251 -1516 319 -1484
+rect -256 -1670 -224 -1602
+rect -156 -1670 -124 -1602
+rect 124 -1670 156 -1602
+rect 224 -1670 256 -1602
+rect -547 -1806 -479 -1682
+rect 479 -1806 547 -1682
+rect -547 -1874 -355 -1806
+rect 355 -1874 547 -1806
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -513 -1840 513 1840
+string parameters w 1 l 0.18 m 4 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__pfet_01v8_XWX67S.mag b/old-comparator/sky130_fd_pr__pfet_01v8_XWX67S.mag
new file mode 100644
index 0000000..9d5191d
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__pfet_01v8_XWX67S.mag
@@ -0,0 +1,164 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632393576
+<< nwell >>
+rect -214 -1773 214 1773
+<< pmos >>
+rect -18 954 18 1554
+rect -18 118 18 718
+rect -18 -718 18 -118
+rect -18 -1554 18 -954
+<< pdiff >>
+rect -76 1542 -18 1554
+rect -76 966 -64 1542
+rect -30 966 -18 1542
+rect -76 954 -18 966
+rect 18 1542 76 1554
+rect 18 966 30 1542
+rect 64 966 76 1542
+rect 18 954 76 966
+rect -76 706 -18 718
+rect -76 130 -64 706
+rect -30 130 -18 706
+rect -76 118 -18 130
+rect 18 706 76 718
+rect 18 130 30 706
+rect 64 130 76 706
+rect 18 118 76 130
+rect -76 -130 -18 -118
+rect -76 -706 -64 -130
+rect -30 -706 -18 -130
+rect -76 -718 -18 -706
+rect 18 -130 76 -118
+rect 18 -706 30 -130
+rect 64 -706 76 -130
+rect 18 -718 76 -706
+rect -76 -966 -18 -954
+rect -76 -1542 -64 -966
+rect -30 -1542 -18 -966
+rect -76 -1554 -18 -1542
+rect 18 -966 76 -954
+rect 18 -1542 30 -966
+rect 64 -1542 76 -966
+rect 18 -1554 76 -1542
+<< pdiffc >>
+rect -64 966 -30 1542
+rect 30 966 64 1542
+rect -64 130 -30 706
+rect 30 130 64 706
+rect -64 -706 -30 -130
+rect 30 -706 64 -130
+rect -64 -1542 -30 -966
+rect 30 -1542 64 -966
+<< nsubdiff >>
+rect -178 1703 -82 1737
+rect 82 1703 178 1737
+rect -178 1641 -144 1703
+rect 144 1641 178 1703
+rect -178 -1703 -144 -1641
+rect 144 -1703 178 -1641
+rect -178 -1737 -82 -1703
+rect 82 -1737 178 -1703
+<< nsubdiffcont >>
+rect -82 1703 82 1737
+rect -178 -1641 -144 1641
+rect 144 -1641 178 1641
+rect -82 -1737 82 -1703
+<< poly >>
+rect -33 1635 33 1651
+rect -33 1601 -17 1635
+rect 17 1601 33 1635
+rect -33 1585 33 1601
+rect -18 1554 18 1585
+rect -18 923 18 954
+rect -33 907 33 923
+rect -33 873 -17 907
+rect 17 873 33 907
+rect -33 857 33 873
+rect -33 799 33 815
+rect -33 765 -17 799
+rect 17 765 33 799
+rect -33 749 33 765
+rect -18 718 18 749
+rect -18 87 18 118
+rect -33 71 33 87
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 21 33 37
+rect -33 -37 33 -21
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -33 -87 33 -71
+rect -18 -118 18 -87
+rect -18 -749 18 -718
+rect -33 -765 33 -749
+rect -33 -799 -17 -765
+rect 17 -799 33 -765
+rect -33 -815 33 -799
+rect -33 -873 33 -857
+rect -33 -907 -17 -873
+rect 17 -907 33 -873
+rect -33 -923 33 -907
+rect -18 -954 18 -923
+rect -18 -1585 18 -1554
+rect -33 -1601 33 -1585
+rect -33 -1635 -17 -1601
+rect 17 -1635 33 -1601
+rect -33 -1651 33 -1635
+<< polycont >>
+rect -17 1601 17 1635
+rect -17 873 17 907
+rect -17 765 17 799
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -17 -799 17 -765
+rect -17 -907 17 -873
+rect -17 -1635 17 -1601
+<< locali >>
+rect -178 1703 -82 1737
+rect 82 1703 178 1737
+rect -178 1641 -144 1703
+rect 144 1641 178 1703
+rect -33 1601 -17 1635
+rect 17 1601 33 1635
+rect -64 1542 -30 1558
+rect -64 950 -30 966
+rect 30 1542 64 1558
+rect 30 950 64 966
+rect -33 873 -17 907
+rect 17 873 33 907
+rect -33 765 -17 799
+rect 17 765 33 799
+rect -64 706 -30 722
+rect -64 114 -30 130
+rect 30 706 64 722
+rect 30 114 64 130
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -64 -130 -30 -114
+rect -64 -722 -30 -706
+rect 30 -130 64 -114
+rect 30 -722 64 -706
+rect -33 -799 -17 -765
+rect 17 -799 33 -765
+rect -33 -907 -17 -873
+rect 17 -907 33 -873
+rect -64 -966 -30 -950
+rect -64 -1558 -30 -1542
+rect 30 -966 64 -950
+rect 30 -1558 64 -1542
+rect -33 -1635 -17 -1601
+rect 17 -1635 33 -1601
+rect -178 -1703 -144 -1641
+rect 144 -1703 178 -1641
+rect -178 -1737 -82 -1703
+rect 82 -1737 178 -1703
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -161 -1720 161 1720
+string parameters w 3 l 0.18 m 4 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_7EB329.mag b/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_7EB329.mag
new file mode 100644
index 0000000..dcd7c0a
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_7EB329.mag
@@ -0,0 +1,164 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632242116
+<< nwell >>
+rect -308 -1251 308 1251
+<< mvpmos >>
+rect -50 654 50 954
+rect -50 118 50 418
+rect -50 -418 50 -118
+rect -50 -954 50 -654
+<< mvpdiff >>
+rect -108 942 -50 954
+rect -108 666 -96 942
+rect -62 666 -50 942
+rect -108 654 -50 666
+rect 50 942 108 954
+rect 50 666 62 942
+rect 96 666 108 942
+rect 50 654 108 666
+rect -108 406 -50 418
+rect -108 130 -96 406
+rect -62 130 -50 406
+rect -108 118 -50 130
+rect 50 406 108 418
+rect 50 130 62 406
+rect 96 130 108 406
+rect 50 118 108 130
+rect -108 -130 -50 -118
+rect -108 -406 -96 -130
+rect -62 -406 -50 -130
+rect -108 -418 -50 -406
+rect 50 -130 108 -118
+rect 50 -406 62 -130
+rect 96 -406 108 -130
+rect 50 -418 108 -406
+rect -108 -666 -50 -654
+rect -108 -942 -96 -666
+rect -62 -942 -50 -666
+rect -108 -954 -50 -942
+rect 50 -666 108 -654
+rect 50 -942 62 -666
+rect 96 -942 108 -666
+rect 50 -954 108 -942
+<< mvpdiffc >>
+rect -96 666 -62 942
+rect 62 666 96 942
+rect -96 130 -62 406
+rect 62 130 96 406
+rect -96 -406 -62 -130
+rect 62 -406 96 -130
+rect -96 -942 -62 -666
+rect 62 -942 96 -666
+<< mvnsubdiff >>
+rect -242 1173 242 1185
+rect -242 1139 -134 1173
+rect 134 1139 242 1173
+rect -242 1127 242 1139
+rect -242 1077 -184 1127
+rect -242 -1077 -230 1077
+rect -196 -1077 -184 1077
+rect 184 1077 242 1127
+rect -242 -1127 -184 -1077
+rect 184 -1077 196 1077
+rect 230 -1077 242 1077
+rect 184 -1127 242 -1077
+rect -242 -1139 242 -1127
+rect -242 -1173 -134 -1139
+rect 134 -1173 242 -1139
+rect -242 -1185 242 -1173
+<< mvnsubdiffcont >>
+rect -134 1139 134 1173
+rect -230 -1077 -196 1077
+rect 196 -1077 230 1077
+rect -134 -1173 134 -1139
+<< poly >>
+rect -50 1035 50 1051
+rect -50 1001 -34 1035
+rect 34 1001 50 1035
+rect -50 954 50 1001
+rect -50 607 50 654
+rect -50 573 -34 607
+rect 34 573 50 607
+rect -50 557 50 573
+rect -50 499 50 515
+rect -50 465 -34 499
+rect 34 465 50 499
+rect -50 418 50 465
+rect -50 71 50 118
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 21 50 37
+rect -50 -37 50 -21
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -50 -118 50 -71
+rect -50 -465 50 -418
+rect -50 -499 -34 -465
+rect 34 -499 50 -465
+rect -50 -515 50 -499
+rect -50 -573 50 -557
+rect -50 -607 -34 -573
+rect 34 -607 50 -573
+rect -50 -654 50 -607
+rect -50 -1001 50 -954
+rect -50 -1035 -34 -1001
+rect 34 -1035 50 -1001
+rect -50 -1051 50 -1035
+<< polycont >>
+rect -34 1001 34 1035
+rect -34 573 34 607
+rect -34 465 34 499
+rect -34 37 34 71
+rect -34 -71 34 -37
+rect -34 -499 34 -465
+rect -34 -607 34 -573
+rect -34 -1035 34 -1001
+<< locali >>
+rect -230 1139 -134 1173
+rect 134 1139 230 1173
+rect -230 1077 -196 1139
+rect 196 1077 230 1139
+rect -50 1001 -34 1035
+rect 34 1001 50 1035
+rect -96 942 -62 958
+rect -96 650 -62 666
+rect 62 942 96 958
+rect 62 650 96 666
+rect -50 573 -34 607
+rect 34 573 50 607
+rect -50 465 -34 499
+rect 34 465 50 499
+rect -96 406 -62 422
+rect -96 114 -62 130
+rect 62 406 96 422
+rect 62 114 96 130
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -96 -130 -62 -114
+rect -96 -422 -62 -406
+rect 62 -130 96 -114
+rect 62 -422 96 -406
+rect -50 -499 -34 -465
+rect 34 -499 50 -465
+rect -50 -607 -34 -573
+rect 34 -607 50 -573
+rect -96 -666 -62 -650
+rect -96 -958 -62 -942
+rect 62 -666 96 -650
+rect 62 -958 96 -942
+rect -50 -1035 -34 -1001
+rect 34 -1035 50 -1001
+rect -230 -1139 -196 -1077
+rect 196 -1139 230 -1077
+rect -230 -1173 -134 -1139
+rect 134 -1173 230 -1139
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string FIXED_BBOX -213 -1156 213 1156
+string parameters w 1.5 l 0.5 m 4 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_7EFQZ5.mag b/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_7EFQZ5.mag
new file mode 100644
index 0000000..3b15dda
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_7EFQZ5.mag
@@ -0,0 +1,143 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632242116
+<< nwell >>
+rect -545 -447 545 447
+<< mvpmos >>
+rect -287 -150 -187 150
+rect -129 -150 -29 150
+rect 29 -150 129 150
+rect 187 -150 287 150
+<< mvpdiff >>
+rect -345 138 -287 150
+rect -345 -138 -333 138
+rect -299 -138 -287 138
+rect -345 -150 -287 -138
+rect -187 138 -129 150
+rect -187 -138 -175 138
+rect -141 -138 -129 138
+rect -187 -150 -129 -138
+rect -29 138 29 150
+rect -29 -138 -17 138
+rect 17 -138 29 138
+rect -29 -150 29 -138
+rect 129 138 187 150
+rect 129 -138 141 138
+rect 175 -138 187 138
+rect 129 -150 187 -138
+rect 287 138 345 150
+rect 287 -138 299 138
+rect 333 -138 345 138
+rect 287 -150 345 -138
+<< mvpdiffc >>
+rect -333 -138 -299 138
+rect -175 -138 -141 138
+rect -17 -138 17 138
+rect 141 -138 175 138
+rect 299 -138 333 138
+<< mvnsubdiff >>
+rect -479 369 479 381
+rect -479 335 -371 369
+rect 371 335 479 369
+rect -479 323 479 335
+rect -479 273 -421 323
+rect -479 -273 -467 273
+rect -433 -273 -421 273
+rect 421 273 479 323
+rect -479 -323 -421 -273
+rect 421 -273 433 273
+rect 467 -273 479 273
+rect 421 -323 479 -273
+rect -479 -335 479 -323
+rect -479 -369 -371 -335
+rect 371 -369 479 -335
+rect -479 -381 479 -369
+<< mvnsubdiffcont >>
+rect -371 335 371 369
+rect -467 -273 -433 273
+rect 433 -273 467 273
+rect -371 -369 371 -335
+<< poly >>
+rect -287 231 -187 247
+rect -287 197 -271 231
+rect -203 197 -187 231
+rect -287 150 -187 197
+rect -129 231 -29 247
+rect -129 197 -113 231
+rect -45 197 -29 231
+rect -129 150 -29 197
+rect 29 231 129 247
+rect 29 197 45 231
+rect 113 197 129 231
+rect 29 150 129 197
+rect 187 231 287 247
+rect 187 197 203 231
+rect 271 197 287 231
+rect 187 150 287 197
+rect -287 -197 -187 -150
+rect -287 -231 -271 -197
+rect -203 -231 -187 -197
+rect -287 -247 -187 -231
+rect -129 -197 -29 -150
+rect -129 -231 -113 -197
+rect -45 -231 -29 -197
+rect -129 -247 -29 -231
+rect 29 -197 129 -150
+rect 29 -231 45 -197
+rect 113 -231 129 -197
+rect 29 -247 129 -231
+rect 187 -197 287 -150
+rect 187 -231 203 -197
+rect 271 -231 287 -197
+rect 187 -247 287 -231
+<< polycont >>
+rect -271 197 -203 231
+rect -113 197 -45 231
+rect 45 197 113 231
+rect 203 197 271 231
+rect -271 -231 -203 -197
+rect -113 -231 -45 -197
+rect 45 -231 113 -197
+rect 203 -231 271 -197
+<< locali >>
+rect -467 335 -371 369
+rect 371 335 467 369
+rect -467 273 -433 335
+rect 433 273 467 335
+rect -287 197 -271 231
+rect -203 197 -187 231
+rect -129 197 -113 231
+rect -45 197 -29 231
+rect 29 197 45 231
+rect 113 197 129 231
+rect 187 197 203 231
+rect 271 197 287 231
+rect -333 138 -299 154
+rect -333 -154 -299 -138
+rect -175 138 -141 154
+rect -175 -154 -141 -138
+rect -17 138 17 154
+rect -17 -154 17 -138
+rect 141 138 175 154
+rect 141 -154 175 -138
+rect 299 138 333 154
+rect 299 -154 333 -138
+rect -287 -231 -271 -197
+rect -203 -231 -187 -197
+rect -129 -231 -113 -197
+rect -45 -231 -29 -197
+rect 29 -231 45 -197
+rect 113 -231 129 -197
+rect 187 -231 203 -197
+rect 271 -231 287 -197
+rect -467 -335 -433 -273
+rect 433 -335 467 -273
+rect -467 -369 -371 -335
+rect 371 -369 467 -335
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string FIXED_BBOX -450 -352 450 352
+string parameters w 1.5 l 0.5 m 1 nf 4 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_CABTE7.mag b/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_CABTE7.mag
new file mode 100644
index 0000000..b03b3eb
--- /dev/null
+++ b/old-comparator/sky130_fd_pr__pfet_g5v0d10v5_CABTE7.mag
@@ -0,0 +1,164 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1632332974
+<< nwell >>
+rect -308 -1251 308 1251
+<< mvpmos >>
+rect -50 654 50 954
+rect -50 118 50 418
+rect -50 -418 50 -118
+rect -50 -954 50 -654
+<< mvpdiff >>
+rect -108 942 -50 954
+rect -108 666 -96 942
+rect -62 666 -50 942
+rect -108 654 -50 666
+rect 50 942 108 954
+rect 50 666 62 942
+rect 96 666 108 942
+rect 50 654 108 666
+rect -108 406 -50 418
+rect -108 130 -96 406
+rect -62 130 -50 406
+rect -108 118 -50 130
+rect 50 406 108 418
+rect 50 130 62 406
+rect 96 130 108 406
+rect 50 118 108 130
+rect -108 -130 -50 -118
+rect -108 -406 -96 -130
+rect -62 -406 -50 -130
+rect -108 -418 -50 -406
+rect 50 -130 108 -118
+rect 50 -406 62 -130
+rect 96 -406 108 -130
+rect 50 -418 108 -406
+rect -108 -666 -50 -654
+rect -108 -942 -96 -666
+rect -62 -942 -50 -666
+rect -108 -954 -50 -942
+rect 50 -666 108 -654
+rect 50 -942 62 -666
+rect 96 -942 108 -666
+rect 50 -954 108 -942
+<< mvpdiffc >>
+rect -96 666 -62 942
+rect 62 666 96 942
+rect -96 130 -62 406
+rect 62 130 96 406
+rect -96 -406 -62 -130
+rect 62 -406 96 -130
+rect -96 -942 -62 -666
+rect 62 -942 96 -666
+<< mvnsubdiff >>
+rect -242 1173 242 1185
+rect -242 1139 -134 1173
+rect 134 1139 242 1173
+rect -242 1127 242 1139
+rect -242 1077 -184 1127
+rect -242 -1077 -230 1077
+rect -196 -1077 -184 1077
+rect 184 1077 242 1127
+rect -242 -1127 -184 -1077
+rect 184 -1077 196 1077
+rect 230 -1077 242 1077
+rect 184 -1127 242 -1077
+rect -242 -1139 242 -1127
+rect -242 -1173 -134 -1139
+rect 134 -1173 242 -1139
+rect -242 -1185 242 -1173
+<< mvnsubdiffcont >>
+rect -134 1139 134 1173
+rect -230 -1077 -196 1077
+rect 196 -1077 230 1077
+rect -134 -1173 134 -1139
+<< poly >>
+rect -50 1035 50 1051
+rect -50 1001 -34 1035
+rect 34 1001 50 1035
+rect -50 954 50 1001
+rect -50 607 50 654
+rect -50 573 -34 607
+rect 34 573 50 607
+rect -50 557 50 573
+rect -50 499 50 515
+rect -50 465 -34 499
+rect 34 465 50 499
+rect -50 418 50 465
+rect -50 71 50 118
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 21 50 37
+rect -50 -37 50 -21
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -50 -118 50 -71
+rect -50 -465 50 -418
+rect -50 -499 -34 -465
+rect 34 -499 50 -465
+rect -50 -515 50 -499
+rect -50 -573 50 -557
+rect -50 -607 -34 -573
+rect 34 -607 50 -573
+rect -50 -654 50 -607
+rect -50 -1001 50 -954
+rect -50 -1035 -34 -1001
+rect 34 -1035 50 -1001
+rect -50 -1051 50 -1035
+<< polycont >>
+rect -34 1001 34 1035
+rect -34 573 34 607
+rect -34 465 34 499
+rect -34 37 34 71
+rect -34 -71 34 -37
+rect -34 -499 34 -465
+rect -34 -607 34 -573
+rect -34 -1035 34 -1001
+<< locali >>
+rect -230 1139 -134 1173
+rect 134 1139 230 1173
+rect -230 1077 -196 1139
+rect 196 1077 230 1139
+rect -50 1001 -34 1035
+rect 34 1001 50 1035
+rect -96 942 -62 958
+rect -96 650 -62 666
+rect 62 942 96 958
+rect 62 650 96 666
+rect -50 573 -34 607
+rect 34 573 50 607
+rect -50 465 -34 499
+rect 34 465 50 499
+rect -96 406 -62 422
+rect -96 114 -62 130
+rect 62 406 96 422
+rect 62 114 96 130
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -96 -130 -62 -114
+rect -96 -422 -62 -406
+rect 62 -130 96 -114
+rect 62 -422 96 -406
+rect -50 -499 -34 -465
+rect 34 -499 50 -465
+rect -50 -607 -34 -573
+rect 34 -607 50 -573
+rect -96 -666 -62 -650
+rect -96 -958 -62 -942
+rect 62 -666 96 -650
+rect 62 -958 96 -942
+rect -50 -1035 -34 -1001
+rect 34 -1035 50 -1001
+rect -230 -1139 -196 -1077
+rect 196 -1139 230 -1077
+rect -230 -1173 -134 -1139
+rect 134 -1173 230 -1139
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string FIXED_BBOX -213 -1156 213 1156
+string parameters w 1.5 l 0.50 m 4 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/old-comparator/tristate-inverter.spice b/old-comparator/tristate-inverter.spice
new file mode 100644
index 0000000..0a3dc7d
--- /dev/null
+++ b/old-comparator/tristate-inverter.spice
@@ -0,0 +1,21 @@
+.subckt tristate-inverter Y A OE ~OE VGND VPWR
+* NGSPICE file created from tristate-inverter.ext - technology: sky130A
+
+* Top level circuit tristate-inverter with output pullup
+
+R1 VPWR Y 100k
+
+X0 Y ~OE a_150000_0# VPWR sky130_fd_pr__pfet_01v8 w=1e+06u l=150000u
+X1 Y OE a_150000_n1500000# VGND sky130_fd_pr__nfet_01v8 w=650000u l=150000u
+X2 a_150000_0# A VPWR VPWR sky130_fd_pr__pfet_01v8 w=1e+06u l=150000u
+X3 a_150000_n1500000# A VGND VGND sky130_fd_pr__nfet_01v8 w=650000u l=150000u
+
+
+* 
+* X0 pfet_middle A VPWR VPWR sky130_fd_pr__pfet_01v8 w=1e+06u l=150000u
+* X2 Y ~OE pfet_middle VPWR sky130_fd_pr__pfet_01v8 w=1e+06u l=150000u
+* 
+* X1 nfet_middle A VGND VGND sky130_fd_pr__nfet_01v8 w=650000u l=150000u
+* X3 Y OE nfet_middle VGND sky130_fd_pr__nfet_01v8 w=650000u l=150000u
+
+.ends
\ No newline at end of file
diff --git a/signoff/user_analog_project_wrapper_xor/total.txt b/signoff/user_analog_project_wrapper_xor/total.txt
new file mode 100644
index 0000000..f7c9cac
--- /dev/null
+++ b/signoff/user_analog_project_wrapper_xor/total.txt
@@ -0,0 +1 @@
+Total XOR differences = 1
\ No newline at end of file
diff --git a/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.gds b/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.gds
new file mode 100644
index 0000000..c4f55a1
--- /dev/null
+++ b/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.gds
Binary files differ
diff --git a/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.gds.png b/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.gds.png
new file mode 100644
index 0000000..9ebf694
--- /dev/null
+++ b/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.gds.png
Binary files differ
diff --git a/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.xml b/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.xml
new file mode 100644
index 0000000..421073a
--- /dev/null
+++ b/signoff/user_analog_project_wrapper_xor/user_analog_project_wrapper.xor.xml
@@ -0,0 +1,56 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>XOR /home/hss11/caravel//gds/user_analog_project_wrapper_empty_erased.gds vs. gds/user_analog_project_wrapper_erased.gds</description>
+ <original-file/>
+ <generator>drc: script='/home/hss11/caravel//utils/xor.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>235/4</name>
+   <description>XOR results for layer 235/4 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>69/20</name>
+   <description>XOR results for layer 69/20 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>70/20</name>
+   <description>XOR results for layer 70/20 </description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>81/14</name>
+   <description>XOR results for layer 81/14 </description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'235/4'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (2920,0;2920,3520;0,3520;0,3624.005;3024.005,3624.005;3024.005,0)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/signoff/user_analog_project_wrapper_xor/xor.log b/signoff/user_analog_project_wrapper_xor/xor.log
new file mode 100644
index 0000000..45ae4f2
--- /dev/null
+++ b/signoff/user_analog_project_wrapper_xor/xor.log
@@ -0,0 +1,48 @@
+First Layout: /home/hss11/caravel//gds/user_analog_project_wrapper_empty_erased.gds
+Second Layout: gds/user_analog_project_wrapper_erased.gds
+Design Name: user_analog_project_wrapper
+Output GDS will be: gds/user_analog_project_wrapper.xor.gds
+Reading /home/hss11/caravel//gds/user_analog_project_wrapper_empty_erased.gds ..
+Reading gds/user_analog_project_wrapper_erased.gds ..
+--- Running XOR for 235/4 ---
+"_input" in: _drc_engine.rb:1373
+Elapsed: 0.010s
+"_input" in: _drc_engine.rb:1373
+Elapsed: 0.020s
+"^" in: _drc_engine.rb:1414
+Elapsed: 0.010s
+XOR differences: 1
+"_output" in: _drc_engine.rb:1479
+Elapsed: 0.010s
+--- Running XOR for 69/20 ---
+"_input" in: _drc_engine.rb:1373
+Elapsed: 0.010s
+"_input" in: _drc_engine.rb:1373
+Elapsed: 0.010s
+"^" in: _drc_engine.rb:1414
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: _drc_engine.rb:1479
+Elapsed: 0.010s
+--- Running XOR for 70/20 ---
+"_input" in: _drc_engine.rb:1373
+Elapsed: 0.010s
+"_input" in: _drc_engine.rb:1373
+Elapsed: 0.010s
+"^" in: _drc_engine.rb:1414
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: _drc_engine.rb:1479
+Elapsed: 0.010s
+--- Running XOR for 81/14 ---
+"_input" in: _drc_engine.rb:1373
+Elapsed: 0.010s
+"_input" in: _drc_engine.rb:1373
+Elapsed: 0.010s
+"^" in: _drc_engine.rb:1414
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: _drc_engine.rb:1479
+Elapsed: 0.000s
+Writing layout file: gds/user_analog_project_wrapper.xor.gds ..
+Total run time: 0.200s
diff --git a/verilog/dv/Makefile b/verilog/dv/Makefile
index a9c2027..cac229a 100644
--- a/verilog/dv/Makefile
+++ b/verilog/dv/Makefile
@@ -19,7 +19,7 @@
 .SUFFIXES:
 .SILENT: clean all
 
-PATTERNS = mprj_por
+PATTERNS = comparator
 
 all:  ${PATTERNS}
 	for i in ${PATTERNS}; do \
diff --git a/verilog/dv/README.md b/verilog/dv/README.md
index 6be9cd3..46fa6a4 100644
--- a/verilog/dv/README.md
+++ b/verilog/dv/README.md
@@ -80,7 +80,7 @@
 First, you will need to export a number of environment variables: 
 
 ```bash
-export PDK_PATH=<pdk-location/sky130A>
+export PDK_ROOT=<pdk-location>
 export CARAVEL_ROOT=<caravel_root>
 export UPRJ_ROOT=<user_project_root>
 ```
@@ -88,7 +88,7 @@
 Then, run the following command to start the docker container :
 
 ```
-docker run -it -v $CARAVEL_ROOT:$CARAVEL_ROOT -v $PDK_PATH:$PDK_PATH -v $UPRJ_ROOT:$UPRJ_ROOT -e CARAVEL_ROOT=$CARAVEL_ROOT -e PDK_PATH=$PDK_PATH -e UPRJ_ROOT=$UPRJ_ROOT -u $(id -u $USER):$(id -g $USER) efabless/dv_setup:latest
+docker run -it -v $CARAVEL_ROOT:$CARAVEL_ROOT -v $PDK_ROOT:$PDK_ROOT -v $UPRJ_ROOT:$UPRJ_ROOT -e CARAVEL_ROOT=$CARAVEL_ROOT -e PDK_ROOT=$PDK_ROOT -e UPRJ_ROOT=$UPRJ_ROOT -u $(id -u $USER):$(id -g $USER) efabless/dv_setup:latest
 ```
 
 Then, navigate to the directory where the DV tests reside : 
diff --git a/verilog/dv/mprj_por/Makefile b/verilog/dv/comparator/Makefile
similarity index 98%
rename from verilog/dv/mprj_por/Makefile
rename to verilog/dv/comparator/Makefile
index 5d0825f..9f8b824 100644
--- a/verilog/dv/mprj_por/Makefile
+++ b/verilog/dv/comparator/Makefile
@@ -40,7 +40,7 @@
 
 .SUFFIXES:
 
-PATTERN = mprj_por
+PATTERN = comparator
 
 all:  ${PATTERN:=.vcd}
 
diff --git a/verilog/dv/mprj_por/mprj_por.c b/verilog/dv/comparator/comparator.c
similarity index 100%
rename from verilog/dv/mprj_por/mprj_por.c
rename to verilog/dv/comparator/comparator.c
diff --git a/verilog/dv/mprj_por/mprj_por_tb.v b/verilog/dv/comparator/comparator_tb.v
similarity index 77%
rename from verilog/dv/mprj_por/mprj_por_tb.v
rename to verilog/dv/comparator/comparator_tb.v
index 39e4a36..02dea45 100644
--- a/verilog/dv/mprj_por/mprj_por_tb.v
+++ b/verilog/dv/comparator/comparator_tb.v
@@ -22,7 +22,7 @@
 `include "spiflash.v"
 `include "tbuart.v"
 
-module mprj_por_tb;
+module comparator_tb;
     // Signals declaration
     reg clock;
     reg RSTB;
@@ -43,12 +43,37 @@
     wire [3:0] checkbits;
     wire [1:0] status;
 
+    wire biasn;
+    reg vp;
+    reg vn;
+    wire vout;
+
     // Signals Assignment
     assign uart_tx = mprj_io[6];
     assign mprj_io[3] = (CSB == 1'b1) ? 1'b1 : 1'bz;
 
-    // Power supply for POR
-    assign mprj_io[18] = power3;
+
+    assign mprj_io[34] = biasn;
+    assign mprj_io[32] = vp;
+    assign mprj_io[33] = vn;
+    assign vout = mprj_io[35];
+
+    assign biasn = 1;
+
+    initial begin
+        vp <= 0;
+        vn <= 0;
+        #700;
+        vp <= 0;
+        vn <= 1;
+        #500;
+        vp <= 1;
+        vn <= 0;
+        #500;
+        vp <= 1;
+        vn <= 1;
+        #500;
+    end
 
     // Readback from POR (digital HV through analog pad connection)
     assign status = {mprj_io[25],  mprj_io[10]};
@@ -63,12 +88,12 @@
     end
 
     initial begin
-        $dumpfile("mprj_por.vcd");
-        $dumpvars(0, mprj_por_tb);
+        $dumpfile("comparator.vcd");
+        $dumpvars(0, comparator_tb);
 
-        // Repeat cycles of 1000 clock edges as needed to complete testbench
-        repeat (150) begin
-            repeat (1000) @(posedge clock);
+        // Repeat cycles of 100 clock edges as needed to complete testbench
+        repeat (2) begin
+            repeat (100) @(posedge clock);
         end
         $display("%c[1;31m",27);
         $display ("Monitor: Timeout, Test Project IO Stimulus (RTL) Failed");
@@ -78,20 +103,20 @@
 
     initial begin
         wait(status == 2'h1);
-        $display("Monitor: mprj_por test started");
+        $display("Monitor: comparator test started");
 	#100;
 	if (checkbits != 4'h9) begin
-		$display("Monitor: mprj_por test failed");
+		$display("Monitor: comparator test failed");
 		$finish;
 	end
         wait(status == 2'h3);
 	#100;
 	if (checkbits != 4'h5) begin
-		$display("Monitor: mprj_por test failed");
+		$display("Monitor: comparator test failed");
 		$finish;
 	end
-        $display("Monitor: mprj_por test Passed");
-        #10000;
+        $display("Monitor: comparator test Passed");
+        #1000;
         $finish;
     end
 
@@ -111,7 +136,7 @@
         power1 <= 1'b1;
         #200;
         power2 <= 1'b1;
-	#150000;		// Need time to run the managment SoC setup.
+	#1500;		// Need time to run the managment SoC setup.
 	power3 <= 1'b1;		// Power up the 2nd POR.
     end
 
@@ -151,7 +176,7 @@
 
 
     spiflash #(
-        .FILENAME("mprj_por.hex")
+        .FILENAME("comparator.hex")
     ) spiflash (
         .csb(flash_csb),
         .clk(flash_clk),
diff --git a/verilog/rtl/comparator.v b/verilog/rtl/comparator.v
new file mode 100644
index 0000000..c99b357
--- /dev/null
+++ b/verilog/rtl/comparator.v
@@ -0,0 +1,33 @@
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+
+`default_nettype none
+`timescale 1 ns / 1 ps
+
+module comparator(
+`ifdef USE_POWER_PINS
+    inout vdd3v3,
+    inout vdd1v8,
+    inout vss,
+`endif
+    output vout,
+    input vp,
+    input vn,
+    input biasn
+);
+
+    // This is a behavioral model
+    // biasn should actually be around 0.5V but we'll say it should be logic 1
+    assign vout = (vp & !vn) & biasn;
+endmodule
+`default_nettype wire
diff --git a/verilog/rtl/example_por.v b/verilog/rtl/example_por.v
deleted file mode 100644
index d318fba..0000000
--- a/verilog/rtl/example_por.v
+++ /dev/null
@@ -1,95 +0,0 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
-// Licensed under the Apache License, Version 2.0 (the "License");
-// you may not use this file except in compliance with the License.
-// You may obtain a copy of the License at
-//
-//      http://www.apache.org/licenses/LICENSE-2.0
-//
-// Unless required by applicable law or agreed to in writing, software
-// distributed under the License is distributed on an "AS IS" BASIS,
-// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-// See the License for the specific language governing permissions and
-// limitations under the License.
-// SPDX-License-Identifier: Apache-2.0
-
-`default_nettype none
-`timescale 1 ns / 1 ps
-
-// This is just a copy of simple_por.v from the Caravel project, used
-// as an analog user project example.
-
-module example_por(
-`ifdef USE_POWER_PINS
-    inout vdd3v3,
-    inout vdd1v8,
-    inout vss,
-`endif
-    output porb_h,
-    output porb_l,
-    output por_l
-);
-
-    wire mid, porb_h;
-    reg inode;
-
-    // This is a behavioral model!  Actual circuit is a resitor dumping
-    // current (slowly) from vdd3v3 onto a capacitor, and this fed into
-    // two schmitt triggers for strong hysteresis/glitch tolerance.
-
-    initial begin
-	inode <= 1'b0; 
-    end 
-
-    // Emulate current source on capacitor as a 500ns delay either up or
-    // down.  Note that this is sped way up for verilog simulation;  the
-    // actual circuit is set to a 15ms delay.
-
-    always @(posedge vdd3v3) begin
-	#500 inode <= 1'b1;
-    end
-    always @(negedge vdd3v3) begin
-	#500 inode <= 1'b0;
-    end
-
-    // Instantiate two shmitt trigger buffers in series
-
-    sky130_fd_sc_hvl__schmittbuf_1 hystbuf1 (
-`ifdef USE_POWER_PINS
-	.VPWR(vdd3v3),
-	.VGND(vss),
-	.VPB(vdd3v3),
-	.VNB(vss),
-`endif
-	.A(inode),
-	.X(mid)
-    );
-
-    sky130_fd_sc_hvl__schmittbuf_1 hystbuf2 (
-`ifdef USE_POWER_PINS
-	.VPWR(vdd3v3),
-	.VGND(vss),
-	.VPB(vdd3v3),
-	.VNB(vss),
-`endif
-	.A(mid),
-	.X(porb_h)
-    );
-
-    sky130_fd_sc_hvl__lsbufhv2lv_1 porb_level (
-`ifdef USE_POWER_PINS
-	.VPWR(vdd3v3),
-	.VPB(vdd3v3),
-	.LVPWR(vdd1v8),
-	.VNB(vss),
-	.VGND(vss),
-`endif
-	.A(porb_h),
-	.X(porb_l)
-    );
-
-    // since this is behavioral anyway, but this should be
-    // replaced by a proper inverter
-    assign por_l = ~porb_l;
-endmodule
-`default_nettype wire
diff --git a/verilog/rtl/uprj_analog_netlists.v b/verilog/rtl/uprj_analog_netlists.v
index 062a873..4bff04a 100644
--- a/verilog/rtl/uprj_analog_netlists.v
+++ b/verilog/rtl/uprj_analog_netlists.v
@@ -31,8 +31,6 @@
     `default_nettype wire
     // Use behavorial model with gate-level simulation
     `include "rtl/user_analog_project_wrapper.v"
-    `include "rtl/user_analog_proj_example.v"
 `else
     `include "user_analog_project_wrapper.v"
-    `include "user_analog_proj_example.v"
 `endif
diff --git a/verilog/rtl/user_analog_proj_example.v b/verilog/rtl/user_analog_proj_example.v
deleted file mode 100644
index 94412da..0000000
--- a/verilog/rtl/user_analog_proj_example.v
+++ /dev/null
@@ -1,221 +0,0 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
-// Licensed under the Apache License, Version 2.0 (the "License");
-// you may not use this file except in compliance with the License.
-// You may obtain a copy of the License at
-//
-//      http://www.apache.org/licenses/LICENSE-2.0
-//
-// Unless required by applicable law or agreed to in writing, software
-// distributed under the License is distributed on an "AS IS" BASIS,
-// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-// See the License for the specific language governing permissions and
-// limitations under the License.
-// SPDX-License-Identifier: Apache-2.0
-
-`default_nettype none
-
-`include "example_por.v"
-
-/*
- * I/O mapping for analog
- *
- * mprj_io[37]  io_in/out/oeb/in_3v3[26]  ---                    ---
- * mprj_io[36]  io_in/out/oeb/in_3v3[25]  ---                    ---
- * mprj_io[35]  io_in/out/oeb/in_3v3[24]  gpio_analog/noesd[17]  ---
- * mprj_io[34]  io_in/out/oeb/in_3v3[23]  gpio_analog/noesd[16]  ---
- * mprj_io[33]  io_in/out/oeb/in_3v3[22]  gpio_analog/noesd[15]  ---
- * mprj_io[32]  io_in/out/oeb/in_3v3[21]  gpio_analog/noesd[14]  ---
- * mprj_io[31]  io_in/out/oeb/in_3v3[20]  gpio_analog/noesd[13]  ---
- * mprj_io[30]  io_in/out/oeb/in_3v3[19]  gpio_analog/noesd[12]  ---
- * mprj_io[29]  io_in/out/oeb/in_3v3[18]  gpio_analog/noesd[11]  ---
- * mprj_io[28]  io_in/out/oeb/in_3v3[17]  gpio_analog/noesd[10]  ---
- * mprj_io[27]  io_in/out/oeb/in_3v3[16]  gpio_analog/noesd[9]   ---
- * mprj_io[26]  io_in/out/oeb/in_3v3[15]  gpio_analog/noesd[8]   ---
- * mprj_io[25]  io_in/out/oeb/in_3v3[14]  gpio_analog/noesd[7]   ---
- * mprj_io[24]  ---                       ---                    user_analog[10]
- * mprj_io[23]  ---                       ---                    user_analog[9]
- * mprj_io[22]  ---                       ---                    user_analog[8]
- * mprj_io[21]  ---                       ---                    user_analog[7]
- * mprj_io[20]  ---                       ---                    user_analog[6]  clamp[2]
- * mprj_io[19]  ---                       ---                    user_analog[5]  clamp[1]
- * mprj_io[18]  ---                       ---                    user_analog[4]  clamp[0]
- * mprj_io[17]  ---                       ---                    user_analog[3]
- * mprj_io[16]  ---                       ---                    user_analog[2]
- * mprj_io[15]  ---                       ---                    user_analog[1]
- * mprj_io[14]  ---                       ---                    user_analog[0]
- * mprj_io[13]  io_in/out/oeb/in_3v3[13]  gpio_analog/noesd[6]   ---
- * mprj_io[12]  io_in/out/oeb/in_3v3[12]  gpio_analog/noesd[5]   ---
- * mprj_io[11]  io_in/out/oeb/in_3v3[11]  gpio_analog/noesd[4]   ---
- * mprj_io[10]  io_in/out/oeb/in_3v3[10]  gpio_analog/noesd[3]   ---
- * mprj_io[9]   io_in/out/oeb/in_3v3[9]   gpio_analog/noesd[2]   ---
- * mprj_io[8]   io_in/out/oeb/in_3v3[8]   gpio_analog/noesd[1]   ---
- * mprj_io[7]   io_in/out/oeb/in_3v3[7]   gpio_analog/noesd[0]   ---
- * mprj_io[6]   io_in/out/oeb/in_3v3[6]   ---                    ---
- * mprj_io[5]   io_in/out/oeb/in_3v3[5]   ---                    ---
- * mprj_io[4]   io_in/out/oeb/in_3v3[4]   ---                    ---
- * mprj_io[3]   io_in/out/oeb/in_3v3[3]   ---                    ---
- * mprj_io[2]   io_in/out/oeb/in_3v3[2]   ---                    ---
- * mprj_io[1]   io_in/out/oeb/in_3v3[1]   ---                    ---
- * mprj_io[0]   io_in/out/oeb/in_3v3[0]   ---                    ---
- *
- */
-
-/*
- *----------------------------------------------------------------
- *
- * user_analog_proj_example
- *
- * This is an example of a (trivially simple) analog user project,
- * showing how the user project can connect to the I/O pads, both
- * the digital pads, the analog connection on the digital pads,
- * and the dedicated analog pins used as an additional power supply
- * input, with a connected ESD clamp.
- *
- * See the testbench in directory "mprj_por" for the example
- * program that drives this user project.
- *
- *----------------------------------------------------------------
- */
-
-module user_analog_proj_example (
-`ifdef USE_POWER_PINS
-    inout vdda1,	// User area 1 3.3V supply
-    inout vdda2,	// User area 2 3.3V supply
-    inout vssa1,	// User area 1 analog ground
-    inout vssa2,	// User area 2 analog ground
-    inout vccd1,	// User area 1 1.8V supply
-    inout vccd2,	// User area 2 1.8v supply
-    inout vssd1,	// User area 1 digital ground
-    inout vssd2,	// User area 2 digital ground
-`endif
-
-    // Wishbone Slave ports (WB MI A)
-    input wb_clk_i,
-    input wb_rst_i,
-    input wbs_stb_i,
-    input wbs_cyc_i,
-    input wbs_we_i,
-    input [3:0] wbs_sel_i,
-    input [31:0] wbs_dat_i,
-    input [31:0] wbs_adr_i,
-    output wbs_ack_o,
-    output [31:0] wbs_dat_o,
-
-    // Logic Analyzer Signals
-    input  [127:0] la_data_in,
-    output [127:0] la_data_out,
-    input  [127:0] la_oenb,
-
-    // IOs
-    input  [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_in,
-    input  [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_in_3v3,
-    output [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_out,
-    output [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_oeb,
-
-    // GPIO-analog
-    inout [`MPRJ_IO_PADS-`ANALOG_PADS-10:0] gpio_analog,
-    inout [`MPRJ_IO_PADS-`ANALOG_PADS-10:0] gpio_noesd,
-
-    // Dedicated analog
-    inout [`ANALOG_PADS-1:0] io_analog,
-    inout [2:0] io_clamp_high,
-    inout [2:0] io_clamp_low,
-
-    // Clock
-    input   user_clock2,
-
-    // IRQ
-    output [2:0] irq
-);
-    wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_in;
-    wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_in_3v3;
-    wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_out;
-    wire [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_oeb;
-    wire [`ANALOG_PADS-1:0] io_analog;
-
-    // wire [31:0] rdata; 
-    // wire [31:0] wdata;
-
-    // wire valid;
-    // wire [3:0] wstrb;
-
-    wire isupply;	// Independent 3.3V supply
-    wire io16, io15, io12, io11;
-
-    // WB MI A
-    // assign valid = wbs_cyc_i && wbs_stb_i; 
-    // assign wstrb = wbs_sel_i & {4{wbs_we_i}};
-    // assign wbs_dat_o = rdata;
-    // assign wdata = wbs_dat_i;
-
-    // IO --- unused (no need to connect to anything)
-    // assign io_out[`MPRJ_IO_PADS-`ANALOG_PADS-1:17] = 0;
-    // assign io_out[14:13] = 11'b0;
-    // assign io_out[10:0] = 11'b0;
-
-    // assign io_oeb[`MPRJ_IO_PADS-`ANALOG_PADS-1:17] = -1;
-    // assign io_oeb[14:13] = 11'b1;
-    // assign io_oeb[10:0] = 11'b1;
-
-    // IO --- enable outputs on 11, 12, 15, and 16
-    assign io_out[12:11] = {io12, io11};
-    assign io_oeb[12:11] = {vssd1, vssd1};
-
-    assign io_out[16:15] = {io16, io15};
-    assign io_oeb[16:15] = {vssd1, vssd1};
-
-    // IRQ
-    assign irq = 3'b000;	// Unused
-
-    // LA --- unused (no need to connect to anything)
-    // assign la_data_out = {128{1'b0}};	// Unused
-
-    // Instantiate the POR.  Connect the digital power to user area 1
-    // VCCD, and connect the analog power to user area 1 VDDA.
-
-    // Monitor the 3.3V output with mprj_io[10] = gpio_analog[3]
-    // Monitor the 1.8V outputs with mprj_io[11,12] = io_out[11,12]
-
-    example_por por1 (
-	`ifdef USE_POWER_PINS
-	    .vdd3v3(vdda1),
-	    .vdd1v8(vccd1),
-	    .vss(vssa1),
-	`endif
-	.porb_h(gpio_analog[3]),	// 3.3V domain output
-	.porb_l(io11),			// 1.8V domain output
-	.por_l(io12)			// 1.8V domain output
-    );
-
-    // Instantiate 2nd POR with the analog power supply on one of the
-    // analog pins.  NOTE:  io_analog[4] = mproj_io[18] and is the same
-    // pad with io_clamp_high/low[0].
-
-    `ifdef USE_POWER_PINS
-	assign isupply = io_analog[4];
-    	assign io_clamp_high[0] = isupply;
-    	assign io_clamp_low[0] = vssa1;
-
-	// Tie off remaining clamps
-    	assign io_clamp_high[2:1] = vssa1;
-    	assign io_clamp_low[2:1] = vssa1;
-    `endif
-
-    // Monitor the 3.3V output with mprj_io[25] = gpio_analog[7]
-    // Monitor the 1.8V outputs with mprj_io[26,27] = io_out[15,16]
-
-    example_por por2 (
-	`ifdef USE_POWER_PINS
-	    .vdd3v3(isupply),
-	    .vdd1v8(vccd1),
-	    .vss(vssa1),
-	`endif
-	.porb_h(gpio_analog[7]),	// 3.3V domain output
-	.porb_l(io15),			// 1.8V domain output
-	.por_l(io16)			// 1.8V domain output
-    );
-
-endmodule
-
-`default_nettype wire
diff --git a/verilog/rtl/user_analog_project_wrapper.v b/verilog/rtl/user_analog_project_wrapper.v
index a4a8c1a..6e9c3ba 100644
--- a/verilog/rtl/user_analog_project_wrapper.v
+++ b/verilog/rtl/user_analog_project_wrapper.v
@@ -24,6 +24,7 @@
  *
  *-------------------------------------------------------------
  */
+ `include "comparator.v"
 
 module user_analog_project_wrapper (
 `ifdef USE_POWER_PINS
@@ -122,58 +123,21 @@
 /* User project is instantiated  here   */
 /*--------------------------------------*/
 
-user_analog_proj_example mprj (
+wire vout;
+
+assign gpio_analog[17]  = vout;
+assign io_out[25]       = vout;
+
+comparator comp_0 (
     `ifdef USE_POWER_PINS
-        .vdda1(vdda1),  // User area 1 3.3V power
-        .vdda2(vdda2),  // User area 2 3.3V power
-        .vssa1(vssa1),  // User area 1 analog ground
-        .vssa2(vssa2),  // User area 2 analog ground
-        .vccd1(vccd1),  // User area 1 1.8V power
-        .vccd2(vccd2),  // User area 2 1.8V power
-        .vssd1(vssd1),  // User area 1 digital ground
-        .vssd2(vssd2),  // User area 2 digital ground
+        .vdd3v3 (vdda2),  // User area 2 3.3V power
+        .vdd1v8 (vccd2),  // User area 2 1.8V power
+        .vss    (vssd2),  // User area 2 digital ground
     `endif
-
-    .wb_clk_i(wb_clk_i),
-    .wb_rst_i(wb_rst_i),
-
-    // MGMT SoC Wishbone Slave
-
-    .wbs_cyc_i(wbs_cyc_i),
-    .wbs_stb_i(wbs_stb_i),
-    .wbs_we_i(wbs_we_i),
-    .wbs_sel_i(wbs_sel_i),
-    .wbs_adr_i(wbs_adr_i),
-    .wbs_dat_i(wbs_dat_i),
-    .wbs_ack_o(wbs_ack_o),
-    .wbs_dat_o(wbs_dat_o),
-
-    // Logic Analyzer
-
-    .la_data_in(la_data_in),
-    .la_data_out(la_data_out),
-    .la_oenb (la_oenb),
-
-    // IO Pads
-    .io_in (io_in),
-    .io_in_3v3 (io_in_3v3),
-    .io_out(io_out),
-    .io_oeb(io_oeb),
-
-    // GPIO-analog
-    .gpio_analog(gpio_analog),
-    .gpio_noesd(gpio_noesd),
-
-    // Dedicated analog
-    .io_analog(io_analog),
-    .io_clamp_high(io_clamp_high),
-    .io_clamp_low(io_clamp_low),
-
-    // Clock
-    .user_clock2(user_clock2),
-
-    // IRQ
-    .irq(user_irq)
+    .vout   (vout),
+    .vp     (gpio_analog[14]),
+    .vn     (gpio_analog[15]),
+    .biasn  (gpio_analog[16]) 
 );
 
 endmodule	// user_analog_project_wrapper
diff --git a/xschem/analog_mux_2_1.sch b/xschem/analog_mux_2_1.sch
new file mode 100644
index 0000000..f8aebf6
--- /dev/null
+++ b/xschem/analog_mux_2_1.sch
@@ -0,0 +1,357 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1220 -1030 1260 -1030 { lab=EN0}
+N 1220 -950 1260 -950 { lab=!EN1}
+N 1200 -930 1260 -930 { lab=EN1}
+N 1200 -1050 1260 -1050 { lab=!EN0}
+N 1560 -970 1600 -970 { lab=VGND}
+N 1560 -1070 1600 -1070 { lab=VGND}
+N 1600 -1070 1600 -970 { lab=VGND}
+N 1560 -950 1620 -950 { lab=VDD3v3}
+N 1620 -1120 1620 -950 { lab=VDD3v3}
+N 1560 -1050 1620 -1050 { lab=VDD3v3}
+N 1560 -930 1660 -930 { lab=VOUT}
+N 1560 -1030 1660 -1030 { lab=VOUT}
+N 1660 -1030 1660 -930 { lab=VOUT}
+N 1660 -1030 1720 -1030 { lab=VOUT}
+N 1600 -970 1600 -880 { lab=VGND}
+N 1120 -1070 1260 -1070 { lab=VIN0}
+N 1120 -970 1260 -970 { lab=VIN1}
+N 1070 -670 1070 -640 { lab=#net1}
+N 1070 -780 1070 -750 { lab=!EN1}
+N 1010 -810 1030 -810 { lab=EN}
+N 1010 -810 1010 -720 { lab=EN}
+N 1010 -700 1030 -700 { lab=EN}
+N 840 -610 1030 -610 { lab=SELECT}
+N 910 -760 1070 -760 { lab=!EN1}
+N 910 -780 910 -760 { lab=!EN1}
+N 1010 -720 1010 -700 { lab=EN}
+N 1070 -750 1070 -730 { lab=!EN1}
+N 1070 -760 1200 -760 { lab=!EN1}
+N 840 -630 840 -610 { lab=SELECT}
+N 1070 -700 1160 -700 { lab=VGND}
+N 1070 -610 1160 -610 { lab=VGND}
+N 1070 -580 1070 -560 { lab=VGND}
+N 1160 -700 1160 -610 { lab=VGND}
+N 1220 -540 1220 -500 { lab=VGND}
+N 1070 -560 1220 -560 { lab=VGND}
+N 910 -810 1000 -810 { lab=VDD3v3}
+N 1000 -860 1000 -810 { lab=VDD3v3}
+N 910 -860 910 -840 { lab=VDD3v3}
+N 1070 -810 1160 -810 { lab=VDD3v3}
+N 1160 -860 1160 -810 { lab=VDD3v3}
+N 1100 -880 1100 -860 { lab=VDD3v3}
+N 960 -700 1010 -700 { lab=EN}
+N 1230 -810 1260 -810 { lab=!EN1}
+N 1220 -560 1220 -540 { lab=VGND}
+N 1200 -760 1230 -760 { lab=!EN1}
+N 1070 -860 1070 -840 { lab=VDD3v3}
+N 1160 -610 1160 -560 { lab=VGND}
+N 1230 -810 1230 -760 { lab=!EN1}
+N 1230 -760 1230 -710 { lab=!EN1}
+N 1230 -710 1260 -710 { lab=!EN1}
+N 1300 -680 1300 -560 { lab=VGND}
+N 1300 -710 1400 -710 { lab=VGND}
+N 1400 -710 1400 -560 { lab=VGND}
+N 1300 -780 1300 -740 { lab=EN1}
+N 1300 -760 1470 -760 { lab=EN1}
+N 910 -860 1300 -860 { lab=VDD3v3}
+N 1300 -860 1300 -840 { lab=VDD3v3}
+N 1040 -950 1220 -950 { lab=!EN1}
+N 1040 -930 1200 -930 { lab=EN1}
+N 1040 -1050 1200 -1050 { lab=!EN0}
+N 1040 -1030 1220 -1030 { lab=EN0}
+N 1470 -780 1470 -760 { lab=EN1}
+N 840 -810 840 -630 { lab=SELECT}
+N 840 -810 870 -810 { lab=SELECT}
+N 840 -310 870 -310 { lab=SELECT}
+N 840 -310 840 -260 { lab=SELECT}
+N 840 -260 840 -210 { lab=SELECT}
+N 840 -210 870 -210 { lab=SELECT}
+N 910 -210 1010 -210 { lab=VGND}
+N 910 -280 910 -240 { lab=!SELECT}
+N 1250 -240 1250 -210 { lab=#net2}
+N 1250 -350 1250 -320 { lab=!EN0}
+N 1190 -380 1210 -380 { lab=EN}
+N 1190 -380 1190 -290 { lab=EN}
+N 1190 -270 1210 -270 { lab=EN}
+N 1090 -330 1250 -330 { lab=!EN0}
+N 1090 -350 1090 -330 { lab=!EN0}
+N 1190 -290 1190 -270 { lab=EN}
+N 1250 -320 1250 -300 { lab=!EN0}
+N 1250 -330 1380 -330 { lab=!EN0}
+N 1250 -270 1340 -270 { lab=VGND}
+N 1250 -180 1340 -180 { lab=VGND}
+N 1250 -150 1250 -130 { lab=VGND}
+N 1340 -270 1340 -180 { lab=VGND}
+N 1400 -110 1400 -70 { lab=VGND}
+N 1250 -130 1400 -130 { lab=VGND}
+N 1090 -380 1180 -380 { lab=VDD3v3}
+N 1180 -430 1180 -380 { lab=VDD3v3}
+N 1090 -430 1090 -410 { lab=VDD3v3}
+N 1250 -380 1340 -380 { lab=VDD3v3}
+N 1340 -430 1340 -380 { lab=VDD3v3}
+N 1280 -450 1280 -430 { lab=VDD3v3}
+N 1140 -270 1190 -270 { lab=EN}
+N 1410 -380 1440 -380 { lab=!EN0}
+N 1400 -130 1400 -110 { lab=VGND}
+N 1380 -330 1410 -330 { lab=!EN0}
+N 1250 -430 1250 -410 { lab=VDD3v3}
+N 1340 -180 1340 -130 { lab=VGND}
+N 1410 -380 1410 -330 { lab=!EN0}
+N 1410 -330 1410 -280 { lab=!EN0}
+N 1410 -280 1440 -280 { lab=!EN0}
+N 1480 -250 1480 -130 { lab=VGND}
+N 1480 -280 1580 -280 { lab=VGND}
+N 1580 -280 1580 -130 { lab=VGND}
+N 1480 -350 1480 -310 { lab=EN0}
+N 1480 -330 1650 -330 { lab=EN0}
+N 1090 -430 1480 -430 { lab=VDD3v3}
+N 1480 -430 1480 -410 { lab=VDD3v3}
+N 1650 -350 1650 -330 { lab=EN0}
+N 840 -610 840 -310 { lab=SELECT}
+N 810 -810 840 -810 { lab=SELECT}
+N 910 -430 910 -340 { lab=VDD3v3}
+N 910 -430 1090 -430 { lab=VDD3v3}
+N 910 -310 1000 -310 { lab=VDD3v3}
+N 1000 -430 1000 -310 { lab=VDD3v3}
+N 910 -260 1040 -260 { lab=!SELECT}
+N 1040 -380 1040 -260 { lab=!SELECT}
+N 1040 -380 1050 -380 { lab=!SELECT}
+N 1010 -210 1010 -130 { lab=VGND}
+N 910 -130 1250 -130 { lab=VGND}
+N 910 -170 910 -130 { lab=VGND}
+N 910 -180 910 -170 { lab=VGND}
+N 1040 -180 1210 -180 { lab=!SELECT}
+N 1040 -260 1040 -180 { lab=!SELECT}
+N 1220 -560 1400 -560 { lab=VGND}
+N 1400 -130 1580 -130 { lab=VGND}
+N 1300 -810 1400 -810 { lab=VDD3v3}
+N 1400 -860 1400 -810 { lab=VDD3v3}
+N 1300 -860 1400 -860 { lab=VDD3v3}
+N 1480 -380 1570 -380 { lab=VDD3v3}
+N 1570 -430 1570 -380 { lab=VDD3v3}
+N 1480 -430 1570 -430 { lab=VDD3v3}
+C {analogue_switch.sym} 1410 -1050 0 0 {name=x1}
+C {analogue_switch.sym} 1410 -950 0 0 {name=x2}
+C {devices/iopin.sym} 1600 -880 0 0 {name=p1 lab=VGND}
+C {devices/ipin.sym} 1120 -1070 0 0 {name=p2 lab=VIN0}
+C {devices/opin.sym} 1720 -1030 0 0 {name=p3 lab=VOUT}
+C {devices/iopin.sym} 1620 -1120 0 0 {name=p4 lab=VDD3v3}
+C {devices/ipin.sym} 1120 -970 0 0 {name=p5 lab=VIN1}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 890 -810 0 0 {name=M3
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 1050 -810 0 0 {name=M4
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_03v3_nvt.sym} 1050 -610 0 0 {name=M2
+L=0.5
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_03v3_nvt.sym} 1050 -700 0 0 {name=M5
+L=0.5
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 1220 -500 0 0 {name=l3 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 1100 -880 0 0 {name=l4 sig_type=std_logic lab=VDD3v3}
+C {devices/ipin.sym} 960 -700 0 0 {name=p6 lab=EN}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 1280 -810 0 0 {name=M1
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_03v3_nvt.sym} 1280 -710 0 0 {name=M6
+L=0.5
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 1040 -1050 0 0 {name=l1 sig_type=std_logic lab=!EN0}
+C {devices/lab_pin.sym} 1040 -1030 0 0 {name=l5 sig_type=std_logic lab=EN0}
+C {devices/lab_pin.sym} 1040 -930 0 0 {name=l6 sig_type=std_logic lab=EN1}
+C {devices/lab_pin.sym} 1040 -950 0 0 {name=l7 sig_type=std_logic lab=!EN1}
+C {devices/lab_pin.sym} 1230 -790 0 0 {name=l2 sig_type=std_logic lab=!EN1}
+C {devices/lab_pin.sym} 1470 -780 0 0 {name=l8 sig_type=std_logic lab=EN1}
+C {devices/ipin.sym} 810 -810 0 0 {name=p7 lab=SELECT}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 890 -310 0 0 {name=M7
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_03v3_nvt.sym} 890 -210 0 0 {name=M8
+L=0.5
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 1230 -380 0 0 {name=M9
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_03v3_nvt.sym} 1230 -180 0 0 {name=M10
+L=0.5
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_03v3_nvt.sym} 1230 -270 0 0 {name=M11
+L=0.5
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 1400 -70 0 0 {name=l10 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 1280 -450 0 0 {name=l11 sig_type=std_logic lab=VDD3v3}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 1460 -380 0 0 {name=M12
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_03v3_nvt.sym} 1460 -280 0 0 {name=M13
+L=0.5
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 1410 -360 0 0 {name=l12 sig_type=std_logic lab=!EN0}
+C {devices/lab_pin.sym} 1650 -350 0 0 {name=l13 sig_type=std_logic lab=EN0}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 1070 -380 0 0 {name=M14
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 1140 -270 0 0 {name=l9 sig_type=std_logic lab=EN}
+C {devices/lab_pin.sym} 1040 -270 0 0 {name=l14 sig_type=std_logic lab=!SELECT}
diff --git a/xschem/analog_mux_2_1.spice b/xschem/analog_mux_2_1.spice
new file mode 100644
index 0000000..c87e91b
--- /dev/null
+++ b/xschem/analog_mux_2_1.spice
@@ -0,0 +1,74 @@
+**.subckt analog_mux_2_1 VGND VIN0 VOUT VDD3v3 VIN1 EN SELECT
+*.iopin VGND
+*.ipin VIN0
+*.opin VOUT
+*.iopin VDD3v3
+*.ipin VIN1
+*.ipin EN
+*.ipin SELECT
+x1 VIN0 VGND VDD3v3 !EN0 EN0 VOUT analogue_switch
+x2 VIN1 VGND VDD3v3 !EN1 EN1 VOUT analogue_switch
+XM3 !EN1 SELECT VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM4 !EN1 EN VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM2 net1 SELECT VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM5 !EN1 EN net1 VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM1 EN1 !EN1 VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM6 EN1 !EN1 VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM7 !SELECT SELECT VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM8 !SELECT SELECT VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM9 !EN0 EN VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM10 net2 !SELECT VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM11 !EN0 EN net2 VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM12 EN0 !EN0 VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM13 EN0 !EN0 VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM14 !EN0 !SELECT VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+**.ends
+
+* expanding   symbol:  analogue_switch.sym # of pins=6
+* sym_path: /home/hss11/winhome/caravel_user_project_analog/xschem/analogue_switch.sym
+* sch_path: /home/hss11/winhome/caravel_user_project_analog/xschem/analogue_switch.sch
+.subckt analogue_switch  VIN VGND VDD3v3 !EN EN VOUT
+*.iopin VGND
+*.iopin VDD3v3
+*.ipin EN
+*.ipin VIN
+*.ipin !EN
+*.opin VOUT
+XM2 VOUT !EN VIN VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=2 m=2 
+XM1 VIN EN VOUT VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=10 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
+.ends
+
+** flattened .save nodes
+.end
diff --git a/xschem/analog_mux_2_1.sym b/xschem/analog_mux_2_1.sym
new file mode 100644
index 0000000..4917a8b
--- /dev/null
+++ b/xschem/analog_mux_2_1.sym
@@ -0,0 +1,32 @@
+v {xschem version=3.0.0 file_version=1.2}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+T {@symname} -81 -6 0 0 0.3 0.3 {}
+T {@name} 135 -52 0 0 0.2 0.2 {}
+L 4 -130 -40 130 -40 {}
+L 4 -130 40 130 40 {}
+L 4 -130 -40 -130 40 {}
+L 4 130 -40 130 40 {}
+B 5 147.5 -32.5 152.5 -27.5 {name=VDD3v3 dir=inout }
+L 7 130 -30 150 -30 {}
+T {VDD3v3} 125 -34 0 1 0.2 0.2 {}
+B 5 -152.5 -32.5 -147.5 -27.5 {name=VIN0 dir=in }
+L 4 -150 -30 -130 -30 {}
+T {VIN0} -125 -34 0 0 0.2 0.2 {}
+B 5 147.5 -12.5 152.5 -7.5 {name=VOUT dir=out }
+L 4 130 -10 150 -10 {}
+T {VOUT} 125 -14 0 1 0.2 0.2 {}
+B 5 -152.5 -12.5 -147.5 -7.5 {name=VIN1 dir=in }
+L 4 -150 -10 -130 -10 {}
+T {VIN1} -125 -14 0 0 0.2 0.2 {}
+B 5 147.5 7.5 152.5 12.5 {name=VGND dir=inout }
+L 7 130 10 150 10 {}
+T {VGND} 125 6 0 1 0.2 0.2 {}
+B 5 -152.5 7.5 -147.5 12.5 {name=SELECT dir=in }
+L 4 -150 10 -130 10 {}
+T {SELECT} -125 6 0 0 0.2 0.2 {}
+B 5 -152.5 27.5 -147.5 32.5 {name=EN dir=in }
+L 4 -150 30 -130 30 {}
+T {EN} -125 26 0 0 0.2 0.2 {}
diff --git a/xschem/analog_wrapper_tb.sch b/xschem/analog_wrapper_tb.sch
index ee08803..a87b9ee 100644
--- a/xschem/analog_wrapper_tb.sch
+++ b/xschem/analog_wrapper_tb.sch
@@ -1,4 +1,4 @@
-v {xschem version=2.9.9 file_version=1.2 }
+v {xschem version=3.0.0 file_version=1.2 }
 G {}
 K {}
 V {}
@@ -88,12 +88,10 @@
 C {devices/lab_pin.sym} 450 -70 0 0 {name=l5 sig_type=std_logic lab=io_out[26:0]}
 C {devices/bus_connect.sym} 510 -50 0 0 {name=l6 lab=io_oeb[16:15]}
 C {devices/bus_connect.sym} 600 -50 0 0 {name=l7 lab=io_oeb[12:11]}
-C {devices/code.sym} 920 -130 0 0 {name=TT_MODELS only_toplevel=false
-format="tcleval(@value )" value=".lib \\\\$::SKYWATER_MODELS\\\\/sky130.lib.spice tt
-.include \\\\$::PDKPATH\\\\/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice"}
-C {devices/code_shown.sym} 1100 -130 0 0 {name=s1
-only_toplevel=false
-value=".control
+C {devices/code_shown.sym} 920 -130 0 0 {name=s1 only_toplevel=false value=".param mc_mm_switch=0
+.lib ~/open_sky130/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+.include ~/open_sky130/sky130A/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice
+.control
 tran 10u 20m
 plot V(\\"io_out[11]\\") V(\\"io_out[12]\\") V(\\"io_out[15]\\") V(\\"io_out[16]\\")
 + V(\\"gpio_analog[3]\\") V(\\"gpio_analog[7]\\")
diff --git a/xschem/analog_wrapper_tb.spice b/xschem/analog_wrapper_tb.spice
index b32d07c..3aa4d66 100644
--- a/xschem/analog_wrapper_tb.spice
+++ b/xschem/analog_wrapper_tb.spice
@@ -65,9 +65,10 @@
 V2 net2 GND PWL(0.0 0 300u 0 5.3 1.8)
 V3 io_analog[4] GND PWL(0.0 0 100u 0 5m 3.3)
 **** begin user architecture code
-.lib /usr/share/pdk/sky130A/libs.tech/ngspice/sky130.lib.spice tt
-.include /usr/share/pdk/sky130A/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice
 
+.param mc_mm_switch=0
+.lib ~/open_sky130/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+.include ~/open_sky130/sky130A/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice
 .control
 tran 10u 20m
 plot V("io_out[11]") V("io_out[12]") V("io_out[15]") V("io_out[16]")  V("gpio_analog[3]")
@@ -78,8 +79,8 @@
 **.ends
 
 * expanding   symbol:  user_analog_project_wrapper.sym # of pins=32
-* sym_path: /home/tim/gits/caravel_user_project_analog/xschem/user_analog_project_wrapper.sym
-* sch_path: /home/tim/gits/caravel_user_project_analog/xschem/user_analog_project_wrapper.sch
+* sym_path: /home/hss11/winhome/caravel_user_project_analog/xschem/user_analog_project_wrapper.sym
+* sch_path: /home/hss11/winhome/caravel_user_project_analog/xschem/user_analog_project_wrapper.sch
 .subckt user_analog_project_wrapper  vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
 + wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i[3] wbs_sel_i[2] wbs_sel_i[1] wbs_sel_i[0] wbs_dat_i[31]
 + wbs_dat_i[30] wbs_dat_i[29] wbs_dat_i[28] wbs_dat_i[27] wbs_dat_i[26] wbs_dat_i[25] wbs_dat_i[24] wbs_dat_i[23]
@@ -216,8 +217,8 @@
 
 
 * expanding   symbol:  example_por.sym # of pins=6
-* sym_path: /home/tim/gits/caravel_user_project_analog/xschem/example_por.sym
-* sch_path: /home/tim/gits/caravel_user_project_analog/xschem/example_por.sch
+* sym_path: /home/hss11/winhome/caravel_user_project_analog/xschem/example_por.sym
+* sch_path: /home/hss11/winhome/caravel_user_project_analog/xschem/example_por.sch
 .subckt example_por  vdd3v3 vdd1v8 porb_h porb_l por_l vss
 *.iopin vdd3v3
 *.iopin vss
diff --git a/xschem/analogue_mux_tb.sch b/xschem/analogue_mux_tb.sch
new file mode 100644
index 0000000..ac5191f
--- /dev/null
+++ b/xschem/analogue_mux_tb.sch
@@ -0,0 +1,75 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1980 -980 2060 -980 { lab=GND}
+N 2060 -1100 2060 -1080 { lab=vdd3v3}
+N 1830 -1080 1840 -1080 { lab=GND}
+N 1840 -1080 1840 -1050 { lab=GND}
+N 1170 -980 1290 -980 { lab=GND}
+N 1100 -980 1170 -980 { lab=GND}
+N 2060 -1120 2060 -1100 { lab=vdd3v3}
+N 1290 -980 1370 -980 { lab=GND}
+N 1370 -980 1900 -980 { lab=GND}
+N 1840 -1050 1840 -1040 { lab=GND}
+N 2060 -1020 2060 -980 { lab=GND}
+N 1100 -1020 1100 -980 { lab=GND}
+N 1900 -980 1980 -980 { lab=GND}
+N 1920 -1020 1920 -1010 { lab=GND}
+N 1490 -1060 1490 -1050 { lab=EN}
+N 1490 -1060 1530 -1060 { lab=EN}
+N 1490 -990 1490 -980 { lab=GND}
+N 2060 -1220 2060 -1120 { lab=vdd3v3}
+N 1920 -1220 2060 -1220 { lab=vdd3v3}
+N 1920 -1220 1920 -1200 { lab=vdd3v3}
+N 1830 -1100 1920 -1100 { lab=VOUT}
+N 1830 -1120 1840 -1120 { lab=vdd3v3}
+N 1840 -1220 1840 -1120 { lab=vdd3v3}
+N 1840 -1220 1920 -1220 { lab=vdd3v3}
+N 1920 -1140 1920 -1080 { lab=VOUT}
+N 1840 -1040 1840 -980 { lab=GND}
+N 1920 -1010 1920 -980 { lab=GND}
+N 930 -1110 930 -1070 { lab=VIN0}
+N 1290 -1000 1290 -980 { lab=GND}
+N 1290 -1080 1530 -1080 { lab=SEL}
+N 1290 -1080 1290 -1060 { lab=SEL}
+N 1180 -1100 1530 -1100 { lab=VIN1}
+N 1200 -1120 1530 -1120 { lab=VIN0}
+N 1100 -1100 1180 -1100 { lab=VIN1}
+N 1100 -1100 1100 -1080 { lab=VIN1}
+N 930 -1120 1200 -1120 { lab=VIN0}
+N 930 -1120 930 -1110 { lab=VIN0}
+N 930 -1010 930 -980 { lab=GND}
+N 930 -980 1100 -980 { lab=GND}
+C {devices/code_shown.sym} 1620 -910 0 0 {name=s1 only_toplevel=false value="
+.lib ~/open_sky130/sky130A/libs.tech/ngspice/sky130.lib.spice tt"}
+C {devices/gnd.sym} 1680 -980 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 2060 -1050 0 0 {name=V1 value=3.3}
+C {devices/lab_pin.sym} 1920 -1120 0 0 {name=l2 sig_type=std_logic lab=VOUT}
+C {devices/code_shown.sym} 1610 -810 0 0 {name=s2 only_toplevel=false value=".control
+tran 0.1n 100n
+plot V(vdd3v3) V(VOUT) 
+plot V(vdd3v3) VIN0 VIN1 SEL EN
+.endc"}
+C {devices/vsource.sym} 1100 -1050 0 0 {name=V2 value="SIN(1.6 1.6 100Meg 0 0)"}
+C {devices/lab_pin.sym} 1290 -1080 0 0 {name=l4 sig_type=std_logic lab=SEL}
+C {devices/lab_pin.sym} 1490 -1060 0 0 {name=l5 sig_type=std_logic lab=EN}
+C {devices/lab_pin.sym} 930 -1120 0 0 {name=l6 sig_type=std_logic lab=VIN0}
+C {devices/lab_pin.sym} 2060 -1120 0 0 {name=l3 sig_type=std_logic lab=vdd3v3}
+C {devices/vsource.sym} 1290 -1030 0 0 {name=V3 value="PWL(0 3.3 65n 3.3 70n 0 100n 0)"}
+C {devices/vsource.sym} 1490 -1020 0 0 {name=V4 value="PWL(0 0 45n 0 50n 3.3 100n 3.3)"}
+C {devices/res.sym} 1920 -1050 0 0 {name=R1
+value=10k
+footprint=1206
+device=resistor
+m=1}
+C {devices/res.sym} 1920 -1170 0 0 {name=R2
+value=10k
+footprint=1206
+device=resistor
+m=1}
+C {analog_mux_2_1.sym} 1680 -1090 0 0 {name=x2}
+C {devices/vsource.sym} 930 -1040 0 0 {name=V5 value="SIN(1.6 1.6 200Meg 0 0)"}
+C {devices/lab_pin.sym} 1100 -1100 0 0 {name=l7 sig_type=std_logic lab=VIN1}
diff --git a/xschem/analogue_mux_tb.spice b/xschem/analogue_mux_tb.spice
new file mode 100644
index 0000000..f054772
--- /dev/null
+++ b/xschem/analogue_mux_tb.spice
@@ -0,0 +1,103 @@
+**.subckt analogue_mux_tb
+V1 vdd3v3 GND 3.3
+V2 VIN1 GND SIN(1.6 1.6 100Meg 0 0)
+V3 SEL GND PWL(0 3.3 65n 3.3 70n 0 100n 0)
+V4 EN GND PWL(0 0 45n 0 50n 3.3 100n 3.3)
+R1 VOUT GND 10k m=1
+R2 vdd3v3 VOUT 10k m=1
+x2 vdd3v3 VIN0 VOUT VIN1 GND SEL EN analog_mux_2_1
+V5 VIN0 GND SIN(1.6 1.6 200Meg 0 0)
+**** begin user architecture code
+
+
+.lib ~/open_sky130/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+
+
+.control
+tran 0.1n 100n
+plot V(vdd3v3) V(VOUT)
+plot V(vdd3v3) VIN0 VIN1 SEL EN
+.endc
+
+**** end user architecture code
+**.ends
+
+* expanding   symbol:  analog_mux_2_1.sym # of pins=7
+* sym_path: /home/hss11/winhome/caravel_user_project_analog/xschem/analog_mux_2_1.sym
+* sch_path: /home/hss11/winhome/caravel_user_project_analog/xschem/analog_mux_2_1.sch
+.subckt analog_mux_2_1  VDD3v3 VIN0 VOUT VIN1 VGND SELECT EN
+*.iopin VGND
+*.ipin VIN0
+*.opin VOUT
+*.iopin VDD3v3
+*.ipin VIN1
+*.ipin EN
+*.ipin SELECT
+x1 VIN0 VGND VDD3v3 !EN0 EN0 VOUT analogue_switch
+x2 VIN1 VGND VDD3v3 !EN1 EN1 VOUT analogue_switch
+XM3 !EN1 SELECT VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM4 !EN1 EN VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM2 net1 SELECT VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM5 !EN1 EN net1 VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM1 EN1 !EN1 VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM6 EN1 !EN1 VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM7 !SELECT SELECT VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM8 !SELECT SELECT VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM9 !EN0 EN VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM10 net2 !SELECT VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM11 !EN0 EN net2 VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM12 EN0 !EN0 VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM13 EN0 !EN0 VGND VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=0.7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+XM14 !EN0 !SELECT VDD3v3 VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
+.ends
+
+
+* expanding   symbol:  analogue_switch.sym # of pins=6
+* sym_path: /home/hss11/winhome/caravel_user_project_analog/xschem/analogue_switch.sym
+* sch_path: /home/hss11/winhome/caravel_user_project_analog/xschem/analogue_switch.sch
+.subckt analogue_switch  VIN VGND VDD3v3 !EN EN VOUT
+*.iopin VGND
+*.iopin VDD3v3
+*.ipin EN
+*.ipin VIN
+*.ipin !EN
+*.opin VOUT
+XM2 VOUT !EN VIN VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=2 m=2 
+XM1 VIN EN VOUT VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=10 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
+.ends
+
+.GLOBAL GND
+** flattened .save nodes
+.end
diff --git a/xschem/analogue_switch.sch b/xschem/analogue_switch.sch
new file mode 100644
index 0000000..ed3e32d
--- /dev/null
+++ b/xschem/analogue_switch.sch
@@ -0,0 +1,57 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1640 -1110 1670 -1110 { lab=VGND}
+N 1840 -1110 1900 -1110 { lab=VDD3v3}
+N 1790 -1110 1800 -1110 { lab=!EN}
+N 1560 -1110 1600 -1110 { lab=EN}
+N 1740 -1190 1840 -1190 { lab=VIN}
+N 1840 -1190 1840 -1140 { lab=VIN}
+N 1640 -1190 1740 -1190 { lab=VIN}
+N 1640 -1190 1640 -1140 { lab=VIN}
+N 1720 -1220 1740 -1220 { lab=VIN}
+N 1740 -1220 1740 -1190 { lab=VIN}
+N 1640 -1080 1640 -1060 { lab=VOUT}
+N 1840 -1080 1840 -1060 { lab=VOUT}
+N 1640 -1060 1840 -1060 { lab=VOUT}
+N 1700 -1020 1720 -1020 { lab=VOUT}
+N 1700 -1060 1700 -1020 { lab=VOUT}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 1820 -1110 0 0 {name=M2
+L=0.5
+W=7
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {devices/iopin.sym} 1670 -1110 0 0 {name=p1 lab=VGND
+}
+C {devices/iopin.sym} 1900 -1110 0 0 {name=p2 lab=VDD3v3}
+C {sky130_fd_pr/nfet_03v3_nvt.sym} 1620 -1110 0 0 {name=M1
+L=0.5
+W=10
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {devices/ipin.sym} 1560 -1110 0 0 {name=p3 lab=EN}
+C {devices/ipin.sym} 1720 -1220 0 0 {name=p4 lab=VIN}
+C {devices/ipin.sym} 1790 -1110 0 0 {name=p5 lab=!EN
+}
+C {devices/opin.sym} 1720 -1020 0 0 {name=p6 lab=VOUT}
diff --git a/xschem/analogue_switch.spice b/xschem/analogue_switch.spice
new file mode 100644
index 0000000..13566a0
--- /dev/null
+++ b/xschem/analogue_switch.spice
@@ -0,0 +1,16 @@
+**.subckt analogue_switch VGND VDD3v3 EN VIN !EN VOUT
+*.iopin VGND
+*.iopin VDD3v3
+*.ipin EN
+*.ipin VIN
+*.ipin !EN
+*.opin VOUT
+XM2 VOUT !EN VIN VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=7 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=2 m=2 
+XM1 VIN EN VOUT VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=10 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
+**.ends
+** flattened .save nodes
+.end
diff --git a/xschem/analogue_switch.sym b/xschem/analogue_switch.sym
new file mode 100644
index 0000000..7023422
--- /dev/null
+++ b/xschem/analogue_switch.sym
@@ -0,0 +1,30 @@
+v {xschem version=3.0.0 file_version=1.2}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+
+T {@symname} -85.5 -6 0 0 0.3 0.3 {}
+T {@name} 135 -42 0 0 0.2 0.2 {}
+L 4 -130 -30 130 -30 {}
+L 4 -130 30 130 30 {}
+L 4 -130 -30 -130 30 {}
+L 4 130 -30 130 30 {}
+B 5 -152.5 -22.5 -147.5 -17.5 {name=VIN dir=in }
+L 4 -150 -20 -130 -20 {}
+T {VIN} -125 -24 0 0 0.2 0.2 {}
+B 5 147.5 -22.5 152.5 -17.5 {name=VGND dir=inout }
+L 7 130 -20 150 -20 {}
+T {VGND} 125 -24 0 1 0.2 0.2 {}
+B 5 147.5 -2.5 152.5 2.5 {name=VDD3v3 dir=inout }
+L 7 130 0 150 0 {}
+T {VDD3v3} 125 -4 0 1 0.2 0.2 {}
+B 5 -152.5 -2.5 -147.5 2.5 {name=!EN dir=in }
+L 4 -150 0 -130 0 {}
+T {!EN} -125 -4 0 0 0.2 0.2 {}
+B 5 -152.5 17.5 -147.5 22.5 {name=EN dir=in }
+L 4 -150 20 -130 20 {}
+T {EN} -125 16 0 0 0.2 0.2 {}
+B 5 147.5 17.5 152.5 22.5 {name=VOUT dir=out }
+L 4 130 20 150 20 {}
+T {VOUT} 125 16 0 1 0.2 0.2 {}
diff --git a/xschem/analogue_switch_tb.sch b/xschem/analogue_switch_tb.sch
new file mode 100644
index 0000000..cf9a48f
--- /dev/null
+++ b/xschem/analogue_switch_tb.sch
@@ -0,0 +1,68 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1830 -1100 1920 -1100 { lab=vdd3v3}
+N 1980 -1120 1980 -1020 { lab=GND}
+N 1830 -1120 1900 -1120 { lab=GND}
+N 1980 -980 2060 -980 { lab=GND}
+N 2060 -1100 2060 -1080 { lab=vdd3v3}
+N 1830 -1080 1840 -1080 { lab=VOUT}
+N 1840 -1080 1840 -1050 { lab=VOUT}
+N 1170 -980 1290 -980 { lab=GND}
+N 1100 -980 1170 -980 { lab=GND}
+N 1170 -1120 1530 -1120 { lab=VIN}
+N 1100 -1120 1100 -1080 { lab=VIN}
+N 1320 -1100 1530 -1100 { lab=ENB}
+N 1250 -1100 1250 -1080 { lab=ENB}
+N 1490 -1080 1530 -1080 { lab=EN}
+N 2060 -1120 2060 -1100 { lab=vdd3v3}
+N 2000 -1100 2060 -1100 { lab=vdd3v3}
+N 1250 -1100 1320 -1100 { lab=ENB}
+N 1100 -1120 1170 -1120 { lab=VIN}
+N 1290 -980 1370 -980 { lab=GND}
+N 1370 -980 1900 -980 { lab=GND}
+N 1840 -1050 1840 -1040 { lab=VOUT}
+N 1980 -1020 1980 -980 { lab=GND}
+N 2060 -1020 2060 -980 { lab=GND}
+N 1490 -1020 1490 -980 { lab=GND}
+N 1250 -1020 1250 -980 { lab=GND}
+N 1100 -1020 1100 -980 { lab=GND}
+N 1900 -1120 1980 -1120 { lab=GND}
+N 1920 -1100 2000 -1100 { lab=vdd3v3}
+N 1900 -980 1980 -980 { lab=GND}
+N 1920 -1100 1920 -1080 { lab=vdd3v3}
+N 1920 -1020 1920 -1010 { lab=VOUT}
+N 1880 -1010 1920 -1010 { lab=VOUT}
+N 1880 -1060 1880 -1010 { lab=VOUT}
+N 1840 -1060 1880 -1060 { lab=VOUT}
+C {analogue_switch.sym} 1680 -1100 0 0 {name=x1}
+C {devices/code_shown.sym} 1620 -910 0 0 {name=s1 only_toplevel=false value="
+.lib ~/open_sky130/sky130A/libs.tech/ngspice/sky130.lib.spice tt"}
+C {devices/gnd.sym} 1680 -980 0 0 {name=l1 lab=GND}
+C {devices/vsource.sym} 2060 -1050 0 0 {name=V1 value=3.3}
+C {devices/lab_pin.sym} 1840 -1050 0 0 {name=l2 sig_type=std_logic lab=VOUT}
+C {devices/code_shown.sym} 1610 -810 0 0 {name=s2 only_toplevel=false value=".control
+tran 0.1n 100n
+plot V(vdd3v3) V(VOUT) VIN ENB EN
+plot I(V1) I(V2) I(R1)
+.endc"}
+C {devices/vsource.sym} 1100 -1050 0 0 {name=V2 value="SIN(1.6 1.6 100Meg 0 0)"}
+C {devices/lab_pin.sym} 1250 -1100 0 0 {name=l4 sig_type=std_logic lab=ENB}
+C {devices/lab_pin.sym} 1490 -1080 0 0 {name=l5 sig_type=std_logic lab=EN}
+C {devices/lab_pin.sym} 1100 -1120 0 0 {name=l6 sig_type=std_logic lab=VIN}
+C {devices/lab_pin.sym} 2060 -1120 0 0 {name=l3 sig_type=std_logic lab=vdd3v3}
+C {devices/vsource.sym} 1250 -1050 0 0 {name=V3 value="PWL(0 3.3 45n 3.3 50n 0 100n 0)"}
+C {devices/vsource.sym} 1490 -1050 0 0 {name=V4 value="PWL(0 0 45n 0 50n 3.3 100n 3.3)"}
+C {devices/res.sym} 1840 -1010 0 0 {name=R1
+value=10k
+footprint=1206
+device=resistor
+m=1}
+C {devices/res.sym} 1920 -1050 0 0 {name=R2
+value=10k
+footprint=1206
+device=resistor
+m=1}
diff --git a/xschem/analogue_switch_tb.spice b/xschem/analogue_switch_tb.spice
new file mode 100644
index 0000000..a9904d6
--- /dev/null
+++ b/xschem/analogue_switch_tb.spice
@@ -0,0 +1,44 @@
+**.subckt analogue_switch_tb
+x1 VIN GND vdd3v3 ENB EN VOUT analogue_switch
+V1 vdd3v3 GND 3.3
+V2 VIN GND SIN(1.6 1.6 100Meg 0 0)
+V3 ENB GND PWL(0 3.3 45n 3.3 50n 0 100n 0)
+V4 EN GND PWL(0 0 45n 0 50n 3.3 100n 3.3)
+R1 VOUT GND 10k m=1
+R2 vdd3v3 VOUT 10k m=1
+**** begin user architecture code
+
+
+.lib ~/open_sky130/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+
+
+.control
+tran 0.1n 100n
+plot V(vdd3v3) V(VOUT) VIN ENB EN
+plot I(V1) I(V2) I(R1)
+.endc
+
+**** end user architecture code
+**.ends
+
+* expanding   symbol:  analogue_switch.sym # of pins=6
+* sym_path: /home/hss11/winhome/caravel_user_project_analog/xschem/analogue_switch.sym
+* sch_path: /home/hss11/winhome/caravel_user_project_analog/xschem/analogue_switch.sch
+.subckt analogue_switch  VIN VGND VDD3v3 !EN EN VOUT
+*.iopin VGND
+*.iopin VDD3v3
+*.ipin EN
+*.ipin VIN
+*.ipin !EN
+*.opin VOUT
+XM2 VOUT !EN VIN VDD3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=15 m=15 
+XM1 VIN EN VOUT VGND sky130_fd_pr__nfet_03v3_nvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10 
+.ends
+
+.GLOBAL GND
+** flattened .save nodes
+.end
diff --git a/xschem/example_por.sch b/xschem/example_por.sch
index cf6e0c3..e60aa48 100644
--- a/xschem/example_por.sch
+++ b/xschem/example_por.sch
@@ -1,4 +1,4 @@
-v {xschem version=2.9.9 file_version=1.2 }
+v {xschem version=3.0.0 file_version=1.2 }
 G {}
 K {}
 V {}
diff --git a/xschem/example_por_tb.sch b/xschem/example_por_tb.sch
index a24d814..cd52a87 100644
--- a/xschem/example_por_tb.sch
+++ b/xschem/example_por_tb.sch
@@ -1,4 +1,4 @@
-v {xschem version=2.9.9 file_version=1.2 }
+v {xschem version=3.0.0 file_version=1.2 }
 G {}
 K {}
 V {}
@@ -36,10 +36,10 @@
 C {devices/opin.sym} 180 -50 0 0 {name=p3 lab=porb_h}
 C {devices/opin.sym} 180 -20 0 0 {name=p4 lab=porb_l}
 C {devices/opin.sym} 180 10 0 0 {name=p5 lab=por_l}
-C {devices/code.sym} -470 140 0 0 {name=TT_MODELS only_toplevel=false
-format="tcleval(@value )" value=".lib \\\\$::SKYWATER_MODELS\\\\/sky130.lib.spice tt
-.include \\\\$::PDKPATH\\\\/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice"}
-C {devices/code_shown.sym} -320 160 0 0 {name=s2 only_toplevel=false value=".control
+C {devices/code_shown.sym} -470 140 0 0 {name=s1 only_toplevel=false value=".param mc_mm_switch=0
+.lib ~/open_sky130/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+.include ~/open_sky130/sky130A/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice"}
+C {devices/code_shown.sym} -470 250 0 0 {name=s2 only_toplevel=false value=".control
 tran 1u 20m
 plot V(vdd3v3) V(vdd1v8) V(porb_h) V(porb_l) V(por_l)
 .endc"}
diff --git a/xschem/example_por_tb.spice b/xschem/example_por_tb.spice
index fa82f74..e5a705e 100644
--- a/xschem/example_por_tb.spice
+++ b/xschem/example_por_tb.spice
@@ -8,8 +8,10 @@
 V1 vdd3v3 GND PWL(0.0 0 100u 0 5m 3.3)
 V2 vdd1v8 GND PWL(0.0 0 300u 0 5.3m 1.8)
 **** begin user architecture code
-.lib /usr/share/pdk/sky130A/libs.tech/ngspice/sky130.lib.spice tt
-.include /usr/share/pdk/sky130A/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice
+
+.param mc_mm_switch=0
+.lib ~/open_sky130/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+.include ~/open_sky130/sky130A/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice
 
 .control
 tran 1u 20m
@@ -20,8 +22,8 @@
 **.ends
 
 * expanding   symbol:  example_por.sym # of pins=6
-* sym_path: /home/tim/gits/caravel_user_project_analog/xschem/example_por.sym
-* sch_path: /home/tim/gits/caravel_user_project_analog/xschem/example_por.sch
+* sym_path: /home/hss11/winhome/caravel_user_project_analog/xschem/example_por.sym
+* sch_path: /home/hss11/winhome/caravel_user_project_analog/xschem/example_por.sch
 .subckt example_por  vdd3v3 vdd1v8 porb_h porb_l por_l vss
 *.iopin vdd3v3
 *.iopin vss
diff --git a/xschem/xschemrc b/xschem/xschemrc
index ca6e33e..c17d780 100644
--- a/xschem/xschemrc
+++ b/xschem/xschemrc
@@ -35,8 +35,7 @@
 append XSCHEM_LIBRARY_PATH ${XSCHEM_SHAREDIR}/xschem_library
 #### include skywater libraries. Here i use [pwd]. This works if i start xschem from here.
 append XSCHEM_LIBRARY_PATH :$env(PWD)
-append XSCHEM_LIBRARY_PATH :$PDKPATH/libs.tech/xschem
-# append XSCHEM_LIBRARY_PATH :/mnt/sda7/home/schippes/pdks/sky130A/libs.tech/xschem
+append XSCHEM_LIBRARY_PATH :~/open_sky130/sky130A/libs.tech/xschem
 #### add ~/.xschem/xschem_library (USER_CONF_DIR is normally ~/.xschem)
 append XSCHEM_LIBRARY_PATH :$USER_CONF_DIR/xschem_library 
 
@@ -273,7 +272,11 @@
 #### list of tcl files to preload.
 # lappend tcl_files ${XSCHEM_SHAREDIR}/change_index.tcl
 lappend tcl_files ${XSCHEM_SHAREDIR}/ngspice_backannotate.tcl
+<<<<<<< HEAD
+lappend tcl_files ~/open_sky130/sky130A/libs.tech/xschem/scripts/sky130_models.tcl
+=======
 lappend tcl_files $PDKPATH/libs.tech/xschem/scripts/sky130_models.tcl
+>>>>>>> f4d92bf2d5dbda8fe5d04d051879691524dcebd0
 ###########################################################################
 #### XSCHEM TOOLBAR
 ###########################################################################
@@ -284,6 +287,10 @@
 ###########################################################################
 #### SKYWATER PDK SPECIFIC VARIABLES
 ###########################################################################
+<<<<<<< HEAD
+set SKYWATER_MODELS ~/open_sky130/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest
+set SKYWATER_STDCELLS ~/open_sky130/skywater-pdk/libraries/sky130_fd_sc_hd/latest
+=======
 
 ## (spice patched) skywater-pdk install
 # set SKYWATER_MODELS ~/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest
@@ -294,3 +301,4 @@
 # set SKYWATER_STDCELLS /usr/local/share/pdk/sky130A/libs.ref/sky130_fd_sc_hd/spice
 set SKYWATER_MODELS $PDKPATH/libs.tech/ngspice
 set SKYWATER_STDCELLS $PDKPATH/libs.ref/sky130_fd_sc_hd/spice
+>>>>>>> f4d92bf2d5dbda8fe5d04d051879691524dcebd0