blob: 06b69fab11be3a05c80239234398795e0d85bdbf [file] [log] [blame]
module user_project_wrapper (user_clock2,
vccd1,
vccd2,
vdda1,
vdda2,
vssa1,
vssa2,
vssd1,
vssd2,
wb_clk_i,
wb_rst_i,
wbs_ack_o,
wbs_cyc_i,
wbs_stb_i,
wbs_we_i,
analog_io,
io_in,
io_oeb,
io_out,
la_data_in,
la_data_out,
la_oenb,
user_irq,
wbs_adr_i,
wbs_dat_i,
wbs_dat_o,
wbs_sel_i);
input user_clock2;
input vccd1;
input vccd2;
input vdda1;
input vdda2;
input vssa1;
input vssa2;
input vssd1;
input vssd2;
input wb_clk_i;
input wb_rst_i;
output wbs_ack_o;
input wbs_cyc_i;
input wbs_stb_i;
input wbs_we_i;
inout [28:0] analog_io;
input [37:0] io_in;
output [37:0] io_oeb;
output [37:0] io_out;
input [127:0] la_data_in;
output [127:0] la_data_out;
input [127:0] la_oenb;
output [2:0] user_irq;
input [31:0] wbs_adr_i;
input [31:0] wbs_dat_i;
output [31:0] wbs_dat_o;
input [3:0] wbs_sel_i;
wire \CONTROL_LOGIC.clk ;
wire \CONTROL_LOGIC.csb0[0] ;
wire \CONTROL_LOGIC.csb0[10] ;
wire \CONTROL_LOGIC.csb0[11] ;
wire \CONTROL_LOGIC.csb0[12] ;
wire \CONTROL_LOGIC.csb0[1] ;
wire \CONTROL_LOGIC.csb0[2] ;
wire \CONTROL_LOGIC.csb0[3] ;
wire \CONTROL_LOGIC.csb0[4] ;
wire \CONTROL_LOGIC.csb0[8] ;
wire \CONTROL_LOGIC.csb0[9] ;
wire \CONTROL_LOGIC.csb1[0] ;
wire \CONTROL_LOGIC.csb1[1] ;
wire \CONTROL_LOGIC.csb1[2] ;
wire \CONTROL_LOGIC.csb1[3] ;
wire \CONTROL_LOGIC.csb1[4] ;
wire \CONTROL_LOGIC.sram0_data0[0] ;
wire \CONTROL_LOGIC.sram0_data0[1] ;
wire \CONTROL_LOGIC.sram0_data0[2] ;
wire \CONTROL_LOGIC.sram0_data0[3] ;
wire \CONTROL_LOGIC.sram0_data0[4] ;
wire \CONTROL_LOGIC.sram0_data0[5] ;
wire \CONTROL_LOGIC.sram0_data0[6] ;
wire \CONTROL_LOGIC.sram0_data0[7] ;
wire \CONTROL_LOGIC.sram0_data1[0] ;
wire \CONTROL_LOGIC.sram0_data1[1] ;
wire \CONTROL_LOGIC.sram0_data1[2] ;
wire \CONTROL_LOGIC.sram0_data1[3] ;
wire \CONTROL_LOGIC.sram0_data1[4] ;
wire \CONTROL_LOGIC.sram0_data1[5] ;
wire \CONTROL_LOGIC.sram0_data1[6] ;
wire \CONTROL_LOGIC.sram0_data1[7] ;
wire \CONTROL_LOGIC.sram10_data0[0] ;
wire \CONTROL_LOGIC.sram10_data0[10] ;
wire \CONTROL_LOGIC.sram10_data0[11] ;
wire \CONTROL_LOGIC.sram10_data0[12] ;
wire \CONTROL_LOGIC.sram10_data0[13] ;
wire \CONTROL_LOGIC.sram10_data0[14] ;
wire \CONTROL_LOGIC.sram10_data0[15] ;
wire \CONTROL_LOGIC.sram10_data0[16] ;
wire \CONTROL_LOGIC.sram10_data0[17] ;
wire \CONTROL_LOGIC.sram10_data0[18] ;
wire \CONTROL_LOGIC.sram10_data0[19] ;
wire \CONTROL_LOGIC.sram10_data0[1] ;
wire \CONTROL_LOGIC.sram10_data0[20] ;
wire \CONTROL_LOGIC.sram10_data0[21] ;
wire \CONTROL_LOGIC.sram10_data0[22] ;
wire \CONTROL_LOGIC.sram10_data0[23] ;
wire \CONTROL_LOGIC.sram10_data0[24] ;
wire \CONTROL_LOGIC.sram10_data0[25] ;
wire \CONTROL_LOGIC.sram10_data0[26] ;
wire \CONTROL_LOGIC.sram10_data0[27] ;
wire \CONTROL_LOGIC.sram10_data0[28] ;
wire \CONTROL_LOGIC.sram10_data0[29] ;
wire \CONTROL_LOGIC.sram10_data0[2] ;
wire \CONTROL_LOGIC.sram10_data0[30] ;
wire \CONTROL_LOGIC.sram10_data0[31] ;
wire \CONTROL_LOGIC.sram10_data0[3] ;
wire \CONTROL_LOGIC.sram10_data0[4] ;
wire \CONTROL_LOGIC.sram10_data0[5] ;
wire \CONTROL_LOGIC.sram10_data0[6] ;
wire \CONTROL_LOGIC.sram10_data0[7] ;
wire \CONTROL_LOGIC.sram10_data0[8] ;
wire \CONTROL_LOGIC.sram10_data0[9] ;
wire \CONTROL_LOGIC.sram11_data0[0] ;
wire \CONTROL_LOGIC.sram11_data0[10] ;
wire \CONTROL_LOGIC.sram11_data0[11] ;
wire \CONTROL_LOGIC.sram11_data0[12] ;
wire \CONTROL_LOGIC.sram11_data0[13] ;
wire \CONTROL_LOGIC.sram11_data0[14] ;
wire \CONTROL_LOGIC.sram11_data0[15] ;
wire \CONTROL_LOGIC.sram11_data0[16] ;
wire \CONTROL_LOGIC.sram11_data0[17] ;
wire \CONTROL_LOGIC.sram11_data0[18] ;
wire \CONTROL_LOGIC.sram11_data0[19] ;
wire \CONTROL_LOGIC.sram11_data0[1] ;
wire \CONTROL_LOGIC.sram11_data0[20] ;
wire \CONTROL_LOGIC.sram11_data0[21] ;
wire \CONTROL_LOGIC.sram11_data0[22] ;
wire \CONTROL_LOGIC.sram11_data0[23] ;
wire \CONTROL_LOGIC.sram11_data0[24] ;
wire \CONTROL_LOGIC.sram11_data0[25] ;
wire \CONTROL_LOGIC.sram11_data0[26] ;
wire \CONTROL_LOGIC.sram11_data0[27] ;
wire \CONTROL_LOGIC.sram11_data0[28] ;
wire \CONTROL_LOGIC.sram11_data0[29] ;
wire \CONTROL_LOGIC.sram11_data0[2] ;
wire \CONTROL_LOGIC.sram11_data0[30] ;
wire \CONTROL_LOGIC.sram11_data0[31] ;
wire \CONTROL_LOGIC.sram11_data0[3] ;
wire \CONTROL_LOGIC.sram11_data0[4] ;
wire \CONTROL_LOGIC.sram11_data0[5] ;
wire \CONTROL_LOGIC.sram11_data0[6] ;
wire \CONTROL_LOGIC.sram11_data0[7] ;
wire \CONTROL_LOGIC.sram11_data0[8] ;
wire \CONTROL_LOGIC.sram11_data0[9] ;
wire \CONTROL_LOGIC.sram12_data0[0] ;
wire \CONTROL_LOGIC.sram12_data0[10] ;
wire \CONTROL_LOGIC.sram12_data0[11] ;
wire \CONTROL_LOGIC.sram12_data0[12] ;
wire \CONTROL_LOGIC.sram12_data0[13] ;
wire \CONTROL_LOGIC.sram12_data0[14] ;
wire \CONTROL_LOGIC.sram12_data0[15] ;
wire \CONTROL_LOGIC.sram12_data0[16] ;
wire \CONTROL_LOGIC.sram12_data0[17] ;
wire \CONTROL_LOGIC.sram12_data0[18] ;
wire \CONTROL_LOGIC.sram12_data0[19] ;
wire \CONTROL_LOGIC.sram12_data0[1] ;
wire \CONTROL_LOGIC.sram12_data0[20] ;
wire \CONTROL_LOGIC.sram12_data0[21] ;
wire \CONTROL_LOGIC.sram12_data0[22] ;
wire \CONTROL_LOGIC.sram12_data0[23] ;
wire \CONTROL_LOGIC.sram12_data0[24] ;
wire \CONTROL_LOGIC.sram12_data0[25] ;
wire \CONTROL_LOGIC.sram12_data0[26] ;
wire \CONTROL_LOGIC.sram12_data0[27] ;
wire \CONTROL_LOGIC.sram12_data0[28] ;
wire \CONTROL_LOGIC.sram12_data0[29] ;
wire \CONTROL_LOGIC.sram12_data0[2] ;
wire \CONTROL_LOGIC.sram12_data0[30] ;
wire \CONTROL_LOGIC.sram12_data0[31] ;
wire \CONTROL_LOGIC.sram12_data0[3] ;
wire \CONTROL_LOGIC.sram12_data0[4] ;
wire \CONTROL_LOGIC.sram12_data0[5] ;
wire \CONTROL_LOGIC.sram12_data0[6] ;
wire \CONTROL_LOGIC.sram12_data0[7] ;
wire \CONTROL_LOGIC.sram12_data0[8] ;
wire \CONTROL_LOGIC.sram12_data0[9] ;
wire \CONTROL_LOGIC.sram1_data0[0] ;
wire \CONTROL_LOGIC.sram1_data0[10] ;
wire \CONTROL_LOGIC.sram1_data0[11] ;
wire \CONTROL_LOGIC.sram1_data0[12] ;
wire \CONTROL_LOGIC.sram1_data0[13] ;
wire \CONTROL_LOGIC.sram1_data0[14] ;
wire \CONTROL_LOGIC.sram1_data0[15] ;
wire \CONTROL_LOGIC.sram1_data0[16] ;
wire \CONTROL_LOGIC.sram1_data0[17] ;
wire \CONTROL_LOGIC.sram1_data0[18] ;
wire \CONTROL_LOGIC.sram1_data0[19] ;
wire \CONTROL_LOGIC.sram1_data0[1] ;
wire \CONTROL_LOGIC.sram1_data0[20] ;
wire \CONTROL_LOGIC.sram1_data0[21] ;
wire \CONTROL_LOGIC.sram1_data0[22] ;
wire \CONTROL_LOGIC.sram1_data0[23] ;
wire \CONTROL_LOGIC.sram1_data0[24] ;
wire \CONTROL_LOGIC.sram1_data0[25] ;
wire \CONTROL_LOGIC.sram1_data0[26] ;
wire \CONTROL_LOGIC.sram1_data0[27] ;
wire \CONTROL_LOGIC.sram1_data0[28] ;
wire \CONTROL_LOGIC.sram1_data0[29] ;
wire \CONTROL_LOGIC.sram1_data0[2] ;
wire \CONTROL_LOGIC.sram1_data0[30] ;
wire \CONTROL_LOGIC.sram1_data0[31] ;
wire \CONTROL_LOGIC.sram1_data0[3] ;
wire \CONTROL_LOGIC.sram1_data0[4] ;
wire \CONTROL_LOGIC.sram1_data0[5] ;
wire \CONTROL_LOGIC.sram1_data0[6] ;
wire \CONTROL_LOGIC.sram1_data0[7] ;
wire \CONTROL_LOGIC.sram1_data0[8] ;
wire \CONTROL_LOGIC.sram1_data0[9] ;
wire \CONTROL_LOGIC.sram1_data1[0] ;
wire \CONTROL_LOGIC.sram1_data1[10] ;
wire \CONTROL_LOGIC.sram1_data1[11] ;
wire \CONTROL_LOGIC.sram1_data1[12] ;
wire \CONTROL_LOGIC.sram1_data1[13] ;
wire \CONTROL_LOGIC.sram1_data1[14] ;
wire \CONTROL_LOGIC.sram1_data1[15] ;
wire \CONTROL_LOGIC.sram1_data1[16] ;
wire \CONTROL_LOGIC.sram1_data1[17] ;
wire \CONTROL_LOGIC.sram1_data1[18] ;
wire \CONTROL_LOGIC.sram1_data1[19] ;
wire \CONTROL_LOGIC.sram1_data1[1] ;
wire \CONTROL_LOGIC.sram1_data1[20] ;
wire \CONTROL_LOGIC.sram1_data1[21] ;
wire \CONTROL_LOGIC.sram1_data1[22] ;
wire \CONTROL_LOGIC.sram1_data1[23] ;
wire \CONTROL_LOGIC.sram1_data1[24] ;
wire \CONTROL_LOGIC.sram1_data1[25] ;
wire \CONTROL_LOGIC.sram1_data1[26] ;
wire \CONTROL_LOGIC.sram1_data1[27] ;
wire \CONTROL_LOGIC.sram1_data1[28] ;
wire \CONTROL_LOGIC.sram1_data1[29] ;
wire \CONTROL_LOGIC.sram1_data1[2] ;
wire \CONTROL_LOGIC.sram1_data1[30] ;
wire \CONTROL_LOGIC.sram1_data1[31] ;
wire \CONTROL_LOGIC.sram1_data1[3] ;
wire \CONTROL_LOGIC.sram1_data1[4] ;
wire \CONTROL_LOGIC.sram1_data1[5] ;
wire \CONTROL_LOGIC.sram1_data1[6] ;
wire \CONTROL_LOGIC.sram1_data1[7] ;
wire \CONTROL_LOGIC.sram1_data1[8] ;
wire \CONTROL_LOGIC.sram1_data1[9] ;
wire \CONTROL_LOGIC.sram2_data0[0] ;
wire \CONTROL_LOGIC.sram2_data0[10] ;
wire \CONTROL_LOGIC.sram2_data0[11] ;
wire \CONTROL_LOGIC.sram2_data0[12] ;
wire \CONTROL_LOGIC.sram2_data0[13] ;
wire \CONTROL_LOGIC.sram2_data0[14] ;
wire \CONTROL_LOGIC.sram2_data0[15] ;
wire \CONTROL_LOGIC.sram2_data0[16] ;
wire \CONTROL_LOGIC.sram2_data0[17] ;
wire \CONTROL_LOGIC.sram2_data0[18] ;
wire \CONTROL_LOGIC.sram2_data0[19] ;
wire \CONTROL_LOGIC.sram2_data0[1] ;
wire \CONTROL_LOGIC.sram2_data0[20] ;
wire \CONTROL_LOGIC.sram2_data0[21] ;
wire \CONTROL_LOGIC.sram2_data0[22] ;
wire \CONTROL_LOGIC.sram2_data0[23] ;
wire \CONTROL_LOGIC.sram2_data0[24] ;
wire \CONTROL_LOGIC.sram2_data0[25] ;
wire \CONTROL_LOGIC.sram2_data0[26] ;
wire \CONTROL_LOGIC.sram2_data0[27] ;
wire \CONTROL_LOGIC.sram2_data0[28] ;
wire \CONTROL_LOGIC.sram2_data0[29] ;
wire \CONTROL_LOGIC.sram2_data0[2] ;
wire \CONTROL_LOGIC.sram2_data0[30] ;
wire \CONTROL_LOGIC.sram2_data0[31] ;
wire \CONTROL_LOGIC.sram2_data0[3] ;
wire \CONTROL_LOGIC.sram2_data0[4] ;
wire \CONTROL_LOGIC.sram2_data0[5] ;
wire \CONTROL_LOGIC.sram2_data0[6] ;
wire \CONTROL_LOGIC.sram2_data0[7] ;
wire \CONTROL_LOGIC.sram2_data0[8] ;
wire \CONTROL_LOGIC.sram2_data0[9] ;
wire \CONTROL_LOGIC.sram2_data1[0] ;
wire \CONTROL_LOGIC.sram2_data1[10] ;
wire \CONTROL_LOGIC.sram2_data1[11] ;
wire \CONTROL_LOGIC.sram2_data1[12] ;
wire \CONTROL_LOGIC.sram2_data1[13] ;
wire \CONTROL_LOGIC.sram2_data1[14] ;
wire \CONTROL_LOGIC.sram2_data1[15] ;
wire \CONTROL_LOGIC.sram2_data1[16] ;
wire \CONTROL_LOGIC.sram2_data1[17] ;
wire \CONTROL_LOGIC.sram2_data1[18] ;
wire \CONTROL_LOGIC.sram2_data1[19] ;
wire \CONTROL_LOGIC.sram2_data1[1] ;
wire \CONTROL_LOGIC.sram2_data1[20] ;
wire \CONTROL_LOGIC.sram2_data1[21] ;
wire \CONTROL_LOGIC.sram2_data1[22] ;
wire \CONTROL_LOGIC.sram2_data1[23] ;
wire \CONTROL_LOGIC.sram2_data1[24] ;
wire \CONTROL_LOGIC.sram2_data1[25] ;
wire \CONTROL_LOGIC.sram2_data1[26] ;
wire \CONTROL_LOGIC.sram2_data1[27] ;
wire \CONTROL_LOGIC.sram2_data1[28] ;
wire \CONTROL_LOGIC.sram2_data1[29] ;
wire \CONTROL_LOGIC.sram2_data1[2] ;
wire \CONTROL_LOGIC.sram2_data1[30] ;
wire \CONTROL_LOGIC.sram2_data1[31] ;
wire \CONTROL_LOGIC.sram2_data1[3] ;
wire \CONTROL_LOGIC.sram2_data1[4] ;
wire \CONTROL_LOGIC.sram2_data1[5] ;
wire \CONTROL_LOGIC.sram2_data1[6] ;
wire \CONTROL_LOGIC.sram2_data1[7] ;
wire \CONTROL_LOGIC.sram2_data1[8] ;
wire \CONTROL_LOGIC.sram2_data1[9] ;
wire \CONTROL_LOGIC.sram3_data0[0] ;
wire \CONTROL_LOGIC.sram3_data0[10] ;
wire \CONTROL_LOGIC.sram3_data0[11] ;
wire \CONTROL_LOGIC.sram3_data0[12] ;
wire \CONTROL_LOGIC.sram3_data0[13] ;
wire \CONTROL_LOGIC.sram3_data0[14] ;
wire \CONTROL_LOGIC.sram3_data0[15] ;
wire \CONTROL_LOGIC.sram3_data0[16] ;
wire \CONTROL_LOGIC.sram3_data0[17] ;
wire \CONTROL_LOGIC.sram3_data0[18] ;
wire \CONTROL_LOGIC.sram3_data0[19] ;
wire \CONTROL_LOGIC.sram3_data0[1] ;
wire \CONTROL_LOGIC.sram3_data0[20] ;
wire \CONTROL_LOGIC.sram3_data0[21] ;
wire \CONTROL_LOGIC.sram3_data0[22] ;
wire \CONTROL_LOGIC.sram3_data0[23] ;
wire \CONTROL_LOGIC.sram3_data0[24] ;
wire \CONTROL_LOGIC.sram3_data0[25] ;
wire \CONTROL_LOGIC.sram3_data0[26] ;
wire \CONTROL_LOGIC.sram3_data0[27] ;
wire \CONTROL_LOGIC.sram3_data0[28] ;
wire \CONTROL_LOGIC.sram3_data0[29] ;
wire \CONTROL_LOGIC.sram3_data0[2] ;
wire \CONTROL_LOGIC.sram3_data0[30] ;
wire \CONTROL_LOGIC.sram3_data0[31] ;
wire \CONTROL_LOGIC.sram3_data0[3] ;
wire \CONTROL_LOGIC.sram3_data0[4] ;
wire \CONTROL_LOGIC.sram3_data0[5] ;
wire \CONTROL_LOGIC.sram3_data0[6] ;
wire \CONTROL_LOGIC.sram3_data0[7] ;
wire \CONTROL_LOGIC.sram3_data0[8] ;
wire \CONTROL_LOGIC.sram3_data0[9] ;
wire \CONTROL_LOGIC.sram3_data1[0] ;
wire \CONTROL_LOGIC.sram3_data1[10] ;
wire \CONTROL_LOGIC.sram3_data1[11] ;
wire \CONTROL_LOGIC.sram3_data1[12] ;
wire \CONTROL_LOGIC.sram3_data1[13] ;
wire \CONTROL_LOGIC.sram3_data1[14] ;
wire \CONTROL_LOGIC.sram3_data1[15] ;
wire \CONTROL_LOGIC.sram3_data1[16] ;
wire \CONTROL_LOGIC.sram3_data1[17] ;
wire \CONTROL_LOGIC.sram3_data1[18] ;
wire \CONTROL_LOGIC.sram3_data1[19] ;
wire \CONTROL_LOGIC.sram3_data1[1] ;
wire \CONTROL_LOGIC.sram3_data1[20] ;
wire \CONTROL_LOGIC.sram3_data1[21] ;
wire \CONTROL_LOGIC.sram3_data1[22] ;
wire \CONTROL_LOGIC.sram3_data1[23] ;
wire \CONTROL_LOGIC.sram3_data1[24] ;
wire \CONTROL_LOGIC.sram3_data1[25] ;
wire \CONTROL_LOGIC.sram3_data1[26] ;
wire \CONTROL_LOGIC.sram3_data1[27] ;
wire \CONTROL_LOGIC.sram3_data1[28] ;
wire \CONTROL_LOGIC.sram3_data1[29] ;
wire \CONTROL_LOGIC.sram3_data1[2] ;
wire \CONTROL_LOGIC.sram3_data1[30] ;
wire \CONTROL_LOGIC.sram3_data1[31] ;
wire \CONTROL_LOGIC.sram3_data1[3] ;
wire \CONTROL_LOGIC.sram3_data1[4] ;
wire \CONTROL_LOGIC.sram3_data1[5] ;
wire \CONTROL_LOGIC.sram3_data1[6] ;
wire \CONTROL_LOGIC.sram3_data1[7] ;
wire \CONTROL_LOGIC.sram3_data1[8] ;
wire \CONTROL_LOGIC.sram3_data1[9] ;
wire \CONTROL_LOGIC.sram4_data0[0] ;
wire \CONTROL_LOGIC.sram4_data0[10] ;
wire \CONTROL_LOGIC.sram4_data0[11] ;
wire \CONTROL_LOGIC.sram4_data0[12] ;
wire \CONTROL_LOGIC.sram4_data0[13] ;
wire \CONTROL_LOGIC.sram4_data0[14] ;
wire \CONTROL_LOGIC.sram4_data0[15] ;
wire \CONTROL_LOGIC.sram4_data0[16] ;
wire \CONTROL_LOGIC.sram4_data0[17] ;
wire \CONTROL_LOGIC.sram4_data0[18] ;
wire \CONTROL_LOGIC.sram4_data0[19] ;
wire \CONTROL_LOGIC.sram4_data0[1] ;
wire \CONTROL_LOGIC.sram4_data0[20] ;
wire \CONTROL_LOGIC.sram4_data0[21] ;
wire \CONTROL_LOGIC.sram4_data0[22] ;
wire \CONTROL_LOGIC.sram4_data0[23] ;
wire \CONTROL_LOGIC.sram4_data0[24] ;
wire \CONTROL_LOGIC.sram4_data0[25] ;
wire \CONTROL_LOGIC.sram4_data0[26] ;
wire \CONTROL_LOGIC.sram4_data0[27] ;
wire \CONTROL_LOGIC.sram4_data0[28] ;
wire \CONTROL_LOGIC.sram4_data0[29] ;
wire \CONTROL_LOGIC.sram4_data0[2] ;
wire \CONTROL_LOGIC.sram4_data0[30] ;
wire \CONTROL_LOGIC.sram4_data0[31] ;
wire \CONTROL_LOGIC.sram4_data0[3] ;
wire \CONTROL_LOGIC.sram4_data0[4] ;
wire \CONTROL_LOGIC.sram4_data0[5] ;
wire \CONTROL_LOGIC.sram4_data0[6] ;
wire \CONTROL_LOGIC.sram4_data0[7] ;
wire \CONTROL_LOGIC.sram4_data0[8] ;
wire \CONTROL_LOGIC.sram4_data0[9] ;
wire \CONTROL_LOGIC.sram4_data1[0] ;
wire \CONTROL_LOGIC.sram4_data1[10] ;
wire \CONTROL_LOGIC.sram4_data1[11] ;
wire \CONTROL_LOGIC.sram4_data1[12] ;
wire \CONTROL_LOGIC.sram4_data1[13] ;
wire \CONTROL_LOGIC.sram4_data1[14] ;
wire \CONTROL_LOGIC.sram4_data1[15] ;
wire \CONTROL_LOGIC.sram4_data1[16] ;
wire \CONTROL_LOGIC.sram4_data1[17] ;
wire \CONTROL_LOGIC.sram4_data1[18] ;
wire \CONTROL_LOGIC.sram4_data1[19] ;
wire \CONTROL_LOGIC.sram4_data1[1] ;
wire \CONTROL_LOGIC.sram4_data1[20] ;
wire \CONTROL_LOGIC.sram4_data1[21] ;
wire \CONTROL_LOGIC.sram4_data1[22] ;
wire \CONTROL_LOGIC.sram4_data1[23] ;
wire \CONTROL_LOGIC.sram4_data1[24] ;
wire \CONTROL_LOGIC.sram4_data1[25] ;
wire \CONTROL_LOGIC.sram4_data1[26] ;
wire \CONTROL_LOGIC.sram4_data1[27] ;
wire \CONTROL_LOGIC.sram4_data1[28] ;
wire \CONTROL_LOGIC.sram4_data1[29] ;
wire \CONTROL_LOGIC.sram4_data1[2] ;
wire \CONTROL_LOGIC.sram4_data1[30] ;
wire \CONTROL_LOGIC.sram4_data1[31] ;
wire \CONTROL_LOGIC.sram4_data1[3] ;
wire \CONTROL_LOGIC.sram4_data1[4] ;
wire \CONTROL_LOGIC.sram4_data1[5] ;
wire \CONTROL_LOGIC.sram4_data1[6] ;
wire \CONTROL_LOGIC.sram4_data1[7] ;
wire \CONTROL_LOGIC.sram4_data1[8] ;
wire \CONTROL_LOGIC.sram4_data1[9] ;
wire \CONTROL_LOGIC.sram8_data0[0] ;
wire \CONTROL_LOGIC.sram8_data0[10] ;
wire \CONTROL_LOGIC.sram8_data0[11] ;
wire \CONTROL_LOGIC.sram8_data0[12] ;
wire \CONTROL_LOGIC.sram8_data0[13] ;
wire \CONTROL_LOGIC.sram8_data0[14] ;
wire \CONTROL_LOGIC.sram8_data0[15] ;
wire \CONTROL_LOGIC.sram8_data0[16] ;
wire \CONTROL_LOGIC.sram8_data0[17] ;
wire \CONTROL_LOGIC.sram8_data0[18] ;
wire \CONTROL_LOGIC.sram8_data0[19] ;
wire \CONTROL_LOGIC.sram8_data0[1] ;
wire \CONTROL_LOGIC.sram8_data0[20] ;
wire \CONTROL_LOGIC.sram8_data0[21] ;
wire \CONTROL_LOGIC.sram8_data0[22] ;
wire \CONTROL_LOGIC.sram8_data0[23] ;
wire \CONTROL_LOGIC.sram8_data0[24] ;
wire \CONTROL_LOGIC.sram8_data0[25] ;
wire \CONTROL_LOGIC.sram8_data0[26] ;
wire \CONTROL_LOGIC.sram8_data0[27] ;
wire \CONTROL_LOGIC.sram8_data0[28] ;
wire \CONTROL_LOGIC.sram8_data0[29] ;
wire \CONTROL_LOGIC.sram8_data0[2] ;
wire \CONTROL_LOGIC.sram8_data0[30] ;
wire \CONTROL_LOGIC.sram8_data0[31] ;
wire \CONTROL_LOGIC.sram8_data0[3] ;
wire \CONTROL_LOGIC.sram8_data0[4] ;
wire \CONTROL_LOGIC.sram8_data0[5] ;
wire \CONTROL_LOGIC.sram8_data0[6] ;
wire \CONTROL_LOGIC.sram8_data0[7] ;
wire \CONTROL_LOGIC.sram8_data0[8] ;
wire \CONTROL_LOGIC.sram8_data0[9] ;
wire \CONTROL_LOGIC.sram9_data0[0] ;
wire \CONTROL_LOGIC.sram9_data0[10] ;
wire \CONTROL_LOGIC.sram9_data0[11] ;
wire \CONTROL_LOGIC.sram9_data0[12] ;
wire \CONTROL_LOGIC.sram9_data0[13] ;
wire \CONTROL_LOGIC.sram9_data0[14] ;
wire \CONTROL_LOGIC.sram9_data0[15] ;
wire \CONTROL_LOGIC.sram9_data0[16] ;
wire \CONTROL_LOGIC.sram9_data0[17] ;
wire \CONTROL_LOGIC.sram9_data0[18] ;
wire \CONTROL_LOGIC.sram9_data0[19] ;
wire \CONTROL_LOGIC.sram9_data0[1] ;
wire \CONTROL_LOGIC.sram9_data0[20] ;
wire \CONTROL_LOGIC.sram9_data0[21] ;
wire \CONTROL_LOGIC.sram9_data0[22] ;
wire \CONTROL_LOGIC.sram9_data0[23] ;
wire \CONTROL_LOGIC.sram9_data0[24] ;
wire \CONTROL_LOGIC.sram9_data0[25] ;
wire \CONTROL_LOGIC.sram9_data0[26] ;
wire \CONTROL_LOGIC.sram9_data0[27] ;
wire \CONTROL_LOGIC.sram9_data0[28] ;
wire \CONTROL_LOGIC.sram9_data0[29] ;
wire \CONTROL_LOGIC.sram9_data0[2] ;
wire \CONTROL_LOGIC.sram9_data0[30] ;
wire \CONTROL_LOGIC.sram9_data0[31] ;
wire \CONTROL_LOGIC.sram9_data0[3] ;
wire \CONTROL_LOGIC.sram9_data0[4] ;
wire \CONTROL_LOGIC.sram9_data0[5] ;
wire \CONTROL_LOGIC.sram9_data0[6] ;
wire \CONTROL_LOGIC.sram9_data0[7] ;
wire \CONTROL_LOGIC.sram9_data0[8] ;
wire \CONTROL_LOGIC.sram9_data0[9] ;
wire _0000_;
wire _0001_;
wire _0002_;
wire _0003_;
wire _0004_;
wire _0005_;
wire _0006_;
wire _0007_;
wire _0008_;
wire _0009_;
wire _0010_;
wire _0011_;
wire _0012_;
wire _0013_;
wire _0014_;
wire _0015_;
wire _0016_;
wire _0017_;
wire _0018_;
wire _0019_;
wire _0020_;
wire _0021_;
wire _0022_;
wire _0023_;
wire _0024_;
wire _0025_;
wire _0026_;
wire _0027_;
wire _0028_;
wire _0029_;
wire _0030_;
wire _0031_;
wire _0032_;
wire _0033_;
wire _0034_;
wire _0035_;
wire _0036_;
wire _0037_;
wire _0038_;
wire _0039_;
wire _0040_;
wire _0041_;
wire _0042_;
wire _0043_;
wire _0044_;
wire _0045_;
wire _0046_;
wire _0047_;
wire _0048_;
wire _0049_;
wire _0050_;
wire _0051_;
wire _0052_;
wire _0053_;
wire _0054_;
wire _0055_;
wire _0056_;
wire _0057_;
wire _0058_;
wire _0059_;
wire _0060_;
wire _0061_;
wire _0062_;
wire _0063_;
wire _0064_;
wire _0065_;
wire _0066_;
wire _0067_;
wire _0068_;
wire _0069_;
wire _0070_;
wire _0071_;
wire _0072_;
wire _0073_;
wire _0074_;
wire _0075_;
wire _0076_;
wire _0077_;
wire _0078_;
wire _0079_;
wire _0080_;
wire _0081_;
wire _0082_;
wire _0083_;
wire _0084_;
wire _0085_;
wire _0086_;
wire _0087_;
wire _0088_;
wire _0089_;
wire _0090_;
wire _0091_;
wire _0092_;
wire _0093_;
wire _0094_;
wire _0095_;
wire _0096_;
wire _0097_;
wire _0098_;
wire _0099_;
wire _0100_;
wire _0101_;
wire _0102_;
wire _0103_;
wire _0104_;
wire _0105_;
wire _0106_;
wire _0107_;
wire _0108_;
wire _0109_;
wire _0110_;
wire _0111_;
wire _0112_;
wire _0113_;
wire _0114_;
wire _0115_;
wire _0116_;
wire _0117_;
wire _0118_;
wire _0119_;
wire _0120_;
wire _0121_;
wire _0122_;
wire _0123_;
wire _0124_;
wire _0125_;
wire _0126_;
wire _0127_;
wire _0128_;
wire _0129_;
wire _0130_;
wire _0131_;
wire _0132_;
wire _0133_;
wire _0134_;
wire _0135_;
wire _0136_;
wire _0137_;
wire _0138_;
wire _0139_;
wire _0140_;
wire _0141_;
wire _0142_;
wire _0143_;
wire _0144_;
wire _0145_;
wire _0146_;
wire _0147_;
wire _0148_;
wire _0149_;
wire _0150_;
wire _0151_;
wire _0152_;
wire _0153_;
wire _0154_;
wire _0155_;
wire _0156_;
wire _0157_;
wire _0158_;
wire _0159_;
wire _0160_;
wire _0161_;
wire _0162_;
wire _0163_;
wire _0164_;
wire _0165_;
wire _0166_;
wire _0167_;
wire _0168_;
wire _0169_;
wire _0170_;
wire _0171_;
wire _0172_;
wire _0173_;
wire _0174_;
wire _0175_;
wire _0176_;
wire _0177_;
wire _0178_;
wire _0179_;
wire _0180_;
wire _0181_;
wire _0182_;
wire _0183_;
wire _0184_;
wire _0185_;
wire _0186_;
wire _0187_;
wire _0188_;
wire _0189_;
wire _0190_;
wire _0191_;
wire _0192_;
wire _0193_;
wire _0194_;
wire _0195_;
wire _0196_;
wire _0197_;
wire _0198_;
wire _0199_;
wire _0200_;
wire _0201_;
wire _0202_;
wire _0203_;
wire _0204_;
wire _0205_;
wire _0206_;
wire _0207_;
wire _0208_;
wire _0209_;
wire _0210_;
wire _0211_;
wire _0212_;
wire _0213_;
wire _0214_;
wire _0215_;
wire _0216_;
wire _0217_;
wire _0218_;
wire _0219_;
wire _0220_;
wire _0221_;
wire _0222_;
wire _0223_;
wire _0224_;
wire _0225_;
wire _0226_;
wire _0227_;
wire _0228_;
wire _0229_;
wire _0230_;
wire _0231_;
wire _0232_;
wire _0233_;
wire _0234_;
wire _0235_;
wire _0236_;
wire _0237_;
wire _0238_;
wire _0239_;
wire _0240_;
wire _0241_;
wire _0242_;
wire _0243_;
wire _0244_;
wire _0245_;
wire _0246_;
wire _0247_;
wire _0248_;
wire _0249_;
wire _0250_;
wire _0251_;
wire _0252_;
wire _0253_;
wire _0254_;
wire _0255_;
wire _0256_;
wire _0257_;
wire _0258_;
wire _0259_;
wire _0260_;
wire _0261_;
wire _0262_;
wire _0263_;
wire _0264_;
wire _0265_;
wire _0266_;
wire _0267_;
wire _0268_;
wire _0269_;
wire _0270_;
wire _0271_;
wire _0272_;
wire _0273_;
wire _0274_;
wire _0275_;
wire _0276_;
wire _0277_;
wire _0278_;
wire _0279_;
wire _0280_;
wire _0281_;
wire _0282_;
wire _0283_;
wire _0284_;
wire _0285_;
wire _0286_;
wire _0287_;
wire _0288_;
wire _0289_;
wire _0290_;
wire _0291_;
wire _0292_;
wire _0293_;
wire _0294_;
wire _0295_;
wire _0296_;
wire _0297_;
wire _0298_;
wire _0299_;
wire _0300_;
wire _0301_;
wire _0302_;
wire _0303_;
wire _0304_;
wire _0305_;
wire _0306_;
wire _0307_;
wire _0308_;
wire _0309_;
wire _0310_;
wire _0311_;
wire _0312_;
wire _0313_;
wire _0314_;
wire _0315_;
wire _0316_;
wire _0317_;
wire _0318_;
wire _0319_;
wire _0320_;
wire _0321_;
wire _0322_;
wire _0323_;
wire _0324_;
wire _0325_;
wire _0326_;
wire _0327_;
wire _0328_;
wire _0329_;
wire _0330_;
wire _0331_;
wire _0332_;
wire _0333_;
wire _0334_;
wire _0335_;
wire _0336_;
wire _0337_;
wire _0338_;
wire _0339_;
wire _0340_;
wire _0341_;
wire _0342_;
wire _0343_;
wire _0344_;
wire _0345_;
wire _0346_;
wire _0347_;
wire _0348_;
wire _0349_;
wire _0350_;
wire _0351_;
wire _0352_;
wire _0353_;
wire _0354_;
wire _0355_;
wire _0356_;
wire _0357_;
wire _0358_;
wire _0359_;
wire _0360_;
wire _0361_;
wire _0362_;
wire _0363_;
wire _0364_;
wire _0365_;
wire _0366_;
wire _0367_;
wire _0368_;
wire _0369_;
wire _0370_;
wire _0371_;
wire _0372_;
wire _0373_;
wire _0374_;
wire _0375_;
wire _0376_;
wire _0377_;
wire _0378_;
wire _0379_;
wire _0380_;
wire _0381_;
wire _0382_;
wire _0383_;
wire _0384_;
wire _0385_;
wire _0386_;
wire _0387_;
wire _0388_;
wire _0389_;
wire _0390_;
wire _0391_;
wire _0392_;
wire _0393_;
wire _0394_;
wire _0395_;
wire _0396_;
wire _0397_;
wire _0398_;
wire _0399_;
wire _0400_;
wire _0401_;
wire _0402_;
wire _0403_;
wire _0404_;
wire _0405_;
wire _0406_;
wire _0407_;
wire _0408_;
wire _0409_;
wire _0410_;
wire _0411_;
wire _0412_;
wire _0413_;
wire _0414_;
wire _0415_;
wire _0416_;
wire _0417_;
wire _0418_;
wire _0419_;
wire _0420_;
wire _0421_;
wire _0422_;
wire _0423_;
wire _0424_;
wire _0425_;
wire _0426_;
wire _0427_;
wire _0428_;
wire _0429_;
wire _0430_;
wire _0431_;
wire _0432_;
wire _0433_;
wire _0434_;
wire _0435_;
wire _0436_;
wire _0437_;
wire _0438_;
wire _0439_;
wire _0440_;
wire _0441_;
wire _0442_;
wire _0443_;
wire _0444_;
wire _0445_;
wire _0446_;
wire _0447_;
wire _0448_;
wire _0449_;
wire _0450_;
wire _0451_;
wire _0452_;
wire _0453_;
wire _0454_;
wire _0455_;
wire _0456_;
wire _0457_;
wire _0458_;
wire _0459_;
wire _0460_;
wire _0461_;
wire _0462_;
wire _0463_;
wire _0464_;
wire _0465_;
wire _0466_;
wire _0467_;
wire _0468_;
wire _0469_;
wire _0470_;
wire _0471_;
wire _0472_;
wire _0473_;
wire _0474_;
wire _0475_;
wire _0476_;
wire _0477_;
wire _0478_;
wire _0479_;
wire _0480_;
wire _0481_;
wire _0482_;
wire _0483_;
wire _0484_;
wire _0485_;
wire _0486_;
wire _0487_;
wire _0488_;
wire _0489_;
wire _0490_;
wire _0491_;
wire _0492_;
wire _0493_;
wire _0494_;
wire _0495_;
wire _0496_;
wire _0497_;
wire _0498_;
wire _0499_;
wire _0500_;
wire _0501_;
wire _0502_;
wire _0503_;
wire _0504_;
wire _0505_;
wire _0506_;
wire _0507_;
wire _0508_;
wire _0509_;
wire _0510_;
wire _0511_;
wire _0512_;
wire _0513_;
wire _0514_;
wire _0515_;
wire _0516_;
wire _0517_;
wire _0518_;
wire _0519_;
wire _0520_;
wire _0521_;
wire _0522_;
wire _0523_;
wire _0524_;
wire _0525_;
wire _0526_;
wire _0527_;
wire _0528_;
wire _0529_;
wire _0530_;
wire _0531_;
wire _0532_;
wire _0533_;
wire _0534_;
wire _0535_;
wire _0536_;
wire _0537_;
wire _0538_;
wire _0539_;
wire _0540_;
wire _0541_;
wire _0542_;
wire _0543_;
wire _0544_;
wire _0545_;
wire _0546_;
wire _0547_;
wire _0548_;
wire _0549_;
wire _0550_;
wire _0551_;
wire _0552_;
wire _0553_;
wire _0554_;
wire _0555_;
wire _0556_;
wire _0557_;
wire _0558_;
wire _0559_;
wire _0560_;
wire _0561_;
wire _0562_;
wire _0563_;
wire _0564_;
wire _0565_;
wire _0566_;
wire _0567_;
wire _0568_;
wire _0569_;
wire _0570_;
wire _0571_;
wire _0572_;
wire _0573_;
wire _0574_;
wire _0575_;
wire _0576_;
wire _0577_;
wire _0578_;
wire _0579_;
wire _0580_;
wire _0581_;
wire _0582_;
wire _0583_;
wire _0584_;
wire _0585_;
wire _0586_;
wire _0587_;
wire _0588_;
wire _0589_;
wire _0590_;
wire _0591_;
wire _0592_;
wire _0593_;
wire _0594_;
wire _0595_;
wire _0596_;
wire _0597_;
wire _0598_;
wire _0599_;
wire _0600_;
wire _0601_;
wire _0602_;
wire _0603_;
wire _0604_;
wire _0605_;
wire _0606_;
wire _0607_;
wire _0608_;
wire _0609_;
wire _0610_;
wire _0611_;
wire _0612_;
wire _0613_;
wire _0614_;
wire _0615_;
wire _0616_;
wire _0617_;
wire _0618_;
wire _0619_;
wire _0620_;
wire _0621_;
wire _0622_;
wire _0623_;
wire _0624_;
wire _0625_;
wire _0626_;
wire _0627_;
wire _0628_;
wire _0629_;
wire _0630_;
wire _0631_;
wire _0632_;
wire _0633_;
wire _0634_;
wire _0635_;
wire _0636_;
wire _0637_;
wire _0638_;
wire _0639_;
wire _0640_;
wire _0641_;
wire _0642_;
wire _0643_;
wire _0644_;
wire _0645_;
wire _0646_;
wire _0647_;
wire _0648_;
wire _0649_;
wire _0650_;
wire _0651_;
wire _0652_;
wire _0653_;
wire _0654_;
wire _0655_;
wire _0656_;
wire _0657_;
wire _0658_;
wire _0659_;
wire _0660_;
wire _0661_;
wire _0662_;
wire _0663_;
wire _0664_;
wire _0665_;
wire _0666_;
wire _0667_;
wire _0668_;
wire _0669_;
wire _0670_;
wire _0671_;
wire _0672_;
wire _0673_;
wire _0674_;
wire _0675_;
wire _0676_;
wire _0677_;
wire _0678_;
wire _0679_;
wire _0680_;
wire _0681_;
wire _0682_;
wire _0683_;
wire _0684_;
wire _0685_;
wire _0686_;
wire _0687_;
wire _0688_;
wire _0689_;
wire _0690_;
wire _0691_;
wire _0692_;
wire _0693_;
wire _0694_;
wire _0695_;
wire _0696_;
wire _0697_;
wire _0698_;
wire _0699_;
wire _0700_;
wire _0701_;
wire _0702_;
wire _0703_;
wire _0704_;
wire _0705_;
wire _0706_;
wire _0707_;
wire _0708_;
wire _0709_;
wire _0710_;
wire _0711_;
wire _0712_;
wire _0713_;
wire _0714_;
wire _0715_;
wire _0716_;
wire _0717_;
wire _0718_;
wire _0719_;
wire _0720_;
wire _0721_;
wire _0722_;
wire _0723_;
wire _0724_;
wire _0725_;
wire _0726_;
wire _0727_;
wire _0728_;
wire _0729_;
wire _0730_;
wire _0731_;
wire _0732_;
wire _0733_;
wire _0734_;
wire _0735_;
wire _0736_;
wire _0737_;
wire _0738_;
wire _0739_;
wire _0740_;
wire _0741_;
wire _0742_;
wire _0743_;
wire _0744_;
wire _0745_;
wire _0746_;
wire _0747_;
wire _0748_;
wire _0749_;
wire _0750_;
wire _0751_;
wire _0752_;
wire _0753_;
wire _0754_;
wire _0755_;
wire _0756_;
wire _0757_;
wire _0758_;
wire _0759_;
wire _0760_;
wire _0761_;
wire _0762_;
wire _0763_;
wire _0764_;
wire _0765_;
wire _0766_;
wire _0767_;
wire _0768_;
wire _0769_;
wire _0770_;
wire _0771_;
wire _0772_;
wire _0773_;
wire _0774_;
wire _0775_;
wire _0776_;
wire _0777_;
wire _0778_;
wire _0779_;
wire _0780_;
wire _0781_;
wire _0782_;
wire _0783_;
wire _0784_;
wire _0785_;
wire _0786_;
wire _0787_;
wire _0788_;
wire _0789_;
wire _0790_;
wire _0791_;
wire _0792_;
wire _0793_;
wire _0794_;
wire _0795_;
wire _0796_;
wire _0797_;
wire _0798_;
wire _0799_;
wire _0800_;
wire _0801_;
wire _0802_;
wire _0803_;
wire _0804_;
wire _0805_;
wire _0806_;
wire _0807_;
wire _0808_;
wire _0809_;
wire _0810_;
wire _0811_;
wire _0812_;
wire _0813_;
wire _0814_;
wire _0815_;
wire _0816_;
wire _0817_;
wire _0818_;
wire _0819_;
wire _0820_;
wire _0821_;
wire _0822_;
wire _0823_;
wire _0824_;
wire _0825_;
wire _0826_;
wire _0827_;
wire _0828_;
wire _0829_;
wire _0830_;
wire _0831_;
wire _0832_;
wire _0833_;
wire _0834_;
wire _0835_;
wire _0836_;
wire _0837_;
wire _0838_;
wire _0839_;
wire _0840_;
wire _0841_;
wire _0842_;
wire _0843_;
wire _0844_;
wire _0845_;
wire _0846_;
wire _0847_;
wire _0848_;
wire _0849_;
wire _0850_;
wire _0851_;
wire _0852_;
wire _0853_;
wire _0854_;
wire _0855_;
wire _0856_;
wire _0857_;
wire _0858_;
wire _0859_;
wire _0860_;
wire _0861_;
wire _0862_;
wire _0863_;
wire _0864_;
wire _0865_;
wire _0866_;
wire _0867_;
wire _0868_;
wire _0869_;
wire _0870_;
wire _0871_;
wire _0872_;
wire _0873_;
wire _0874_;
wire _0875_;
wire _0876_;
wire _0877_;
wire _0878_;
wire _0879_;
wire _0880_;
wire _0881_;
wire _0882_;
wire _0883_;
wire _0884_;
wire _0885_;
wire _0886_;
wire _0887_;
wire _0888_;
wire _0889_;
wire _0890_;
wire _0891_;
wire _0892_;
wire _0893_;
wire _0894_;
wire _0895_;
wire _0896_;
wire _0897_;
wire _0898_;
wire _0899_;
wire _0900_;
wire _0901_;
wire _0902_;
wire _0903_;
wire _0904_;
wire _0905_;
wire _0906_;
wire _0907_;
wire _0908_;
wire _0909_;
wire _0910_;
wire _0911_;
wire _0912_;
wire _0913_;
wire _0914_;
wire _0915_;
wire _0916_;
wire _0917_;
wire _0918_;
wire _0919_;
wire _0920_;
wire _0921_;
wire _0922_;
wire _0923_;
wire _0924_;
wire _0925_;
wire _0926_;
wire _0927_;
wire _0928_;
wire _0929_;
wire _0930_;
wire _0931_;
wire _0932_;
wire _0933_;
wire _0934_;
wire _0935_;
wire _0936_;
wire _0937_;
wire _0938_;
wire _0939_;
wire _0940_;
wire _0941_;
wire _0942_;
wire _0943_;
wire _0944_;
wire _0945_;
wire _0946_;
wire _0947_;
wire _0948_;
wire _0949_;
wire _0950_;
wire _0951_;
wire _0952_;
wire _0953_;
wire _0954_;
wire _0955_;
wire _0956_;
wire _0957_;
wire _0958_;
wire _0959_;
wire _0960_;
wire _0961_;
wire _0962_;
wire _0963_;
wire _0964_;
wire _0965_;
wire _0966_;
wire _0967_;
wire _0968_;
wire _0969_;
wire _0970_;
wire _0971_;
wire _0972_;
wire _0973_;
wire _0974_;
wire _0975_;
wire _0976_;
wire _0977_;
wire _0978_;
wire _0979_;
wire _0980_;
wire _0981_;
wire _0982_;
wire _0983_;
wire _0984_;
wire _0985_;
wire _0986_;
wire _0987_;
wire _0988_;
wire _0989_;
wire _0990_;
wire _0991_;
wire _0992_;
wire _0993_;
wire _0994_;
wire _0995_;
wire _0996_;
wire _0997_;
wire _0998_;
wire _0999_;
wire _1000_;
wire _1001_;
wire _1002_;
wire _1003_;
wire _1004_;
wire _1005_;
wire _1006_;
wire _1007_;
wire _1008_;
wire _1009_;
wire _1010_;
wire _1011_;
wire _1012_;
wire _1013_;
wire _1014_;
wire _1015_;
wire _1016_;
wire _1017_;
wire _1018_;
wire _1019_;
wire _1020_;
wire _1021_;
wire _1022_;
wire _1023_;
wire _1024_;
wire _1025_;
wire _1026_;
wire _1027_;
wire _1028_;
wire _1029_;
wire _1030_;
wire _1031_;
wire _1032_;
wire _1033_;
wire _1034_;
wire _1035_;
wire _1036_;
wire _1037_;
wire _1038_;
wire _1039_;
wire _1040_;
wire _1041_;
wire _1042_;
wire _1043_;
wire _1044_;
wire _1045_;
wire _1046_;
wire _1047_;
wire _1048_;
wire _1049_;
wire _1050_;
wire _1051_;
wire _1052_;
wire _1053_;
wire _1054_;
wire _1055_;
wire _1056_;
wire _1057_;
wire _1058_;
wire _1059_;
wire _1060_;
wire _1061_;
wire _1062_;
wire _1063_;
wire _1064_;
wire _1065_;
wire _1066_;
wire _1067_;
wire _1068_;
wire _1069_;
wire _1070_;
wire _1071_;
wire _1072_;
wire _1073_;
wire _1074_;
wire _1075_;
wire _1076_;
wire _1077_;
wire _1078_;
wire _1079_;
wire _1080_;
wire _1081_;
wire _1082_;
wire _1083_;
wire _1084_;
wire _1085_;
wire _1086_;
wire _1087_;
wire _1088_;
wire _1089_;
wire _1090_;
wire _1091_;
wire _1092_;
wire _1093_;
wire _1094_;
wire _1095_;
wire _1096_;
wire _1097_;
wire _1098_;
wire _1099_;
wire _1100_;
wire _1101_;
wire _1102_;
wire _1103_;
wire _1104_;
wire _1105_;
wire _1106_;
wire _1107_;
wire _1108_;
wire _1109_;
wire _1110_;
wire _1111_;
wire _1112_;
wire _1113_;
wire _1114_;
wire _1115_;
wire _1116_;
wire _1117_;
wire _1118_;
wire _1119_;
wire _1120_;
wire _1121_;
wire _1122_;
wire _1123_;
wire _1124_;
wire _1125_;
wire _1126_;
wire _1127_;
wire _1128_;
wire _1129_;
wire _1130_;
wire _1131_;
wire _1132_;
wire _1133_;
wire _1134_;
wire _1135_;
wire _1136_;
wire _1137_;
wire _1138_;
wire _1139_;
wire _1140_;
wire _1141_;
wire _1142_;
wire _1143_;
wire _1144_;
wire _1145_;
wire _1146_;
wire _1147_;
wire _1148_;
wire _1149_;
wire _1150_;
wire _1151_;
wire _1152_;
wire _1153_;
wire _1154_;
wire _1155_;
wire _1156_;
wire _1157_;
wire _1158_;
wire _1159_;
wire _1160_;
wire _1161_;
wire _1162_;
wire _1163_;
wire _1164_;
wire _1165_;
wire _1166_;
wire _1167_;
wire _1168_;
wire _1169_;
wire _1170_;
wire _1171_;
wire _1172_;
wire _1173_;
wire _1174_;
wire _1175_;
wire _1176_;
wire _1177_;
wire _1178_;
wire _1179_;
wire _1180_;
wire _1181_;
wire _1182_;
wire _1183_;
wire _1184_;
wire _1185_;
wire _1186_;
wire _1187_;
wire _1188_;
wire _1189_;
wire _1190_;
wire _1191_;
wire _1192_;
wire _1193_;
wire _1194_;
wire _1195_;
wire _1196_;
wire _1197_;
wire _1198_;
wire _1199_;
wire _1200_;
wire _1201_;
wire _1202_;
wire _1203_;
wire _1204_;
wire _1205_;
wire _1206_;
wire _1207_;
wire _1208_;
wire _1209_;
wire _1210_;
wire _1211_;
wire _1212_;
wire _1213_;
wire _1214_;
wire _1215_;
wire _1216_;
wire _1217_;
wire _1218_;
wire _1219_;
wire _1220_;
wire _1221_;
wire _1222_;
wire _1223_;
wire _1224_;
wire _1225_;
wire _1226_;
wire _1227_;
wire _1228_;
wire _1229_;
wire _1230_;
wire _1231_;
wire _1232_;
wire _1233_;
wire _1234_;
wire _1235_;
wire _1236_;
wire _1237_;
wire _1238_;
wire _1239_;
wire _1240_;
wire _1241_;
wire _1242_;
wire _1243_;
wire _1244_;
wire _1245_;
wire _1246_;
wire _1247_;
wire _1248_;
wire _1249_;
wire _1250_;
wire _1251_;
wire _1252_;
wire _1253_;
wire _1254_;
wire _1255_;
wire _1256_;
wire _1257_;
wire _1258_;
wire _1259_;
wire _1260_;
wire _1261_;
wire _1262_;
wire _1263_;
wire _1264_;
wire _1265_;
wire _1266_;
wire _1267_;
wire _1268_;
wire _1269_;
wire _1270_;
wire _1271_;
wire _1272_;
wire _1273_;
wire _1274_;
wire _1275_;
wire _1276_;
wire _1277_;
wire _1278_;
wire _1279_;
wire _1280_;
wire _1281_;
wire _1282_;
wire _1283_;
wire _1284_;
wire _1285_;
wire _1286_;
wire _1287_;
wire _1288_;
wire _1289_;
wire _1290_;
wire _1291_;
wire _1292_;
wire _1293_;
wire _1294_;
wire _1295_;
wire _1296_;
wire _1297_;
wire _1298_;
wire _1299_;
wire _1300_;
wire _1301_;
wire _1302_;
wire _1303_;
wire _1304_;
wire _1305_;
wire _1306_;
wire _1307_;
wire _1308_;
wire _1309_;
wire _1310_;
wire _1311_;
wire _1312_;
wire _1313_;
wire _1314_;
wire _1315_;
wire _1316_;
wire _1317_;
wire _1318_;
wire _1319_;
wire _1320_;
wire _1321_;
wire _1322_;
wire _1323_;
wire _1324_;
wire _1325_;
wire _1326_;
wire _1327_;
wire _1328_;
wire _1329_;
wire _1330_;
wire _1331_;
wire _1332_;
wire _1333_;
wire _1334_;
wire _1335_;
wire _1336_;
wire _1337_;
wire _1338_;
wire _1339_;
wire _1340_;
wire _1341_;
wire _1342_;
wire _1343_;
wire _1344_;
wire _1345_;
wire _1346_;
wire _1347_;
wire _1348_;
wire _1349_;
wire _1350_;
wire _1351_;
wire _1352_;
wire _1353_;
wire _1354_;
wire _1355_;
wire _1356_;
wire _1357_;
wire _1358_;
wire _1359_;
wire _1360_;
wire _1361_;
wire _1362_;
wire _1363_;
wire _1364_;
wire _1365_;
wire _1366_;
wire _1367_;
wire _1368_;
wire _1369_;
wire _1370_;
wire _1371_;
wire _1372_;
wire _1373_;
wire _1374_;
wire _1375_;
wire _1376_;
wire _1377_;
wire _1378_;
wire _1379_;
wire _1380_;
wire _1381_;
wire _1382_;
wire _1383_;
wire _1384_;
wire _1385_;
wire _1386_;
wire _1387_;
wire _1388_;
wire _1389_;
wire _1390_;
wire _1391_;
wire _1392_;
wire _1393_;
wire _1394_;
wire _1395_;
wire _1396_;
wire _1397_;
wire _1398_;
wire _1399_;
wire _1400_;
wire _1401_;
wire _1402_;
wire _1403_;
wire _1404_;
wire _1405_;
wire _1406_;
wire _1407_;
wire _1408_;
wire _1409_;
wire _1410_;
wire _1411_;
wire _1412_;
wire _1413_;
wire _1414_;
wire _1415_;
wire _1416_;
wire _1417_;
wire _1418_;
wire _1419_;
wire _1420_;
wire _1421_;
wire _1422_;
wire _1423_;
wire _1424_;
wire _1425_;
wire _1426_;
wire _1427_;
wire _1428_;
wire _1429_;
wire _1430_;
wire _1431_;
wire _1432_;
wire _1433_;
wire _1434_;
wire _1435_;
wire _1436_;
wire _1437_;
wire _1438_;
wire _1439_;
wire _1440_;
wire _1441_;
wire _1442_;
wire _1443_;
wire _1444_;
wire _1445_;
wire _1446_;
wire _1447_;
wire _1448_;
wire _1449_;
wire _1450_;
wire _1451_;
wire _1452_;
wire _1453_;
wire _1454_;
wire _1455_;
wire _1456_;
wire _1457_;
wire _1458_;
wire _1459_;
wire _1460_;
wire _1461_;
wire _1462_;
wire _1463_;
wire _1464_;
wire _1465_;
wire _1466_;
wire _1467_;
wire _1468_;
wire _1469_;
wire _1470_;
wire _1471_;
wire _1472_;
wire _1473_;
wire _1474_;
wire _1475_;
wire _1476_;
wire _1477_;
wire _1478_;
wire _1479_;
wire _1480_;
wire _1481_;
wire _1482_;
wire _1483_;
wire _1484_;
wire _1485_;
wire _1486_;
wire _1487_;
wire _1488_;
wire _1489_;
wire _1490_;
wire _1491_;
wire _1492_;
wire _1493_;
wire _1494_;
wire _1495_;
wire _1496_;
wire _1497_;
wire _1498_;
wire _1499_;
wire _1500_;
wire _1501_;
wire _1502_;
wire _1503_;
wire _1504_;
wire _1505_;
wire _1506_;
wire _1507_;
wire _1508_;
wire _1509_;
wire _1510_;
wire _1511_;
wire _1512_;
wire _1513_;
wire _1514_;
wire _1515_;
wire _1516_;
wire _1517_;
wire _1518_;
wire _1519_;
wire _1520_;
wire _1521_;
wire _1522_;
wire _1523_;
wire _1524_;
wire _1525_;
wire _1526_;
wire _1527_;
wire _1528_;
wire _1529_;
wire _1530_;
wire _1531_;
wire _1532_;
wire _1533_;
wire _1534_;
wire _1535_;
wire _1536_;
wire _1537_;
wire _1538_;
wire _1539_;
wire _1540_;
wire _1541_;
wire _1542_;
wire _1543_;
wire _1544_;
wire _1545_;
wire _1546_;
wire _1547_;
wire _1548_;
wire _1549_;
wire _1550_;
wire _1551_;
wire _1552_;
wire _1553_;
wire _1554_;
wire _1555_;
wire _1556_;
wire _1557_;
wire _1558_;
wire _1559_;
wire _1560_;
wire _1561_;
wire _1562_;
wire _1563_;
wire _1564_;
wire _1565_;
wire _1566_;
wire _1567_;
wire _1568_;
wire _1569_;
wire _1570_;
wire _1571_;
wire _1572_;
wire _1573_;
wire _1574_;
wire _1575_;
wire _1576_;
wire _1577_;
wire _1578_;
wire _1579_;
wire _1580_;
wire _1581_;
wire _1582_;
wire _1583_;
wire _1584_;
wire _1585_;
wire _1586_;
wire _1587_;
wire _1588_;
wire _1589_;
wire _1590_;
wire _1591_;
wire _1592_;
wire _1593_;
wire _1594_;
wire _1595_;
wire _1596_;
wire _1597_;
wire _1598_;
wire _1599_;
wire _1600_;
wire _1601_;
wire _1602_;
wire _1603_;
wire _1604_;
wire _1605_;
wire _1606_;
wire _1607_;
wire _1608_;
wire _1609_;
wire _1610_;
wire _1611_;
wire _1612_;
wire _1613_;
wire _1614_;
wire _1615_;
wire _1616_;
wire _1617_;
wire _1618_;
wire _1619_;
wire _1620_;
wire _1621_;
wire _1622_;
wire _1623_;
wire _1624_;
wire _1625_;
wire _1626_;
wire _1627_;
wire _1628_;
wire _1629_;
wire _1630_;
wire _1631_;
wire _1632_;
wire _1633_;
wire _1634_;
wire _1635_;
wire _1636_;
wire _1637_;
wire _1638_;
wire _1639_;
wire _1640_;
wire _1641_;
wire _1642_;
wire _1643_;
wire _1644_;
wire _1645_;
wire _1646_;
wire _1647_;
wire _1648_;
wire _1649_;
wire _1650_;
wire _1651_;
wire _1652_;
wire _1653_;
wire _1654_;
wire _1655_;
wire _1656_;
wire _1657_;
wire _1658_;
wire _1659_;
wire _1660_;
wire _1661_;
wire _1662_;
wire _1663_;
wire _1664_;
wire _1665_;
wire _1666_;
wire _1667_;
wire _1668_;
wire _1669_;
wire _1670_;
wire _1671_;
wire _1672_;
wire _1673_;
wire _1674_;
wire _1675_;
wire _1676_;
wire _1677_;
wire _1678_;
wire _1679_;
wire _1680_;
wire _1681_;
wire _1682_;
wire _1683_;
wire _1684_;
wire _1685_;
wire _1686_;
wire _1687_;
wire _1688_;
wire _1689_;
wire _1690_;
wire _1691_;
wire _1692_;
wire _1693_;
wire _1694_;
wire _1695_;
wire _1696_;
wire _1697_;
wire _1698_;
wire _1699_;
wire _1700_;
wire _1701_;
wire _1702_;
wire _1703_;
wire _1704_;
wire _1705_;
wire _1706_;
wire _1707_;
wire _1708_;
wire _1709_;
wire _1710_;
wire _1711_;
wire _1712_;
wire _1713_;
wire _1714_;
wire _1715_;
wire _1716_;
wire _1717_;
wire _1718_;
wire _1719_;
wire _1720_;
wire _1721_;
wire _1722_;
wire _1723_;
wire _1724_;
wire _1725_;
wire _1726_;
wire _1727_;
wire _1728_;
wire _1729_;
wire _1730_;
wire _1731_;
wire _1732_;
wire _1733_;
wire _1734_;
wire _1735_;
wire _1736_;
wire _1737_;
wire _1738_;
wire _1739_;
wire _1740_;
wire _1741_;
wire _1742_;
wire _1743_;
wire _1744_;
wire _1745_;
wire _1746_;
wire _1747_;
wire _1748_;
wire _1749_;
wire _1750_;
wire _1751_;
wire _1752_;
wire _1753_;
wire _1754_;
wire _1755_;
wire _1756_;
wire _1757_;
wire _1758_;
wire _1759_;
wire _1760_;
wire _1761_;
wire _1762_;
wire _1763_;
wire _1764_;
wire _1765_;
wire _1766_;
wire _1767_;
wire _1768_;
wire _1769_;
wire _1770_;
wire _1771_;
wire _1772_;
wire _1773_;
wire _1774_;
wire _1775_;
wire _1776_;
wire _1777_;
wire _1778_;
wire _1779_;
wire _1780_;
wire _1781_;
wire _1782_;
wire _1783_;
wire _1784_;
wire _1785_;
wire _1786_;
wire _1787_;
wire _1788_;
wire _1789_;
wire _1790_;
wire _1791_;
wire _1792_;
wire _1793_;
wire _1794_;
wire _1795_;
wire _1796_;
wire _1797_;
wire _1798_;
wire _1799_;
wire _1800_;
wire _1801_;
wire _1802_;
wire _1803_;
wire _1804_;
wire _1805_;
wire _1806_;
wire _1807_;
wire _1808_;
wire _1809_;
wire _1810_;
wire _1811_;
wire _1812_;
wire _1813_;
wire _1814_;
wire _1815_;
wire _1816_;
wire _1817_;
wire _1818_;
wire _1819_;
wire _1820_;
wire _1821_;
wire _1822_;
wire _1823_;
wire _1824_;
wire _1825_;
wire _1826_;
wire _1827_;
wire _1828_;
wire _1829_;
wire _1830_;
wire _1831_;
wire _1832_;
wire _1833_;
wire _1834_;
wire _1835_;
wire _1836_;
wire _1837_;
wire _1838_;
wire _1839_;
wire _1840_;
wire _1841_;
wire _1842_;
wire _1843_;
wire _1844_;
wire _1845_;
wire _1846_;
wire _1847_;
wire _1848_;
wire _1849_;
wire _1850_;
wire _1851_;
wire _1852_;
wire _1853_;
wire _1854_;
wire _1855_;
wire _1856_;
wire _1857_;
wire _1858_;
wire _1859_;
wire _1860_;
wire _1861_;
wire _1862_;
wire _1863_;
wire _1864_;
wire _1865_;
wire _1866_;
wire _1867_;
wire _1868_;
wire _1869_;
wire _1870_;
wire _1871_;
wire _1872_;
wire _1873_;
wire _1874_;
wire _1875_;
wire _1876_;
wire _1877_;
wire _1878_;
wire _1879_;
wire _1880_;
wire _1881_;
wire _1882_;
wire _1883_;
wire _1884_;
wire _1885_;
wire _1886_;
wire _1887_;
wire _1888_;
wire _1889_;
wire _1890_;
wire _1891_;
wire _1892_;
wire _1893_;
wire _1894_;
wire _1895_;
wire _1896_;
wire _1897_;
wire _1898_;
wire _1899_;
wire _1900_;
wire _1901_;
wire _1902_;
wire _1903_;
wire _1904_;
wire _1905_;
wire _1906_;
wire _1907_;
wire _1908_;
wire _1909_;
wire _1910_;
wire _1911_;
wire _1912_;
wire _1913_;
wire _1914_;
wire _1915_;
wire _1916_;
wire _1917_;
wire _1918_;
wire _1919_;
wire _1920_;
wire _1921_;
wire _1922_;
wire _1923_;
wire _1924_;
wire _1925_;
wire _1926_;
wire _1927_;
wire _1928_;
wire _1929_;
wire _1930_;
wire _1931_;
wire _1932_;
wire _1933_;
wire _1934_;
wire _1935_;
wire _1936_;
wire _1937_;
wire _1938_;
wire _1939_;
wire _1940_;
wire _1941_;
wire _1942_;
wire _1943_;
wire _1944_;
wire _1945_;
wire _1946_;
wire _1947_;
wire _1948_;
wire _1949_;
wire _1950_;
wire _1951_;
wire _1952_;
wire _1953_;
wire _1954_;
wire _1955_;
wire _1956_;
wire _1957_;
wire _1958_;
wire _1959_;
wire _1960_;
wire _1961_;
wire _1962_;
wire _1963_;
wire _1964_;
wire _1965_;
wire _1966_;
wire _1967_;
wire _1968_;
wire _1969_;
wire _1970_;
wire _1971_;
wire _1972_;
wire _1973_;
wire _1974_;
wire _1975_;
wire _1976_;
wire _1977_;
wire _1978_;
wire _1979_;
wire _1980_;
wire _1981_;
wire _1982_;
wire _1983_;
wire _1984_;
wire _1985_;
wire _1986_;
wire _1987_;
wire _1988_;
wire _1989_;
wire _1990_;
wire _1991_;
wire _1992_;
wire _1993_;
wire _1994_;
wire _1995_;
wire _1996_;
wire _1997_;
wire _1998_;
wire _1999_;
wire _2000_;
wire _2001_;
wire _2002_;
wire _2003_;
wire _2004_;
wire _2005_;
wire _2006_;
wire _2007_;
wire _2008_;
wire _2009_;
wire _2010_;
wire _2011_;
wire _2012_;
wire _2013_;
wire _2014_;
wire _2015_;
wire _2016_;
wire _2017_;
wire _2018_;
wire _2019_;
wire _2020_;
wire _2021_;
wire _2022_;
wire _2023_;
wire _2024_;
wire _2025_;
wire _2026_;
wire _2027_;
wire _2028_;
wire _2029_;
wire _2030_;
wire _2031_;
wire _2032_;
wire _2033_;
wire _2034_;
wire _2035_;
wire _2036_;
wire _2037_;
wire _2038_;
wire _2039_;
wire _2040_;
wire _2041_;
wire _2042_;
wire _2043_;
wire _2044_;
wire _2045_;
wire _2046_;
wire _2047_;
wire _2048_;
wire _2049_;
wire _2050_;
wire _2051_;
wire _2052_;
wire _2053_;
wire _2054_;
wire _2055_;
wire _2056_;
wire _2057_;
wire _2058_;
wire _2059_;
wire _2060_;
wire _2061_;
wire _2062_;
wire _2063_;
wire _2064_;
wire _2065_;
wire _2066_;
wire _2067_;
wire _2068_;
wire _2069_;
wire _2070_;
wire _2071_;
wire _2072_;
wire _2073_;
wire _2074_;
wire _2075_;
wire _2076_;
wire _2077_;
wire _2078_;
wire _2079_;
wire _2080_;
wire _2081_;
wire _2082_;
wire _2083_;
wire _2084_;
wire _2085_;
wire _2086_;
wire _2087_;
wire _2088_;
wire _2089_;
wire _2090_;
wire _2091_;
wire _2092_;
wire _2093_;
wire _2094_;
wire _2095_;
wire _2096_;
wire _2097_;
wire _2098_;
wire _2099_;
wire _2100_;
wire _2101_;
wire _2102_;
wire _2103_;
wire _2104_;
wire _2105_;
wire _2106_;
wire _2107_;
wire _2108_;
wire _2109_;
wire _2110_;
wire _2111_;
wire _2112_;
wire _2113_;
wire _2114_;
wire _2115_;
wire _2116_;
wire _2117_;
wire _2118_;
wire _2119_;
wire _2120_;
wire _2121_;
wire _2122_;
wire _2123_;
wire _2124_;
wire _2125_;
wire _2126_;
wire _2127_;
wire _2128_;
wire _2129_;
wire _2130_;
wire _2131_;
wire _2132_;
wire _2133_;
wire _2134_;
wire _2135_;
wire _2136_;
wire _2137_;
wire _2138_;
wire _2139_;
wire _2140_;
wire _2141_;
wire _2142_;
wire _2143_;
wire _2144_;
wire _2145_;
wire _2146_;
wire _2147_;
wire _2148_;
wire _2149_;
wire _2150_;
wire _2151_;
wire _2152_;
wire _2153_;
wire _2154_;
wire _2155_;
wire _2156_;
wire _2157_;
wire _2158_;
wire _2159_;
wire _2160_;
wire _2161_;
wire _2162_;
wire _2163_;
wire _2164_;
wire _2165_;
wire _2166_;
wire _2167_;
wire _2168_;
wire _2169_;
wire _2170_;
wire _2171_;
wire _2172_;
wire _2173_;
wire _2174_;
wire _2175_;
wire _2176_;
wire _2177_;
wire _2178_;
wire _2179_;
wire _2180_;
wire _2181_;
wire _2182_;
wire _2183_;
wire _2184_;
wire _2185_;
wire _2186_;
wire _2187_;
wire _2188_;
wire _2189_;
wire _2190_;
wire _2191_;
wire _2192_;
wire _2193_;
wire _2194_;
wire _2195_;
wire _2196_;
wire _2197_;
wire _2198_;
wire _2199_;
wire _2200_;
wire _2201_;
wire _2202_;
wire _2203_;
wire _2204_;
wire _2205_;
wire _2206_;
wire _2207_;
wire _2208_;
wire _2209_;
wire _2210_;
wire _2211_;
wire _2212_;
wire _2213_;
wire _2214_;
wire _2215_;
wire _2216_;
wire _2217_;
wire _2218_;
wire _2219_;
wire _2220_;
wire _2221_;
wire _2222_;
wire _2223_;
wire _2224_;
wire _2225_;
wire _2226_;
wire _2227_;
wire _2228_;
wire _2229_;
wire _2230_;
wire _2231_;
wire _2232_;
wire _2233_;
wire _2234_;
wire _2235_;
wire _2236_;
wire _2237_;
wire _2238_;
wire _2239_;
wire _2240_;
wire _2241_;
wire _2242_;
wire _2243_;
wire _2244_;
wire _2245_;
wire _2246_;
wire _2247_;
wire _2248_;
wire _2249_;
wire _2250_;
wire _2251_;
wire _2252_;
wire _2253_;
wire \clknet_0_CONTROL_LOGIC.clk ;
wire \clknet_1_0_0_CONTROL_LOGIC.clk ;
wire \clknet_1_0_1_CONTROL_LOGIC.clk ;
wire \clknet_1_0_2_CONTROL_LOGIC.clk ;
wire \clknet_1_0_3_CONTROL_LOGIC.clk ;
wire \clknet_1_0_4_CONTROL_LOGIC.clk ;
wire \clknet_1_0_5_CONTROL_LOGIC.clk ;
wire \clknet_1_0_6_CONTROL_LOGIC.clk ;
wire \clknet_1_0_7_CONTROL_LOGIC.clk ;
wire \clknet_1_1_0_CONTROL_LOGIC.clk ;
wire \clknet_1_1_1_CONTROL_LOGIC.clk ;
wire \clknet_1_1_2_CONTROL_LOGIC.clk ;
wire \clknet_1_1_3_CONTROL_LOGIC.clk ;
wire \clknet_1_1_4_CONTROL_LOGIC.clk ;
wire \clknet_1_1_5_CONTROL_LOGIC.clk ;
wire \clknet_1_1_6_CONTROL_LOGIC.clk ;
wire \clknet_1_1_7_CONTROL_LOGIC.clk ;
wire \clknet_2_0_0_CONTROL_LOGIC.clk ;
wire \clknet_2_0_1_CONTROL_LOGIC.clk ;
wire \clknet_2_0_2_CONTROL_LOGIC.clk ;
wire \clknet_2_0_3_CONTROL_LOGIC.clk ;
wire \clknet_2_0_4_CONTROL_LOGIC.clk ;
wire \clknet_2_0_5_CONTROL_LOGIC.clk ;
wire \clknet_2_1_0_CONTROL_LOGIC.clk ;
wire \clknet_2_1_1_CONTROL_LOGIC.clk ;
wire \clknet_2_1_2_CONTROL_LOGIC.clk ;
wire \clknet_2_1_3_CONTROL_LOGIC.clk ;
wire \clknet_2_1_4_CONTROL_LOGIC.clk ;
wire \clknet_2_1_5_CONTROL_LOGIC.clk ;
wire \clknet_2_2_0_CONTROL_LOGIC.clk ;
wire \clknet_2_2_1_CONTROL_LOGIC.clk ;
wire \clknet_2_2_2_CONTROL_LOGIC.clk ;
wire \clknet_2_2_3_CONTROL_LOGIC.clk ;
wire \clknet_2_2_4_CONTROL_LOGIC.clk ;
wire \clknet_2_2_5_CONTROL_LOGIC.clk ;
wire \clknet_2_3_0_CONTROL_LOGIC.clk ;
wire \clknet_2_3_1_CONTROL_LOGIC.clk ;
wire \clknet_2_3_2_CONTROL_LOGIC.clk ;
wire \clknet_2_3_3_CONTROL_LOGIC.clk ;
wire \clknet_2_3_4_CONTROL_LOGIC.clk ;
wire \clknet_2_3_5_CONTROL_LOGIC.clk ;
wire \clknet_3_0_0_CONTROL_LOGIC.clk ;
wire \clknet_3_0_1_CONTROL_LOGIC.clk ;
wire \clknet_3_0_2_CONTROL_LOGIC.clk ;
wire \clknet_3_0_3_CONTROL_LOGIC.clk ;
wire \clknet_3_1_0_CONTROL_LOGIC.clk ;
wire \clknet_3_1_1_CONTROL_LOGIC.clk ;
wire \clknet_3_1_2_CONTROL_LOGIC.clk ;
wire \clknet_3_1_3_CONTROL_LOGIC.clk ;
wire \clknet_3_2_0_CONTROL_LOGIC.clk ;
wire \clknet_3_2_1_CONTROL_LOGIC.clk ;
wire \clknet_3_2_2_CONTROL_LOGIC.clk ;
wire \clknet_3_2_3_CONTROL_LOGIC.clk ;
wire \clknet_3_3_0_CONTROL_LOGIC.clk ;
wire \clknet_3_3_1_CONTROL_LOGIC.clk ;
wire \clknet_3_3_2_CONTROL_LOGIC.clk ;
wire \clknet_3_3_3_CONTROL_LOGIC.clk ;
wire \clknet_3_4_0_CONTROL_LOGIC.clk ;
wire \clknet_3_4_1_CONTROL_LOGIC.clk ;
wire \clknet_3_4_2_CONTROL_LOGIC.clk ;
wire \clknet_3_4_3_CONTROL_LOGIC.clk ;
wire \clknet_3_5_0_CONTROL_LOGIC.clk ;
wire \clknet_3_5_1_CONTROL_LOGIC.clk ;
wire \clknet_3_5_2_CONTROL_LOGIC.clk ;
wire \clknet_3_5_3_CONTROL_LOGIC.clk ;
wire \clknet_3_6_0_CONTROL_LOGIC.clk ;
wire \clknet_3_6_1_CONTROL_LOGIC.clk ;
wire \clknet_3_6_2_CONTROL_LOGIC.clk ;
wire \clknet_3_6_3_CONTROL_LOGIC.clk ;
wire \clknet_3_7_0_CONTROL_LOGIC.clk ;
wire \clknet_3_7_1_CONTROL_LOGIC.clk ;
wire \clknet_3_7_2_CONTROL_LOGIC.clk ;
wire \clknet_3_7_3_CONTROL_LOGIC.clk ;
wire \clknet_4_0_0_CONTROL_LOGIC.clk ;
wire \clknet_4_0_1_CONTROL_LOGIC.clk ;
wire \clknet_4_0_2_CONTROL_LOGIC.clk ;
wire \clknet_4_10_0_CONTROL_LOGIC.clk ;
wire \clknet_4_10_1_CONTROL_LOGIC.clk ;
wire \clknet_4_10_2_CONTROL_LOGIC.clk ;
wire \clknet_4_11_0_CONTROL_LOGIC.clk ;
wire \clknet_4_11_1_CONTROL_LOGIC.clk ;
wire \clknet_4_11_2_CONTROL_LOGIC.clk ;
wire \clknet_4_12_0_CONTROL_LOGIC.clk ;
wire \clknet_4_12_1_CONTROL_LOGIC.clk ;
wire \clknet_4_12_2_CONTROL_LOGIC.clk ;
wire \clknet_4_13_0_CONTROL_LOGIC.clk ;
wire \clknet_4_13_1_CONTROL_LOGIC.clk ;
wire \clknet_4_13_2_CONTROL_LOGIC.clk ;
wire \clknet_4_14_0_CONTROL_LOGIC.clk ;
wire \clknet_4_14_1_CONTROL_LOGIC.clk ;
wire \clknet_4_14_2_CONTROL_LOGIC.clk ;
wire \clknet_4_15_0_CONTROL_LOGIC.clk ;
wire \clknet_4_15_1_CONTROL_LOGIC.clk ;
wire \clknet_4_15_2_CONTROL_LOGIC.clk ;
wire \clknet_4_1_0_CONTROL_LOGIC.clk ;
wire \clknet_4_1_1_CONTROL_LOGIC.clk ;
wire \clknet_4_1_2_CONTROL_LOGIC.clk ;
wire \clknet_4_2_0_CONTROL_LOGIC.clk ;
wire \clknet_4_2_1_CONTROL_LOGIC.clk ;
wire \clknet_4_2_2_CONTROL_LOGIC.clk ;
wire \clknet_4_3_0_CONTROL_LOGIC.clk ;
wire \clknet_4_3_1_CONTROL_LOGIC.clk ;
wire \clknet_4_3_2_CONTROL_LOGIC.clk ;
wire \clknet_4_4_0_CONTROL_LOGIC.clk ;
wire \clknet_4_4_1_CONTROL_LOGIC.clk ;
wire \clknet_4_4_2_CONTROL_LOGIC.clk ;
wire \clknet_4_5_0_CONTROL_LOGIC.clk ;
wire \clknet_4_5_1_CONTROL_LOGIC.clk ;
wire \clknet_4_5_2_CONTROL_LOGIC.clk ;
wire \clknet_4_6_0_CONTROL_LOGIC.clk ;
wire \clknet_4_6_1_CONTROL_LOGIC.clk ;
wire \clknet_4_6_2_CONTROL_LOGIC.clk ;
wire \clknet_4_7_0_CONTROL_LOGIC.clk ;
wire \clknet_4_7_1_CONTROL_LOGIC.clk ;
wire \clknet_4_7_2_CONTROL_LOGIC.clk ;
wire \clknet_4_8_0_CONTROL_LOGIC.clk ;
wire \clknet_4_8_1_CONTROL_LOGIC.clk ;
wire \clknet_4_8_2_CONTROL_LOGIC.clk ;
wire \clknet_4_9_0_CONTROL_LOGIC.clk ;
wire \clknet_4_9_1_CONTROL_LOGIC.clk ;
wire \clknet_4_9_2_CONTROL_LOGIC.clk ;
wire \clknet_5_0_0_CONTROL_LOGIC.clk ;
wire \clknet_5_0_1_CONTROL_LOGIC.clk ;
wire \clknet_5_10_0_CONTROL_LOGIC.clk ;
wire \clknet_5_10_1_CONTROL_LOGIC.clk ;
wire \clknet_5_11_0_CONTROL_LOGIC.clk ;
wire \clknet_5_11_1_CONTROL_LOGIC.clk ;
wire \clknet_5_12_0_CONTROL_LOGIC.clk ;
wire \clknet_5_12_1_CONTROL_LOGIC.clk ;
wire \clknet_5_13_0_CONTROL_LOGIC.clk ;
wire \clknet_5_13_1_CONTROL_LOGIC.clk ;
wire \clknet_5_14_0_CONTROL_LOGIC.clk ;
wire \clknet_5_14_1_CONTROL_LOGIC.clk ;
wire \clknet_5_15_0_CONTROL_LOGIC.clk ;
wire \clknet_5_15_1_CONTROL_LOGIC.clk ;
wire \clknet_5_16_0_CONTROL_LOGIC.clk ;
wire \clknet_5_16_1_CONTROL_LOGIC.clk ;
wire \clknet_5_17_0_CONTROL_LOGIC.clk ;
wire \clknet_5_17_1_CONTROL_LOGIC.clk ;
wire \clknet_5_18_0_CONTROL_LOGIC.clk ;
wire \clknet_5_18_1_CONTROL_LOGIC.clk ;
wire \clknet_5_19_0_CONTROL_LOGIC.clk ;
wire \clknet_5_19_1_CONTROL_LOGIC.clk ;
wire \clknet_5_1_0_CONTROL_LOGIC.clk ;
wire \clknet_5_1_1_CONTROL_LOGIC.clk ;
wire \clknet_5_20_0_CONTROL_LOGIC.clk ;
wire \clknet_5_20_1_CONTROL_LOGIC.clk ;
wire \clknet_5_21_0_CONTROL_LOGIC.clk ;
wire \clknet_5_21_1_CONTROL_LOGIC.clk ;
wire \clknet_5_22_0_CONTROL_LOGIC.clk ;
wire \clknet_5_22_1_CONTROL_LOGIC.clk ;
wire \clknet_5_23_0_CONTROL_LOGIC.clk ;
wire \clknet_5_23_1_CONTROL_LOGIC.clk ;
wire \clknet_5_24_0_CONTROL_LOGIC.clk ;
wire \clknet_5_24_1_CONTROL_LOGIC.clk ;
wire \clknet_5_25_0_CONTROL_LOGIC.clk ;
wire \clknet_5_25_1_CONTROL_LOGIC.clk ;
wire \clknet_5_26_0_CONTROL_LOGIC.clk ;
wire \clknet_5_26_1_CONTROL_LOGIC.clk ;
wire \clknet_5_27_0_CONTROL_LOGIC.clk ;
wire \clknet_5_27_1_CONTROL_LOGIC.clk ;
wire \clknet_5_28_0_CONTROL_LOGIC.clk ;
wire \clknet_5_28_1_CONTROL_LOGIC.clk ;
wire \clknet_5_29_0_CONTROL_LOGIC.clk ;
wire \clknet_5_29_1_CONTROL_LOGIC.clk ;
wire \clknet_5_2_0_CONTROL_LOGIC.clk ;
wire \clknet_5_2_1_CONTROL_LOGIC.clk ;
wire \clknet_5_30_0_CONTROL_LOGIC.clk ;
wire \clknet_5_30_1_CONTROL_LOGIC.clk ;
wire \clknet_5_31_0_CONTROL_LOGIC.clk ;
wire \clknet_5_31_1_CONTROL_LOGIC.clk ;
wire \clknet_5_3_0_CONTROL_LOGIC.clk ;
wire \clknet_5_3_1_CONTROL_LOGIC.clk ;
wire \clknet_5_4_0_CONTROL_LOGIC.clk ;
wire \clknet_5_4_1_CONTROL_LOGIC.clk ;
wire \clknet_5_5_0_CONTROL_LOGIC.clk ;
wire \clknet_5_5_1_CONTROL_LOGIC.clk ;
wire \clknet_5_6_0_CONTROL_LOGIC.clk ;
wire \clknet_5_6_1_CONTROL_LOGIC.clk ;
wire \clknet_5_7_0_CONTROL_LOGIC.clk ;
wire \clknet_5_7_1_CONTROL_LOGIC.clk ;
wire \clknet_5_8_0_CONTROL_LOGIC.clk ;
wire \clknet_5_8_1_CONTROL_LOGIC.clk ;
wire \clknet_5_9_0_CONTROL_LOGIC.clk ;
wire \clknet_5_9_1_CONTROL_LOGIC.clk ;
wire \clknet_leaf_0_CONTROL_LOGIC.clk ;
wire \clknet_leaf_101_CONTROL_LOGIC.clk ;
wire \clknet_leaf_103_CONTROL_LOGIC.clk ;
wire \clknet_leaf_104_CONTROL_LOGIC.clk ;
wire \clknet_leaf_107_CONTROL_LOGIC.clk ;
wire \clknet_leaf_110_CONTROL_LOGIC.clk ;
wire \clknet_leaf_112_CONTROL_LOGIC.clk ;
wire \clknet_leaf_113_CONTROL_LOGIC.clk ;
wire \clknet_leaf_117_CONTROL_LOGIC.clk ;
wire \clknet_leaf_118_CONTROL_LOGIC.clk ;
wire \clknet_leaf_11_CONTROL_LOGIC.clk ;
wire \clknet_leaf_122_CONTROL_LOGIC.clk ;
wire \clknet_leaf_123_CONTROL_LOGIC.clk ;
wire \clknet_leaf_127_CONTROL_LOGIC.clk ;
wire \clknet_leaf_129_CONTROL_LOGIC.clk ;
wire \clknet_leaf_12_CONTROL_LOGIC.clk ;
wire \clknet_leaf_134_CONTROL_LOGIC.clk ;
wire \clknet_leaf_136_CONTROL_LOGIC.clk ;
wire \clknet_leaf_137_CONTROL_LOGIC.clk ;
wire \clknet_leaf_138_CONTROL_LOGIC.clk ;
wire \clknet_leaf_139_CONTROL_LOGIC.clk ;
wire \clknet_leaf_13_CONTROL_LOGIC.clk ;
wire \clknet_leaf_140_CONTROL_LOGIC.clk ;
wire \clknet_leaf_141_CONTROL_LOGIC.clk ;
wire \clknet_leaf_144_CONTROL_LOGIC.clk ;
wire \clknet_leaf_145_CONTROL_LOGIC.clk ;
wire \clknet_leaf_146_CONTROL_LOGIC.clk ;
wire \clknet_leaf_147_CONTROL_LOGIC.clk ;
wire \clknet_leaf_148_CONTROL_LOGIC.clk ;
wire \clknet_leaf_149_CONTROL_LOGIC.clk ;
wire \clknet_leaf_14_CONTROL_LOGIC.clk ;
wire \clknet_leaf_150_CONTROL_LOGIC.clk ;
wire \clknet_leaf_151_CONTROL_LOGIC.clk ;
wire \clknet_leaf_152_CONTROL_LOGIC.clk ;
wire \clknet_leaf_155_CONTROL_LOGIC.clk ;
wire \clknet_leaf_156_CONTROL_LOGIC.clk ;
wire \clknet_leaf_157_CONTROL_LOGIC.clk ;
wire \clknet_leaf_158_CONTROL_LOGIC.clk ;
wire \clknet_leaf_15_CONTROL_LOGIC.clk ;
wire \clknet_leaf_162_CONTROL_LOGIC.clk ;
wire \clknet_leaf_163_CONTROL_LOGIC.clk ;
wire \clknet_leaf_165_CONTROL_LOGIC.clk ;
wire \clknet_leaf_166_CONTROL_LOGIC.clk ;
wire \clknet_leaf_167_CONTROL_LOGIC.clk ;
wire \clknet_leaf_168_CONTROL_LOGIC.clk ;
wire \clknet_leaf_169_CONTROL_LOGIC.clk ;
wire \clknet_leaf_16_CONTROL_LOGIC.clk ;
wire \clknet_leaf_170_CONTROL_LOGIC.clk ;
wire \clknet_leaf_171_CONTROL_LOGIC.clk ;
wire \clknet_leaf_176_CONTROL_LOGIC.clk ;
wire \clknet_leaf_177_CONTROL_LOGIC.clk ;
wire \clknet_leaf_178_CONTROL_LOGIC.clk ;
wire \clknet_leaf_17_CONTROL_LOGIC.clk ;
wire \clknet_leaf_185_CONTROL_LOGIC.clk ;
wire \clknet_leaf_187_CONTROL_LOGIC.clk ;
wire \clknet_leaf_188_CONTROL_LOGIC.clk ;
wire \clknet_leaf_189_CONTROL_LOGIC.clk ;
wire \clknet_leaf_18_CONTROL_LOGIC.clk ;
wire \clknet_leaf_190_CONTROL_LOGIC.clk ;
wire \clknet_leaf_191_CONTROL_LOGIC.clk ;
wire \clknet_leaf_192_CONTROL_LOGIC.clk ;
wire \clknet_leaf_194_CONTROL_LOGIC.clk ;
wire \clknet_leaf_195_CONTROL_LOGIC.clk ;
wire \clknet_leaf_198_CONTROL_LOGIC.clk ;
wire \clknet_leaf_19_CONTROL_LOGIC.clk ;
wire \clknet_leaf_200_CONTROL_LOGIC.clk ;
wire \clknet_leaf_201_CONTROL_LOGIC.clk ;
wire \clknet_leaf_202_CONTROL_LOGIC.clk ;
wire \clknet_leaf_203_CONTROL_LOGIC.clk ;
wire \clknet_leaf_204_CONTROL_LOGIC.clk ;
wire \clknet_leaf_205_CONTROL_LOGIC.clk ;
wire \clknet_leaf_206_CONTROL_LOGIC.clk ;
wire \clknet_leaf_208_CONTROL_LOGIC.clk ;
wire \clknet_leaf_209_CONTROL_LOGIC.clk ;
wire \clknet_leaf_20_CONTROL_LOGIC.clk ;
wire \clknet_leaf_210_CONTROL_LOGIC.clk ;
wire \clknet_leaf_211_CONTROL_LOGIC.clk ;
wire \clknet_leaf_212_CONTROL_LOGIC.clk ;
wire \clknet_leaf_213_CONTROL_LOGIC.clk ;
wire \clknet_leaf_215_CONTROL_LOGIC.clk ;
wire \clknet_leaf_216_CONTROL_LOGIC.clk ;
wire \clknet_leaf_218_CONTROL_LOGIC.clk ;
wire \clknet_leaf_219_CONTROL_LOGIC.clk ;
wire \clknet_leaf_21_CONTROL_LOGIC.clk ;
wire \clknet_leaf_220_CONTROL_LOGIC.clk ;
wire \clknet_leaf_221_CONTROL_LOGIC.clk ;
wire \clknet_leaf_225_CONTROL_LOGIC.clk ;
wire \clknet_leaf_226_CONTROL_LOGIC.clk ;
wire \clknet_leaf_22_CONTROL_LOGIC.clk ;
wire \clknet_leaf_23_CONTROL_LOGIC.clk ;
wire \clknet_leaf_24_CONTROL_LOGIC.clk ;
wire \clknet_leaf_25_CONTROL_LOGIC.clk ;
wire \clknet_leaf_27_CONTROL_LOGIC.clk ;
wire \clknet_leaf_28_CONTROL_LOGIC.clk ;
wire \clknet_leaf_29_CONTROL_LOGIC.clk ;
wire \clknet_leaf_2_CONTROL_LOGIC.clk ;
wire \clknet_leaf_33_CONTROL_LOGIC.clk ;
wire \clknet_leaf_34_CONTROL_LOGIC.clk ;
wire \clknet_leaf_35_CONTROL_LOGIC.clk ;
wire \clknet_leaf_36_CONTROL_LOGIC.clk ;
wire \clknet_leaf_37_CONTROL_LOGIC.clk ;
wire \clknet_leaf_39_CONTROL_LOGIC.clk ;
wire \clknet_leaf_3_CONTROL_LOGIC.clk ;
wire \clknet_leaf_45_CONTROL_LOGIC.clk ;
wire \clknet_leaf_46_CONTROL_LOGIC.clk ;
wire \clknet_leaf_48_CONTROL_LOGIC.clk ;
wire \clknet_leaf_49_CONTROL_LOGIC.clk ;
wire \clknet_leaf_4_CONTROL_LOGIC.clk ;
wire \clknet_leaf_50_CONTROL_LOGIC.clk ;
wire \clknet_leaf_51_CONTROL_LOGIC.clk ;
wire \clknet_leaf_52_CONTROL_LOGIC.clk ;
wire \clknet_leaf_53_CONTROL_LOGIC.clk ;
wire \clknet_leaf_54_CONTROL_LOGIC.clk ;
wire \clknet_leaf_55_CONTROL_LOGIC.clk ;
wire \clknet_leaf_56_CONTROL_LOGIC.clk ;
wire \clknet_leaf_57_CONTROL_LOGIC.clk ;
wire \clknet_leaf_58_CONTROL_LOGIC.clk ;
wire \clknet_leaf_5_CONTROL_LOGIC.clk ;
wire \clknet_leaf_61_CONTROL_LOGIC.clk ;
wire \clknet_leaf_62_CONTROL_LOGIC.clk ;
wire \clknet_leaf_66_CONTROL_LOGIC.clk ;
wire \clknet_leaf_67_CONTROL_LOGIC.clk ;
wire \clknet_leaf_6_CONTROL_LOGIC.clk ;
wire \clknet_leaf_70_CONTROL_LOGIC.clk ;
wire \clknet_leaf_71_CONTROL_LOGIC.clk ;
wire \clknet_leaf_72_CONTROL_LOGIC.clk ;
wire \clknet_leaf_73_CONTROL_LOGIC.clk ;
wire \clknet_leaf_74_CONTROL_LOGIC.clk ;
wire \clknet_leaf_75_CONTROL_LOGIC.clk ;
wire \clknet_leaf_76_CONTROL_LOGIC.clk ;
wire \clknet_leaf_77_CONTROL_LOGIC.clk ;
wire \clknet_leaf_7_CONTROL_LOGIC.clk ;
wire \clknet_leaf_81_CONTROL_LOGIC.clk ;
wire \clknet_leaf_84_CONTROL_LOGIC.clk ;
wire \clknet_leaf_86_CONTROL_LOGIC.clk ;
wire \clknet_leaf_87_CONTROL_LOGIC.clk ;
wire \clknet_leaf_88_CONTROL_LOGIC.clk ;
wire \clknet_leaf_89_CONTROL_LOGIC.clk ;
wire \clknet_leaf_8_CONTROL_LOGIC.clk ;
wire \clknet_leaf_90_CONTROL_LOGIC.clk ;
wire \clknet_leaf_92_CONTROL_LOGIC.clk ;
wire \clknet_leaf_93_CONTROL_LOGIC.clk ;
wire \clknet_leaf_94_CONTROL_LOGIC.clk ;
wire \clknet_leaf_99_CONTROL_LOGIC.clk ;
wire \clknet_leaf_9_CONTROL_LOGIC.clk ;
wire \clknet_opt_10_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_10_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_10_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_10_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_10_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_10_5_CONTROL_LOGIC.clk ;
wire \clknet_opt_11_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_11_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_11_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_11_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_12_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_12_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_12_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_12_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_12_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_12_5_CONTROL_LOGIC.clk ;
wire \clknet_opt_12_6_CONTROL_LOGIC.clk ;
wire \clknet_opt_12_7_CONTROL_LOGIC.clk ;
wire \clknet_opt_1_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_1_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_1_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_1_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_1_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_2_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_2_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_2_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_2_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_3_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_3_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_3_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_3_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_3_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_4_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_4_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_4_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_4_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_4_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_5_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_5_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_5_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_5_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_5_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_5_5_CONTROL_LOGIC.clk ;
wire \clknet_opt_6_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_6_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_6_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_6_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_5_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_6_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_7_CONTROL_LOGIC.clk ;
wire \clknet_opt_7_8_CONTROL_LOGIC.clk ;
wire \clknet_opt_8_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_8_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_8_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_8_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_8_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_9_0_CONTROL_LOGIC.clk ;
wire \clknet_opt_9_1_CONTROL_LOGIC.clk ;
wire \clknet_opt_9_2_CONTROL_LOGIC.clk ;
wire \clknet_opt_9_3_CONTROL_LOGIC.clk ;
wire \clknet_opt_9_4_CONTROL_LOGIC.clk ;
wire \clknet_opt_9_5_CONTROL_LOGIC.clk ;
wire \clknet_opt_9_6_CONTROL_LOGIC.clk ;
wire disconn10;
wire disconn11;
wire disconn12;
wire disconn8;
wire disconn9;
wire net1;
wire net10;
wire net100;
wire net101;
wire net102;
wire net103;
wire net104;
wire net105;
wire net106;
wire net107;
wire net108;
wire net109;
wire net11;
wire net110;
wire net111;
wire net112;
wire net113;
wire net114;
wire net115;
wire net116;
wire net117;
wire net118;
wire net119;
wire net12;
wire net120;
wire net121;
wire net122;
wire net123;
wire net124;
wire net125;
wire net126;
wire net127;
wire net128;
wire net129;
wire net13;
wire net130;
wire net131;
wire net132;
wire net133;
wire net134;
wire net135;
wire net136;
wire net137;
wire net138;
wire net139;
wire net14;
wire net140;
wire net141;
wire net142;
wire net143;
wire net144;
wire net145;
wire net146;
wire net147;
wire net148;
wire net149;
wire net15;
wire net150;
wire net151;
wire net152;
wire net153;
wire net154;
wire net155;
wire net156;
wire net157;
wire net158;
wire net159;
wire net16;
wire net160;
wire net161;
wire net162;
wire net163;
wire net164;
wire net165;
wire net166;
wire net167;
wire net168;
wire net169;
wire net17;
wire net170;
wire net171;
wire net172;
wire net173;
wire net174;
wire net175;
wire net176;
wire net177;
wire net178;
wire net179;
wire net18;
wire net180;
wire net181;
wire net182;
wire net183;
wire net184;
wire net185;
wire net186;
wire net187;
wire net188;
wire net189;
wire net19;
wire net190;
wire net191;
wire net192;
wire net193;
wire net194;
wire net195;
wire net196;
wire net197;
wire net198;
wire net199;
wire net2;
wire net20;
wire net200;
wire net201;
wire net202;
wire net203;
wire net204;
wire net205;
wire net206;
wire net207;
wire net208;
wire net209;
wire net21;
wire net210;
wire net211;
wire net212;
wire net213;
wire net214;
wire net215;
wire net216;
wire net217;
wire net218;
wire net219;
wire net22;
wire net220;
wire net221;
wire net222;
wire net223;
wire net224;
wire net225;
wire net226;
wire net227;
wire net228;
wire net229;
wire net23;
wire net230;
wire net231;
wire net232;
wire net233;
wire net234;
wire net235;
wire net236;
wire net237;
wire net238;
wire net239;
wire net24;
wire net240;
wire net241;
wire net242;
wire net243;
wire net244;
wire net245;
wire net246;
wire net247;
wire net248;
wire net249;
wire net25;
wire net250;
wire net251;
wire net252;
wire net253;
wire net254;
wire net255;
wire net256;
wire net257;
wire net258;
wire net259;
wire net26;
wire net260;
wire net261;
wire net262;
wire net263;
wire net264;
wire net265;
wire net266;
wire net267;
wire net268;
wire net269;
wire net27;
wire net270;
wire net271;
wire net272;
wire net273;
wire net274;
wire net275;
wire net276;
wire net277;
wire net278;
wire net279;
wire net28;
wire net280;
wire net281;
wire net282;
wire net283;
wire net284;
wire net285;
wire net286;
wire net287;
wire net288;
wire net289;
wire net29;
wire net290;
wire net291;
wire net292;
wire net293;
wire net294;
wire net295;
wire net296;
wire net297;
wire net298;
wire net299;
wire net3;
wire net30;
wire net300;
wire net301;
wire net302;
wire net303;
wire net304;
wire net305;
wire net306;
wire net307;
wire net308;
wire net309;
wire net31;
wire net310;
wire net311;
wire net312;
wire net313;
wire net314;
wire net315;
wire net316;
wire net317;
wire net318;
wire net319;
wire net32;
wire net320;
wire net321;
wire net322;
wire net323;
wire net324;
wire net325;
wire net326;
wire net327;
wire net328;
wire net329;
wire net33;
wire net330;
wire net331;
wire net332;
wire net333;
wire net334;
wire net335;
wire net336;
wire net337;
wire net338;
wire net339;
wire net34;
wire net340;
wire net341;
wire net342;
wire net343;
wire net344;
wire net345;
wire net346;
wire net347;
wire net348;
wire net349;
wire net35;
wire net350;
wire net351;
wire net352;
wire net353;
wire net354;
wire net355;
wire net356;
wire net357;
wire net358;
wire net359;
wire net36;
wire net360;
wire net361;
wire net362;
wire net363;
wire net364;
wire net365;
wire net366;
wire net367;
wire net368;
wire net369;
wire net37;
wire net370;
wire net371;
wire net372;
wire net373;
wire net374;
wire net375;
wire net376;
wire net377;
wire net378;
wire net379;
wire net38;
wire net380;
wire net381;
wire net382;
wire net383;
wire net384;
wire net385;
wire net386;
wire net387;
wire net388;
wire net389;
wire net39;
wire net390;
wire net391;
wire net392;
wire net393;
wire net394;
wire net395;
wire net396;
wire net397;
wire net398;
wire net399;
wire net4;
wire net40;
wire net400;
wire net401;
wire net402;
wire net403;
wire net404;
wire net405;
wire net406;
wire net407;
wire net408;
wire net409;
wire net41;
wire net410;
wire net411;
wire net412;
wire net413;
wire net414;
wire net415;
wire net416;
wire net417;
wire net418;
wire net419;
wire net42;
wire net420;
wire net421;
wire net422;
wire net423;
wire net424;
wire net425;
wire net426;
wire net427;
wire net428;
wire net429;
wire net43;
wire net430;
wire net431;
wire net432;
wire net44;
wire net45;
wire net46;
wire net47;
wire net48;
wire net49;
wire net5;
wire net50;
wire net51;
wire net52;
wire net53;
wire net54;
wire net55;
wire net56;
wire net57;
wire net58;
wire net59;
wire net6;
wire net60;
wire net61;
wire net62;
wire net63;
wire net64;
wire net65;
wire net66;
wire net67;
wire net68;
wire net69;
wire net7;
wire net70;
wire net71;
wire net72;
wire net73;
wire net74;
wire net75;
wire net76;
wire net77;
wire net78;
wire net79;
wire net8;
wire net80;
wire net81;
wire net82;
wire net83;
wire net84;
wire net85;
wire net86;
wire net87;
wire net88;
wire net89;
wire net9;
wire net90;
wire net91;
wire net92;
wire net93;
wire net94;
wire net95;
wire net96;
wire net97;
wire net98;
wire net99;
wire \sram0_dout0[0] ;
wire \sram0_dout0[1] ;
wire \sram0_dout0[2] ;
wire \sram0_dout0[3] ;
wire \sram0_dout0[4] ;
wire \sram0_dout0[5] ;
wire \sram0_dout0[6] ;
wire \sram0_dout0[7] ;
wire \sram0_dout1[0] ;
wire \sram0_dout1[1] ;
wire \sram0_dout1[2] ;
wire \sram0_dout1[3] ;
wire \sram0_dout1[4] ;
wire \sram0_dout1[5] ;
wire \sram0_dout1[6] ;
wire \sram0_dout1[7] ;
wire \sram10_dout0[0] ;
wire \sram10_dout0[10] ;
wire \sram10_dout0[11] ;
wire \sram10_dout0[12] ;
wire \sram10_dout0[13] ;
wire \sram10_dout0[14] ;
wire \sram10_dout0[15] ;
wire \sram10_dout0[16] ;
wire \sram10_dout0[17] ;
wire \sram10_dout0[18] ;
wire \sram10_dout0[19] ;
wire \sram10_dout0[1] ;
wire \sram10_dout0[20] ;
wire \sram10_dout0[21] ;
wire \sram10_dout0[22] ;
wire \sram10_dout0[23] ;
wire \sram10_dout0[24] ;
wire \sram10_dout0[25] ;
wire \sram10_dout0[26] ;
wire \sram10_dout0[27] ;
wire \sram10_dout0[28] ;
wire \sram10_dout0[29] ;
wire \sram10_dout0[2] ;
wire \sram10_dout0[30] ;
wire \sram10_dout0[31] ;
wire \sram10_dout0[3] ;
wire \sram10_dout0[4] ;
wire \sram10_dout0[5] ;
wire \sram10_dout0[6] ;
wire \sram10_dout0[7] ;
wire \sram10_dout0[8] ;
wire \sram10_dout0[9] ;
wire \sram11_dout0[0] ;
wire \sram11_dout0[10] ;
wire \sram11_dout0[11] ;
wire \sram11_dout0[12] ;
wire \sram11_dout0[13] ;
wire \sram11_dout0[14] ;
wire \sram11_dout0[15] ;
wire \sram11_dout0[16] ;
wire \sram11_dout0[17] ;
wire \sram11_dout0[18] ;
wire \sram11_dout0[19] ;
wire \sram11_dout0[1] ;
wire \sram11_dout0[20] ;
wire \sram11_dout0[21] ;
wire \sram11_dout0[22] ;
wire \sram11_dout0[23] ;
wire \sram11_dout0[24] ;
wire \sram11_dout0[25] ;
wire \sram11_dout0[26] ;
wire \sram11_dout0[27] ;
wire \sram11_dout0[28] ;
wire \sram11_dout0[29] ;
wire \sram11_dout0[2] ;
wire \sram11_dout0[30] ;
wire \sram11_dout0[31] ;
wire \sram11_dout0[3] ;
wire \sram11_dout0[4] ;
wire \sram11_dout0[5] ;
wire \sram11_dout0[6] ;
wire \sram11_dout0[7] ;
wire \sram11_dout0[8] ;
wire \sram11_dout0[9] ;
wire \sram12_dout0[0] ;
wire \sram12_dout0[10] ;
wire \sram12_dout0[11] ;
wire \sram12_dout0[12] ;
wire \sram12_dout0[13] ;
wire \sram12_dout0[14] ;
wire \sram12_dout0[15] ;
wire \sram12_dout0[16] ;
wire \sram12_dout0[17] ;
wire \sram12_dout0[18] ;
wire \sram12_dout0[19] ;
wire \sram12_dout0[1] ;
wire \sram12_dout0[20] ;
wire \sram12_dout0[21] ;
wire \sram12_dout0[22] ;
wire \sram12_dout0[23] ;
wire \sram12_dout0[24] ;
wire \sram12_dout0[25] ;
wire \sram12_dout0[26] ;
wire \sram12_dout0[27] ;
wire \sram12_dout0[28] ;
wire \sram12_dout0[29] ;
wire \sram12_dout0[2] ;
wire \sram12_dout0[30] ;
wire \sram12_dout0[31] ;
wire \sram12_dout0[3] ;
wire \sram12_dout0[4] ;
wire \sram12_dout0[5] ;
wire \sram12_dout0[6] ;
wire \sram12_dout0[7] ;
wire \sram12_dout0[8] ;
wire \sram12_dout0[9] ;
wire \sram1_dout0[0] ;
wire \sram1_dout0[10] ;
wire \sram1_dout0[11] ;
wire \sram1_dout0[12] ;
wire \sram1_dout0[13] ;
wire \sram1_dout0[14] ;
wire \sram1_dout0[15] ;
wire \sram1_dout0[16] ;
wire \sram1_dout0[17] ;
wire \sram1_dout0[18] ;
wire \sram1_dout0[19] ;
wire \sram1_dout0[1] ;
wire \sram1_dout0[20] ;
wire \sram1_dout0[21] ;
wire \sram1_dout0[22] ;
wire \sram1_dout0[23] ;
wire \sram1_dout0[24] ;
wire \sram1_dout0[25] ;
wire \sram1_dout0[26] ;
wire \sram1_dout0[27] ;
wire \sram1_dout0[28] ;
wire \sram1_dout0[29] ;
wire \sram1_dout0[2] ;
wire \sram1_dout0[30] ;
wire \sram1_dout0[31] ;
wire \sram1_dout0[3] ;
wire \sram1_dout0[4] ;
wire \sram1_dout0[5] ;
wire \sram1_dout0[6] ;
wire \sram1_dout0[7] ;
wire \sram1_dout0[8] ;
wire \sram1_dout0[9] ;
wire \sram1_dout1[0] ;
wire \sram1_dout1[10] ;
wire \sram1_dout1[11] ;
wire \sram1_dout1[12] ;
wire \sram1_dout1[13] ;
wire \sram1_dout1[14] ;
wire \sram1_dout1[15] ;
wire \sram1_dout1[16] ;
wire \sram1_dout1[17] ;
wire \sram1_dout1[18] ;
wire \sram1_dout1[19] ;
wire \sram1_dout1[1] ;
wire \sram1_dout1[20] ;
wire \sram1_dout1[21] ;
wire \sram1_dout1[22] ;
wire \sram1_dout1[23] ;
wire \sram1_dout1[24] ;
wire \sram1_dout1[25] ;
wire \sram1_dout1[26] ;
wire \sram1_dout1[27] ;
wire \sram1_dout1[28] ;
wire \sram1_dout1[29] ;
wire \sram1_dout1[2] ;
wire \sram1_dout1[30] ;
wire \sram1_dout1[31] ;
wire \sram1_dout1[3] ;
wire \sram1_dout1[4] ;
wire \sram1_dout1[5] ;
wire \sram1_dout1[6] ;
wire \sram1_dout1[7] ;
wire \sram1_dout1[8] ;
wire \sram1_dout1[9] ;
wire \sram2_dout0[0] ;
wire \sram2_dout0[10] ;
wire \sram2_dout0[11] ;
wire \sram2_dout0[12] ;
wire \sram2_dout0[13] ;
wire \sram2_dout0[14] ;
wire \sram2_dout0[15] ;
wire \sram2_dout0[16] ;
wire \sram2_dout0[17] ;
wire \sram2_dout0[18] ;
wire \sram2_dout0[19] ;
wire \sram2_dout0[1] ;
wire \sram2_dout0[20] ;
wire \sram2_dout0[21] ;
wire \sram2_dout0[22] ;
wire \sram2_dout0[23] ;
wire \sram2_dout0[24] ;
wire \sram2_dout0[25] ;
wire \sram2_dout0[26] ;
wire \sram2_dout0[27] ;
wire \sram2_dout0[28] ;
wire \sram2_dout0[29] ;
wire \sram2_dout0[2] ;
wire \sram2_dout0[30] ;
wire \sram2_dout0[31] ;
wire \sram2_dout0[3] ;
wire \sram2_dout0[4] ;
wire \sram2_dout0[5] ;
wire \sram2_dout0[6] ;
wire \sram2_dout0[7] ;
wire \sram2_dout0[8] ;
wire \sram2_dout0[9] ;
wire \sram2_dout1[0] ;
wire \sram2_dout1[10] ;
wire \sram2_dout1[11] ;
wire \sram2_dout1[12] ;
wire \sram2_dout1[13] ;
wire \sram2_dout1[14] ;
wire \sram2_dout1[15] ;
wire \sram2_dout1[16] ;
wire \sram2_dout1[17] ;
wire \sram2_dout1[18] ;
wire \sram2_dout1[19] ;
wire \sram2_dout1[1] ;
wire \sram2_dout1[20] ;
wire \sram2_dout1[21] ;
wire \sram2_dout1[22] ;
wire \sram2_dout1[23] ;
wire \sram2_dout1[24] ;
wire \sram2_dout1[25] ;
wire \sram2_dout1[26] ;
wire \sram2_dout1[27] ;
wire \sram2_dout1[28] ;
wire \sram2_dout1[29] ;
wire \sram2_dout1[2] ;
wire \sram2_dout1[30] ;
wire \sram2_dout1[31] ;
wire \sram2_dout1[3] ;
wire \sram2_dout1[4] ;
wire \sram2_dout1[5] ;
wire \sram2_dout1[6] ;
wire \sram2_dout1[7] ;
wire \sram2_dout1[8] ;
wire \sram2_dout1[9] ;
wire \sram3_dout0[0] ;
wire \sram3_dout0[10] ;
wire \sram3_dout0[11] ;
wire \sram3_dout0[12] ;
wire \sram3_dout0[13] ;
wire \sram3_dout0[14] ;
wire \sram3_dout0[15] ;
wire \sram3_dout0[16] ;
wire \sram3_dout0[17] ;
wire \sram3_dout0[18] ;
wire \sram3_dout0[19] ;
wire \sram3_dout0[1] ;
wire \sram3_dout0[20] ;
wire \sram3_dout0[21] ;
wire \sram3_dout0[22] ;
wire \sram3_dout0[23] ;
wire \sram3_dout0[24] ;
wire \sram3_dout0[25] ;
wire \sram3_dout0[26] ;
wire \sram3_dout0[27] ;
wire \sram3_dout0[28] ;
wire \sram3_dout0[29] ;
wire \sram3_dout0[2] ;
wire \sram3_dout0[30] ;
wire \sram3_dout0[31] ;
wire \sram3_dout0[3] ;
wire \sram3_dout0[4] ;
wire \sram3_dout0[5] ;
wire \sram3_dout0[6] ;
wire \sram3_dout0[7] ;
wire \sram3_dout0[8] ;
wire \sram3_dout0[9] ;
wire \sram3_dout1[0] ;
wire \sram3_dout1[10] ;
wire \sram3_dout1[11] ;
wire \sram3_dout1[12] ;
wire \sram3_dout1[13] ;
wire \sram3_dout1[14] ;
wire \sram3_dout1[15] ;
wire \sram3_dout1[16] ;
wire \sram3_dout1[17] ;
wire \sram3_dout1[18] ;
wire \sram3_dout1[19] ;
wire \sram3_dout1[1] ;
wire \sram3_dout1[20] ;
wire \sram3_dout1[21] ;
wire \sram3_dout1[22] ;
wire \sram3_dout1[23] ;
wire \sram3_dout1[24] ;
wire \sram3_dout1[25] ;
wire \sram3_dout1[26] ;
wire \sram3_dout1[27] ;
wire \sram3_dout1[28] ;
wire \sram3_dout1[29] ;
wire \sram3_dout1[2] ;
wire \sram3_dout1[30] ;
wire \sram3_dout1[31] ;
wire \sram3_dout1[3] ;
wire \sram3_dout1[4] ;
wire \sram3_dout1[5] ;
wire \sram3_dout1[6] ;
wire \sram3_dout1[7] ;
wire \sram3_dout1[8] ;
wire \sram3_dout1[9] ;
wire \sram4_dout0[0] ;
wire \sram4_dout0[10] ;
wire \sram4_dout0[11] ;
wire \sram4_dout0[12] ;
wire \sram4_dout0[13] ;
wire \sram4_dout0[14] ;
wire \sram4_dout0[15] ;
wire \sram4_dout0[16] ;
wire \sram4_dout0[17] ;
wire \sram4_dout0[18] ;
wire \sram4_dout0[19] ;
wire \sram4_dout0[1] ;
wire \sram4_dout0[20] ;
wire \sram4_dout0[21] ;
wire \sram4_dout0[22] ;
wire \sram4_dout0[23] ;
wire \sram4_dout0[24] ;
wire \sram4_dout0[25] ;
wire \sram4_dout0[26] ;
wire \sram4_dout0[27] ;
wire \sram4_dout0[28] ;
wire \sram4_dout0[29] ;
wire \sram4_dout0[2] ;
wire \sram4_dout0[30] ;
wire \sram4_dout0[31] ;
wire \sram4_dout0[3] ;
wire \sram4_dout0[4] ;
wire \sram4_dout0[5] ;
wire \sram4_dout0[6] ;
wire \sram4_dout0[7] ;
wire \sram4_dout0[8] ;
wire \sram4_dout0[9] ;
wire \sram4_dout1[0] ;
wire \sram4_dout1[10] ;
wire \sram4_dout1[11] ;
wire \sram4_dout1[12] ;
wire \sram4_dout1[13] ;
wire \sram4_dout1[14] ;
wire \sram4_dout1[15] ;
wire \sram4_dout1[16] ;
wire \sram4_dout1[17] ;
wire \sram4_dout1[18] ;
wire \sram4_dout1[19] ;
wire \sram4_dout1[1] ;
wire \sram4_dout1[20] ;
wire \sram4_dout1[21] ;
wire \sram4_dout1[22] ;
wire \sram4_dout1[23] ;
wire \sram4_dout1[24] ;
wire \sram4_dout1[25] ;
wire \sram4_dout1[26] ;
wire \sram4_dout1[27] ;
wire \sram4_dout1[28] ;
wire \sram4_dout1[29] ;
wire \sram4_dout1[2] ;
wire \sram4_dout1[30] ;
wire \sram4_dout1[31] ;
wire \sram4_dout1[3] ;
wire \sram4_dout1[4] ;
wire \sram4_dout1[5] ;
wire \sram4_dout1[6] ;
wire \sram4_dout1[7] ;
wire \sram4_dout1[8] ;
wire \sram4_dout1[9] ;
wire \sram8_dout0[0] ;
wire \sram8_dout0[10] ;
wire \sram8_dout0[11] ;
wire \sram8_dout0[12] ;
wire \sram8_dout0[13] ;
wire \sram8_dout0[14] ;
wire \sram8_dout0[15] ;
wire \sram8_dout0[16] ;
wire \sram8_dout0[17] ;
wire \sram8_dout0[18] ;
wire \sram8_dout0[19] ;
wire \sram8_dout0[1] ;
wire \sram8_dout0[20] ;
wire \sram8_dout0[21] ;
wire \sram8_dout0[22] ;
wire \sram8_dout0[23] ;
wire \sram8_dout0[24] ;
wire \sram8_dout0[25] ;
wire \sram8_dout0[26] ;
wire \sram8_dout0[27] ;
wire \sram8_dout0[28] ;
wire \sram8_dout0[29] ;
wire \sram8_dout0[2] ;
wire \sram8_dout0[30] ;
wire \sram8_dout0[31] ;
wire \sram8_dout0[3] ;
wire \sram8_dout0[4] ;
wire \sram8_dout0[5] ;
wire \sram8_dout0[6] ;
wire \sram8_dout0[7] ;
wire \sram8_dout0[8] ;
wire \sram8_dout0[9] ;
wire \sram9_dout0[0] ;
wire \sram9_dout0[10] ;
wire \sram9_dout0[11] ;
wire \sram9_dout0[12] ;
wire \sram9_dout0[13] ;
wire \sram9_dout0[14] ;
wire \sram9_dout0[15] ;
wire \sram9_dout0[16] ;
wire \sram9_dout0[17] ;
wire \sram9_dout0[18] ;
wire \sram9_dout0[19] ;
wire \sram9_dout0[1] ;
wire \sram9_dout0[20] ;
wire \sram9_dout0[21] ;
wire \sram9_dout0[22] ;
wire \sram9_dout0[23] ;
wire \sram9_dout0[24] ;
wire \sram9_dout0[25] ;
wire \sram9_dout0[26] ;
wire \sram9_dout0[27] ;
wire \sram9_dout0[28] ;
wire \sram9_dout0[29] ;
wire \sram9_dout0[2] ;
wire \sram9_dout0[30] ;
wire \sram9_dout0[31] ;
wire \sram9_dout0[3] ;
wire \sram9_dout0[4] ;
wire \sram9_dout0[5] ;
wire \sram9_dout0[6] ;
wire \sram9_dout0[7] ;
wire \sram9_dout0[8] ;
wire \sram9_dout0[9] ;
wire \temp_sram11_dout0[16] ;
wire \temp_sram11_dout0[17] ;
wire \temp_sram11_dout0[18] ;
wire \temp_sram11_dout0[19] ;
wire \temp_sram11_dout0[20] ;
wire \temp_sram11_dout0[21] ;
wire \temp_sram11_dout0[22] ;
wire \temp_sram11_dout0[23] ;
wire \temp_sram11_dout0[24] ;
wire \temp_sram11_dout0[25] ;
wire \temp_sram11_dout0[26] ;
wire \temp_sram11_dout0[27] ;
wire \temp_sram11_dout0[28] ;
wire \temp_sram11_dout0[29] ;
wire \temp_sram11_dout0[30] ;
wire \temp_sram11_dout0[31] ;
wire \temp_sram11_dout0[32] ;
wire \temp_sram11_dout0[33] ;
wire \temp_sram11_dout0[34] ;
wire \temp_sram11_dout0[35] ;
wire \temp_sram11_dout0[36] ;
wire \temp_sram11_dout0[37] ;
wire \temp_sram11_dout0[38] ;
wire \temp_sram11_dout0[39] ;
wire \temp_sram11_dout0[40] ;
wire \temp_sram11_dout0[41] ;
wire \temp_sram11_dout0[42] ;
wire \temp_sram11_dout0[43] ;
wire \temp_sram11_dout0[44] ;
wire \temp_sram11_dout0[45] ;
wire \temp_sram11_dout0[46] ;
wire \temp_sram11_dout0[47] ;
wire \temp_sram12_dout0[16] ;
wire \temp_sram12_dout0[17] ;
wire \temp_sram12_dout0[18] ;
wire \temp_sram12_dout0[19] ;
wire \temp_sram12_dout0[20] ;
wire \temp_sram12_dout0[21] ;
wire \temp_sram12_dout0[22] ;
wire \temp_sram12_dout0[23] ;
wire \temp_sram12_dout0[24] ;
wire \temp_sram12_dout0[25] ;
wire \temp_sram12_dout0[26] ;
wire \temp_sram12_dout0[27] ;
wire \temp_sram12_dout0[28] ;
wire \temp_sram12_dout0[29] ;
wire \temp_sram12_dout0[30] ;
wire \temp_sram12_dout0[31] ;
wire \temp_sram12_dout0[32] ;
wire \temp_sram12_dout0[33] ;
wire \temp_sram12_dout0[34] ;
wire \temp_sram12_dout0[35] ;
wire \temp_sram12_dout0[36] ;
wire \temp_sram12_dout0[37] ;
wire \temp_sram12_dout0[38] ;
wire \temp_sram12_dout0[39] ;
wire \temp_sram12_dout0[40] ;
wire \temp_sram12_dout0[41] ;
wire \temp_sram12_dout0[42] ;
wire \temp_sram12_dout0[43] ;
wire \temp_sram12_dout0[44] ;
wire \temp_sram12_dout0[45] ;
wire \temp_sram12_dout0[46] ;
wire \temp_sram12_dout0[47] ;
sky130_sram_1kbyte_1rw1r_8x1024_8 SRAM0 (.csb0(\CONTROL_LOGIC.csb0[0] ),
.csb1(\CONTROL_LOGIC.csb1[0] ),
.web0(net400),
.clk0(\clknet_opt_1_4_CONTROL_LOGIC.clk ),
.clk1(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.wmask0(net409),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net368,
net373,
net376,
net379,
net382,
net385,
net388,
net391,
net394,
net397}),
.addr1({net178,
net177,
net176,
net175,
net174,
net173,
net172,
net419,
net421,
net423}),
.din0({net200,
net199,
net198,
net197,
net196,
net195,
net194,
net193}),
.dout0({\sram0_dout0[7] ,
\sram0_dout0[6] ,
\sram0_dout0[5] ,
\sram0_dout0[4] ,
\sram0_dout0[3] ,
\sram0_dout0[2] ,
\sram0_dout0[1] ,
\sram0_dout0[0] }),
.dout1({\sram0_dout1[7] ,
\sram0_dout1[6] ,
\sram0_dout1[5] ,
\sram0_dout1[4] ,
\sram0_dout1[3] ,
\sram0_dout1[2] ,
\sram0_dout1[1] ,
\sram0_dout1[0] }));
sky130_sram_1kbyte_1rw1r_32x256_8 SRAM1 (.csb0(\CONTROL_LOGIC.csb0[1] ),
.csb1(\CONTROL_LOGIC.csb1[1] ),
.web0(net398),
.clk0(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.clk1(\clknet_leaf_27_CONTROL_LOGIC.clk ),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net374,
net377,
net380,
net383,
net386,
net389,
net392,
net395}),
.addr1({net176,
net175,
net174,
net173,
net172,
net419,
net421,
net423}),
.din0({net267,
net268,
net273,
net276,
net279,
net280,
net285,
net286,
net289,
net294,
net295,
net298,
net301,
net305,
net307,
net311,
net314,
net316,
net319,
net322,
net326,
net329,
net332,
net335,
net338,
net341,
net343,
net346,
net349,
net353,
net355,
net359}),
.dout0({\sram1_dout0[31] ,
\sram1_dout0[30] ,
\sram1_dout0[29] ,
\sram1_dout0[28] ,
\sram1_dout0[27] ,
\sram1_dout0[26] ,
\sram1_dout0[25] ,
\sram1_dout0[24] ,
\sram1_dout0[23] ,
\sram1_dout0[22] ,
\sram1_dout0[21] ,
\sram1_dout0[20] ,
\sram1_dout0[19] ,
\sram1_dout0[18] ,
\sram1_dout0[17] ,
\sram1_dout0[16] ,
\sram1_dout0[15] ,
\sram1_dout0[14] ,
\sram1_dout0[13] ,
\sram1_dout0[12] ,
\sram1_dout0[11] ,
\sram1_dout0[10] ,
\sram1_dout0[9] ,
\sram1_dout0[8] ,
\sram1_dout0[7] ,
\sram1_dout0[6] ,
\sram1_dout0[5] ,
\sram1_dout0[4] ,
\sram1_dout0[3] ,
\sram1_dout0[2] ,
\sram1_dout0[1] ,
\sram1_dout0[0] }),
.dout1({\sram1_dout1[31] ,
\sram1_dout1[30] ,
\sram1_dout1[29] ,
\sram1_dout1[28] ,
\sram1_dout1[27] ,
\sram1_dout1[26] ,
\sram1_dout1[25] ,
\sram1_dout1[24] ,
\sram1_dout1[23] ,
\sram1_dout1[22] ,
\sram1_dout1[21] ,
\sram1_dout1[20] ,
\sram1_dout1[19] ,
\sram1_dout1[18] ,
\sram1_dout1[17] ,
\sram1_dout1[16] ,
\sram1_dout1[15] ,
\sram1_dout1[14] ,
\sram1_dout1[13] ,
\sram1_dout1[12] ,
\sram1_dout1[11] ,
\sram1_dout1[10] ,
\sram1_dout1[9] ,
\sram1_dout1[8] ,
\sram1_dout1[7] ,
\sram1_dout1[6] ,
\sram1_dout1[5] ,
\sram1_dout1[4] ,
\sram1_dout1[3] ,
\sram1_dout1[2] ,
\sram1_dout1[1] ,
\sram1_dout1[0] }),
.wmask0({net401,
net403,
net405,
net408}));
sky130_sram_4kbyte_1rw_32x1024_8 SRAM10 (.csb0(\CONTROL_LOGIC.csb0[10] ),
.web0(net399),
.clk0(\clknet_5_13_1_CONTROL_LOGIC.clk ),
.spare_wen0(_2245_),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net367,
net370,
net372,
net375,
net378,
net381,
net384,
net387,
net390,
net393,
net396}),
.din0({_2244_,
net266,
net270,
net272,
net275,
net278,
net282,
net284,
net288,
net291,
net293,
net297,
net300,
net303,
net306,
net309,
net312,
net315,
net318,
net321,
net324,
net327,
net330,
net333,
net336,
net339,
net342,
net345,
net348,
net351,
net354,
net357,
net360}),
.dout0({disconn10,
\sram10_dout0[31] ,
\sram10_dout0[30] ,
\sram10_dout0[29] ,
\sram10_dout0[28] ,
\sram10_dout0[27] ,
\sram10_dout0[26] ,
\sram10_dout0[25] ,
\sram10_dout0[24] ,
\sram10_dout0[23] ,
\sram10_dout0[22] ,
\sram10_dout0[21] ,
\sram10_dout0[20] ,
\sram10_dout0[19] ,
\sram10_dout0[18] ,
\sram10_dout0[17] ,
\sram10_dout0[16] ,
\sram10_dout0[15] ,
\sram10_dout0[14] ,
\sram10_dout0[13] ,
\sram10_dout0[12] ,
\sram10_dout0[11] ,
\sram10_dout0[10] ,
\sram10_dout0[9] ,
\sram10_dout0[8] ,
\sram10_dout0[7] ,
\sram10_dout0[6] ,
\sram10_dout0[5] ,
\sram10_dout0[4] ,
\sram10_dout0[3] ,
\sram10_dout0[2] ,
\sram10_dout0[1] ,
\sram10_dout0[0] }),
.wmask0({net402,
net404,
net406,
net407}));
sky130_sram_4kbyte_1rw_64x512_8 SRAM11 (.csb0(\CONTROL_LOGIC.csb0[11] ),
.web0(net399),
.clk0(\clknet_5_27_1_CONTROL_LOGIC.clk ),
.spare_wen0(_2247_),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net370,
net372,
net375,
net378,
net381,
net384,
net387,
net390,
net393,
net396}),
.din0({_2246_,
net265,
net269,
net271,
net274,
net277,
net281,
net283,
net287,
net290,
net292,
net296,
net299,
net302,
net304,
net308,
net310,
net313,
net317,
net320,
net323,
net325,
net328,
net331,
net334,
net337,
net340,
net344,
net347,
net350,
net352,
net356,
net358,
net265,
net269,
net271,
net274,
net277,
net281,
net283,
net287,
net290,
net292,
net296,
net299,
net302,
net304,
net308,
net310,
net313,
net317,
net320,
net323,
net325,
net328,
net331,
net334,
net337,
net340,
net344,
net347,
net350,
net352,
net356,
net358}),
.dout0({disconn11,
\sram11_dout0[31] ,
\sram11_dout0[30] ,
\sram11_dout0[29] ,
\sram11_dout0[28] ,
\sram11_dout0[27] ,
\sram11_dout0[26] ,
\sram11_dout0[25] ,
\sram11_dout0[24] ,
\sram11_dout0[23] ,
\sram11_dout0[22] ,
\sram11_dout0[21] ,
\sram11_dout0[20] ,
\sram11_dout0[19] ,
\sram11_dout0[18] ,
\sram11_dout0[17] ,
\sram11_dout0[16] ,
\temp_sram11_dout0[47] ,
\temp_sram11_dout0[46] ,
\temp_sram11_dout0[45] ,
\temp_sram11_dout0[44] ,
\temp_sram11_dout0[43] ,
\temp_sram11_dout0[42] ,
\temp_sram11_dout0[41] ,
\temp_sram11_dout0[40] ,
\temp_sram11_dout0[39] ,
\temp_sram11_dout0[38] ,
\temp_sram11_dout0[37] ,
\temp_sram11_dout0[36] ,
\temp_sram11_dout0[35] ,
\temp_sram11_dout0[34] ,
\temp_sram11_dout0[33] ,
\temp_sram11_dout0[32] ,
\temp_sram11_dout0[31] ,
\temp_sram11_dout0[30] ,
\temp_sram11_dout0[29] ,
\temp_sram11_dout0[28] ,
\temp_sram11_dout0[27] ,
\temp_sram11_dout0[26] ,
\temp_sram11_dout0[25] ,
\temp_sram11_dout0[24] ,
\temp_sram11_dout0[23] ,
\temp_sram11_dout0[22] ,
\temp_sram11_dout0[21] ,
\temp_sram11_dout0[20] ,
\temp_sram11_dout0[19] ,
\temp_sram11_dout0[18] ,
\temp_sram11_dout0[17] ,
\temp_sram11_dout0[16] ,
\sram11_dout0[15] ,
\sram11_dout0[14] ,
\sram11_dout0[13] ,
\sram11_dout0[12] ,
\sram11_dout0[11] ,
\sram11_dout0[10] ,
\sram11_dout0[9] ,
\sram11_dout0[8] ,
\sram11_dout0[7] ,
\sram11_dout0[6] ,
\sram11_dout0[5] ,
\sram11_dout0[4] ,
\sram11_dout0[3] ,
\sram11_dout0[2] ,
\sram11_dout0[1] ,
\sram11_dout0[0] }),
.wmask0({net402,
net404,
_2239_,
_2238_,
_2237_,
_2236_,
net406,
net407}));
sky130_sram_8kbyte_1rw_64x1024_8 SRAM12 (.csb0(\CONTROL_LOGIC.csb0[12] ),
.web0(net399),
.clk0(\clknet_5_30_1_CONTROL_LOGIC.clk ),
.spare_wen0(_2249_),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net366,
net370,
net372,
net375,
net378,
net381,
net384,
net387,
net390,
net393,
net396}),
.din0({_2248_,
net265,
net269,
net271,
net274,
net277,
net281,
net283,
net287,
net290,
net292,
net296,
net299,
net302,
net304,
net308,
net310,
net313,
net317,
net320,
net323,
net325,
net328,
net331,
net334,
net337,
net340,
net344,
net347,
net350,
net352,
net356,
net358,
net265,
net269,
net271,
net274,
net277,
net281,
net283,
net287,
net290,
net292,
net296,
net299,
net302,
net304,
net308,
net310,
net313,
net317,
net320,
net323,
net325,
net328,
net331,
net334,
net337,
net340,
net344,
net347,
net350,
net352,
net356,
net358}),
.dout0({disconn12,
\sram12_dout0[31] ,
\sram12_dout0[30] ,
\sram12_dout0[29] ,
\sram12_dout0[28] ,
\sram12_dout0[27] ,
\sram12_dout0[26] ,
\sram12_dout0[25] ,
\sram12_dout0[24] ,
\sram12_dout0[23] ,
\sram12_dout0[22] ,
\sram12_dout0[21] ,
\sram12_dout0[20] ,
\sram12_dout0[19] ,
\sram12_dout0[18] ,
\sram12_dout0[17] ,
\sram12_dout0[16] ,
\temp_sram12_dout0[47] ,
\temp_sram12_dout0[46] ,
\temp_sram12_dout0[45] ,
\temp_sram12_dout0[44] ,
\temp_sram12_dout0[43] ,
\temp_sram12_dout0[42] ,
\temp_sram12_dout0[41] ,
\temp_sram12_dout0[40] ,
\temp_sram12_dout0[39] ,
\temp_sram12_dout0[38] ,
\temp_sram12_dout0[37] ,
\temp_sram12_dout0[36] ,
\temp_sram12_dout0[35] ,
\temp_sram12_dout0[34] ,
\temp_sram12_dout0[33] ,
\temp_sram12_dout0[32] ,
\temp_sram12_dout0[31] ,
\temp_sram12_dout0[30] ,
\temp_sram12_dout0[29] ,
\temp_sram12_dout0[28] ,
\temp_sram12_dout0[27] ,
\temp_sram12_dout0[26] ,
\temp_sram12_dout0[25] ,
\temp_sram12_dout0[24] ,
\temp_sram12_dout0[23] ,
\temp_sram12_dout0[22] ,
\temp_sram12_dout0[21] ,
\temp_sram12_dout0[20] ,
\temp_sram12_dout0[19] ,
\temp_sram12_dout0[18] ,
\temp_sram12_dout0[17] ,
\temp_sram12_dout0[16] ,
\sram12_dout0[15] ,
\sram12_dout0[14] ,
\sram12_dout0[13] ,
\sram12_dout0[12] ,
\sram12_dout0[11] ,
\sram12_dout0[10] ,
\sram12_dout0[9] ,
\sram12_dout0[8] ,
\sram12_dout0[7] ,
\sram12_dout0[6] ,
\sram12_dout0[5] ,
\sram12_dout0[4] ,
\sram12_dout0[3] ,
\sram12_dout0[2] ,
\sram12_dout0[1] ,
\sram12_dout0[0] }),
.wmask0({net402,
net404,
_2243_,
_2242_,
_2241_,
_2240_,
net406,
net407}));
sky130_sram_2kbyte_1rw1r_32x512_8 SRAM2 (.csb0(\CONTROL_LOGIC.csb0[2] ),
.csb1(\CONTROL_LOGIC.csb1[2] ),
.web0(net398),
.clk0(\clknet_opt_5_5_CONTROL_LOGIC.clk ),
.clk1(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net371,
net374,
net377,
net380,
net383,
net386,
net389,
net392,
net395}),
.addr1({net412,
net413,
net414,
net415,
net416,
net417,
net419,
net420,
net422}),
.din0({net267,
net268,
net273,
net276,
net279,
net280,
net285,
net286,
net289,
net294,
net295,
net298,
net301,
net305,
net307,
net311,
net314,
net316,
net319,
net322,
net326,
net329,
net332,
net335,
net338,
net341,
net343,
net346,
net349,
net353,
net355,
net359}),
.dout0({\sram2_dout0[31] ,
\sram2_dout0[30] ,
\sram2_dout0[29] ,
\sram2_dout0[28] ,
\sram2_dout0[27] ,
\sram2_dout0[26] ,
\sram2_dout0[25] ,
\sram2_dout0[24] ,
\sram2_dout0[23] ,
\sram2_dout0[22] ,
\sram2_dout0[21] ,
\sram2_dout0[20] ,
\sram2_dout0[19] ,
\sram2_dout0[18] ,
\sram2_dout0[17] ,
\sram2_dout0[16] ,
\sram2_dout0[15] ,
\sram2_dout0[14] ,
\sram2_dout0[13] ,
\sram2_dout0[12] ,
\sram2_dout0[11] ,
\sram2_dout0[10] ,
\sram2_dout0[9] ,
\sram2_dout0[8] ,
\sram2_dout0[7] ,
\sram2_dout0[6] ,
\sram2_dout0[5] ,
\sram2_dout0[4] ,
\sram2_dout0[3] ,
\sram2_dout0[2] ,
\sram2_dout0[1] ,
\sram2_dout0[0] }),
.dout1({\sram2_dout1[31] ,
\sram2_dout1[30] ,
\sram2_dout1[29] ,
\sram2_dout1[28] ,
\sram2_dout1[27] ,
\sram2_dout1[26] ,
\sram2_dout1[25] ,
\sram2_dout1[24] ,
\sram2_dout1[23] ,
\sram2_dout1[22] ,
\sram2_dout1[21] ,
\sram2_dout1[20] ,
\sram2_dout1[19] ,
\sram2_dout1[18] ,
\sram2_dout1[17] ,
\sram2_dout1[16] ,
\sram2_dout1[15] ,
\sram2_dout1[14] ,
\sram2_dout1[13] ,
\sram2_dout1[12] ,
\sram2_dout1[11] ,
\sram2_dout1[10] ,
\sram2_dout1[9] ,
\sram2_dout1[8] ,
\sram2_dout1[7] ,
\sram2_dout1[6] ,
\sram2_dout1[5] ,
\sram2_dout1[4] ,
\sram2_dout1[3] ,
\sram2_dout1[2] ,
\sram2_dout1[1] ,
\sram2_dout1[0] }),
.wmask0({net401,
net403,
net405,
net408}));
sky130_sram_4kbyte_1rw1r_32x1024_8 SRAM3 (.csb0(\CONTROL_LOGIC.csb0[3] ),
.csb1(\CONTROL_LOGIC.csb1[3] ),
.web0(net398),
.clk0(\clknet_5_22_1_CONTROL_LOGIC.clk ),
.clk1(\clknet_leaf_101_CONTROL_LOGIC.clk ),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net369,
net371,
net374,
net377,
net380,
net383,
net386,
net389,
net392,
net395}),
.addr1({net411,
net412,
net413,
net414,
net415,
net416,
net417,
net418,
net420,
net422}),
.din0({net267,
net268,
net273,
net276,
net279,
net280,
net285,
net286,
net289,
net294,
net295,
net298,
net301,
net305,
net307,
net311,
net314,
net316,
net319,
net322,
net326,
net329,
net332,
net335,
net338,
net341,
net343,
net346,
net349,
net353,
net355,
net359}),
.dout0({\sram3_dout0[31] ,
\sram3_dout0[30] ,
\sram3_dout0[29] ,
\sram3_dout0[28] ,
\sram3_dout0[27] ,
\sram3_dout0[26] ,
\sram3_dout0[25] ,
\sram3_dout0[24] ,
\sram3_dout0[23] ,
\sram3_dout0[22] ,
\sram3_dout0[21] ,
\sram3_dout0[20] ,
\sram3_dout0[19] ,
\sram3_dout0[18] ,
\sram3_dout0[17] ,
\sram3_dout0[16] ,
\sram3_dout0[15] ,
\sram3_dout0[14] ,
\sram3_dout0[13] ,
\sram3_dout0[12] ,
\sram3_dout0[11] ,
\sram3_dout0[10] ,
\sram3_dout0[9] ,
\sram3_dout0[8] ,
\sram3_dout0[7] ,
\sram3_dout0[6] ,
\sram3_dout0[5] ,
\sram3_dout0[4] ,
\sram3_dout0[3] ,
\sram3_dout0[2] ,
\sram3_dout0[1] ,
\sram3_dout0[0] }),
.dout1({\sram3_dout1[31] ,
\sram3_dout1[30] ,
\sram3_dout1[29] ,
\sram3_dout1[28] ,
\sram3_dout1[27] ,
\sram3_dout1[26] ,
\sram3_dout1[25] ,
\sram3_dout1[24] ,
\sram3_dout1[23] ,
\sram3_dout1[22] ,
\sram3_dout1[21] ,
\sram3_dout1[20] ,
\sram3_dout1[19] ,
\sram3_dout1[18] ,
\sram3_dout1[17] ,
\sram3_dout1[16] ,
\sram3_dout1[15] ,
\sram3_dout1[14] ,
\sram3_dout1[13] ,
\sram3_dout1[12] ,
\sram3_dout1[11] ,
\sram3_dout1[10] ,
\sram3_dout1[9] ,
\sram3_dout1[8] ,
\sram3_dout1[7] ,
\sram3_dout1[6] ,
\sram3_dout1[5] ,
\sram3_dout1[4] ,
\sram3_dout1[3] ,
\sram3_dout1[2] ,
\sram3_dout1[1] ,
\sram3_dout1[0] }),
.wmask0({net401,
net403,
net405,
net408}));
sky130_sram_8kbyte_1rw1r_32x2048_8 SRAM4 (.csb0(\CONTROL_LOGIC.csb0[4] ),
.csb1(\CONTROL_LOGIC.csb1[4] ),
.web0(net398),
.clk0(\clknet_opt_6_3_CONTROL_LOGIC.clk ),
.clk1(\clknet_opt_12_7_CONTROL_LOGIC.clk ),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net366,
net369,
net371,
net374,
net377,
net380,
net383,
net386,
net389,
net392,
net395}),
.addr1({net410,
net411,
net412,
net413,
net414,
net415,
net416,
net417,
net418,
net420,
net422}),
.din0({net267,
net268,
net273,
net276,
net279,
net280,
net285,
net286,
net289,
net294,
net295,
net298,
net301,
net305,
net307,
net311,
net314,
net316,
net319,
net322,
net326,
net329,
net332,
net335,
net338,
net341,
net343,
net346,
net349,
net353,
net355,
net359}),
.dout0({\sram4_dout0[31] ,
\sram4_dout0[30] ,
\sram4_dout0[29] ,
\sram4_dout0[28] ,
\sram4_dout0[27] ,
\sram4_dout0[26] ,
\sram4_dout0[25] ,
\sram4_dout0[24] ,
\sram4_dout0[23] ,
\sram4_dout0[22] ,
\sram4_dout0[21] ,
\sram4_dout0[20] ,
\sram4_dout0[19] ,
\sram4_dout0[18] ,
\sram4_dout0[17] ,
\sram4_dout0[16] ,
\sram4_dout0[15] ,
\sram4_dout0[14] ,
\sram4_dout0[13] ,
\sram4_dout0[12] ,
\sram4_dout0[11] ,
\sram4_dout0[10] ,
\sram4_dout0[9] ,
\sram4_dout0[8] ,
\sram4_dout0[7] ,
\sram4_dout0[6] ,
\sram4_dout0[5] ,
\sram4_dout0[4] ,
\sram4_dout0[3] ,
\sram4_dout0[2] ,
\sram4_dout0[1] ,
\sram4_dout0[0] }),
.dout1({\sram4_dout1[31] ,
\sram4_dout1[30] ,
\sram4_dout1[29] ,
\sram4_dout1[28] ,
\sram4_dout1[27] ,
\sram4_dout1[26] ,
\sram4_dout1[25] ,
\sram4_dout1[24] ,
\sram4_dout1[23] ,
\sram4_dout1[22] ,
\sram4_dout1[21] ,
\sram4_dout1[20] ,
\sram4_dout1[19] ,
\sram4_dout1[18] ,
\sram4_dout1[17] ,
\sram4_dout1[16] ,
\sram4_dout1[15] ,
\sram4_dout1[14] ,
\sram4_dout1[13] ,
\sram4_dout1[12] ,
\sram4_dout1[11] ,
\sram4_dout1[10] ,
\sram4_dout1[9] ,
\sram4_dout1[8] ,
\sram4_dout1[7] ,
\sram4_dout1[6] ,
\sram4_dout1[5] ,
\sram4_dout1[4] ,
\sram4_dout1[3] ,
\sram4_dout1[2] ,
\sram4_dout1[1] ,
\sram4_dout1[0] }),
.wmask0({net401,
net403,
net405,
net408}));
sky130_sram_1kbyte_1rw_32x256_8 SRAM8 (.csb0(\CONTROL_LOGIC.csb0[8] ),
.web0(net400),
.clk0(\clknet_5_8_1_CONTROL_LOGIC.clk ),
.spare_wen0(_2251_),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net373,
net376,
net379,
net382,
net385,
net388,
net230,
net394,
net397}),
.din0({_2250_,
net266,
net270,
net272,
net275,
net278,
net282,
net284,
net288,
net291,
net293,
net297,
net300,
net303,
net306,
net309,
net312,
net315,
net318,
net321,
net324,
net327,
net330,
net333,
net336,
net339,
net342,
net345,
net348,
net351,
net354,
net357,
net360}),
.dout0({disconn8,
\sram8_dout0[31] ,
\sram8_dout0[30] ,
\sram8_dout0[29] ,
\sram8_dout0[28] ,
\sram8_dout0[27] ,
\sram8_dout0[26] ,
\sram8_dout0[25] ,
\sram8_dout0[24] ,
\sram8_dout0[23] ,
\sram8_dout0[22] ,
\sram8_dout0[21] ,
\sram8_dout0[20] ,
\sram8_dout0[19] ,
\sram8_dout0[18] ,
\sram8_dout0[17] ,
\sram8_dout0[16] ,
\sram8_dout0[15] ,
\sram8_dout0[14] ,
\sram8_dout0[13] ,
\sram8_dout0[12] ,
\sram8_dout0[11] ,
\sram8_dout0[10] ,
\sram8_dout0[9] ,
\sram8_dout0[8] ,
\sram8_dout0[7] ,
\sram8_dout0[6] ,
\sram8_dout0[5] ,
\sram8_dout0[4] ,
\sram8_dout0[3] ,
\sram8_dout0[2] ,
\sram8_dout0[1] ,
\sram8_dout0[0] }),
.wmask0({net189,
net188,
net187,
net186}));
sky130_sram_2kbyte_1rw_32x512_8 SRAM9 (.csb0(\CONTROL_LOGIC.csb0[9] ),
.web0(net399),
.clk0(\clknet_opt_2_3_CONTROL_LOGIC.clk ),
.spare_wen0(_2253_),
.vccd1(vccd1),
.vssd1(vssd1),
.addr0({net370,
net372,
net375,
net378,
net381,
net384,
net387,
net390,
net393,
net396}),
.din0({_2252_,
net266,
net270,
net272,
net275,
net278,
net282,
net284,
net288,
net291,
net293,
net297,
net300,
net303,
net306,
net309,
net312,
net315,
net318,
net321,
net324,
net327,
net330,
net333,
net336,
net339,
net342,
net345,
net348,
net351,
net354,
net357,
net360}),
.dout0({disconn9,
\sram9_dout0[31] ,
\sram9_dout0[30] ,
\sram9_dout0[29] ,
\sram9_dout0[28] ,
\sram9_dout0[27] ,
\sram9_dout0[26] ,
\sram9_dout0[25] ,
\sram9_dout0[24] ,
\sram9_dout0[23] ,
\sram9_dout0[22] ,
\sram9_dout0[21] ,
\sram9_dout0[20] ,
\sram9_dout0[19] ,
\sram9_dout0[18] ,
\sram9_dout0[17] ,
\sram9_dout0[16] ,
\sram9_dout0[15] ,
\sram9_dout0[14] ,
\sram9_dout0[13] ,
\sram9_dout0[12] ,
\sram9_dout0[11] ,
\sram9_dout0[10] ,
\sram9_dout0[9] ,
\sram9_dout0[8] ,
\sram9_dout0[7] ,
\sram9_dout0[6] ,
\sram9_dout0[5] ,
\sram9_dout0[4] ,
\sram9_dout0[3] ,
\sram9_dout0[2] ,
\sram9_dout0[1] ,
\sram9_dout0[0] }),
.wmask0({net189,
net188,
net187,
net407}));
sky130_fd_sc_hd__or2_1 _2254_ (.A(net425),
.B(net430),
.X(_0850_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or3_4 _2255_ (.A(net23),
.B(net424),
.C(_0850_),
.X(_0851_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _2256_ (.A(_0851_),
.Y(_0852_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2257_ (.A(_0852_),
.X(_0853_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2258_ (.A(_0853_),
.X(_0854_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2259_ (.A(_0851_),
.X(_0855_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2260_ (.A(_0855_),
.X(_0856_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2b_4 _2261_ (.A_N(net25),
.B(net431),
.X(_0857_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2262_ (.A(_0857_),
.X(_0858_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2263_ (.A(_0858_),
.X(_0859_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2264_ (.A(_0859_),
.X(_0860_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2265_ (.A(_0860_),
.X(_0861_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2266_ (.A1(_0101_),
.A2(_0854_),
.B1(net226),
.B2(_0856_),
.C1(_0861_),
.X(_0848_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2267_ (.A1(_0099_),
.A2(_0854_),
.B1(net224),
.B2(_0856_),
.C1(_0861_),
.X(_0847_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2268_ (.A1(_0098_),
.A2(_0854_),
.B1(net223),
.B2(_0856_),
.C1(_0861_),
.X(_0846_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2269_ (.A1(_0097_),
.A2(_0854_),
.B1(net222),
.B2(_0856_),
.C1(_0861_),
.X(_0845_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2270_ (.A1(_0096_),
.A2(_0854_),
.B1(net221),
.B2(_0856_),
.C1(_0861_),
.X(_0844_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2271_ (.A(_0852_),
.X(_0862_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2272_ (.A(_0862_),
.X(_0863_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2273_ (.A(_0851_),
.X(_0864_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2274_ (.A(_0864_),
.X(_0865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2275_ (.A(_0859_),
.X(_0866_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2276_ (.A(_0866_),
.X(_0867_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2277_ (.A1(_0095_),
.A2(_0863_),
.B1(net220),
.B2(_0865_),
.C1(_0867_),
.X(_0843_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2278_ (.A1(_0094_),
.A2(_0863_),
.B1(net219),
.B2(_0865_),
.C1(_0867_),
.X(_0842_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2279_ (.A1(_0093_),
.A2(_0863_),
.B1(net218),
.B2(_0865_),
.C1(_0867_),
.X(_0841_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2280_ (.A1(_0092_),
.A2(_0863_),
.B1(net217),
.B2(_0865_),
.C1(_0867_),
.X(_0840_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2281_ (.A1(_0091_),
.A2(_0863_),
.B1(net297),
.B2(_0865_),
.C1(_0867_),
.X(_0839_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2282_ (.A(_0862_),
.X(_0868_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2283_ (.A(_0864_),
.X(_0869_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2284_ (.A(_0866_),
.X(_0870_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2285_ (.A1(_0090_),
.A2(_0868_),
.B1(net300),
.B2(_0869_),
.C1(_0870_),
.X(_0838_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2286_ (.A1(_0088_),
.A2(_0868_),
.B1(net213),
.B2(_0869_),
.C1(_0870_),
.X(_0837_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2287_ (.A1(_0087_),
.A2(_0868_),
.B1(net212),
.B2(_0869_),
.C1(_0870_),
.X(_0836_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2288_ (.A1(_0086_),
.A2(_0868_),
.B1(net211),
.B2(_0869_),
.C1(_0870_),
.X(_0835_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2289_ (.A1(_0085_),
.A2(_0868_),
.B1(net210),
.B2(_0869_),
.C1(_0870_),
.X(_0834_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2290_ (.A(_0862_),
.X(_0871_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2291_ (.A(_0864_),
.X(_0872_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2292_ (.A(_0866_),
.X(_0873_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2293_ (.A1(_0084_),
.A2(_0871_),
.B1(net209),
.B2(_0872_),
.C1(_0873_),
.X(_0833_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2294_ (.A1(_0083_),
.A2(_0871_),
.B1(net208),
.B2(_0872_),
.C1(_0873_),
.X(_0832_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2295_ (.A1(_0082_),
.A2(_0871_),
.B1(net321),
.B2(_0872_),
.C1(_0873_),
.X(_0831_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2296_ (.A1(_0081_),
.A2(_0871_),
.B1(net206),
.B2(_0872_),
.C1(_0873_),
.X(_0830_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2297_ (.A1(_0080_),
.A2(_0871_),
.B1(net205),
.B2(_0872_),
.C1(_0873_),
.X(_0829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2298_ (.A(_0862_),
.X(_0874_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2299_ (.A(_0864_),
.X(_0875_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2300_ (.A(_0866_),
.X(_0876_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2301_ (.A1(_0079_),
.A2(_0874_),
.B1(net204),
.B2(_0875_),
.C1(_0876_),
.X(_0828_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2302_ (.A1(_0077_),
.A2(_0874_),
.B1(net202),
.B2(_0875_),
.C1(_0876_),
.X(_0827_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2303_ (.A1(_0076_),
.A2(_0874_),
.B1(net201),
.B2(_0875_),
.C1(_0876_),
.X(_0826_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2304_ (.A1(_0075_),
.A2(_0874_),
.B1(net200),
.B2(_0875_),
.C1(_0876_),
.X(_0825_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2305_ (.A1(_0074_),
.A2(_0874_),
.B1(net199),
.B2(_0875_),
.C1(_0876_),
.X(_0824_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2306_ (.A(_0862_),
.X(_0877_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2307_ (.A(_0864_),
.X(_0878_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2308_ (.A(_0866_),
.X(_0879_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2309_ (.A1(_0073_),
.A2(_0877_),
.B1(net198),
.B2(_0878_),
.C1(_0879_),
.X(_0823_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2310_ (.A1(_0072_),
.A2(_0877_),
.B1(net197),
.B2(_0878_),
.C1(_0879_),
.X(_0822_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2311_ (.A1(_0071_),
.A2(_0877_),
.B1(net196),
.B2(_0878_),
.C1(_0879_),
.X(_0821_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2312_ (.A1(_0070_),
.A2(_0877_),
.B1(net195),
.B2(_0878_),
.C1(_0879_),
.X(_0820_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2313_ (.A1(_0069_),
.A2(_0877_),
.B1(net194),
.B2(_0878_),
.C1(_0879_),
.X(_0819_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2314_ (.A(_0852_),
.X(_0880_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2315_ (.A(_0880_),
.X(_0881_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2316_ (.A(_0851_),
.X(_0882_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2317_ (.A(_0882_),
.X(_0883_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2318_ (.A(_0859_),
.X(_0884_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2319_ (.A(_0884_),
.X(_0885_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2320_ (.A1(_0068_),
.A2(_0881_),
.B1(net193),
.B2(_0883_),
.C1(_0885_),
.X(_0818_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2321_ (.A1(_0042_),
.A2(_0881_),
.B1(net167),
.B2(_0883_),
.C1(_0885_),
.X(_0817_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2322_ (.A1(_0041_),
.A2(_0881_),
.B1(net166),
.B2(_0883_),
.C1(_0885_),
.X(_0816_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2323_ (.A1(_0040_),
.A2(_0881_),
.B1(net165),
.B2(_0883_),
.C1(_0885_),
.X(_0815_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2324_ (.A1(_0039_),
.A2(_0881_),
.B1(net164),
.B2(_0883_),
.C1(_0885_),
.X(_0814_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2325_ (.A(_0880_),
.X(_0886_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2326_ (.A(_0882_),
.X(_0887_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2327_ (.A(_0884_),
.X(_0888_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2328_ (.A1(_0038_),
.A2(_0886_),
.B1(net163),
.B2(_0887_),
.C1(_0888_),
.X(_0813_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2329_ (.A1(_0037_),
.A2(_0886_),
.B1(net162),
.B2(_0887_),
.C1(_0888_),
.X(_0812_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2330_ (.A1(_0036_),
.A2(_0886_),
.B1(net161),
.B2(_0887_),
.C1(_0888_),
.X(_0811_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2331_ (.A1(_0035_),
.A2(_0886_),
.B1(net160),
.B2(_0887_),
.C1(_0888_),
.X(_0810_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2332_ (.A1(_0033_),
.A2(_0886_),
.B1(net158),
.B2(_0887_),
.C1(_0888_),
.X(_0809_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2333_ (.A(_0880_),
.X(_0889_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2334_ (.A(_0882_),
.X(_0890_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2335_ (.A(_0884_),
.X(_0891_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2336_ (.A1(_0032_),
.A2(_0889_),
.B1(net157),
.B2(_0890_),
.C1(_0891_),
.X(_0808_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2337_ (.A1(_0031_),
.A2(_0889_),
.B1(net156),
.B2(_0890_),
.C1(_0891_),
.X(_0807_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2338_ (.A1(_0030_),
.A2(_0889_),
.B1(net155),
.B2(_0890_),
.C1(_0891_),
.X(_0806_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2339_ (.A1(_0029_),
.A2(_0889_),
.B1(net154),
.B2(_0890_),
.C1(_0891_),
.X(_0805_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2340_ (.A1(_0028_),
.A2(_0889_),
.B1(net153),
.B2(_0890_),
.C1(_0891_),
.X(_0804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2341_ (.A(_0880_),
.X(_0892_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2342_ (.A(_0882_),
.X(_0893_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2343_ (.A(_0884_),
.X(_0894_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2344_ (.A1(_0027_),
.A2(_0892_),
.B1(net152),
.B2(_0893_),
.C1(_0894_),
.X(_0803_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2345_ (.A1(_0026_),
.A2(_0892_),
.B1(net151),
.B2(_0893_),
.C1(_0894_),
.X(_0802_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2346_ (.A1(_0025_),
.A2(_0892_),
.B1(net150),
.B2(_0893_),
.C1(_0894_),
.X(_0801_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2347_ (.A1(_0024_),
.A2(_0892_),
.B1(net149),
.B2(_0893_),
.C1(_0894_),
.X(_0800_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2348_ (.A1(_0022_),
.A2(_0892_),
.B1(net147),
.B2(_0893_),
.C1(_0894_),
.X(_0799_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2349_ (.A(_0880_),
.X(_0895_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2350_ (.A(_0882_),
.X(_0896_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2351_ (.A(_0884_),
.X(_0897_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2352_ (.A1(_0021_),
.A2(_0895_),
.B1(net146),
.B2(_0896_),
.C1(_0897_),
.X(_0798_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2353_ (.A1(_0020_),
.A2(_0895_),
.B1(net145),
.B2(_0896_),
.C1(_0897_),
.X(_0797_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2354_ (.A1(_0019_),
.A2(_0895_),
.B1(net144),
.B2(_0896_),
.C1(_0897_),
.X(_0796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2355_ (.A1(_0018_),
.A2(_0895_),
.B1(net143),
.B2(_0896_),
.C1(_0897_),
.X(_0795_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2356_ (.A1(_0017_),
.A2(_0895_),
.B1(net142),
.B2(_0896_),
.C1(_0897_),
.X(_0794_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2357_ (.A(_0852_),
.X(_0898_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2358_ (.A(_0851_),
.X(_0899_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2359_ (.A(_0857_),
.X(_0900_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2360_ (.A(_0900_),
.X(_0901_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2361_ (.A(_0901_),
.X(_0902_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2362_ (.A1(_0016_),
.A2(_0898_),
.B1(net141),
.B2(_0899_),
.C1(_0902_),
.X(_0793_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2363_ (.A1(_0015_),
.A2(_0898_),
.B1(net140),
.B2(_0899_),
.C1(_0902_),
.X(_0792_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2364_ (.A1(_0014_),
.A2(_0898_),
.B1(net139),
.B2(_0899_),
.C1(_0902_),
.X(_0791_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2365_ (.A1(_0011_),
.A2(_0898_),
.B1(net136),
.B2(_0899_),
.C1(_0902_),
.X(_0790_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2366_ (.A1(_0111_),
.A2(_0898_),
.B1(net236),
.B2(_0899_),
.C1(_0902_),
.X(_0789_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2367_ (.A(_0901_),
.X(_0903_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2368_ (.A1(_0100_),
.A2(_0853_),
.B1(net225),
.B2(_0855_),
.C1(_0903_),
.X(_0788_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2369_ (.A1(_0089_),
.A2(_0853_),
.B1(net214),
.B2(_0855_),
.C1(_0903_),
.X(_0787_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2370_ (.A1(_0078_),
.A2(_0853_),
.B1(net203),
.B2(_0855_),
.C1(_0903_),
.X(_0786_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2371_ (.A(_0850_),
.X(_0904_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _2372_ (.A(_0904_),
.Y(_0905_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2373_ (.A(_0905_),
.X(_0906_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2374_ (.A(_0906_),
.X(_0907_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2375_ (.A(_0904_),
.X(_0908_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2376_ (.A(_0908_),
.X(_0909_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2377_ (.A1(_0013_),
.A2(_0907_),
.B1(net124),
.B2(_0909_),
.C1(_0903_),
.X(_0785_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2378_ (.A1(_0012_),
.A2(_0907_),
.B1(net137),
.B2(_0909_),
.C1(_0903_),
.X(_0784_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2379_ (.A(_0901_),
.X(_0910_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2380_ (.A1(_0010_),
.A2(_0907_),
.B1(net135),
.B2(_0909_),
.C1(_0910_),
.X(_0783_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2381_ (.A1(_0009_),
.A2(_0907_),
.B1(net134),
.B2(_0909_),
.C1(_0910_),
.X(_0782_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2382_ (.A1(_0008_),
.A2(_0907_),
.B1(net133),
.B2(_0909_),
.C1(_0910_),
.X(_0781_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2383_ (.A(_0906_),
.X(_0911_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2384_ (.A(_0908_),
.X(_0912_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2385_ (.A1(_0007_),
.A2(_0911_),
.B1(net132),
.B2(_0912_),
.C1(_0910_),
.X(_0780_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2386_ (.A1(_0006_),
.A2(_0911_),
.B1(net131),
.B2(_0912_),
.C1(_0910_),
.X(_0779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2387_ (.A(_0901_),
.X(_0913_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2388_ (.A1(_0005_),
.A2(_0911_),
.B1(net130),
.B2(_0912_),
.C1(_0913_),
.X(_0778_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2389_ (.A1(_0004_),
.A2(_0911_),
.B1(net129),
.B2(_0912_),
.C1(_0913_),
.X(_0777_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2390_ (.A1(_0003_),
.A2(_0911_),
.B1(net128),
.B2(_0912_),
.C1(_0913_),
.X(_0776_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2391_ (.A(_0905_),
.X(_0914_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2392_ (.A(_0914_),
.X(_0915_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2393_ (.A(_0904_),
.X(_0916_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2394_ (.A(_0916_),
.X(_0917_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2395_ (.A1(_0002_),
.A2(_0915_),
.B1(net127),
.B2(_0917_),
.C1(_0913_),
.X(_0775_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2396_ (.A1(_0001_),
.A2(_0915_),
.B1(net126),
.B2(_0917_),
.C1(_0913_),
.X(_0774_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2397_ (.A(_0901_),
.X(_0918_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2398_ (.A1(_0110_),
.A2(_0915_),
.B1(net235),
.B2(_0917_),
.C1(_0918_),
.X(_0773_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2399_ (.A1(_0109_),
.A2(_0915_),
.B1(net234),
.B2(_0917_),
.C1(_0918_),
.X(_0772_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2400_ (.A1(_0108_),
.A2(_0915_),
.B1(net233),
.B2(_0917_),
.C1(_0918_),
.X(_0771_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2401_ (.A(_0914_),
.X(_0919_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2402_ (.A(_0916_),
.X(_0920_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2403_ (.A1(_0107_),
.A2(_0919_),
.B1(net232),
.B2(_0920_),
.C1(_0918_),
.X(_0770_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2404_ (.A1(_0106_),
.A2(_0919_),
.B1(net231),
.B2(_0920_),
.C1(_0918_),
.X(_0769_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2405_ (.A(_0900_),
.X(_0921_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2406_ (.A(_0921_),
.X(_0922_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2407_ (.A1(_0105_),
.A2(_0919_),
.B1(net230),
.B2(_0920_),
.C1(_0922_),
.X(_0768_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2408_ (.A1(_0104_),
.A2(_0919_),
.B1(net229),
.B2(_0920_),
.C1(_0922_),
.X(_0767_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2409_ (.A1(_0103_),
.A2(_0919_),
.B1(net228),
.B2(_0920_),
.C1(_0922_),
.X(_0766_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2410_ (.A(_0914_),
.X(_0923_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2411_ (.A(_0916_),
.X(_0924_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2412_ (.A1(_0066_),
.A2(_0923_),
.B1(net191),
.B2(_0924_),
.C1(_0922_),
.X(_0765_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2413_ (.A1(_0065_),
.A2(_0923_),
.B1(net400),
.B2(_0924_),
.C1(_0922_),
.X(_0764_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2414_ (.A(_0921_),
.X(_0925_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2415_ (.A1(_0064_),
.A2(_0923_),
.B1(net189),
.B2(_0924_),
.C1(_0925_),
.X(_0763_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2416_ (.A1(_0063_),
.A2(_0923_),
.B1(net188),
.B2(_0924_),
.C1(_0925_),
.X(_0762_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2417_ (.A1(_0062_),
.A2(_0923_),
.B1(net187),
.B2(_0924_),
.C1(_0925_),
.X(_0761_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2418_ (.A(_0914_),
.X(_0926_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2419_ (.A(_0916_),
.X(_0927_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2420_ (.A1(_0061_),
.A2(_0926_),
.B1(net409),
.B2(_0927_),
.C1(_0925_),
.X(_0760_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2421_ (.A1(_0060_),
.A2(_0926_),
.B1(net185),
.B2(_0927_),
.C1(_0925_),
.X(_0759_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2422_ (.A(_0921_),
.X(_0928_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2423_ (.A1(_0059_),
.A2(_0926_),
.B1(net184),
.B2(_0927_),
.C1(_0928_),
.X(_0758_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2424_ (.A1(_0058_),
.A2(_0926_),
.B1(net183),
.B2(_0927_),
.C1(_0928_),
.X(_0757_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2425_ (.A1(_0057_),
.A2(_0926_),
.B1(net182),
.B2(_0927_),
.C1(_0928_),
.X(_0756_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2426_ (.A(_0914_),
.X(_0929_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2427_ (.A(_0916_),
.X(_0930_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2428_ (.A1(_0055_),
.A2(_0929_),
.B1(net180),
.B2(_0930_),
.C1(_0928_),
.X(_0755_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2429_ (.A1(_0054_),
.A2(_0929_),
.B1(net179),
.B2(_0930_),
.C1(_0928_),
.X(_0754_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2430_ (.A(_0921_),
.X(_0931_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2431_ (.A1(_0053_),
.A2(_0929_),
.B1(net178),
.B2(_0930_),
.C1(_0931_),
.X(_0753_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2432_ (.A1(_0052_),
.A2(_0929_),
.B1(net177),
.B2(_0930_),
.C1(_0931_),
.X(_0752_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2433_ (.A1(_0051_),
.A2(_0929_),
.B1(net176),
.B2(_0930_),
.C1(_0931_),
.X(_0751_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2434_ (.A(_0905_),
.X(_0932_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2435_ (.A(_0904_),
.X(_0933_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2436_ (.A1(_0050_),
.A2(_0932_),
.B1(net175),
.B2(_0933_),
.C1(_0931_),
.X(_0750_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2437_ (.A1(_0049_),
.A2(_0932_),
.B1(net174),
.B2(_0933_),
.C1(_0931_),
.X(_0749_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2438_ (.A(_0921_),
.X(_0934_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2439_ (.A1(_0048_),
.A2(_0932_),
.B1(net173),
.B2(_0933_),
.C1(_0934_),
.X(_0748_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2440_ (.A1(_0047_),
.A2(_0932_),
.B1(net172),
.B2(_0933_),
.C1(_0934_),
.X(_0747_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2441_ (.A1(_0046_),
.A2(_0932_),
.B1(net171),
.B2(_0933_),
.C1(_0934_),
.X(_0746_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2442_ (.A(_0905_),
.X(_0935_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2443_ (.A(_0904_),
.X(_0936_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2444_ (.A1(_0044_),
.A2(_0935_),
.B1(net169),
.B2(_0936_),
.C1(_0934_),
.X(_0745_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2445_ (.A1(_0043_),
.A2(_0935_),
.B1(net168),
.B2(_0936_),
.C1(_0934_),
.X(_0744_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2446_ (.A(_0858_),
.X(_0937_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2447_ (.A(_0937_),
.X(_0938_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2448_ (.A1(_0067_),
.A2(_0935_),
.B1(net192),
.B2(_0936_),
.C1(_0938_),
.X(_0743_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2449_ (.A1(_0056_),
.A2(_0935_),
.B1(net181),
.B2(_0936_),
.C1(_0938_),
.X(_0742_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2450_ (.A1(_0045_),
.A2(_0935_),
.B1(net170),
.B2(_0936_),
.C1(_0938_),
.X(_0741_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2451_ (.A1(_0034_),
.A2(_0906_),
.B1(net159),
.B2(_0908_),
.C1(_0938_),
.X(_0740_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2452_ (.A1(_0023_),
.A2(_0906_),
.B1(net148),
.B2(_0908_),
.C1(_0938_),
.X(_0739_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2453_ (.A(_0859_),
.X(_0939_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _2454_ (.A1(_0000_),
.A2(_0906_),
.B1(net125),
.B2(_0908_),
.C1(_0939_),
.X(_0738_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2455_ (.A(\sram12_dout0[31] ),
.B(_0939_),
.X(_0940_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2456_ (.A(_0940_),
.X(_0737_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2457_ (.A(\sram12_dout0[30] ),
.B(_0939_),
.X(_0941_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2458_ (.A(_0941_),
.X(_0736_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2459_ (.A(\sram12_dout0[29] ),
.B(_0939_),
.X(_0942_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2460_ (.A(_0942_),
.X(_0735_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2461_ (.A(net264),
.X(_0943_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2462_ (.A(\sram12_dout0[28] ),
.B(_0943_),
.X(_0944_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2463_ (.A(_0944_),
.X(_0734_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2464_ (.A(\sram12_dout0[27] ),
.B(_0943_),
.X(_0945_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2465_ (.A(_0945_),
.X(_0733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2466_ (.A(\sram12_dout0[26] ),
.B(_0943_),
.X(_0946_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2467_ (.A(_0946_),
.X(_0732_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2468_ (.A(\sram12_dout0[25] ),
.B(_0943_),
.X(_0947_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2469_ (.A(_0947_),
.X(_0731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2470_ (.A(\sram12_dout0[24] ),
.B(_0943_),
.X(_0948_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2471_ (.A(_0948_),
.X(_0730_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2472_ (.A(net264),
.X(_0949_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2473_ (.A(\sram12_dout0[23] ),
.B(_0949_),
.X(_0950_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2474_ (.A(_0950_),
.X(_0729_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2475_ (.A(\sram12_dout0[22] ),
.B(_0949_),
.X(_0951_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2476_ (.A(_0951_),
.X(_0728_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2477_ (.A(\sram12_dout0[21] ),
.B(_0949_),
.X(_0952_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2478_ (.A(_0952_),
.X(_0727_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2479_ (.A(\sram12_dout0[20] ),
.B(_0949_),
.X(_0953_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2480_ (.A(_0953_),
.X(_0726_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2481_ (.A(\sram12_dout0[19] ),
.B(_0949_),
.X(_0954_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2482_ (.A(_0954_),
.X(_0725_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2483_ (.A(net264),
.X(_0955_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2484_ (.A(\sram12_dout0[18] ),
.B(_0955_),
.X(_0956_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2485_ (.A(_0956_),
.X(_0724_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2486_ (.A(\sram12_dout0[17] ),
.B(_0955_),
.X(_0957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2487_ (.A(_0957_),
.X(_0723_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2488_ (.A(\sram12_dout0[16] ),
.B(_0955_),
.X(_0958_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2489_ (.A(_0958_),
.X(_0722_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2490_ (.A(\sram12_dout0[15] ),
.B(_0955_),
.X(_0959_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2491_ (.A(_0959_),
.X(_0721_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2492_ (.A(\sram12_dout0[14] ),
.B(_0955_),
.X(_0960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2493_ (.A(_0960_),
.X(_0720_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2494_ (.A(net264),
.X(_0961_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2495_ (.A(\sram12_dout0[13] ),
.B(_0961_),
.X(_0962_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2496_ (.A(_0962_),
.X(_0719_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2497_ (.A(\sram12_dout0[12] ),
.B(_0961_),
.X(_0963_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2498_ (.A(_0963_),
.X(_0718_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2499_ (.A(\sram12_dout0[11] ),
.B(_0961_),
.X(_0964_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2500_ (.A(_0964_),
.X(_0717_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2501_ (.A(\sram12_dout0[10] ),
.B(_0961_),
.X(_0965_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2502_ (.A(_0965_),
.X(_0716_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2503_ (.A(\sram12_dout0[9] ),
.B(_0961_),
.X(_0966_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2504_ (.A(_0966_),
.X(_0715_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2505_ (.A(_0900_),
.X(_0967_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2506_ (.A(_0967_),
.X(_0968_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2507_ (.A(\sram12_dout0[8] ),
.B(_0968_),
.X(_0969_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2508_ (.A(_0969_),
.X(_0714_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2509_ (.A(\sram12_dout0[7] ),
.B(_0968_),
.X(_0970_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2510_ (.A(_0970_),
.X(_0713_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2511_ (.A(\sram12_dout0[6] ),
.B(_0968_),
.X(_0971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2512_ (.A(_0971_),
.X(_0712_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2513_ (.A(\sram12_dout0[5] ),
.B(_0968_),
.X(_0972_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2514_ (.A(_0972_),
.X(_0711_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2515_ (.A(\sram12_dout0[4] ),
.B(_0968_),
.X(_0973_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2516_ (.A(_0973_),
.X(_0710_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2517_ (.A(_0967_),
.X(_0974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2518_ (.A(\sram12_dout0[3] ),
.B(_0974_),
.X(_0975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2519_ (.A(_0975_),
.X(_0709_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2520_ (.A(\sram12_dout0[2] ),
.B(_0974_),
.X(_0976_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2521_ (.A(_0976_),
.X(_0708_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2522_ (.A(\sram12_dout0[1] ),
.B(_0974_),
.X(_0977_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2523_ (.A(_0977_),
.X(_0707_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2524_ (.A(\sram12_dout0[0] ),
.B(_0974_),
.X(_0978_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2525_ (.A(_0978_),
.X(_0706_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2526_ (.A(\sram0_dout0[7] ),
.B(_0974_),
.X(_0979_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2527_ (.A(_0979_),
.X(_0705_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2528_ (.A(_0967_),
.X(_0980_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2529_ (.A(\sram0_dout0[6] ),
.B(_0980_),
.X(_0981_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2530_ (.A(_0981_),
.X(_0704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2531_ (.A(\sram0_dout0[5] ),
.B(_0980_),
.X(_0982_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2532_ (.A(_0982_),
.X(_0703_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2533_ (.A(\sram0_dout0[4] ),
.B(_0980_),
.X(_0983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2534_ (.A(_0983_),
.X(_0702_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2535_ (.A(\sram0_dout0[3] ),
.B(_0980_),
.X(_0984_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2536_ (.A(_0984_),
.X(_0701_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2537_ (.A(\sram0_dout0[2] ),
.B(_0980_),
.X(_0985_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2538_ (.A(_0985_),
.X(_0700_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2539_ (.A(_0967_),
.X(_0986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2540_ (.A(\sram0_dout0[1] ),
.B(_0986_),
.X(_0987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2541_ (.A(_0987_),
.X(_0699_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2542_ (.A(\sram0_dout0[0] ),
.B(_0986_),
.X(_0988_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2543_ (.A(_0988_),
.X(_0698_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2544_ (.A(\sram11_dout0[31] ),
.B(_0986_),
.X(_0989_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2545_ (.A(_0989_),
.X(_0697_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2546_ (.A(\sram11_dout0[30] ),
.B(_0986_),
.X(_0990_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2547_ (.A(_0990_),
.X(_0696_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2548_ (.A(\sram11_dout0[29] ),
.B(_0986_),
.X(_0991_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2549_ (.A(_0991_),
.X(_0695_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2550_ (.A(_0967_),
.X(_0992_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2551_ (.A(\sram11_dout0[28] ),
.B(_0992_),
.X(_0993_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2552_ (.A(_0993_),
.X(_0694_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2553_ (.A(\sram11_dout0[27] ),
.B(_0992_),
.X(_0994_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2554_ (.A(_0994_),
.X(_0693_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2555_ (.A(\sram11_dout0[26] ),
.B(_0992_),
.X(_0995_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2556_ (.A(_0995_),
.X(_0692_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2557_ (.A(\sram11_dout0[25] ),
.B(_0992_),
.X(_0996_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2558_ (.A(_0996_),
.X(_0691_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2559_ (.A(\sram11_dout0[24] ),
.B(_0992_),
.X(_0997_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2560_ (.A(_0997_),
.X(_0690_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2561_ (.A(_0900_),
.X(_0998_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2562_ (.A(_0998_),
.X(_0999_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2563_ (.A(\sram11_dout0[23] ),
.B(_0999_),
.X(_1000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2564_ (.A(_1000_),
.X(_0689_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2565_ (.A(\sram11_dout0[22] ),
.B(_0999_),
.X(_1001_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2566_ (.A(_1001_),
.X(_0688_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2567_ (.A(\sram11_dout0[21] ),
.B(_0999_),
.X(_1002_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2568_ (.A(_1002_),
.X(_0687_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2569_ (.A(\sram11_dout0[20] ),
.B(_0999_),
.X(_1003_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2570_ (.A(_1003_),
.X(_0686_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2571_ (.A(\sram11_dout0[19] ),
.B(_0999_),
.X(_1004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2572_ (.A(_1004_),
.X(_0685_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2573_ (.A(_0998_),
.X(_1005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2574_ (.A(\sram11_dout0[18] ),
.B(_1005_),
.X(_1006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2575_ (.A(_1006_),
.X(_0684_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2576_ (.A(\sram11_dout0[17] ),
.B(_1005_),
.X(_1007_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2577_ (.A(_1007_),
.X(_0683_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2578_ (.A(\sram11_dout0[16] ),
.B(_1005_),
.X(_1008_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2579_ (.A(_1008_),
.X(_0682_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2580_ (.A(\sram11_dout0[15] ),
.B(_1005_),
.X(_1009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2581_ (.A(_1009_),
.X(_0681_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2582_ (.A(\sram11_dout0[14] ),
.B(_1005_),
.X(_1010_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2583_ (.A(_1010_),
.X(_0680_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2584_ (.A(_0998_),
.X(_1011_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2585_ (.A(\sram11_dout0[13] ),
.B(_1011_),
.X(_1012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2586_ (.A(_1012_),
.X(_0679_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2587_ (.A(\sram11_dout0[12] ),
.B(_1011_),
.X(_1013_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2588_ (.A(_1013_),
.X(_0678_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2589_ (.A(\sram11_dout0[11] ),
.B(_1011_),
.X(_1014_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2590_ (.A(_1014_),
.X(_0677_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2591_ (.A(\sram11_dout0[10] ),
.B(_1011_),
.X(_1015_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2592_ (.A(_1015_),
.X(_0676_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2593_ (.A(\sram11_dout0[9] ),
.B(_1011_),
.X(_1016_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _2594_ (.A(_1016_),
.X(_0675_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2595_ (.A(_0998_),
.X(_1017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2596_ (.A(\sram11_dout0[8] ),
.B(_1017_),
.X(_1018_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2597_ (.A(_1018_),
.X(_0674_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2598_ (.A(\sram11_dout0[7] ),
.B(_1017_),
.X(_1019_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2599_ (.A(_1019_),
.X(_0673_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2600_ (.A(\sram11_dout0[6] ),
.B(_1017_),
.X(_1020_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2601_ (.A(_1020_),
.X(_0672_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2602_ (.A(\sram11_dout0[5] ),
.B(_1017_),
.X(_1021_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2603_ (.A(_1021_),
.X(_0671_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2604_ (.A(\sram11_dout0[4] ),
.B(_1017_),
.X(_1022_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2605_ (.A(_1022_),
.X(_0670_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2606_ (.A(_0998_),
.X(_1023_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2607_ (.A(\sram11_dout0[3] ),
.B(_1023_),
.X(_1024_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2608_ (.A(_1024_),
.X(_0669_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2609_ (.A(\sram11_dout0[2] ),
.B(_1023_),
.X(_1025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2610_ (.A(_1025_),
.X(_0668_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2611_ (.A(\sram11_dout0[1] ),
.B(_1023_),
.X(_1026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2612_ (.A(_1026_),
.X(_0667_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2613_ (.A(\sram11_dout0[0] ),
.B(_1023_),
.X(_1027_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2614_ (.A(_1027_),
.X(_0666_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2615_ (.A(\sram10_dout0[31] ),
.B(_1023_),
.X(_1028_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2616_ (.A(_1028_),
.X(_0665_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2617_ (.A(_0900_),
.X(_1029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2618_ (.A(_1029_),
.X(_1030_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2619_ (.A(\sram10_dout0[30] ),
.B(_1030_),
.X(_1031_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2620_ (.A(_1031_),
.X(_0664_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2621_ (.A(\sram10_dout0[29] ),
.B(_1030_),
.X(_1032_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2622_ (.A(_1032_),
.X(_0663_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2623_ (.A(\sram10_dout0[28] ),
.B(_1030_),
.X(_1033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2624_ (.A(_1033_),
.X(_0662_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2625_ (.A(\sram10_dout0[27] ),
.B(_1030_),
.X(_1034_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2626_ (.A(_1034_),
.X(_0661_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2627_ (.A(\sram10_dout0[26] ),
.B(_1030_),
.X(_1035_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2628_ (.A(_1035_),
.X(_0660_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2629_ (.A(_1029_),
.X(_1036_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2630_ (.A(\sram10_dout0[25] ),
.B(_1036_),
.X(_1037_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2631_ (.A(_1037_),
.X(_0659_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2632_ (.A(\sram10_dout0[24] ),
.B(_1036_),
.X(_1038_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2633_ (.A(_1038_),
.X(_0658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2634_ (.A(\sram10_dout0[23] ),
.B(_1036_),
.X(_1039_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2635_ (.A(_1039_),
.X(_0657_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2636_ (.A(\sram10_dout0[22] ),
.B(_1036_),
.X(_1040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2637_ (.A(_1040_),
.X(_0656_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2638_ (.A(\sram10_dout0[21] ),
.B(_1036_),
.X(_1041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2639_ (.A(_1041_),
.X(_0655_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2640_ (.A(_1029_),
.X(_1042_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2641_ (.A(\sram10_dout0[20] ),
.B(_1042_),
.X(_1043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2642_ (.A(_1043_),
.X(_0654_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2643_ (.A(\sram10_dout0[19] ),
.B(_1042_),
.X(_1044_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2644_ (.A(_1044_),
.X(_0653_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2645_ (.A(\sram10_dout0[18] ),
.B(_1042_),
.X(_1045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2646_ (.A(_1045_),
.X(_0652_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2647_ (.A(\sram10_dout0[17] ),
.B(_1042_),
.X(_1046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2648_ (.A(_1046_),
.X(_0651_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2649_ (.A(\sram10_dout0[16] ),
.B(_1042_),
.X(_1047_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2650_ (.A(_1047_),
.X(_0650_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2651_ (.A(_1029_),
.X(_1048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2652_ (.A(\sram10_dout0[15] ),
.B(_1048_),
.X(_1049_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2653_ (.A(_1049_),
.X(_0649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2654_ (.A(\sram10_dout0[14] ),
.B(_1048_),
.X(_1050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2655_ (.A(_1050_),
.X(_0648_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2656_ (.A(\sram10_dout0[13] ),
.B(_1048_),
.X(_1051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2657_ (.A(_1051_),
.X(_0647_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2658_ (.A(\sram10_dout0[12] ),
.B(_1048_),
.X(_1052_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2659_ (.A(_1052_),
.X(_0646_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2660_ (.A(\sram10_dout0[11] ),
.B(_1048_),
.X(_1053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2661_ (.A(_1053_),
.X(_0645_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2662_ (.A(_1029_),
.X(_1054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2663_ (.A(\sram10_dout0[10] ),
.B(_1054_),
.X(_1055_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2664_ (.A(_1055_),
.X(_0644_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2665_ (.A(\sram10_dout0[9] ),
.B(_1054_),
.X(_1056_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2666_ (.A(_1056_),
.X(_0643_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2667_ (.A(\sram10_dout0[8] ),
.B(_1054_),
.X(_1057_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2668_ (.A(_1057_),
.X(_0642_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2669_ (.A(\sram10_dout0[7] ),
.B(_1054_),
.X(_1058_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2670_ (.A(_1058_),
.X(_0641_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2671_ (.A(\sram10_dout0[6] ),
.B(_1054_),
.X(_1059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2672_ (.A(_1059_),
.X(_0640_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _2673_ (.A(_0857_),
.X(_1060_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2674_ (.A(_1060_),
.X(_1061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2675_ (.A(_1061_),
.X(_1062_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2676_ (.A(\sram10_dout0[5] ),
.B(_1062_),
.X(_1063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2677_ (.A(_1063_),
.X(_0639_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2678_ (.A(\sram10_dout0[4] ),
.B(_1062_),
.X(_1064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2679_ (.A(_1064_),
.X(_0638_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2680_ (.A(\sram10_dout0[3] ),
.B(_1062_),
.X(_1065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2681_ (.A(_1065_),
.X(_0637_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2682_ (.A(\sram10_dout0[2] ),
.B(_1062_),
.X(_1066_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2683_ (.A(_1066_),
.X(_0636_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2684_ (.A(\sram10_dout0[1] ),
.B(_1062_),
.X(_1067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2685_ (.A(_1067_),
.X(_0635_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2686_ (.A(_1061_),
.X(_1068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2687_ (.A(\sram10_dout0[0] ),
.B(_1068_),
.X(_1069_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2688_ (.A(_1069_),
.X(_0634_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2689_ (.A(\sram9_dout0[31] ),
.B(_1068_),
.X(_1070_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2690_ (.A(_1070_),
.X(_0633_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2691_ (.A(\sram9_dout0[30] ),
.B(_1068_),
.X(_1071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2692_ (.A(_1071_),
.X(_0632_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2693_ (.A(\sram9_dout0[29] ),
.B(_1068_),
.X(_1072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2694_ (.A(_1072_),
.X(_0631_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2695_ (.A(\sram9_dout0[28] ),
.B(_1068_),
.X(_1073_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2696_ (.A(_1073_),
.X(_0630_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2697_ (.A(_1061_),
.X(_1074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2698_ (.A(\sram9_dout0[27] ),
.B(_1074_),
.X(_1075_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2699_ (.A(_1075_),
.X(_0629_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2700_ (.A(\sram9_dout0[26] ),
.B(_1074_),
.X(_1076_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2701_ (.A(_1076_),
.X(_0628_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2702_ (.A(\sram9_dout0[25] ),
.B(_1074_),
.X(_1077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2703_ (.A(_1077_),
.X(_0627_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2704_ (.A(\sram9_dout0[24] ),
.B(_1074_),
.X(_1078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2705_ (.A(_1078_),
.X(_0626_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2706_ (.A(\sram9_dout0[23] ),
.B(_1074_),
.X(_1079_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2707_ (.A(_1079_),
.X(_0625_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2708_ (.A(_1061_),
.X(_1080_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2709_ (.A(\sram9_dout0[22] ),
.B(_1080_),
.X(_1081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2710_ (.A(_1081_),
.X(_0624_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2711_ (.A(\sram9_dout0[21] ),
.B(_1080_),
.X(_1082_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2712_ (.A(_1082_),
.X(_0623_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2713_ (.A(\sram9_dout0[20] ),
.B(_1080_),
.X(_1083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2714_ (.A(_1083_),
.X(_0622_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2715_ (.A(\sram9_dout0[19] ),
.B(_1080_),
.X(_1084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2716_ (.A(_1084_),
.X(_0621_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2717_ (.A(\sram9_dout0[18] ),
.B(_1080_),
.X(_1085_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2718_ (.A(_1085_),
.X(_0620_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2719_ (.A(_1061_),
.X(_1086_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2720_ (.A(\sram9_dout0[17] ),
.B(_1086_),
.X(_1087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2721_ (.A(_1087_),
.X(_0619_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2722_ (.A(\sram9_dout0[16] ),
.B(_1086_),
.X(_1088_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2723_ (.A(_1088_),
.X(_0618_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2724_ (.A(\sram9_dout0[15] ),
.B(_1086_),
.X(_1089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2725_ (.A(_1089_),
.X(_0617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2726_ (.A(\sram9_dout0[14] ),
.B(_1086_),
.X(_1090_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2727_ (.A(_1090_),
.X(_0616_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2728_ (.A(\sram9_dout0[13] ),
.B(_1086_),
.X(_1091_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2729_ (.A(_1091_),
.X(_0615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2730_ (.A(_1060_),
.X(_1092_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2731_ (.A(_1092_),
.X(_1093_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2732_ (.A(\sram9_dout0[12] ),
.B(_1093_),
.X(_1094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2733_ (.A(_1094_),
.X(_0614_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2734_ (.A(\sram9_dout0[11] ),
.B(_1093_),
.X(_1095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2735_ (.A(_1095_),
.X(_0613_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2736_ (.A(\sram9_dout0[10] ),
.B(_1093_),
.X(_1096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2737_ (.A(_1096_),
.X(_0612_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2738_ (.A(\sram9_dout0[9] ),
.B(_1093_),
.X(_1097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2739_ (.A(_1097_),
.X(_0611_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2740_ (.A(\sram9_dout0[8] ),
.B(_1093_),
.X(_1098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2741_ (.A(_1098_),
.X(_0610_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2742_ (.A(_1092_),
.X(_1099_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2743_ (.A(\sram9_dout0[7] ),
.B(_1099_),
.X(_1100_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_8 _2744_ (.A(_1100_),
.X(_0609_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2745_ (.A(\sram9_dout0[6] ),
.B(_1099_),
.X(_1101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_8 _2746_ (.A(_1101_),
.X(_0608_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2747_ (.A(\sram9_dout0[5] ),
.B(_1099_),
.X(_1102_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2748_ (.A(_1102_),
.X(_0607_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2749_ (.A(\sram9_dout0[4] ),
.B(_1099_),
.X(_1103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2750_ (.A(_1103_),
.X(_0606_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2751_ (.A(\sram9_dout0[3] ),
.B(_1099_),
.X(_1104_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2752_ (.A(_1104_),
.X(_0605_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2753_ (.A(_1092_),
.X(_1105_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2754_ (.A(\sram9_dout0[2] ),
.B(_1105_),
.X(_1106_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2755_ (.A(_1106_),
.X(_0604_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2756_ (.A(\sram9_dout0[1] ),
.B(_1105_),
.X(_1107_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2757_ (.A(_1107_),
.X(_0603_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2758_ (.A(\sram9_dout0[0] ),
.B(_1105_),
.X(_1108_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2759_ (.A(_1108_),
.X(_0602_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2760_ (.A(\sram8_dout0[31] ),
.B(_1105_),
.X(_1109_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2761_ (.A(_1109_),
.X(_0601_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2762_ (.A(\sram8_dout0[30] ),
.B(_1105_),
.X(_1110_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2763_ (.A(_1110_),
.X(_0600_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2764_ (.A(_1092_),
.X(_1111_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2765_ (.A(\sram8_dout0[29] ),
.B(_1111_),
.X(_1112_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2766_ (.A(_1112_),
.X(_0599_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2767_ (.A(\sram8_dout0[28] ),
.B(_1111_),
.X(_1113_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2768_ (.A(_1113_),
.X(_0598_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2769_ (.A(\sram8_dout0[27] ),
.B(_1111_),
.X(_1114_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2770_ (.A(_1114_),
.X(_0597_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2771_ (.A(\sram8_dout0[26] ),
.B(_1111_),
.X(_1115_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2772_ (.A(_1115_),
.X(_0596_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2773_ (.A(\sram8_dout0[25] ),
.B(_1111_),
.X(_1116_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2774_ (.A(_1116_),
.X(_0595_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2775_ (.A(_1092_),
.X(_1117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2776_ (.A(\sram8_dout0[24] ),
.B(_1117_),
.X(_1118_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2777_ (.A(_1118_),
.X(_0594_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2778_ (.A(\sram8_dout0[23] ),
.B(_1117_),
.X(_1119_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2779_ (.A(_1119_),
.X(_0593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2780_ (.A(\sram8_dout0[22] ),
.B(_1117_),
.X(_1120_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2781_ (.A(_1120_),
.X(_0592_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2782_ (.A(\sram8_dout0[21] ),
.B(_1117_),
.X(_1121_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2783_ (.A(_1121_),
.X(_0591_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2784_ (.A(\sram8_dout0[20] ),
.B(_1117_),
.X(_1122_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2785_ (.A(_1122_),
.X(_0590_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2786_ (.A(_1060_),
.X(_1123_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2787_ (.A(_1123_),
.X(_1124_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2788_ (.A(\sram8_dout0[19] ),
.B(_1124_),
.X(_1125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2789_ (.A(_1125_),
.X(_0589_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2790_ (.A(\sram8_dout0[18] ),
.B(_1124_),
.X(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _2791_ (.A(_1126_),
.X(_0588_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2792_ (.A(\sram8_dout0[17] ),
.B(_1124_),
.X(_1127_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2793_ (.A(_1127_),
.X(_0587_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2794_ (.A(\sram8_dout0[16] ),
.B(_1124_),
.X(_1128_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2795_ (.A(_1128_),
.X(_0586_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2796_ (.A(\sram8_dout0[15] ),
.B(_1124_),
.X(_1129_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2797_ (.A(_1129_),
.X(_0585_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2798_ (.A(_1123_),
.X(_1130_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2799_ (.A(\sram8_dout0[14] ),
.B(_1130_),
.X(_1131_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2800_ (.A(_1131_),
.X(_0584_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2801_ (.A(\sram8_dout0[13] ),
.B(_1130_),
.X(_1132_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2802_ (.A(_1132_),
.X(_0583_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2803_ (.A(\sram8_dout0[12] ),
.B(_1130_),
.X(_1133_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2804_ (.A(_1133_),
.X(_0582_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2805_ (.A(\sram8_dout0[11] ),
.B(_1130_),
.X(_1134_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2806_ (.A(_1134_),
.X(_0581_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2807_ (.A(\sram8_dout0[10] ),
.B(_1130_),
.X(_1135_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2808_ (.A(_1135_),
.X(_0580_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2809_ (.A(_1123_),
.X(_1136_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2810_ (.A(\sram8_dout0[9] ),
.B(_1136_),
.X(_1137_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2811_ (.A(_1137_),
.X(_0579_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2812_ (.A(\sram8_dout0[8] ),
.B(_1136_),
.X(_1138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2813_ (.A(_1138_),
.X(_0578_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2814_ (.A(\sram8_dout0[7] ),
.B(_1136_),
.X(_1139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2815_ (.A(_1139_),
.X(_0577_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2816_ (.A(\sram8_dout0[6] ),
.B(_1136_),
.X(_1140_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2817_ (.A(_1140_),
.X(_0576_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2818_ (.A(\sram8_dout0[5] ),
.B(_1136_),
.X(_1141_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2819_ (.A(_1141_),
.X(_0575_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2820_ (.A(_1123_),
.X(_1142_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2821_ (.A(\sram8_dout0[4] ),
.B(_1142_),
.X(_1143_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _2822_ (.A(_1143_),
.X(_0574_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2823_ (.A(\sram8_dout0[3] ),
.B(_1142_),
.X(_1144_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2824_ (.A(_1144_),
.X(_0573_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2825_ (.A(\sram8_dout0[2] ),
.B(_1142_),
.X(_1145_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2826_ (.A(_1145_),
.X(_0572_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2827_ (.A(\sram8_dout0[1] ),
.B(_1142_),
.X(_1146_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2828_ (.A(_1146_),
.X(_0571_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2829_ (.A(\sram8_dout0[0] ),
.B(_1142_),
.X(_1147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2830_ (.A(_1147_),
.X(_0570_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2831_ (.A(_1123_),
.X(_1148_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2832_ (.A(\sram4_dout1[31] ),
.B(_1148_),
.X(_1149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2833_ (.A(_1149_),
.X(_0569_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2834_ (.A(\sram4_dout1[30] ),
.B(_1148_),
.X(_1150_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2835_ (.A(_1150_),
.X(_0568_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2836_ (.A(\sram4_dout1[29] ),
.B(_1148_),
.X(_1151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2837_ (.A(_1151_),
.X(_0567_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2838_ (.A(\sram4_dout1[28] ),
.B(_1148_),
.X(_1152_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2839_ (.A(_1152_),
.X(_0566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2840_ (.A(\sram4_dout1[27] ),
.B(_1148_),
.X(_1153_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2841_ (.A(_1153_),
.X(_0565_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2842_ (.A(_1060_),
.X(_1154_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2843_ (.A(_1154_),
.X(_1155_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2844_ (.A(\sram4_dout1[26] ),
.B(_1155_),
.X(_1156_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2845_ (.A(_1156_),
.X(_0564_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2846_ (.A(\sram4_dout1[25] ),
.B(_1155_),
.X(_1157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2847_ (.A(_1157_),
.X(_0563_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2848_ (.A(\sram4_dout1[24] ),
.B(_1155_),
.X(_1158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2849_ (.A(_1158_),
.X(_0562_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2850_ (.A(\sram4_dout1[23] ),
.B(_1155_),
.X(_1159_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2851_ (.A(_1159_),
.X(_0561_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2852_ (.A(\sram4_dout1[22] ),
.B(_1155_),
.X(_1160_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2853_ (.A(_1160_),
.X(_0560_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2854_ (.A(_1154_),
.X(_1161_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2855_ (.A(\sram4_dout1[21] ),
.B(_1161_),
.X(_1162_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2856_ (.A(_1162_),
.X(_0559_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2857_ (.A(\sram4_dout1[20] ),
.B(_1161_),
.X(_1163_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2858_ (.A(_1163_),
.X(_0558_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2859_ (.A(\sram4_dout1[19] ),
.B(_1161_),
.X(_1164_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2860_ (.A(_1164_),
.X(_0557_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2861_ (.A(\sram4_dout1[18] ),
.B(_1161_),
.X(_1165_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2862_ (.A(_1165_),
.X(_0556_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2863_ (.A(\sram4_dout1[17] ),
.B(_1161_),
.X(_1166_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2864_ (.A(_1166_),
.X(_0555_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2865_ (.A(_1154_),
.X(_1167_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2866_ (.A(\sram4_dout1[16] ),
.B(_1167_),
.X(_1168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2867_ (.A(_1168_),
.X(_0554_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2868_ (.A(\sram4_dout1[15] ),
.B(_1167_),
.X(_1169_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2869_ (.A(_1169_),
.X(_0553_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2870_ (.A(\sram4_dout1[14] ),
.B(_1167_),
.X(_1170_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2871_ (.A(_1170_),
.X(_0552_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2872_ (.A(\sram4_dout1[13] ),
.B(_1167_),
.X(_1171_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2873_ (.A(_1171_),
.X(_0551_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2874_ (.A(\sram4_dout1[12] ),
.B(_1167_),
.X(_1172_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2875_ (.A(_1172_),
.X(_0550_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2876_ (.A(_1154_),
.X(_1173_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2877_ (.A(\sram4_dout1[11] ),
.B(_1173_),
.X(_1174_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2878_ (.A(_1174_),
.X(_0549_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2879_ (.A(\sram4_dout1[10] ),
.B(_1173_),
.X(_1175_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2880_ (.A(_1175_),
.X(_0548_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2881_ (.A(\sram4_dout1[9] ),
.B(_1173_),
.X(_1176_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2882_ (.A(_1176_),
.X(_0547_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2883_ (.A(\sram4_dout1[8] ),
.B(_1173_),
.X(_1177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2884_ (.A(_1177_),
.X(_0546_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2885_ (.A(\sram4_dout1[7] ),
.B(_1173_),
.X(_1178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2886_ (.A(_1178_),
.X(_0545_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2887_ (.A(_1154_),
.X(_1179_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2888_ (.A(\sram4_dout1[6] ),
.B(_1179_),
.X(_1180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2889_ (.A(_1180_),
.X(_0544_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2890_ (.A(\sram4_dout1[5] ),
.B(_1179_),
.X(_1181_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2891_ (.A(_1181_),
.X(_0543_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2892_ (.A(\sram4_dout1[4] ),
.B(_1179_),
.X(_1182_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2893_ (.A(_1182_),
.X(_0542_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2894_ (.A(\sram4_dout1[3] ),
.B(_1179_),
.X(_1183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2895_ (.A(_1183_),
.X(_0541_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2896_ (.A(\sram4_dout1[2] ),
.B(_1179_),
.X(_1184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2897_ (.A(_1184_),
.X(_0540_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2898_ (.A(_1060_),
.X(_1185_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2899_ (.A(_1185_),
.X(_1186_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2900_ (.A(\sram4_dout1[1] ),
.B(_1186_),
.X(_1187_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2901_ (.A(_1187_),
.X(_0539_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2902_ (.A(\sram4_dout1[0] ),
.B(_1186_),
.X(_1188_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2903_ (.A(_1188_),
.X(_0538_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2904_ (.A(\sram4_dout0[31] ),
.B(_1186_),
.X(_1189_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2905_ (.A(_1189_),
.X(_0537_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2906_ (.A(\sram4_dout0[30] ),
.B(_1186_),
.X(_1190_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2907_ (.A(_1190_),
.X(_0536_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2908_ (.A(\sram4_dout0[29] ),
.B(_1186_),
.X(_1191_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2909_ (.A(_1191_),
.X(_0535_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2910_ (.A(_1185_),
.X(_1192_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2911_ (.A(\sram4_dout0[28] ),
.B(_1192_),
.X(_1193_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2912_ (.A(_1193_),
.X(_0534_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2913_ (.A(\sram4_dout0[27] ),
.B(_1192_),
.X(_1194_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2914_ (.A(_1194_),
.X(_0533_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2915_ (.A(\sram4_dout0[26] ),
.B(_1192_),
.X(_1195_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2916_ (.A(_1195_),
.X(_0532_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2917_ (.A(\sram4_dout0[25] ),
.B(_1192_),
.X(_1196_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2918_ (.A(_1196_),
.X(_0531_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2919_ (.A(\sram4_dout0[24] ),
.B(_1192_),
.X(_1197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2920_ (.A(_1197_),
.X(_0530_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2921_ (.A(_1185_),
.X(_1198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2922_ (.A(\sram4_dout0[23] ),
.B(_1198_),
.X(_1199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2923_ (.A(_1199_),
.X(_0529_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2924_ (.A(\sram4_dout0[22] ),
.B(_1198_),
.X(_1200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2925_ (.A(_1200_),
.X(_0528_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2926_ (.A(\sram4_dout0[21] ),
.B(_1198_),
.X(_1201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2927_ (.A(_1201_),
.X(_0527_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2928_ (.A(\sram4_dout0[20] ),
.B(_1198_),
.X(_1202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2929_ (.A(_1202_),
.X(_0526_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2930_ (.A(\sram4_dout0[19] ),
.B(_1198_),
.X(_1203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2931_ (.A(_1203_),
.X(_0525_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2932_ (.A(_1185_),
.X(_1204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2933_ (.A(\sram4_dout0[18] ),
.B(_1204_),
.X(_1205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2934_ (.A(_1205_),
.X(_0524_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2935_ (.A(\sram4_dout0[17] ),
.B(_1204_),
.X(_1206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2936_ (.A(_1206_),
.X(_0523_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2937_ (.A(\sram4_dout0[16] ),
.B(_1204_),
.X(_1207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _2938_ (.A(_1207_),
.X(_0522_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2939_ (.A(\sram4_dout0[15] ),
.B(_1204_),
.X(_1208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2940_ (.A(_1208_),
.X(_0521_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2941_ (.A(\sram4_dout0[14] ),
.B(_1204_),
.X(_1209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2942_ (.A(_1209_),
.X(_0520_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2943_ (.A(_1185_),
.X(_1210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2944_ (.A(\sram4_dout0[13] ),
.B(_1210_),
.X(_1211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2945_ (.A(_1211_),
.X(_0519_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2946_ (.A(\sram4_dout0[12] ),
.B(_1210_),
.X(_1212_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2947_ (.A(_1212_),
.X(_0518_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2948_ (.A(\sram4_dout0[11] ),
.B(_1210_),
.X(_1213_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2949_ (.A(_1213_),
.X(_0517_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2950_ (.A(\sram4_dout0[10] ),
.B(_1210_),
.X(_1214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2951_ (.A(_1214_),
.X(_0516_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2952_ (.A(\sram4_dout0[9] ),
.B(_1210_),
.X(_1215_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2953_ (.A(_1215_),
.X(_0515_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _2954_ (.A(_0857_),
.X(_1216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2955_ (.A(_1216_),
.X(_1217_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2956_ (.A(_1217_),
.X(_1218_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2957_ (.A(\sram4_dout0[8] ),
.B(_1218_),
.X(_1219_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2958_ (.A(_1219_),
.X(_0514_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2959_ (.A(\sram4_dout0[7] ),
.B(_1218_),
.X(_1220_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2960_ (.A(_1220_),
.X(_0513_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2961_ (.A(\sram4_dout0[6] ),
.B(_1218_),
.X(_1221_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2962_ (.A(_1221_),
.X(_0512_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2963_ (.A(\sram4_dout0[5] ),
.B(_1218_),
.X(_1222_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2964_ (.A(_1222_),
.X(_0511_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2965_ (.A(\sram4_dout0[4] ),
.B(_1218_),
.X(_1223_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2966_ (.A(_1223_),
.X(_0510_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2967_ (.A(_1217_),
.X(_1224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2968_ (.A(\sram4_dout0[3] ),
.B(_1224_),
.X(_1225_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2969_ (.A(_1225_),
.X(_0509_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2970_ (.A(\sram4_dout0[2] ),
.B(_1224_),
.X(_1226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2971_ (.A(_1226_),
.X(_0508_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2972_ (.A(\sram4_dout0[1] ),
.B(_1224_),
.X(_1227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _2973_ (.A(_1227_),
.X(_0507_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2974_ (.A(\sram4_dout0[0] ),
.B(_1224_),
.X(_1228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2975_ (.A(_1228_),
.X(_0506_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _2976_ (.A(\sram3_dout1[31] ),
.B(_1224_),
.X(_1229_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2977_ (.A(_1229_),
.X(_0505_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2978_ (.A(_1217_),
.X(_1230_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2979_ (.A(\sram3_dout1[30] ),
.B(_1230_),
.X(_1231_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2980_ (.A(_1231_),
.X(_0504_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2981_ (.A(\sram3_dout1[29] ),
.B(_1230_),
.X(_1232_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _2982_ (.A(_1232_),
.X(_0503_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2983_ (.A(\sram3_dout1[28] ),
.B(_1230_),
.X(_1233_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _2984_ (.A(_1233_),
.X(_0502_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2985_ (.A(\sram3_dout1[27] ),
.B(_1230_),
.X(_1234_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _2986_ (.A(_1234_),
.X(_0501_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2987_ (.A(\sram3_dout1[26] ),
.B(_1230_),
.X(_1235_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _2988_ (.A(_1235_),
.X(_0500_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2989_ (.A(_1217_),
.X(_1236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2990_ (.A(\sram3_dout1[25] ),
.B(_1236_),
.X(_1237_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _2991_ (.A(_1237_),
.X(_0499_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2992_ (.A(\sram3_dout1[24] ),
.B(_1236_),
.X(_1238_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _2993_ (.A(_1238_),
.X(_0498_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2994_ (.A(\sram3_dout1[23] ),
.B(_1236_),
.X(_1239_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _2995_ (.A(_1239_),
.X(_0497_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _2996_ (.A(\sram3_dout1[22] ),
.B(_1236_),
.X(_1240_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _2997_ (.A(_1240_),
.X(_0496_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _2998_ (.A(\sram3_dout1[21] ),
.B(_1236_),
.X(_1241_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _2999_ (.A(_1241_),
.X(_0495_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3000_ (.A(_1217_),
.X(_1242_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3001_ (.A(\sram3_dout1[20] ),
.B(_1242_),
.X(_1243_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3002_ (.A(_1243_),
.X(_0494_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3003_ (.A(\sram3_dout1[19] ),
.B(_1242_),
.X(_1244_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3004_ (.A(_1244_),
.X(_0493_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3005_ (.A(\sram3_dout1[18] ),
.B(_1242_),
.X(_1245_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3006_ (.A(_1245_),
.X(_0492_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3007_ (.A(\sram3_dout1[17] ),
.B(_1242_),
.X(_1246_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3008_ (.A(_1246_),
.X(_0491_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3009_ (.A(\sram3_dout1[16] ),
.B(_1242_),
.X(_1247_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3010_ (.A(_1247_),
.X(_0490_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3011_ (.A(_1216_),
.X(_1248_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3012_ (.A(_1248_),
.X(_1249_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3013_ (.A(\sram3_dout1[15] ),
.B(_1249_),
.X(_1250_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3014_ (.A(_1250_),
.X(_0489_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3015_ (.A(\sram3_dout1[14] ),
.B(_1249_),
.X(_1251_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3016_ (.A(_1251_),
.X(_0488_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3017_ (.A(\sram3_dout1[13] ),
.B(_1249_),
.X(_1252_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3018_ (.A(_1252_),
.X(_0487_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3019_ (.A(\sram3_dout1[12] ),
.B(_1249_),
.X(_1253_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3020_ (.A(_1253_),
.X(_0486_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3021_ (.A(\sram3_dout1[11] ),
.B(_1249_),
.X(_1254_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _3022_ (.A(_1254_),
.X(_0485_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3023_ (.A(_1248_),
.X(_1255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3024_ (.A(\sram3_dout1[10] ),
.B(_1255_),
.X(_1256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3025_ (.A(_1256_),
.X(_0484_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3026_ (.A(\sram3_dout1[9] ),
.B(_1255_),
.X(_1257_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3027_ (.A(_1257_),
.X(_0483_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3028_ (.A(\sram3_dout1[8] ),
.B(_1255_),
.X(_1258_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3029_ (.A(_1258_),
.X(_0482_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3030_ (.A(\sram3_dout1[7] ),
.B(_1255_),
.X(_1259_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _3031_ (.A(_1259_),
.X(_0481_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3032_ (.A(\sram3_dout1[6] ),
.B(_1255_),
.X(_1260_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3033_ (.A(_1260_),
.X(_0480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3034_ (.A(_1248_),
.X(_1261_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3035_ (.A(\sram3_dout1[5] ),
.B(_1261_),
.X(_1262_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3036_ (.A(_1262_),
.X(_0479_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3037_ (.A(\sram3_dout1[4] ),
.B(_1261_),
.X(_1263_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3038_ (.A(_1263_),
.X(_0478_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3039_ (.A(\sram3_dout1[3] ),
.B(_1261_),
.X(_1264_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3040_ (.A(_1264_),
.X(_0477_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3041_ (.A(\sram3_dout1[2] ),
.B(_1261_),
.X(_1265_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3042_ (.A(_1265_),
.X(_0476_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3043_ (.A(\sram3_dout1[1] ),
.B(_1261_),
.X(_1266_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3044_ (.A(_1266_),
.X(_0475_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3045_ (.A(_1248_),
.X(_1267_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3046_ (.A(\sram3_dout1[0] ),
.B(_1267_),
.X(_1268_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3047_ (.A(_1268_),
.X(_0474_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3048_ (.A(\sram3_dout0[31] ),
.B(_1267_),
.X(_1269_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3049_ (.A(_1269_),
.X(_0473_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3050_ (.A(\sram3_dout0[30] ),
.B(_1267_),
.X(_1270_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3051_ (.A(_1270_),
.X(_0472_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3052_ (.A(\sram3_dout0[29] ),
.B(_1267_),
.X(_1271_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3053_ (.A(_1271_),
.X(_0471_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3054_ (.A(\sram3_dout0[28] ),
.B(_1267_),
.X(_1272_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3055_ (.A(_1272_),
.X(_0470_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3056_ (.A(_1248_),
.X(_1273_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3057_ (.A(\sram3_dout0[27] ),
.B(_1273_),
.X(_1274_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3058_ (.A(_1274_),
.X(_0469_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3059_ (.A(\sram3_dout0[26] ),
.B(_1273_),
.X(_1275_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3060_ (.A(_1275_),
.X(_0468_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3061_ (.A(\sram3_dout0[25] ),
.B(_1273_),
.X(_1276_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3062_ (.A(_1276_),
.X(_0467_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3063_ (.A(\sram3_dout0[24] ),
.B(_1273_),
.X(_1277_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3064_ (.A(_1277_),
.X(_0466_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3065_ (.A(\sram3_dout0[23] ),
.B(_1273_),
.X(_1278_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3066_ (.A(_1278_),
.X(_0465_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3067_ (.A(_1216_),
.X(_1279_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3068_ (.A(_1279_),
.X(_1280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3069_ (.A(\sram3_dout0[22] ),
.B(_1280_),
.X(_1281_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3070_ (.A(_1281_),
.X(_0464_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3071_ (.A(\sram3_dout0[21] ),
.B(_1280_),
.X(_1282_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3072_ (.A(_1282_),
.X(_0463_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3073_ (.A(\sram3_dout0[20] ),
.B(_1280_),
.X(_1283_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3074_ (.A(_1283_),
.X(_0462_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3075_ (.A(\sram3_dout0[19] ),
.B(_1280_),
.X(_1284_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3076_ (.A(_1284_),
.X(_0461_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3077_ (.A(\sram3_dout0[18] ),
.B(_1280_),
.X(_1285_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3078_ (.A(_1285_),
.X(_0460_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3079_ (.A(_1279_),
.X(_1286_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3080_ (.A(\sram3_dout0[17] ),
.B(_1286_),
.X(_1287_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3081_ (.A(_1287_),
.X(_0459_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3082_ (.A(\sram3_dout0[16] ),
.B(_1286_),
.X(_1288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3083_ (.A(_1288_),
.X(_0458_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3084_ (.A(\sram3_dout0[15] ),
.B(_1286_),
.X(_1289_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3085_ (.A(_1289_),
.X(_0457_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3086_ (.A(\sram3_dout0[14] ),
.B(_1286_),
.X(_1290_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3087_ (.A(_1290_),
.X(_0456_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3088_ (.A(\sram3_dout0[13] ),
.B(_1286_),
.X(_1291_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3089_ (.A(_1291_),
.X(_0455_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3090_ (.A(_1279_),
.X(_1292_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3091_ (.A(\sram3_dout0[12] ),
.B(_1292_),
.X(_1293_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3092_ (.A(_1293_),
.X(_0454_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3093_ (.A(\sram3_dout0[11] ),
.B(_1292_),
.X(_1294_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3094_ (.A(_1294_),
.X(_0453_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3095_ (.A(\sram3_dout0[10] ),
.B(_1292_),
.X(_1295_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3096_ (.A(_1295_),
.X(_0452_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3097_ (.A(\sram3_dout0[9] ),
.B(_1292_),
.X(_1296_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3098_ (.A(_1296_),
.X(_0451_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3099_ (.A(\sram3_dout0[8] ),
.B(_1292_),
.X(_1297_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3100_ (.A(_1297_),
.X(_0450_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3101_ (.A(_1279_),
.X(_1298_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3102_ (.A(\sram3_dout0[7] ),
.B(_1298_),
.X(_1299_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3103_ (.A(_1299_),
.X(_0449_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3104_ (.A(\sram3_dout0[6] ),
.B(_1298_),
.X(_1300_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3105_ (.A(_1300_),
.X(_0448_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3106_ (.A(\sram3_dout0[5] ),
.B(_1298_),
.X(_1301_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3107_ (.A(_1301_),
.X(_0447_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3108_ (.A(\sram3_dout0[4] ),
.B(_1298_),
.X(_1302_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3109_ (.A(_1302_),
.X(_0446_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3110_ (.A(\sram3_dout0[3] ),
.B(_1298_),
.X(_1303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3111_ (.A(_1303_),
.X(_0445_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3112_ (.A(_1279_),
.X(_1304_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3113_ (.A(\sram3_dout0[2] ),
.B(_1304_),
.X(_1305_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3114_ (.A(_1305_),
.X(_0444_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3115_ (.A(\sram3_dout0[1] ),
.B(_1304_),
.X(_1306_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3116_ (.A(_1306_),
.X(_0443_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3117_ (.A(\sram3_dout0[0] ),
.B(_1304_),
.X(_1307_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3118_ (.A(_1307_),
.X(_0442_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3119_ (.A(\sram2_dout1[31] ),
.B(_1304_),
.X(_1308_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3120_ (.A(_1308_),
.X(_0441_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3121_ (.A(\sram2_dout1[30] ),
.B(_1304_),
.X(_1309_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3122_ (.A(_1309_),
.X(_0440_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3123_ (.A(_1216_),
.X(_1310_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3124_ (.A(_1310_),
.X(_1311_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3125_ (.A(\sram2_dout1[29] ),
.B(_1311_),
.X(_1312_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3126_ (.A(_1312_),
.X(_0439_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3127_ (.A(\sram2_dout1[28] ),
.B(_1311_),
.X(_1313_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3128_ (.A(_1313_),
.X(_0438_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3129_ (.A(\sram2_dout1[27] ),
.B(_1311_),
.X(_1314_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3130_ (.A(_1314_),
.X(_0437_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3131_ (.A(\sram2_dout1[26] ),
.B(_1311_),
.X(_1315_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3132_ (.A(_1315_),
.X(_0436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3133_ (.A(\sram2_dout1[25] ),
.B(_1311_),
.X(_1316_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3134_ (.A(_1316_),
.X(_0435_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3135_ (.A(_1310_),
.X(_1317_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3136_ (.A(\sram2_dout1[24] ),
.B(_1317_),
.X(_1318_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3137_ (.A(_1318_),
.X(_0434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3138_ (.A(\sram2_dout1[23] ),
.B(_1317_),
.X(_1319_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3139_ (.A(_1319_),
.X(_0433_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3140_ (.A(\sram2_dout1[22] ),
.B(_1317_),
.X(_1320_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3141_ (.A(_1320_),
.X(_0432_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3142_ (.A(\sram2_dout1[21] ),
.B(_1317_),
.X(_1321_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3143_ (.A(_1321_),
.X(_0431_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3144_ (.A(\sram2_dout1[20] ),
.B(_1317_),
.X(_1322_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3145_ (.A(_1322_),
.X(_0430_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3146_ (.A(_1310_),
.X(_1323_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3147_ (.A(\sram2_dout1[19] ),
.B(_1323_),
.X(_1324_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3148_ (.A(_1324_),
.X(_0429_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3149_ (.A(\sram2_dout1[18] ),
.B(_1323_),
.X(_1325_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3150_ (.A(_1325_),
.X(_0428_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3151_ (.A(\sram2_dout1[17] ),
.B(_1323_),
.X(_1326_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3152_ (.A(_1326_),
.X(_0427_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3153_ (.A(\sram2_dout1[16] ),
.B(_1323_),
.X(_1327_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3154_ (.A(_1327_),
.X(_0426_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3155_ (.A(\sram2_dout1[15] ),
.B(_1323_),
.X(_1328_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3156_ (.A(_1328_),
.X(_0425_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3157_ (.A(_1310_),
.X(_1329_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3158_ (.A(\sram2_dout1[14] ),
.B(_1329_),
.X(_1330_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3159_ (.A(_1330_),
.X(_0424_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3160_ (.A(\sram2_dout1[13] ),
.B(_1329_),
.X(_1331_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3161_ (.A(_1331_),
.X(_0423_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3162_ (.A(\sram2_dout1[12] ),
.B(_1329_),
.X(_1332_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3163_ (.A(_1332_),
.X(_0422_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3164_ (.A(\sram2_dout1[11] ),
.B(_1329_),
.X(_1333_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3165_ (.A(_1333_),
.X(_0421_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3166_ (.A(\sram2_dout1[10] ),
.B(_1329_),
.X(_1334_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3167_ (.A(_1334_),
.X(_0420_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3168_ (.A(_1310_),
.X(_1335_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3169_ (.A(\sram2_dout1[9] ),
.B(_1335_),
.X(_1336_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3170_ (.A(_1336_),
.X(_0419_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3171_ (.A(\sram2_dout1[8] ),
.B(_1335_),
.X(_1337_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3172_ (.A(_1337_),
.X(_0418_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3173_ (.A(\sram2_dout1[7] ),
.B(_1335_),
.X(_1338_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3174_ (.A(_1338_),
.X(_0417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3175_ (.A(\sram2_dout1[6] ),
.B(_1335_),
.X(_1339_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3176_ (.A(_1339_),
.X(_0416_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3177_ (.A(\sram2_dout1[5] ),
.B(_1335_),
.X(_1340_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3178_ (.A(_1340_),
.X(_0415_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3179_ (.A(_1216_),
.X(_1341_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3180_ (.A(_1341_),
.X(_1342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3181_ (.A(\sram2_dout1[4] ),
.B(_1342_),
.X(_1343_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3182_ (.A(_1343_),
.X(_0414_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3183_ (.A(\sram2_dout1[3] ),
.B(_1342_),
.X(_1344_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3184_ (.A(_1344_),
.X(_0413_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3185_ (.A(\sram2_dout1[2] ),
.B(_1342_),
.X(_1345_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3186_ (.A(_1345_),
.X(_0412_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3187_ (.A(\sram2_dout1[1] ),
.B(_1342_),
.X(_1346_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3188_ (.A(_1346_),
.X(_0411_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3189_ (.A(\sram2_dout1[0] ),
.B(_1342_),
.X(_1347_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3190_ (.A(_1347_),
.X(_0410_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3191_ (.A(_1341_),
.X(_1348_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3192_ (.A(\sram2_dout0[31] ),
.B(_1348_),
.X(_1349_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3193_ (.A(_1349_),
.X(_0409_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3194_ (.A(\sram2_dout0[30] ),
.B(_1348_),
.X(_1350_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3195_ (.A(_1350_),
.X(_0408_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3196_ (.A(\sram2_dout0[29] ),
.B(_1348_),
.X(_1351_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3197_ (.A(_1351_),
.X(_0407_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3198_ (.A(\sram2_dout0[28] ),
.B(_1348_),
.X(_1352_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3199_ (.A(_1352_),
.X(_0406_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3200_ (.A(\sram2_dout0[27] ),
.B(_1348_),
.X(_1353_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3201_ (.A(_1353_),
.X(_0405_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3202_ (.A(_1341_),
.X(_1354_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3203_ (.A(\sram2_dout0[26] ),
.B(_1354_),
.X(_1355_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3204_ (.A(_1355_),
.X(_0404_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3205_ (.A(\sram2_dout0[25] ),
.B(_1354_),
.X(_1356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3206_ (.A(_1356_),
.X(_0403_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3207_ (.A(\sram2_dout0[24] ),
.B(_1354_),
.X(_1357_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3208_ (.A(_1357_),
.X(_0402_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3209_ (.A(\sram2_dout0[23] ),
.B(_1354_),
.X(_1358_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3210_ (.A(_1358_),
.X(_0401_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3211_ (.A(\sram2_dout0[22] ),
.B(_1354_),
.X(_1359_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3212_ (.A(_1359_),
.X(_0400_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3213_ (.A(_1341_),
.X(_1360_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3214_ (.A(\sram2_dout0[21] ),
.B(_1360_),
.X(_1361_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3215_ (.A(_1361_),
.X(_0399_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3216_ (.A(\sram2_dout0[20] ),
.B(_1360_),
.X(_1362_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3217_ (.A(_1362_),
.X(_0398_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3218_ (.A(\sram2_dout0[19] ),
.B(_1360_),
.X(_1363_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3219_ (.A(_1363_),
.X(_0397_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3220_ (.A(\sram2_dout0[18] ),
.B(_1360_),
.X(_1364_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3221_ (.A(_1364_),
.X(_0396_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3222_ (.A(\sram2_dout0[17] ),
.B(_1360_),
.X(_1365_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3223_ (.A(_1365_),
.X(_0395_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3224_ (.A(_1341_),
.X(_1366_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3225_ (.A(\sram2_dout0[16] ),
.B(_1366_),
.X(_1367_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3226_ (.A(_1367_),
.X(_0394_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3227_ (.A(\sram2_dout0[15] ),
.B(_1366_),
.X(_1368_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3228_ (.A(_1368_),
.X(_0393_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3229_ (.A(\sram2_dout0[14] ),
.B(_1366_),
.X(_1369_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3230_ (.A(_1369_),
.X(_0392_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3231_ (.A(\sram2_dout0[13] ),
.B(_1366_),
.X(_1370_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3232_ (.A(_1370_),
.X(_0391_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3233_ (.A(\sram2_dout0[12] ),
.B(_1366_),
.X(_1371_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3234_ (.A(_1371_),
.X(_0390_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3235_ (.A(_0858_),
.X(_1372_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3236_ (.A(_1372_),
.X(_1373_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3237_ (.A(\sram2_dout0[11] ),
.B(_1373_),
.X(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3238_ (.A(_1374_),
.X(_0389_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3239_ (.A(\sram2_dout0[10] ),
.B(_1373_),
.X(_1375_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3240_ (.A(_1375_),
.X(_0388_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3241_ (.A(\sram2_dout0[9] ),
.B(_1373_),
.X(_1376_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3242_ (.A(_1376_),
.X(_0387_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3243_ (.A(\sram2_dout0[8] ),
.B(_1373_),
.X(_1377_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3244_ (.A(_1377_),
.X(_0386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3245_ (.A(\sram2_dout0[7] ),
.B(_1373_),
.X(_1378_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3246_ (.A(_1378_),
.X(_0385_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3247_ (.A(_1372_),
.X(_1379_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3248_ (.A(\sram2_dout0[6] ),
.B(_1379_),
.X(_1380_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3249_ (.A(_1380_),
.X(_0384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3250_ (.A(\sram2_dout0[5] ),
.B(_1379_),
.X(_1381_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3251_ (.A(_1381_),
.X(_0383_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3252_ (.A(\sram2_dout0[4] ),
.B(_1379_),
.X(_1382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3253_ (.A(_1382_),
.X(_0382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3254_ (.A(\sram2_dout0[3] ),
.B(_1379_),
.X(_1383_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3255_ (.A(_1383_),
.X(_0381_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3256_ (.A(\sram2_dout0[2] ),
.B(_1379_),
.X(_1384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3257_ (.A(_1384_),
.X(_0380_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3258_ (.A(_1372_),
.X(_1385_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3259_ (.A(\sram2_dout0[1] ),
.B(_1385_),
.X(_1386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3260_ (.A(_1386_),
.X(_0379_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3261_ (.A(\sram2_dout0[0] ),
.B(_1385_),
.X(_1387_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3262_ (.A(_1387_),
.X(_0378_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3263_ (.A(\sram1_dout1[31] ),
.B(_1385_),
.X(_1388_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3264_ (.A(_1388_),
.X(_0377_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3265_ (.A(\sram1_dout1[30] ),
.B(_1385_),
.X(_1389_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3266_ (.A(_1389_),
.X(_0376_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3267_ (.A(\sram1_dout1[29] ),
.B(_1385_),
.X(_1390_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3268_ (.A(_1390_),
.X(_0375_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3269_ (.A(_1372_),
.X(_1391_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3270_ (.A(\sram1_dout1[28] ),
.B(_1391_),
.X(_1392_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3271_ (.A(_1392_),
.X(_0374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3272_ (.A(\sram1_dout1[27] ),
.B(_1391_),
.X(_1393_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3273_ (.A(_1393_),
.X(_0373_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3274_ (.A(\sram1_dout1[26] ),
.B(_1391_),
.X(_1394_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3275_ (.A(_1394_),
.X(_0372_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3276_ (.A(\sram1_dout1[25] ),
.B(_1391_),
.X(_1395_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3277_ (.A(_1395_),
.X(_0371_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3278_ (.A(\sram1_dout1[24] ),
.B(_1391_),
.X(_1396_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3279_ (.A(_1396_),
.X(_0370_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3280_ (.A(_1372_),
.X(_1397_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3281_ (.A(\sram1_dout1[23] ),
.B(_1397_),
.X(_1398_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3282_ (.A(_1398_),
.X(_0369_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3283_ (.A(\sram1_dout1[22] ),
.B(_1397_),
.X(_1399_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3284_ (.A(_1399_),
.X(_0368_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3285_ (.A(\sram1_dout1[21] ),
.B(_1397_),
.X(_1400_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3286_ (.A(_1400_),
.X(_0367_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3287_ (.A(\sram1_dout1[20] ),
.B(_1397_),
.X(_1401_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3288_ (.A(_1401_),
.X(_0366_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3289_ (.A(\sram1_dout1[19] ),
.B(_1397_),
.X(_1402_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3290_ (.A(_1402_),
.X(_0365_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3291_ (.A(_0858_),
.X(_1403_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3292_ (.A(_1403_),
.X(_1404_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3293_ (.A(\sram1_dout1[18] ),
.B(_1404_),
.X(_1405_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3294_ (.A(_1405_),
.X(_0364_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3295_ (.A(\sram1_dout1[17] ),
.B(_1404_),
.X(_1406_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3296_ (.A(_1406_),
.X(_0363_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3297_ (.A(\sram1_dout1[16] ),
.B(_1404_),
.X(_1407_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3298_ (.A(_1407_),
.X(_0362_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3299_ (.A(\sram1_dout1[15] ),
.B(_1404_),
.X(_1408_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3300_ (.A(_1408_),
.X(_0361_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3301_ (.A(\sram1_dout1[14] ),
.B(_1404_),
.X(_1409_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3302_ (.A(_1409_),
.X(_0360_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3303_ (.A(_1403_),
.X(_1410_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3304_ (.A(\sram1_dout1[13] ),
.B(_1410_),
.X(_1411_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3305_ (.A(_1411_),
.X(_0359_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3306_ (.A(\sram1_dout1[12] ),
.B(_1410_),
.X(_1412_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _3307_ (.A(_1412_),
.X(_0358_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3308_ (.A(\sram1_dout1[11] ),
.B(_1410_),
.X(_1413_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3309_ (.A(_1413_),
.X(_0357_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3310_ (.A(\sram1_dout1[10] ),
.B(_1410_),
.X(_1414_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _3311_ (.A(_1414_),
.X(_0356_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3312_ (.A(\sram1_dout1[9] ),
.B(_1410_),
.X(_1415_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3313_ (.A(_1415_),
.X(_0355_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3314_ (.A(_1403_),
.X(_1416_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3315_ (.A(\sram1_dout1[8] ),
.B(_1416_),
.X(_1417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3316_ (.A(_1417_),
.X(_0354_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3317_ (.A(\sram1_dout1[7] ),
.B(_1416_),
.X(_1418_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3318_ (.A(_1418_),
.X(_0353_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3319_ (.A(\sram1_dout1[6] ),
.B(_1416_),
.X(_1419_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3320_ (.A(_1419_),
.X(_0352_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3321_ (.A(\sram1_dout1[5] ),
.B(_1416_),
.X(_1420_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3322_ (.A(_1420_),
.X(_0351_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3323_ (.A(\sram1_dout1[4] ),
.B(_1416_),
.X(_1421_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3324_ (.A(_1421_),
.X(_0350_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3325_ (.A(_1403_),
.X(_1422_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3326_ (.A(\sram1_dout1[3] ),
.B(_1422_),
.X(_1423_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3327_ (.A(_1423_),
.X(_0349_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3328_ (.A(\sram1_dout1[2] ),
.B(_1422_),
.X(_1424_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3329_ (.A(_1424_),
.X(_0348_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3330_ (.A(\sram1_dout1[1] ),
.B(_1422_),
.X(_1425_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3331_ (.A(_1425_),
.X(_0347_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3332_ (.A(\sram1_dout1[0] ),
.B(_1422_),
.X(_1426_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3333_ (.A(_1426_),
.X(_0346_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3334_ (.A(\sram1_dout0[31] ),
.B(_1422_),
.X(_1427_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3335_ (.A(_1427_),
.X(_0345_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3336_ (.A(_1403_),
.X(_1428_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3337_ (.A(\sram1_dout0[30] ),
.B(_1428_),
.X(_1429_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3338_ (.A(_1429_),
.X(_0344_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3339_ (.A(\sram1_dout0[29] ),
.B(_1428_),
.X(_1430_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3340_ (.A(_1430_),
.X(_0343_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3341_ (.A(\sram1_dout0[28] ),
.B(_1428_),
.X(_1431_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3342_ (.A(_1431_),
.X(_0342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3343_ (.A(\sram1_dout0[27] ),
.B(_1428_),
.X(_1432_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3344_ (.A(_1432_),
.X(_0341_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3345_ (.A(\sram1_dout0[26] ),
.B(_1428_),
.X(_1433_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3346_ (.A(_1433_),
.X(_0340_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3347_ (.A(_0858_),
.X(_1434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3348_ (.A(_1434_),
.X(_1435_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3349_ (.A(\sram1_dout0[25] ),
.B(_1435_),
.X(_1436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _3350_ (.A(_1436_),
.X(_0339_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3351_ (.A(\sram1_dout0[24] ),
.B(_1435_),
.X(_1437_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3352_ (.A(_1437_),
.X(_0338_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3353_ (.A(\sram1_dout0[23] ),
.B(_1435_),
.X(_1438_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3354_ (.A(_1438_),
.X(_0337_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3355_ (.A(\sram1_dout0[22] ),
.B(_1435_),
.X(_1439_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3356_ (.A(_1439_),
.X(_0336_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3357_ (.A(\sram1_dout0[21] ),
.B(_1435_),
.X(_1440_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3358_ (.A(_1440_),
.X(_0335_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3359_ (.A(_1434_),
.X(_1441_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3360_ (.A(\sram1_dout0[20] ),
.B(_1441_),
.X(_1442_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3361_ (.A(_1442_),
.X(_0334_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3362_ (.A(\sram1_dout0[19] ),
.B(_1441_),
.X(_1443_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3363_ (.A(_1443_),
.X(_0333_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3364_ (.A(\sram1_dout0[18] ),
.B(_1441_),
.X(_1444_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3365_ (.A(_1444_),
.X(_0332_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3366_ (.A(\sram1_dout0[17] ),
.B(_1441_),
.X(_1445_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3367_ (.A(_1445_),
.X(_0331_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3368_ (.A(\sram1_dout0[16] ),
.B(_1441_),
.X(_1446_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3369_ (.A(_1446_),
.X(_0330_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3370_ (.A(_1434_),
.X(_1447_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3371_ (.A(\sram1_dout0[15] ),
.B(_1447_),
.X(_1448_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3372_ (.A(_1448_),
.X(_0329_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3373_ (.A(\sram1_dout0[14] ),
.B(_1447_),
.X(_1449_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3374_ (.A(_1449_),
.X(_0328_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_2 _3375_ (.A(\sram1_dout0[13] ),
.B(_1447_),
.X(_1450_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3376_ (.A(_1450_),
.X(_0327_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3377_ (.A(\sram1_dout0[12] ),
.B(_1447_),
.X(_1451_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3378_ (.A(_1451_),
.X(_0326_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3379_ (.A(\sram1_dout0[11] ),
.B(_1447_),
.X(_1452_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3380_ (.A(_1452_),
.X(_0325_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3381_ (.A(_1434_),
.X(_1453_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3382_ (.A(\sram1_dout0[10] ),
.B(_1453_),
.X(_1454_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3383_ (.A(_1454_),
.X(_0324_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3384_ (.A(\sram1_dout0[9] ),
.B(_1453_),
.X(_1455_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _3385_ (.A(_1455_),
.X(_0323_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3386_ (.A(\sram1_dout0[8] ),
.B(_1453_),
.X(_1456_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3387_ (.A(_1456_),
.X(_0322_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3388_ (.A(\sram1_dout0[7] ),
.B(_1453_),
.X(_1457_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3389_ (.A(_1457_),
.X(_0321_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3390_ (.A(\sram1_dout0[6] ),
.B(_1453_),
.X(_1458_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3391_ (.A(_1458_),
.X(_0320_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3392_ (.A(_1434_),
.X(_1459_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3393_ (.A(\sram1_dout0[5] ),
.B(_1459_),
.X(_1460_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3394_ (.A(_1460_),
.X(_0319_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3395_ (.A(\sram1_dout0[4] ),
.B(_1459_),
.X(_1461_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3396_ (.A(_1461_),
.X(_0318_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3397_ (.A(\sram1_dout0[3] ),
.B(_1459_),
.X(_1462_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3398_ (.A(_1462_),
.X(_0317_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3399_ (.A(\sram1_dout0[2] ),
.B(_1459_),
.X(_1463_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3400_ (.A(_1463_),
.X(_0316_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3401_ (.A(\sram1_dout0[1] ),
.B(_1459_),
.X(_1464_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3402_ (.A(_1464_),
.X(_0315_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3403_ (.A(_0859_),
.X(_1465_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_4 _3404_ (.A(\sram1_dout0[0] ),
.B(_1465_),
.X(_1466_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3405_ (.A(_1466_),
.X(_0314_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3406_ (.A(\sram0_dout1[7] ),
.B(_1465_),
.X(_1467_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3407_ (.A(_1467_),
.X(_0313_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3408_ (.A(\sram0_dout1[6] ),
.B(_1465_),
.X(_1468_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3409_ (.A(_1468_),
.X(_0312_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3410_ (.A(\sram0_dout1[5] ),
.B(_1465_),
.X(_1469_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3411_ (.A(_1469_),
.X(_0311_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3412_ (.A(\sram0_dout1[4] ),
.B(_1465_),
.X(_1470_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3413_ (.A(_1470_),
.X(_0310_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3414_ (.A(\sram0_dout1[3] ),
.B(_0860_),
.X(_1471_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3415_ (.A(_1471_),
.X(_0309_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3416_ (.A(\sram0_dout1[2] ),
.B(_0860_),
.X(_1472_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3417_ (.A(_1472_),
.X(_0308_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3418_ (.A(\sram0_dout1[1] ),
.B(_0860_),
.X(_1473_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3419_ (.A(_1473_),
.X(_0307_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3420_ (.A(\sram0_dout1[0] ),
.B(_0860_),
.X(_1474_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3421_ (.A(_1474_),
.X(_0306_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3422_ (.A(net430),
.B(net61),
.X(_1475_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3423_ (.A(_1475_),
.X(_0270_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3424_ (.A(net430),
.B(net62),
.X(_1476_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3425_ (.A(_1476_),
.X(_0271_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3426_ (.A(net430),
.B(net63),
.X(_1477_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3427_ (.A(_1477_),
.X(_0272_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3428_ (.A(net430),
.B(net64),
.X(_1478_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3429_ (.A(_1478_),
.X(_0273_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3430_ (.A(net430),
.B(net65),
.X(_1479_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3431_ (.A(_1479_),
.X(_0274_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3432_ (.A(net430),
.B(net66),
.X(_1480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3433_ (.A(_1480_),
.X(_0275_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3434_ (.A(net430),
.B(net67),
.X(_1481_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3435_ (.A(_1481_),
.X(_0276_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3436_ (.A(net430),
.B(net69),
.X(_1482_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3437_ (.A(_1482_),
.X(_0277_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3438_ (.A(net430),
.B(net70),
.X(_1483_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3439_ (.A(_1483_),
.X(_0278_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3440_ (.A(net430),
.B(net71),
.X(_1484_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3441_ (.A(_1484_),
.X(_0279_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3442_ (.A(net430),
.B(net72),
.X(_1485_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3443_ (.A(_1485_),
.X(_0280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3444_ (.A(net430),
.B(net73),
.X(_1486_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3445_ (.A(_1486_),
.X(_0281_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3446_ (.A(net430),
.B(net74),
.X(_1487_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3447_ (.A(_1487_),
.X(_0282_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3448_ (.A(net430),
.B(net75),
.X(_1488_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3449_ (.A(_1488_),
.X(_0283_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3450_ (.A(net430),
.B(net76),
.X(_1489_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3451_ (.A(_1489_),
.X(_0284_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3452_ (.A(net430),
.B(net77),
.X(_1490_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3453_ (.A(_1490_),
.X(_0285_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3454_ (.A(net430),
.B(net78),
.X(_1491_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3455_ (.A(_1491_),
.X(_0286_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3456_ (.A(net364),
.B(net365),
.C(net124),
.D(net363),
.X(_1492_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3457_ (.A(_1492_),
.X(_0287_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3458_ (.A(\CONTROL_LOGIC.sram2_data0[0] ),
.Y(_1493_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3459_ (.A(net364),
.Y(_1494_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3460_ (.A(_1494_),
.X(_1495_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3461_ (.A(net362),
.B(net363),
.C(_1495_),
.D(net365),
.X(_1496_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3462_ (.A(_1496_),
.X(_1497_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3463_ (.A(_1497_),
.X(_1498_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3464_ (.A(_1498_),
.X(_1499_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3465_ (.A(\CONTROL_LOGIC.sram10_data0[0] ),
.Y(_1500_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_4 _3466_ (.A(net362),
.Y(_1501_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3467_ (.A(_1495_),
.B(net365),
.C(_1501_),
.D(net363),
.X(_1502_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3468_ (.A(_1502_),
.X(_1503_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3469_ (.A(_1503_),
.X(_1504_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3470_ (.A(\CONTROL_LOGIC.sram9_data0[0] ),
.Y(_1505_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3471_ (.A(_1501_),
.X(_1506_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3472_ (.A(net365),
.Y(_1507_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3473_ (.A(_1507_),
.X(_1508_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3474_ (.A(_1506_),
.B(net363),
.C(net364),
.D(_1508_),
.X(_1509_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3475_ (.A(_1509_),
.X(_1510_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3476_ (.A(_1510_),
.X(_1511_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3477_ (.A1(_1500_),
.A2(_1504_),
.B1(_1505_),
.B2(_1511_),
.X(_1512_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3478_ (.A(\CONTROL_LOGIC.sram3_data0[0] ),
.Y(_1513_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3479_ (.A(net362),
.B(net363),
.C(_1494_),
.D(_1508_),
.X(_1514_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3480_ (.A(_1514_),
.X(_1515_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3481_ (.A(\CONTROL_LOGIC.sram11_data0[0] ),
.Y(_1516_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3482_ (.A(_1495_),
.B(_1508_),
.C(_1501_),
.D(net363),
.X(_1517_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3483_ (.A(_1517_),
.X(_1518_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3484_ (.A(_1518_),
.X(_1519_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3485_ (.A1(_1513_),
.A2(_1515_),
.B1(_1516_),
.B2(_1519_),
.X(_1520_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3486_ (.A(\CONTROL_LOGIC.sram4_data0[0] ),
.Y(_1521_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3487_ (.A(net363),
.Y(_1522_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3488_ (.A(net364),
.B(net365),
.C(net362),
.D(_1522_),
.X(_1523_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _3489_ (.A(_1523_),
.X(_1524_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3490_ (.A(_1524_),
.X(_1525_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3491_ (.A(\CONTROL_LOGIC.sram1_data0[0] ),
.Y(_1526_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3492_ (.A(net362),
.B(net363),
.C(net364),
.D(_1507_),
.X(_1527_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 _3493_ (.A(_1527_),
.X(_1528_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3494_ (.A(\CONTROL_LOGIC.sram12_data0[0] ),
.Y(_1529_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3495_ (.A(net364),
.B(net365),
.C(_1501_),
.D(_1522_),
.X(_1530_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3496_ (.A(_1530_),
.X(_1531_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3497_ (.A(_1531_),
.X(_1532_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3498_ (.A(\CONTROL_LOGIC.sram8_data0[0] ),
.Y(_1533_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _3499_ (.A(net364),
.B(net365),
.C(_1501_),
.D(net363),
.X(_1534_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3500_ (.A(_1534_),
.X(_1535_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3501_ (.A(_1535_),
.X(_1536_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3502_ (.A1(_1529_),
.A2(_1532_),
.B1(_1533_),
.B2(_1536_),
.X(_1537_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3503_ (.A1(_1521_),
.A2(_1525_),
.B1(_1526_),
.B2(_1528_),
.C1(_1537_),
.X(_1538_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3504_ (.A1(_1493_),
.A2(_1499_),
.B1(_1512_),
.C1(_1520_),
.D1(_1538_),
.Y(_0288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3505_ (.A(\CONTROL_LOGIC.sram2_data0[1] ),
.Y(_1539_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3506_ (.A(\CONTROL_LOGIC.sram10_data0[1] ),
.Y(_1540_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3507_ (.A(\CONTROL_LOGIC.sram9_data0[1] ),
.Y(_1541_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3508_ (.A1(_1540_),
.A2(_1504_),
.B1(_1541_),
.B2(_1511_),
.X(_1542_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3509_ (.A(\CONTROL_LOGIC.sram3_data0[1] ),
.Y(_1543_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3510_ (.A(\CONTROL_LOGIC.sram11_data0[1] ),
.Y(_1544_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3511_ (.A1(_1543_),
.A2(_1515_),
.B1(_1544_),
.B2(_1519_),
.X(_1545_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3512_ (.A(\CONTROL_LOGIC.sram4_data0[1] ),
.Y(_1546_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3513_ (.A(\CONTROL_LOGIC.sram1_data0[1] ),
.Y(_1547_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3514_ (.A(\CONTROL_LOGIC.sram12_data0[1] ),
.Y(_1548_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3515_ (.A(\CONTROL_LOGIC.sram8_data0[1] ),
.Y(_1549_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3516_ (.A1(_1548_),
.A2(_1532_),
.B1(_1549_),
.B2(_1536_),
.X(_1550_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3517_ (.A1(_1546_),
.A2(_1525_),
.B1(_1547_),
.B2(_1528_),
.C1(_1550_),
.X(_1551_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3518_ (.A1(_1539_),
.A2(_1499_),
.B1(_1542_),
.C1(_1545_),
.D1(_1551_),
.Y(_0291_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_4 _3519_ (.A(\CONTROL_LOGIC.sram2_data0[2] ),
.Y(_1552_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3520_ (.A(\CONTROL_LOGIC.sram10_data0[2] ),
.Y(_1553_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3521_ (.A(\CONTROL_LOGIC.sram9_data0[2] ),
.Y(_1554_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3522_ (.A1(_1553_),
.A2(_1504_),
.B1(_1554_),
.B2(_1511_),
.X(_1555_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3523_ (.A(\CONTROL_LOGIC.sram3_data0[2] ),
.Y(_1556_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3524_ (.A(_1514_),
.X(_1557_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3525_ (.A(_1557_),
.X(_1558_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3526_ (.A(\CONTROL_LOGIC.sram11_data0[2] ),
.Y(_1559_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3527_ (.A1(_1556_),
.A2(_1558_),
.B1(_1559_),
.B2(_1519_),
.X(_1560_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3528_ (.A(\CONTROL_LOGIC.sram4_data0[2] ),
.Y(_1561_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3529_ (.A(\CONTROL_LOGIC.sram1_data0[2] ),
.Y(_1562_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _3530_ (.A(_1527_),
.X(_1563_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3531_ (.A(_1563_),
.X(_1564_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3532_ (.A(\CONTROL_LOGIC.sram12_data0[2] ),
.Y(_1565_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3533_ (.A(\CONTROL_LOGIC.sram8_data0[2] ),
.Y(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3534_ (.A1(_1565_),
.A2(_1532_),
.B1(_1566_),
.B2(_1536_),
.X(_1567_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3535_ (.A1(_1561_),
.A2(_1525_),
.B1(_1562_),
.B2(_1564_),
.C1(_1567_),
.X(_1568_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3536_ (.A1(_1552_),
.A2(_1499_),
.B1(_1555_),
.C1(_1560_),
.D1(_1568_),
.Y(_0294_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3537_ (.A(\CONTROL_LOGIC.sram2_data0[3] ),
.Y(_1569_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3538_ (.A(\CONTROL_LOGIC.sram10_data0[3] ),
.Y(_1570_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3539_ (.A(\CONTROL_LOGIC.sram9_data0[3] ),
.Y(_1571_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3540_ (.A1(_1570_),
.A2(_1504_),
.B1(_1571_),
.B2(_1511_),
.X(_1572_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3541_ (.A(\CONTROL_LOGIC.sram3_data0[3] ),
.Y(_1573_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3542_ (.A(\CONTROL_LOGIC.sram11_data0[3] ),
.Y(_1574_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3543_ (.A1(_1573_),
.A2(_1558_),
.B1(_1574_),
.B2(_1519_),
.X(_1575_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3544_ (.A(\CONTROL_LOGIC.sram4_data0[3] ),
.Y(_1576_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3545_ (.A(\CONTROL_LOGIC.sram1_data0[3] ),
.Y(_1577_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3546_ (.A(\CONTROL_LOGIC.sram12_data0[3] ),
.Y(_1578_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3547_ (.A(\CONTROL_LOGIC.sram8_data0[3] ),
.Y(_1579_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3548_ (.A1(_1578_),
.A2(_1532_),
.B1(_1579_),
.B2(_1536_),
.X(_1580_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3549_ (.A1(_1576_),
.A2(_1525_),
.B1(_1577_),
.B2(_1564_),
.C1(_1580_),
.X(_1581_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3550_ (.A1(_1569_),
.A2(_1499_),
.B1(_1572_),
.C1(_1575_),
.D1(_1581_),
.Y(_0297_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3551_ (.A(\CONTROL_LOGIC.sram2_data0[4] ),
.Y(_1582_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3552_ (.A(\CONTROL_LOGIC.sram10_data0[4] ),
.Y(_1583_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3553_ (.A(\CONTROL_LOGIC.sram9_data0[4] ),
.Y(_1584_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3554_ (.A1(_1583_),
.A2(_1504_),
.B1(_1584_),
.B2(_1511_),
.X(_1585_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3555_ (.A(\CONTROL_LOGIC.sram3_data0[4] ),
.Y(_1586_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3556_ (.A(\CONTROL_LOGIC.sram11_data0[4] ),
.Y(_1587_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3557_ (.A1(_1586_),
.A2(_1558_),
.B1(_1587_),
.B2(_1519_),
.X(_1588_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3558_ (.A(\CONTROL_LOGIC.sram4_data0[4] ),
.Y(_1589_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3559_ (.A(\CONTROL_LOGIC.sram1_data0[4] ),
.Y(_1590_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_4 _3560_ (.A(\CONTROL_LOGIC.sram12_data0[4] ),
.Y(_1591_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3561_ (.A(_1531_),
.X(_1592_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3562_ (.A(\CONTROL_LOGIC.sram8_data0[4] ),
.Y(_1593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3563_ (.A1(_1591_),
.A2(_1592_),
.B1(_1593_),
.B2(_1536_),
.X(_1594_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3564_ (.A1(_1589_),
.A2(_1525_),
.B1(_1590_),
.B2(_1564_),
.C1(_1594_),
.X(_1595_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3565_ (.A1(_1582_),
.A2(_1499_),
.B1(_1585_),
.C1(_1588_),
.D1(_1595_),
.Y(_0300_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3566_ (.A(\CONTROL_LOGIC.sram2_data0[5] ),
.Y(_1596_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3567_ (.A(_1498_),
.X(_1597_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3568_ (.A(\CONTROL_LOGIC.sram10_data0[5] ),
.Y(_1598_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3569_ (.A(_1503_),
.X(_1599_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3570_ (.A(\CONTROL_LOGIC.sram9_data0[5] ),
.Y(_1600_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3571_ (.A(_1510_),
.X(_1601_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3572_ (.A1(_1598_),
.A2(_1599_),
.B1(_1600_),
.B2(_1601_),
.X(_1602_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3573_ (.A(\CONTROL_LOGIC.sram3_data0[5] ),
.Y(_1603_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3574_ (.A(\CONTROL_LOGIC.sram11_data0[5] ),
.Y(_1604_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3575_ (.A(_1518_),
.X(_1605_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3576_ (.A1(_1603_),
.A2(_1558_),
.B1(_1604_),
.B2(_1605_),
.X(_1606_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _3577_ (.A(\CONTROL_LOGIC.sram4_data0[5] ),
.Y(_1607_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3578_ (.A(_1523_),
.X(_1608_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3579_ (.A(_1608_),
.X(_1609_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3580_ (.A(\CONTROL_LOGIC.sram1_data0[5] ),
.Y(_1610_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3581_ (.A(\CONTROL_LOGIC.sram12_data0[5] ),
.Y(_1611_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3582_ (.A(\CONTROL_LOGIC.sram8_data0[5] ),
.Y(_1612_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3583_ (.A(_1535_),
.X(_1613_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3584_ (.A1(_1611_),
.A2(_1592_),
.B1(_1612_),
.B2(_1613_),
.X(_1614_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3585_ (.A1(_1607_),
.A2(_1609_),
.B1(_1610_),
.B2(_1564_),
.C1(_1614_),
.X(_1615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3586_ (.A1(_1596_),
.A2(_1597_),
.B1(_1602_),
.C1(_1606_),
.D1(_1615_),
.Y(_0303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3587_ (.A(\CONTROL_LOGIC.sram2_data0[6] ),
.Y(_1616_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3588_ (.A(\CONTROL_LOGIC.sram10_data0[6] ),
.Y(_1617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3589_ (.A(\CONTROL_LOGIC.sram9_data0[6] ),
.Y(_1618_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3590_ (.A1(_1617_),
.A2(_1599_),
.B1(_1618_),
.B2(_1601_),
.X(_1619_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3591_ (.A(\CONTROL_LOGIC.sram3_data0[6] ),
.Y(_1620_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3592_ (.A(\CONTROL_LOGIC.sram11_data0[6] ),
.Y(_1621_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3593_ (.A1(_1620_),
.A2(_1558_),
.B1(_1621_),
.B2(_1605_),
.X(_1622_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3594_ (.A(\CONTROL_LOGIC.sram4_data0[6] ),
.Y(_1623_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3595_ (.A(\CONTROL_LOGIC.sram1_data0[6] ),
.Y(_1624_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3596_ (.A(\CONTROL_LOGIC.sram12_data0[6] ),
.Y(_1625_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3597_ (.A(\CONTROL_LOGIC.sram8_data0[6] ),
.Y(_1626_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3598_ (.A1(_1625_),
.A2(_1592_),
.B1(_1626_),
.B2(_1613_),
.X(_1627_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3599_ (.A1(_1623_),
.A2(_1609_),
.B1(_1624_),
.B2(_1564_),
.C1(_1627_),
.X(_1628_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3600_ (.A1(_1616_),
.A2(_1597_),
.B1(_1619_),
.C1(_1622_),
.D1(_1628_),
.Y(_0112_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3601_ (.A(\CONTROL_LOGIC.sram2_data0[7] ),
.Y(_1629_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3602_ (.A(\CONTROL_LOGIC.sram10_data0[7] ),
.Y(_1630_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3603_ (.A(\CONTROL_LOGIC.sram9_data0[7] ),
.Y(_1631_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3604_ (.A1(_1630_),
.A2(_1599_),
.B1(_1631_),
.B2(_1601_),
.X(_1632_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3605_ (.A(\CONTROL_LOGIC.sram3_data0[7] ),
.Y(_1633_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3606_ (.A(_1557_),
.X(_1634_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3607_ (.A(\CONTROL_LOGIC.sram11_data0[7] ),
.Y(_1635_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3608_ (.A1(_1633_),
.A2(_1634_),
.B1(_1635_),
.B2(_1605_),
.X(_1636_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3609_ (.A(\CONTROL_LOGIC.sram4_data0[7] ),
.Y(_1637_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3610_ (.A(\CONTROL_LOGIC.sram1_data0[7] ),
.Y(_1638_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3611_ (.A(_1563_),
.X(_1639_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3612_ (.A(\CONTROL_LOGIC.sram12_data0[7] ),
.Y(_1640_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3613_ (.A(\CONTROL_LOGIC.sram8_data0[7] ),
.Y(_1641_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3614_ (.A1(_1640_),
.A2(_1592_),
.B1(_1641_),
.B2(_1613_),
.X(_1642_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3615_ (.A1(_1637_),
.A2(_1609_),
.B1(_1638_),
.B2(_1639_),
.C1(_1642_),
.X(_1643_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3616_ (.A1(_1629_),
.A2(_1597_),
.B1(_1632_),
.C1(_1636_),
.D1(_1643_),
.Y(_0115_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3617_ (.A(\CONTROL_LOGIC.sram2_data0[8] ),
.Y(_1644_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3618_ (.A(\CONTROL_LOGIC.sram10_data0[8] ),
.Y(_1645_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3619_ (.A(\CONTROL_LOGIC.sram9_data0[8] ),
.Y(_1646_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3620_ (.A1(_1645_),
.A2(_1599_),
.B1(_1646_),
.B2(_1601_),
.X(_1647_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3621_ (.A(\CONTROL_LOGIC.sram3_data0[8] ),
.Y(_1648_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3622_ (.A(\CONTROL_LOGIC.sram11_data0[8] ),
.Y(_1649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3623_ (.A1(_1648_),
.A2(_1634_),
.B1(_1649_),
.B2(_1605_),
.X(_1650_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3624_ (.A(\CONTROL_LOGIC.sram4_data0[8] ),
.Y(_1651_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3625_ (.A(\CONTROL_LOGIC.sram1_data0[8] ),
.Y(_1652_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3626_ (.A(\CONTROL_LOGIC.sram12_data0[8] ),
.Y(_1653_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3627_ (.A(\CONTROL_LOGIC.sram8_data0[8] ),
.Y(_1654_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3628_ (.A1(_1653_),
.A2(_1592_),
.B1(_1654_),
.B2(_1613_),
.X(_1655_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3629_ (.A1(_1651_),
.A2(_1609_),
.B1(_1652_),
.B2(_1639_),
.C1(_1655_),
.X(_1656_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3630_ (.A1(_1644_),
.A2(_1597_),
.B1(_1647_),
.C1(_1650_),
.D1(_1656_),
.Y(_0118_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3631_ (.A(\CONTROL_LOGIC.sram2_data0[9] ),
.Y(_1657_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3632_ (.A(\CONTROL_LOGIC.sram10_data0[9] ),
.Y(_1658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3633_ (.A(\CONTROL_LOGIC.sram9_data0[9] ),
.Y(_1659_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3634_ (.A1(_1658_),
.A2(_1599_),
.B1(_1659_),
.B2(_1601_),
.X(_1660_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3635_ (.A(\CONTROL_LOGIC.sram3_data0[9] ),
.Y(_1661_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3636_ (.A(\CONTROL_LOGIC.sram11_data0[9] ),
.Y(_1662_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3637_ (.A1(_1661_),
.A2(_1634_),
.B1(_1662_),
.B2(_1605_),
.X(_1663_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3638_ (.A(\CONTROL_LOGIC.sram4_data0[9] ),
.Y(_1664_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3639_ (.A(\CONTROL_LOGIC.sram1_data0[9] ),
.Y(_1665_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3640_ (.A(\CONTROL_LOGIC.sram12_data0[9] ),
.Y(_1666_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3641_ (.A(_1530_),
.X(_1667_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3642_ (.A(\CONTROL_LOGIC.sram8_data0[9] ),
.Y(_1668_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3643_ (.A1(_1666_),
.A2(_1667_),
.B1(_1668_),
.B2(_1613_),
.X(_1669_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3644_ (.A1(_1664_),
.A2(_1609_),
.B1(_1665_),
.B2(_1639_),
.C1(_1669_),
.X(_1670_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3645_ (.A1(_1657_),
.A2(_1597_),
.B1(_1660_),
.C1(_1663_),
.D1(_1670_),
.Y(_0120_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3646_ (.A(\CONTROL_LOGIC.sram2_data0[10] ),
.Y(_1671_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3647_ (.A(_1498_),
.X(_1672_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3648_ (.A(\CONTROL_LOGIC.sram10_data0[10] ),
.Y(_1673_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3649_ (.A(_1503_),
.X(_1674_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3650_ (.A(\CONTROL_LOGIC.sram9_data0[10] ),
.Y(_1675_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3651_ (.A(_1510_),
.X(_1676_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3652_ (.A1(_1673_),
.A2(_1674_),
.B1(_1675_),
.B2(_1676_),
.X(_1677_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3653_ (.A(\CONTROL_LOGIC.sram3_data0[10] ),
.Y(_1678_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3654_ (.A(\CONTROL_LOGIC.sram11_data0[10] ),
.Y(_1679_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3655_ (.A(_1518_),
.X(_1680_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3656_ (.A1(_1678_),
.A2(_1634_),
.B1(_1679_),
.B2(_1680_),
.X(_1681_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _3657_ (.A(\CONTROL_LOGIC.sram4_data0[10] ),
.Y(_1682_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3658_ (.A(_1608_),
.X(_1683_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3659_ (.A(\CONTROL_LOGIC.sram1_data0[10] ),
.Y(_1684_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3660_ (.A(\CONTROL_LOGIC.sram12_data0[10] ),
.Y(_1685_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3661_ (.A(\CONTROL_LOGIC.sram8_data0[10] ),
.Y(_1686_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3662_ (.A(_1535_),
.X(_1687_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3663_ (.A1(_1685_),
.A2(_1667_),
.B1(_1686_),
.B2(_1687_),
.X(_1688_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3664_ (.A1(_1682_),
.A2(_1683_),
.B1(_1684_),
.B2(_1639_),
.C1(_1688_),
.X(_1689_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3665_ (.A1(_1671_),
.A2(_1672_),
.B1(_1677_),
.C1(_1681_),
.D1(_1689_),
.Y(_0122_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3666_ (.A(\CONTROL_LOGIC.sram2_data0[11] ),
.Y(_1690_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3667_ (.A(\CONTROL_LOGIC.sram10_data0[11] ),
.Y(_1691_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3668_ (.A(\CONTROL_LOGIC.sram9_data0[11] ),
.Y(_1692_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3669_ (.A1(_1691_),
.A2(_1674_),
.B1(_1692_),
.B2(_1676_),
.X(_1693_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3670_ (.A(\CONTROL_LOGIC.sram3_data0[11] ),
.Y(_1694_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3671_ (.A(\CONTROL_LOGIC.sram11_data0[11] ),
.Y(_1695_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3672_ (.A1(_1694_),
.A2(_1634_),
.B1(_1695_),
.B2(_1680_),
.X(_1696_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3673_ (.A(\CONTROL_LOGIC.sram4_data0[11] ),
.Y(_1697_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3674_ (.A(\CONTROL_LOGIC.sram1_data0[11] ),
.Y(_1698_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3675_ (.A(\CONTROL_LOGIC.sram12_data0[11] ),
.Y(_1699_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3676_ (.A(\CONTROL_LOGIC.sram8_data0[11] ),
.Y(_1700_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3677_ (.A1(_1699_),
.A2(_1667_),
.B1(_1700_),
.B2(_1687_),
.X(_1701_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3678_ (.A1(_1697_),
.A2(_1683_),
.B1(_1698_),
.B2(_1639_),
.C1(_1701_),
.X(_1702_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3679_ (.A1(_1690_),
.A2(_1672_),
.B1(_1693_),
.C1(_1696_),
.D1(_1702_),
.Y(_0124_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3680_ (.A(\CONTROL_LOGIC.sram2_data0[12] ),
.Y(_1703_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3681_ (.A(\CONTROL_LOGIC.sram10_data0[12] ),
.Y(_1704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3682_ (.A(\CONTROL_LOGIC.sram9_data0[12] ),
.Y(_1705_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3683_ (.A1(_1704_),
.A2(_1674_),
.B1(_1705_),
.B2(_1676_),
.X(_1706_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3684_ (.A(\CONTROL_LOGIC.sram3_data0[12] ),
.Y(_1707_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3685_ (.A(_1557_),
.X(_1708_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3686_ (.A(\CONTROL_LOGIC.sram11_data0[12] ),
.Y(_1709_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3687_ (.A1(_1707_),
.A2(_1708_),
.B1(_1709_),
.B2(_1680_),
.X(_1710_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3688_ (.A(\CONTROL_LOGIC.sram4_data0[12] ),
.Y(_1711_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3689_ (.A(\CONTROL_LOGIC.sram1_data0[12] ),
.Y(_1712_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3690_ (.A(_1563_),
.X(_1713_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _3691_ (.A(\CONTROL_LOGIC.sram12_data0[12] ),
.Y(_1714_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3692_ (.A(\CONTROL_LOGIC.sram8_data0[12] ),
.Y(_1715_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3693_ (.A1(_1714_),
.A2(_1667_),
.B1(_1715_),
.B2(_1687_),
.X(_1716_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3694_ (.A1(_1711_),
.A2(_1683_),
.B1(_1712_),
.B2(_1713_),
.C1(_1716_),
.X(_1717_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3695_ (.A1(_1703_),
.A2(_1672_),
.B1(_1706_),
.C1(_1710_),
.D1(_1717_),
.Y(_0126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3696_ (.A(\CONTROL_LOGIC.sram2_data0[13] ),
.Y(_1718_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3697_ (.A(\CONTROL_LOGIC.sram10_data0[13] ),
.Y(_1719_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3698_ (.A(\CONTROL_LOGIC.sram9_data0[13] ),
.Y(_1720_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3699_ (.A1(_1719_),
.A2(_1674_),
.B1(_1720_),
.B2(_1676_),
.X(_1721_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3700_ (.A(\CONTROL_LOGIC.sram3_data0[13] ),
.Y(_1722_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3701_ (.A(\CONTROL_LOGIC.sram11_data0[13] ),
.Y(_1723_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3702_ (.A1(_1722_),
.A2(_1708_),
.B1(_1723_),
.B2(_1680_),
.X(_1724_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3703_ (.A(\CONTROL_LOGIC.sram4_data0[13] ),
.Y(_1725_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_4 _3704_ (.A(\CONTROL_LOGIC.sram1_data0[13] ),
.Y(_1726_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3705_ (.A(\CONTROL_LOGIC.sram12_data0[13] ),
.Y(_1727_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3706_ (.A(\CONTROL_LOGIC.sram8_data0[13] ),
.Y(_1728_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3707_ (.A1(_1727_),
.A2(_1667_),
.B1(_1728_),
.B2(_1687_),
.X(_1729_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3708_ (.A1(_1725_),
.A2(_1683_),
.B1(_1726_),
.B2(_1713_),
.C1(_1729_),
.X(_1730_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3709_ (.A1(_1718_),
.A2(_1672_),
.B1(_1721_),
.C1(_1724_),
.D1(_1730_),
.Y(_0128_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3710_ (.A(\CONTROL_LOGIC.sram2_data0[14] ),
.Y(_1731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3711_ (.A(\CONTROL_LOGIC.sram10_data0[14] ),
.Y(_1732_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3712_ (.A(\CONTROL_LOGIC.sram9_data0[14] ),
.Y(_1733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3713_ (.A1(_1732_),
.A2(_1674_),
.B1(_1733_),
.B2(_1676_),
.X(_1734_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3714_ (.A(\CONTROL_LOGIC.sram3_data0[14] ),
.Y(_1735_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3715_ (.A(\CONTROL_LOGIC.sram11_data0[14] ),
.Y(_1736_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3716_ (.A1(_1735_),
.A2(_1708_),
.B1(_1736_),
.B2(_1680_),
.X(_1737_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _3717_ (.A(\CONTROL_LOGIC.sram4_data0[14] ),
.Y(_1738_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3718_ (.A(\CONTROL_LOGIC.sram1_data0[14] ),
.Y(_1739_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3719_ (.A(\CONTROL_LOGIC.sram12_data0[14] ),
.Y(_1740_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3720_ (.A(_1530_),
.X(_1741_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3721_ (.A(\CONTROL_LOGIC.sram8_data0[14] ),
.Y(_1742_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3722_ (.A1(_1740_),
.A2(_1741_),
.B1(_1742_),
.B2(_1687_),
.X(_1743_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3723_ (.A1(_1738_),
.A2(_1683_),
.B1(_1739_),
.B2(_1713_),
.C1(_1743_),
.X(_1744_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3724_ (.A1(_1731_),
.A2(_1672_),
.B1(_1734_),
.C1(_1737_),
.D1(_1744_),
.Y(_0130_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3725_ (.A(\CONTROL_LOGIC.sram2_data0[15] ),
.Y(_1745_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3726_ (.A(_1498_),
.X(_1746_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3727_ (.A(\CONTROL_LOGIC.sram10_data0[15] ),
.Y(_1747_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3728_ (.A(_1502_),
.X(_1748_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3729_ (.A(\CONTROL_LOGIC.sram9_data0[15] ),
.Y(_1749_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3730_ (.A(_1509_),
.X(_1750_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3731_ (.A1(_1747_),
.A2(_1748_),
.B1(_1749_),
.B2(_1750_),
.X(_1751_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3732_ (.A(\CONTROL_LOGIC.sram3_data0[15] ),
.Y(_1752_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3733_ (.A(\CONTROL_LOGIC.sram11_data0[15] ),
.Y(_1753_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3734_ (.A(_1517_),
.X(_1754_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3735_ (.A1(_1752_),
.A2(_1708_),
.B1(_1753_),
.B2(_1754_),
.X(_1755_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _3736_ (.A(\CONTROL_LOGIC.sram4_data0[15] ),
.Y(_1756_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3737_ (.A(_1608_),
.X(_1757_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3738_ (.A(\CONTROL_LOGIC.sram1_data0[15] ),
.Y(_1758_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3739_ (.A(\CONTROL_LOGIC.sram12_data0[15] ),
.Y(_1759_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3740_ (.A(\CONTROL_LOGIC.sram8_data0[15] ),
.Y(_1760_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3741_ (.A(_1534_),
.X(_1761_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3742_ (.A1(_1759_),
.A2(_1741_),
.B1(_1760_),
.B2(_1761_),
.X(_1762_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3743_ (.A1(_1756_),
.A2(_1757_),
.B1(_1758_),
.B2(_1713_),
.C1(_1762_),
.X(_1763_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3744_ (.A1(_1745_),
.A2(_1746_),
.B1(_1751_),
.C1(_1755_),
.D1(_1763_),
.Y(_0132_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3745_ (.A(\CONTROL_LOGIC.sram2_data0[16] ),
.Y(_1764_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3746_ (.A(\CONTROL_LOGIC.sram10_data0[16] ),
.Y(_1765_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3747_ (.A(\CONTROL_LOGIC.sram9_data0[16] ),
.Y(_1766_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3748_ (.A1(_1765_),
.A2(_1748_),
.B1(_1766_),
.B2(_1750_),
.X(_1767_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3749_ (.A(\CONTROL_LOGIC.sram3_data0[16] ),
.Y(_1768_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3750_ (.A(\CONTROL_LOGIC.sram11_data0[16] ),
.Y(_1769_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3751_ (.A1(_1768_),
.A2(_1708_),
.B1(_1769_),
.B2(_1754_),
.X(_1770_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_4 _3752_ (.A(\CONTROL_LOGIC.sram4_data0[16] ),
.Y(_1771_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3753_ (.A(\CONTROL_LOGIC.sram1_data0[16] ),
.Y(_1772_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3754_ (.A(\CONTROL_LOGIC.sram12_data0[16] ),
.Y(_1773_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3755_ (.A(\CONTROL_LOGIC.sram8_data0[16] ),
.Y(_1774_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3756_ (.A1(_1773_),
.A2(_1741_),
.B1(_1774_),
.B2(_1761_),
.X(_1775_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3757_ (.A1(_1771_),
.A2(_1757_),
.B1(_1772_),
.B2(_1713_),
.C1(_1775_),
.X(_1776_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3758_ (.A1(_1764_),
.A2(_1746_),
.B1(_1767_),
.C1(_1770_),
.D1(_1776_),
.Y(_0134_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3759_ (.A(\CONTROL_LOGIC.sram2_data0[17] ),
.Y(_1777_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3760_ (.A(\CONTROL_LOGIC.sram10_data0[17] ),
.Y(_1778_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3761_ (.A(\CONTROL_LOGIC.sram9_data0[17] ),
.Y(_1779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3762_ (.A1(_1778_),
.A2(_1748_),
.B1(_1779_),
.B2(_1750_),
.X(_1780_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3763_ (.A(\CONTROL_LOGIC.sram3_data0[17] ),
.Y(_1781_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3764_ (.A(_1557_),
.X(_1782_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3765_ (.A(\CONTROL_LOGIC.sram11_data0[17] ),
.Y(_1783_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3766_ (.A1(_1781_),
.A2(_1782_),
.B1(_1783_),
.B2(_1754_),
.X(_1784_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3767_ (.A(\CONTROL_LOGIC.sram4_data0[17] ),
.Y(_1785_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3768_ (.A(\CONTROL_LOGIC.sram1_data0[17] ),
.Y(_1786_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3769_ (.A(_1563_),
.X(_1787_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3770_ (.A(\CONTROL_LOGIC.sram12_data0[17] ),
.Y(_1788_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3771_ (.A(\CONTROL_LOGIC.sram8_data0[17] ),
.Y(_1789_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3772_ (.A1(_1788_),
.A2(_1741_),
.B1(_1789_),
.B2(_1761_),
.X(_1790_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3773_ (.A1(_1785_),
.A2(_1757_),
.B1(_1786_),
.B2(_1787_),
.C1(_1790_),
.X(_1791_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3774_ (.A1(_1777_),
.A2(_1746_),
.B1(_1780_),
.C1(_1784_),
.D1(_1791_),
.Y(_0136_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3775_ (.A(\CONTROL_LOGIC.sram2_data0[18] ),
.Y(_1792_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3776_ (.A(\CONTROL_LOGIC.sram10_data0[18] ),
.Y(_1793_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3777_ (.A(\CONTROL_LOGIC.sram9_data0[18] ),
.Y(_1794_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3778_ (.A1(_1793_),
.A2(_1748_),
.B1(_1794_),
.B2(_1750_),
.X(_1795_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3779_ (.A(\CONTROL_LOGIC.sram3_data0[18] ),
.Y(_1796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3780_ (.A(\CONTROL_LOGIC.sram11_data0[18] ),
.Y(_1797_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3781_ (.A1(_1796_),
.A2(_1782_),
.B1(_1797_),
.B2(_1754_),
.X(_1798_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _3782_ (.A(\CONTROL_LOGIC.sram4_data0[18] ),
.Y(_1799_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3783_ (.A(\CONTROL_LOGIC.sram1_data0[18] ),
.Y(_1800_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3784_ (.A(\CONTROL_LOGIC.sram12_data0[18] ),
.Y(_1801_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3785_ (.A(\CONTROL_LOGIC.sram8_data0[18] ),
.Y(_1802_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3786_ (.A1(_1801_),
.A2(_1741_),
.B1(_1802_),
.B2(_1761_),
.X(_1803_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3787_ (.A1(_1799_),
.A2(_1757_),
.B1(_1800_),
.B2(_1787_),
.C1(_1803_),
.X(_1804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3788_ (.A1(_1792_),
.A2(_1746_),
.B1(_1795_),
.C1(_1798_),
.D1(_1804_),
.Y(_0138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3789_ (.A(\CONTROL_LOGIC.sram2_data0[19] ),
.Y(_1805_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3790_ (.A(\CONTROL_LOGIC.sram10_data0[19] ),
.Y(_1806_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3791_ (.A(\CONTROL_LOGIC.sram9_data0[19] ),
.Y(_1807_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3792_ (.A1(_1806_),
.A2(_1748_),
.B1(_1807_),
.B2(_1750_),
.X(_1808_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3793_ (.A(\CONTROL_LOGIC.sram3_data0[19] ),
.Y(_1809_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3794_ (.A(\CONTROL_LOGIC.sram11_data0[19] ),
.Y(_1810_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3795_ (.A1(_1809_),
.A2(_1782_),
.B1(_1810_),
.B2(_1754_),
.X(_1811_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3796_ (.A(\CONTROL_LOGIC.sram4_data0[19] ),
.Y(_1812_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3797_ (.A(\CONTROL_LOGIC.sram1_data0[19] ),
.Y(_1813_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3798_ (.A(\CONTROL_LOGIC.sram12_data0[19] ),
.Y(_1814_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3799_ (.A(_1530_),
.X(_1815_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3800_ (.A(\CONTROL_LOGIC.sram8_data0[19] ),
.Y(_1816_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3801_ (.A1(_1814_),
.A2(_1815_),
.B1(_1816_),
.B2(_1761_),
.X(_1817_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3802_ (.A1(_1812_),
.A2(_1757_),
.B1(_1813_),
.B2(_1787_),
.C1(_1817_),
.X(_1818_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3803_ (.A1(_1805_),
.A2(_1746_),
.B1(_1808_),
.C1(_1811_),
.D1(_1818_),
.Y(_0140_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3804_ (.A(\CONTROL_LOGIC.sram2_data0[20] ),
.Y(_1819_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3805_ (.A(_1498_),
.X(_1820_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3806_ (.A(\CONTROL_LOGIC.sram10_data0[20] ),
.Y(_1821_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3807_ (.A(_1502_),
.X(_1822_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3808_ (.A(\CONTROL_LOGIC.sram9_data0[20] ),
.Y(_1823_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3809_ (.A(_1509_),
.X(_1824_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3810_ (.A1(_1821_),
.A2(_1822_),
.B1(_1823_),
.B2(_1824_),
.X(_1825_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3811_ (.A(\CONTROL_LOGIC.sram3_data0[20] ),
.Y(_1826_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3812_ (.A(\CONTROL_LOGIC.sram11_data0[20] ),
.Y(_1827_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3813_ (.A(_1517_),
.X(_1828_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3814_ (.A1(_1826_),
.A2(_1782_),
.B1(_1827_),
.B2(_1828_),
.X(_1829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_4 _3815_ (.A(\CONTROL_LOGIC.sram4_data0[20] ),
.Y(_1830_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3816_ (.A(_1608_),
.X(_1831_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3817_ (.A(\CONTROL_LOGIC.sram1_data0[20] ),
.Y(_1832_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _3818_ (.A(\CONTROL_LOGIC.sram12_data0[20] ),
.Y(_1833_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3819_ (.A(\CONTROL_LOGIC.sram8_data0[20] ),
.Y(_1834_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3820_ (.A(_1534_),
.X(_1835_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3821_ (.A1(_1833_),
.A2(_1815_),
.B1(_1834_),
.B2(_1835_),
.X(_1836_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3822_ (.A1(_1830_),
.A2(_1831_),
.B1(_1832_),
.B2(_1787_),
.C1(_1836_),
.X(_1837_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3823_ (.A1(_1819_),
.A2(_1820_),
.B1(_1825_),
.C1(_1829_),
.D1(_1837_),
.Y(_0142_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3824_ (.A(\CONTROL_LOGIC.sram2_data0[21] ),
.Y(_1838_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3825_ (.A(\CONTROL_LOGIC.sram10_data0[21] ),
.Y(_1839_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3826_ (.A(\CONTROL_LOGIC.sram9_data0[21] ),
.Y(_1840_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3827_ (.A1(_1839_),
.A2(_1822_),
.B1(_1840_),
.B2(_1824_),
.X(_1841_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3828_ (.A(\CONTROL_LOGIC.sram3_data0[21] ),
.Y(_1842_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3829_ (.A(\CONTROL_LOGIC.sram11_data0[21] ),
.Y(_1843_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3830_ (.A1(_1842_),
.A2(_1782_),
.B1(_1843_),
.B2(_1828_),
.X(_1844_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3831_ (.A(\CONTROL_LOGIC.sram4_data0[21] ),
.Y(_1845_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_4 _3832_ (.A(\CONTROL_LOGIC.sram1_data0[21] ),
.Y(_1846_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_16 _3833_ (.A(\CONTROL_LOGIC.sram12_data0[21] ),
.Y(_1847_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3834_ (.A(\CONTROL_LOGIC.sram8_data0[21] ),
.Y(_1848_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3835_ (.A1(_1847_),
.A2(_1815_),
.B1(_1848_),
.B2(_1835_),
.X(_1849_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3836_ (.A1(_1845_),
.A2(_1831_),
.B1(_1846_),
.B2(_1787_),
.C1(_1849_),
.X(_1850_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3837_ (.A1(_1838_),
.A2(_1820_),
.B1(_1841_),
.C1(_1844_),
.D1(_1850_),
.Y(_0144_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3838_ (.A(\CONTROL_LOGIC.sram2_data0[22] ),
.Y(_1851_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3839_ (.A(\CONTROL_LOGIC.sram10_data0[22] ),
.Y(_1852_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3840_ (.A(\CONTROL_LOGIC.sram9_data0[22] ),
.Y(_1853_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3841_ (.A1(_1852_),
.A2(_1822_),
.B1(_1853_),
.B2(_1824_),
.X(_1854_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3842_ (.A(\CONTROL_LOGIC.sram3_data0[22] ),
.Y(_1855_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3843_ (.A(_1514_),
.X(_1856_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3844_ (.A(\CONTROL_LOGIC.sram11_data0[22] ),
.Y(_1857_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3845_ (.A1(_1855_),
.A2(_1856_),
.B1(_1857_),
.B2(_1828_),
.X(_1858_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3846_ (.A(\CONTROL_LOGIC.sram4_data0[22] ),
.Y(_1859_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3847_ (.A(\CONTROL_LOGIC.sram1_data0[22] ),
.Y(_1860_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3848_ (.A(_1527_),
.X(_1861_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _3849_ (.A(\CONTROL_LOGIC.sram12_data0[22] ),
.Y(_1862_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3850_ (.A(\CONTROL_LOGIC.sram8_data0[22] ),
.Y(_1863_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3851_ (.A1(_1862_),
.A2(_1815_),
.B1(_1863_),
.B2(_1835_),
.X(_1864_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3852_ (.A1(_1859_),
.A2(_1831_),
.B1(_1860_),
.B2(_1861_),
.C1(_1864_),
.X(_1865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3853_ (.A1(_1851_),
.A2(_1820_),
.B1(_1854_),
.C1(_1858_),
.D1(_1865_),
.Y(_0146_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3854_ (.A(\CONTROL_LOGIC.sram2_data0[23] ),
.Y(_1866_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3855_ (.A(\CONTROL_LOGIC.sram10_data0[23] ),
.Y(_1867_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3856_ (.A(\CONTROL_LOGIC.sram9_data0[23] ),
.Y(_1868_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3857_ (.A1(_1867_),
.A2(_1822_),
.B1(_1868_),
.B2(_1824_),
.X(_1869_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3858_ (.A(\CONTROL_LOGIC.sram3_data0[23] ),
.Y(_1870_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3859_ (.A(\CONTROL_LOGIC.sram11_data0[23] ),
.Y(_1871_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3860_ (.A1(_1870_),
.A2(_1856_),
.B1(_1871_),
.B2(_1828_),
.X(_1872_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3861_ (.A(\CONTROL_LOGIC.sram4_data0[23] ),
.Y(_1873_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3862_ (.A(\CONTROL_LOGIC.sram1_data0[23] ),
.Y(_1874_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3863_ (.A(\CONTROL_LOGIC.sram12_data0[23] ),
.Y(_1875_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3864_ (.A(\CONTROL_LOGIC.sram8_data0[23] ),
.Y(_1876_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3865_ (.A1(_1875_),
.A2(_1815_),
.B1(_1876_),
.B2(_1835_),
.X(_1877_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3866_ (.A1(_1873_),
.A2(_1831_),
.B1(_1874_),
.B2(_1861_),
.C1(_1877_),
.X(_1878_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3867_ (.A1(_1866_),
.A2(_1820_),
.B1(_1869_),
.C1(_1872_),
.D1(_1878_),
.Y(_0148_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3868_ (.A(\CONTROL_LOGIC.sram2_data0[24] ),
.Y(_1879_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3869_ (.A(\CONTROL_LOGIC.sram10_data0[24] ),
.Y(_1880_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3870_ (.A(\CONTROL_LOGIC.sram9_data0[24] ),
.Y(_1881_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3871_ (.A1(_1880_),
.A2(_1822_),
.B1(_1881_),
.B2(_1824_),
.X(_1882_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3872_ (.A(\CONTROL_LOGIC.sram3_data0[24] ),
.Y(_1883_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3873_ (.A(\CONTROL_LOGIC.sram11_data0[24] ),
.Y(_1884_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3874_ (.A1(_1883_),
.A2(_1856_),
.B1(_1884_),
.B2(_1828_),
.X(_1885_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_16 _3875_ (.A(\CONTROL_LOGIC.sram4_data0[24] ),
.Y(_1886_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3876_ (.A(\CONTROL_LOGIC.sram1_data0[24] ),
.Y(_1887_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3877_ (.A(\CONTROL_LOGIC.sram12_data0[24] ),
.Y(_1888_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3878_ (.A(_1530_),
.X(_1889_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3879_ (.A(\CONTROL_LOGIC.sram8_data0[24] ),
.Y(_1890_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3880_ (.A1(_1888_),
.A2(_1889_),
.B1(_1890_),
.B2(_1835_),
.X(_1891_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3881_ (.A1(_1886_),
.A2(_1831_),
.B1(_1887_),
.B2(_1861_),
.C1(_1891_),
.X(_1892_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3882_ (.A1(_1879_),
.A2(_1820_),
.B1(_1882_),
.C1(_1885_),
.D1(_1892_),
.Y(_0150_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3883_ (.A(\CONTROL_LOGIC.sram2_data0[25] ),
.Y(_1893_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _3884_ (.A(_1497_),
.X(_1894_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3885_ (.A(\CONTROL_LOGIC.sram10_data0[25] ),
.Y(_1895_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3886_ (.A(_1502_),
.X(_1896_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3887_ (.A(\CONTROL_LOGIC.sram9_data0[25] ),
.Y(_1897_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _3888_ (.A(_1509_),
.X(_1898_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3889_ (.A1(_1895_),
.A2(_1896_),
.B1(_1897_),
.B2(_1898_),
.X(_1899_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3890_ (.A(\CONTROL_LOGIC.sram3_data0[25] ),
.Y(_1900_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3891_ (.A(\CONTROL_LOGIC.sram11_data0[25] ),
.Y(_1901_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3892_ (.A(_1517_),
.X(_1902_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3893_ (.A1(_1900_),
.A2(_1856_),
.B1(_1901_),
.B2(_1902_),
.X(_1903_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3894_ (.A(\CONTROL_LOGIC.sram4_data0[25] ),
.Y(_1904_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3895_ (.A(_1608_),
.X(_1905_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3896_ (.A(\CONTROL_LOGIC.sram1_data0[25] ),
.Y(_1906_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _3897_ (.A(\CONTROL_LOGIC.sram12_data0[25] ),
.Y(_1907_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3898_ (.A(\CONTROL_LOGIC.sram8_data0[25] ),
.Y(_1908_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _3899_ (.A(_1534_),
.X(_1909_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3900_ (.A1(_1907_),
.A2(_1889_),
.B1(_1908_),
.B2(_1909_),
.X(_1910_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3901_ (.A1(_1904_),
.A2(_1905_),
.B1(_1906_),
.B2(_1861_),
.C1(_1910_),
.X(_1911_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3902_ (.A1(_1893_),
.A2(_1894_),
.B1(_1899_),
.C1(_1903_),
.D1(_1911_),
.Y(_0152_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3903_ (.A(\CONTROL_LOGIC.sram2_data0[26] ),
.Y(_1912_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3904_ (.A(\CONTROL_LOGIC.sram10_data0[26] ),
.Y(_1913_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3905_ (.A(\CONTROL_LOGIC.sram9_data0[26] ),
.Y(_1914_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3906_ (.A1(_1913_),
.A2(_1896_),
.B1(_1914_),
.B2(_1898_),
.X(_1915_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3907_ (.A(\CONTROL_LOGIC.sram3_data0[26] ),
.Y(_1916_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3908_ (.A(\CONTROL_LOGIC.sram11_data0[26] ),
.Y(_1917_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3909_ (.A1(_1916_),
.A2(_1856_),
.B1(_1917_),
.B2(_1902_),
.X(_1918_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3910_ (.A(\CONTROL_LOGIC.sram4_data0[26] ),
.Y(_1919_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3911_ (.A(\CONTROL_LOGIC.sram1_data0[26] ),
.Y(_1920_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _3912_ (.A(\CONTROL_LOGIC.sram12_data0[26] ),
.Y(_1921_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3913_ (.A(\CONTROL_LOGIC.sram8_data0[26] ),
.Y(_1922_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3914_ (.A1(_1921_),
.A2(_1889_),
.B1(_1922_),
.B2(_1909_),
.X(_1923_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3915_ (.A1(_1919_),
.A2(_1905_),
.B1(_1920_),
.B2(_1861_),
.C1(_1923_),
.X(_1924_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3916_ (.A1(_1912_),
.A2(_1894_),
.B1(_1915_),
.C1(_1918_),
.D1(_1924_),
.Y(_0154_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3917_ (.A(\CONTROL_LOGIC.sram2_data0[27] ),
.Y(_1925_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3918_ (.A(\CONTROL_LOGIC.sram10_data0[27] ),
.Y(_1926_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3919_ (.A(\CONTROL_LOGIC.sram9_data0[27] ),
.Y(_1927_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3920_ (.A1(_1926_),
.A2(_1896_),
.B1(_1927_),
.B2(_1898_),
.X(_1928_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3921_ (.A(\CONTROL_LOGIC.sram3_data0[27] ),
.Y(_1929_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _3922_ (.A(_1514_),
.X(_1930_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3923_ (.A(\CONTROL_LOGIC.sram11_data0[27] ),
.Y(_1931_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3924_ (.A1(_1929_),
.A2(_1930_),
.B1(_1931_),
.B2(_1902_),
.X(_1932_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _3925_ (.A(\CONTROL_LOGIC.sram4_data0[27] ),
.Y(_1933_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3926_ (.A(\CONTROL_LOGIC.sram1_data0[27] ),
.Y(_1934_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dlymetal6s2s_1 _3927_ (.A(_1527_),
.X(_1935_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _3928_ (.A(\CONTROL_LOGIC.sram12_data0[27] ),
.Y(_1936_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3929_ (.A(\CONTROL_LOGIC.sram8_data0[27] ),
.Y(_1937_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3930_ (.A1(_1936_),
.A2(_1889_),
.B1(_1937_),
.B2(_1909_),
.X(_1938_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3931_ (.A1(_1933_),
.A2(_1905_),
.B1(_1934_),
.B2(_1935_),
.C1(_1938_),
.X(_1939_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3932_ (.A1(_1925_),
.A2(_1894_),
.B1(_1928_),
.C1(_1932_),
.D1(_1939_),
.Y(_0156_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3933_ (.A(\CONTROL_LOGIC.sram2_data0[28] ),
.Y(_1940_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3934_ (.A(\CONTROL_LOGIC.sram10_data0[28] ),
.Y(_1941_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3935_ (.A(\CONTROL_LOGIC.sram9_data0[28] ),
.Y(_1942_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3936_ (.A1(_1941_),
.A2(_1896_),
.B1(_1942_),
.B2(_1898_),
.X(_1943_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3937_ (.A(\CONTROL_LOGIC.sram3_data0[28] ),
.Y(_1944_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _3938_ (.A(\CONTROL_LOGIC.sram11_data0[28] ),
.Y(_1945_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3939_ (.A1(_1944_),
.A2(_1930_),
.B1(_1945_),
.B2(_1902_),
.X(_1946_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _3940_ (.A(\CONTROL_LOGIC.sram4_data0[28] ),
.Y(_1947_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3941_ (.A(\CONTROL_LOGIC.sram1_data0[28] ),
.Y(_1948_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3942_ (.A(\CONTROL_LOGIC.sram12_data0[28] ),
.Y(_1949_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3943_ (.A(\CONTROL_LOGIC.sram8_data0[28] ),
.Y(_1950_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3944_ (.A1(_1949_),
.A2(_1889_),
.B1(_1950_),
.B2(_1909_),
.X(_1951_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3945_ (.A1(_1947_),
.A2(_1905_),
.B1(_1948_),
.B2(_1935_),
.C1(_1951_),
.X(_1952_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3946_ (.A1(_1940_),
.A2(_1894_),
.B1(_1943_),
.C1(_1946_),
.D1(_1952_),
.Y(_0158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3947_ (.A(\CONTROL_LOGIC.sram2_data0[29] ),
.Y(_1953_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3948_ (.A(\CONTROL_LOGIC.sram10_data0[29] ),
.Y(_1954_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3949_ (.A(\CONTROL_LOGIC.sram9_data0[29] ),
.Y(_1955_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3950_ (.A1(_1954_),
.A2(_1896_),
.B1(_1955_),
.B2(_1898_),
.X(_1956_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3951_ (.A(\CONTROL_LOGIC.sram3_data0[29] ),
.Y(_1957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3952_ (.A(\CONTROL_LOGIC.sram11_data0[29] ),
.Y(_1958_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3953_ (.A1(_1957_),
.A2(_1930_),
.B1(_1958_),
.B2(_1902_),
.X(_1959_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3954_ (.A(\CONTROL_LOGIC.sram4_data0[29] ),
.Y(_1960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3955_ (.A(\CONTROL_LOGIC.sram1_data0[29] ),
.Y(_1961_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3956_ (.A(\CONTROL_LOGIC.sram12_data0[29] ),
.Y(_1962_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3957_ (.A(\CONTROL_LOGIC.sram8_data0[29] ),
.Y(_1963_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3958_ (.A1(_1962_),
.A2(_1531_),
.B1(_1963_),
.B2(_1909_),
.X(_1964_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3959_ (.A1(_1960_),
.A2(_1905_),
.B1(_1961_),
.B2(_1935_),
.C1(_1964_),
.X(_1965_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3960_ (.A1(_1953_),
.A2(_1894_),
.B1(_1956_),
.C1(_1959_),
.D1(_1965_),
.Y(_0160_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3961_ (.A(\CONTROL_LOGIC.sram2_data0[30] ),
.Y(_1966_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _3962_ (.A(_1496_),
.X(_1967_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3963_ (.A(\CONTROL_LOGIC.sram10_data0[30] ),
.Y(_1968_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3964_ (.A(\CONTROL_LOGIC.sram9_data0[30] ),
.Y(_1969_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3965_ (.A1(_1968_),
.A2(_1503_),
.B1(_1969_),
.B2(_1510_),
.X(_1970_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3966_ (.A(\CONTROL_LOGIC.sram3_data0[30] ),
.Y(_1971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3967_ (.A(\CONTROL_LOGIC.sram11_data0[30] ),
.Y(_1972_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3968_ (.A1(_1971_),
.A2(_1930_),
.B1(_1972_),
.B2(_1518_),
.X(_1973_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3969_ (.A(\CONTROL_LOGIC.sram4_data0[30] ),
.Y(_1974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _3970_ (.A(\CONTROL_LOGIC.sram1_data0[30] ),
.Y(_1975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3971_ (.A(\CONTROL_LOGIC.sram12_data0[30] ),
.Y(_1976_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3972_ (.A(\CONTROL_LOGIC.sram8_data0[30] ),
.Y(_1977_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3973_ (.A1(_1976_),
.A2(_1531_),
.B1(_1977_),
.B2(_1535_),
.X(_1978_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3974_ (.A1(_1974_),
.A2(_1524_),
.B1(_1975_),
.B2(_1935_),
.C1(_1978_),
.X(_1979_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3975_ (.A1(_1966_),
.A2(_1967_),
.B1(_1970_),
.C1(_1973_),
.D1(_1979_),
.Y(_0162_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3976_ (.A(\CONTROL_LOGIC.sram2_data0[31] ),
.Y(_1980_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3977_ (.A(\CONTROL_LOGIC.sram10_data0[31] ),
.Y(_1981_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3978_ (.A(\CONTROL_LOGIC.sram9_data0[31] ),
.Y(_1982_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3979_ (.A1(_1981_),
.A2(_1503_),
.B1(_1982_),
.B2(_1510_),
.X(_1983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3980_ (.A(\CONTROL_LOGIC.sram3_data0[31] ),
.Y(_1984_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3981_ (.A(\CONTROL_LOGIC.sram11_data0[31] ),
.Y(_1985_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3982_ (.A1(_1984_),
.A2(_1930_),
.B1(_1985_),
.B2(_1518_),
.X(_1986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _3983_ (.A(\CONTROL_LOGIC.sram4_data0[31] ),
.Y(_1987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _3984_ (.A(\CONTROL_LOGIC.sram1_data0[31] ),
.Y(_1988_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _3985_ (.A(\CONTROL_LOGIC.sram12_data0[31] ),
.Y(_1989_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _3986_ (.A(\CONTROL_LOGIC.sram8_data0[31] ),
.Y(_1990_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _3987_ (.A1(_1989_),
.A2(_1531_),
.B1(_1990_),
.B2(_1535_),
.X(_1991_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _3988_ (.A1(_1987_),
.A2(_1524_),
.B1(_1988_),
.B2(_1935_),
.C1(_1991_),
.X(_1992_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o2111ai_4 _3989_ (.A1(_1980_),
.A2(_1967_),
.B1(_1983_),
.C1(_1986_),
.D1(_1992_),
.Y(_0164_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3990_ (.A(net24),
.B(net115),
.X(_1993_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3991_ (.A(_1993_),
.X(_0166_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3992_ (.A(net24),
.B(net116),
.X(_1994_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3993_ (.A(_1994_),
.X(_0167_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3994_ (.A(net24),
.B(net117),
.X(_1995_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3995_ (.A(_1995_),
.X(_0168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3996_ (.A(net24),
.B(net118),
.X(_1996_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3997_ (.A(_1996_),
.X(_0169_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _3998_ (.A(net24),
.B(net119),
.X(_1997_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _3999_ (.A(_1997_),
.X(_0170_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4000_ (.A(net24),
.B(net120),
.X(_1998_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4001_ (.A(_1998_),
.X(_0171_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4002_ (.A(net24),
.B(net121),
.X(_1999_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4003_ (.A(_1999_),
.X(_0172_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4004_ (.A(net24),
.B(net122),
.X(_2000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4005_ (.A(_2000_),
.X(_0173_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4006_ (.A(net24),
.B(net8),
.X(_2001_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4007_ (.A(_2001_),
.X(_0174_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4008_ (.A(net24),
.B(net9),
.X(_2002_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4009_ (.A(_2002_),
.X(_0175_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4010_ (.A(net24),
.B(net10),
.X(_2003_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4011_ (.A(_2003_),
.X(_0176_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4012_ (.A(net24),
.B(net11),
.X(_2004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4013_ (.A(_2004_),
.X(_0177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4014_ (.A(net24),
.B(net12),
.X(_2005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4015_ (.A(_2005_),
.X(_0178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4016_ (.A(net24),
.B(net13),
.X(_2006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4017_ (.A(_2006_),
.X(_0179_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4018_ (.A(net24),
.B(net14),
.X(_2007_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4019_ (.A(_2007_),
.X(_0180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4020_ (.A(net24),
.B(net15),
.X(_2008_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4021_ (.A(_2008_),
.X(_0181_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4022_ (.A(net24),
.B(net16),
.X(_2009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4023_ (.A(_2009_),
.X(_0182_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4024_ (.A(net24),
.B(net17),
.X(_2010_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4025_ (.A(_2010_),
.X(_0183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4026_ (.A(net24),
.B(net19),
.X(_2011_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4027_ (.A(_2011_),
.X(_0184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4028_ (.A(net24),
.B(net20),
.X(_2012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4029_ (.A(_2012_),
.X(_0185_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4030_ (.A(net430),
.B(net7),
.X(_2013_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _4031_ (.A(_2013_),
.X(_0186_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4032_ (.A(net430),
.B(net35),
.X(_2014_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4033_ (.A(_2014_),
.X(_0187_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4034_ (.A(net430),
.B(net46),
.X(_2015_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4035_ (.A(_2015_),
.X(_0188_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4036_ (.A(net430),
.B(net57),
.X(_2016_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4037_ (.A(_2016_),
.X(_0189_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4038_ (.A(net430),
.B(net68),
.X(_2017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4039_ (.A(_2017_),
.X(_0190_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4040_ (.A(net430),
.B(net79),
.X(_2018_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4041_ (.A(_2018_),
.X(_0191_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4042_ (.A(_0287_),
.X(_2019_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _4043_ (.A(_2019_),
.X(_0192_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4044_ (.A(\CONTROL_LOGIC.sram4_data1[0] ),
.Y(_2020_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _4045_ (.A(_1524_),
.X(_2021_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_8 _4046_ (.A(_2021_),
.X(_2022_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4047_ (.A(\CONTROL_LOGIC.sram3_data1[0] ),
.Y(_2023_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _4048_ (.A(_1514_),
.X(_2024_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4049_ (.A(_2024_),
.X(_2025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4050_ (.A(\CONTROL_LOGIC.sram2_data1[0] ),
.Y(_2026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4051_ (.A(\CONTROL_LOGIC.sram1_data1[0] ),
.Y(_2027_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_8 _4052_ (.A(_1527_),
.X(_2028_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4053_ (.A(_2028_),
.X(_2029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4054_ (.A1(_2026_),
.A2(_1967_),
.B1(_2027_),
.B2(_2029_),
.X(_2030_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4055_ (.A1(_2020_),
.A2(_2022_),
.B1(_2023_),
.B2(_2025_),
.C1(_2030_),
.Y(_0193_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4056_ (.A(\CONTROL_LOGIC.sram4_data1[1] ),
.Y(_2031_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4057_ (.A(\CONTROL_LOGIC.sram3_data1[1] ),
.Y(_2032_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4058_ (.A(\CONTROL_LOGIC.sram2_data1[1] ),
.Y(_2033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4059_ (.A(\CONTROL_LOGIC.sram1_data1[1] ),
.Y(_2034_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4060_ (.A1(_2033_),
.A2(_1967_),
.B1(_2034_),
.B2(_2029_),
.X(_2035_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4061_ (.A1(_2031_),
.A2(_2022_),
.B1(_2032_),
.B2(_2025_),
.C1(_2035_),
.Y(_0196_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4062_ (.A(\CONTROL_LOGIC.sram4_data1[2] ),
.Y(_2036_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4063_ (.A(\CONTROL_LOGIC.sram3_data1[2] ),
.Y(_2037_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4064_ (.A(\CONTROL_LOGIC.sram2_data1[2] ),
.Y(_2038_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4065_ (.A(_1497_),
.X(_2039_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4066_ (.A(\CONTROL_LOGIC.sram1_data1[2] ),
.Y(_2040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4067_ (.A1(_2038_),
.A2(_2039_),
.B1(_2040_),
.B2(_2029_),
.X(_2041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4068_ (.A1(_2036_),
.A2(_2022_),
.B1(_2037_),
.B2(_2025_),
.C1(_2041_),
.Y(_0199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4069_ (.A(\CONTROL_LOGIC.sram4_data1[3] ),
.Y(_2042_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4070_ (.A(\CONTROL_LOGIC.sram3_data1[3] ),
.Y(_2043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4071_ (.A(\CONTROL_LOGIC.sram2_data1[3] ),
.Y(_2044_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4072_ (.A(\CONTROL_LOGIC.sram1_data1[3] ),
.Y(_2045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4073_ (.A1(_2044_),
.A2(_2039_),
.B1(_2045_),
.B2(_2029_),
.X(_2046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4074_ (.A1(_2042_),
.A2(_2022_),
.B1(_2043_),
.B2(_2025_),
.C1(_2046_),
.Y(_0202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4075_ (.A(\CONTROL_LOGIC.sram4_data1[4] ),
.Y(_2047_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4076_ (.A(\CONTROL_LOGIC.sram3_data1[4] ),
.Y(_2048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4077_ (.A(\CONTROL_LOGIC.sram2_data1[4] ),
.Y(_2049_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4078_ (.A(\CONTROL_LOGIC.sram1_data1[4] ),
.Y(_2050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4079_ (.A(_2028_),
.X(_2051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4080_ (.A1(_2049_),
.A2(_2039_),
.B1(_2050_),
.B2(_2051_),
.X(_2052_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4081_ (.A1(_2047_),
.A2(_2022_),
.B1(_2048_),
.B2(_2025_),
.C1(_2052_),
.Y(_0205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4082_ (.A(\CONTROL_LOGIC.sram4_data1[5] ),
.Y(_2053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _4083_ (.A(_1524_),
.X(_2054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4084_ (.A(_2054_),
.X(_2055_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4085_ (.A(\CONTROL_LOGIC.sram3_data1[5] ),
.Y(_2056_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _4086_ (.A(_2024_),
.X(_2057_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4087_ (.A(\CONTROL_LOGIC.sram2_data1[5] ),
.Y(_2058_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4088_ (.A(\CONTROL_LOGIC.sram1_data1[5] ),
.Y(_2059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4089_ (.A1(_2058_),
.A2(_2039_),
.B1(_2059_),
.B2(_2051_),
.X(_2060_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4090_ (.A1(_2053_),
.A2(_2055_),
.B1(_2056_),
.B2(_2057_),
.C1(_2060_),
.Y(_0208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4091_ (.A(\CONTROL_LOGIC.sram4_data1[6] ),
.Y(_2061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4092_ (.A(\CONTROL_LOGIC.sram3_data1[6] ),
.Y(_2062_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4093_ (.A(\CONTROL_LOGIC.sram2_data1[6] ),
.Y(_2063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4094_ (.A(\CONTROL_LOGIC.sram1_data1[6] ),
.Y(_2064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4095_ (.A1(_2063_),
.A2(_2039_),
.B1(_2064_),
.B2(_2051_),
.X(_2065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4096_ (.A1(_2061_),
.A2(_2055_),
.B1(_2062_),
.B2(_2057_),
.C1(_2065_),
.Y(_0211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _4097_ (.A(\CONTROL_LOGIC.sram4_data1[7] ),
.Y(_2066_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4098_ (.A(\CONTROL_LOGIC.sram3_data1[7] ),
.Y(_2067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4099_ (.A(\CONTROL_LOGIC.sram2_data1[7] ),
.Y(_2068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4100_ (.A(_1497_),
.X(_2069_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4101_ (.A(\CONTROL_LOGIC.sram1_data1[7] ),
.Y(_2070_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4102_ (.A1(_2068_),
.A2(_2069_),
.B1(_2070_),
.B2(_2051_),
.X(_2071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4103_ (.A1(_2066_),
.A2(_2055_),
.B1(_2067_),
.B2(_2057_),
.C1(_2071_),
.Y(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4104_ (.A(\CONTROL_LOGIC.sram4_data1[8] ),
.Y(_2072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4105_ (.A(\CONTROL_LOGIC.sram3_data1[8] ),
.Y(_2073_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4106_ (.A(\CONTROL_LOGIC.sram2_data1[8] ),
.Y(_2074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4107_ (.A(\CONTROL_LOGIC.sram1_data1[8] ),
.Y(_2075_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4108_ (.A1(_2074_),
.A2(_2069_),
.B1(_2075_),
.B2(_2051_),
.X(_2076_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4109_ (.A1(_2072_),
.A2(_2055_),
.B1(_2073_),
.B2(_2057_),
.C1(_2076_),
.Y(_0217_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4110_ (.A(\CONTROL_LOGIC.sram4_data1[9] ),
.Y(_2077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4111_ (.A(\CONTROL_LOGIC.sram3_data1[9] ),
.Y(_2078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4112_ (.A(\CONTROL_LOGIC.sram2_data1[9] ),
.Y(_2079_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4113_ (.A(\CONTROL_LOGIC.sram1_data1[9] ),
.Y(_2080_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4114_ (.A(_2028_),
.X(_2081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4115_ (.A1(_2079_),
.A2(_2069_),
.B1(_2080_),
.B2(_2081_),
.X(_2082_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4116_ (.A1(_2077_),
.A2(_2055_),
.B1(_2078_),
.B2(_2057_),
.C1(_2082_),
.Y(_0219_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4117_ (.A(\CONTROL_LOGIC.sram4_data1[10] ),
.Y(_2083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4118_ (.A(_2054_),
.X(_2084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4119_ (.A(\CONTROL_LOGIC.sram3_data1[10] ),
.Y(_2085_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4120_ (.A(_2024_),
.X(_2086_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4121_ (.A(\CONTROL_LOGIC.sram2_data1[10] ),
.Y(_2087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4122_ (.A(\CONTROL_LOGIC.sram1_data1[10] ),
.Y(_2088_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_2 _4123_ (.A1(_2087_),
.A2(_2069_),
.B1(_2088_),
.B2(_2081_),
.X(_2089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4124_ (.A1(_2083_),
.A2(_2084_),
.B1(_2085_),
.B2(_2086_),
.C1(_2089_),
.Y(_0221_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4125_ (.A(\CONTROL_LOGIC.sram4_data1[11] ),
.Y(_2090_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4126_ (.A(\CONTROL_LOGIC.sram3_data1[11] ),
.Y(_2091_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4127_ (.A(\CONTROL_LOGIC.sram2_data1[11] ),
.Y(_2092_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4128_ (.A(\CONTROL_LOGIC.sram1_data1[11] ),
.Y(_2093_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4129_ (.A1(_2092_),
.A2(_2069_),
.B1(_2093_),
.B2(_2081_),
.X(_2094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4130_ (.A1(_2090_),
.A2(_2084_),
.B1(_2091_),
.B2(_2086_),
.C1(_2094_),
.Y(_0223_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4131_ (.A(\CONTROL_LOGIC.sram4_data1[12] ),
.Y(_2095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4132_ (.A(\CONTROL_LOGIC.sram3_data1[12] ),
.Y(_2096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4133_ (.A(\CONTROL_LOGIC.sram2_data1[12] ),
.Y(_2097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4134_ (.A(_1497_),
.X(_2098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4135_ (.A(\CONTROL_LOGIC.sram1_data1[12] ),
.Y(_2099_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4136_ (.A1(_2097_),
.A2(_2098_),
.B1(_2099_),
.B2(_2081_),
.X(_2100_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4137_ (.A1(_2095_),
.A2(_2084_),
.B1(_2096_),
.B2(_2086_),
.C1(_2100_),
.Y(_0225_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _4138_ (.A(\CONTROL_LOGIC.sram4_data1[13] ),
.Y(_2101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4139_ (.A(\CONTROL_LOGIC.sram3_data1[13] ),
.Y(_2102_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4140_ (.A(\CONTROL_LOGIC.sram2_data1[13] ),
.Y(_2103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4141_ (.A(\CONTROL_LOGIC.sram1_data1[13] ),
.Y(_2104_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4142_ (.A1(_2103_),
.A2(_2098_),
.B1(_2104_),
.B2(_2081_),
.X(_2105_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4143_ (.A1(_2101_),
.A2(_2084_),
.B1(_2102_),
.B2(_2086_),
.C1(_2105_),
.Y(_0227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4144_ (.A(\CONTROL_LOGIC.sram4_data1[14] ),
.Y(_2106_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4145_ (.A(\CONTROL_LOGIC.sram3_data1[14] ),
.Y(_2107_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4146_ (.A(\CONTROL_LOGIC.sram2_data1[14] ),
.Y(_2108_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4147_ (.A(\CONTROL_LOGIC.sram1_data1[14] ),
.Y(_2109_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4148_ (.A(_2028_),
.X(_2110_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4149_ (.A1(_2108_),
.A2(_2098_),
.B1(_2109_),
.B2(_2110_),
.X(_2111_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4150_ (.A1(_2106_),
.A2(_2084_),
.B1(_2107_),
.B2(_2086_),
.C1(_2111_),
.Y(_0229_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4151_ (.A(\CONTROL_LOGIC.sram4_data1[15] ),
.Y(_2112_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4152_ (.A(_2054_),
.X(_2113_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4153_ (.A(\CONTROL_LOGIC.sram3_data1[15] ),
.Y(_2114_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4154_ (.A(_2024_),
.X(_2115_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4155_ (.A(\CONTROL_LOGIC.sram2_data1[15] ),
.Y(_2116_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4156_ (.A(\CONTROL_LOGIC.sram1_data1[15] ),
.Y(_2117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_2 _4157_ (.A1(_2116_),
.A2(_2098_),
.B1(_2117_),
.B2(_2110_),
.X(_2118_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4158_ (.A1(_2112_),
.A2(_2113_),
.B1(_2114_),
.B2(_2115_),
.C1(_2118_),
.Y(_0231_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _4159_ (.A(\CONTROL_LOGIC.sram4_data1[16] ),
.Y(_2119_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4160_ (.A(\CONTROL_LOGIC.sram3_data1[16] ),
.Y(_2120_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4161_ (.A(\CONTROL_LOGIC.sram2_data1[16] ),
.Y(_2121_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _4162_ (.A(\CONTROL_LOGIC.sram1_data1[16] ),
.Y(_2122_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_2 _4163_ (.A1(_2121_),
.A2(_2098_),
.B1(_2122_),
.B2(_2110_),
.X(_2123_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4164_ (.A1(_2119_),
.A2(_2113_),
.B1(_2120_),
.B2(_2115_),
.C1(_2123_),
.Y(_0233_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4165_ (.A(\CONTROL_LOGIC.sram4_data1[17] ),
.Y(_2124_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4166_ (.A(\CONTROL_LOGIC.sram3_data1[17] ),
.Y(_2125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4167_ (.A(\CONTROL_LOGIC.sram2_data1[17] ),
.Y(_2126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4168_ (.A(_1496_),
.X(_2127_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4169_ (.A(\CONTROL_LOGIC.sram1_data1[17] ),
.Y(_2128_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4170_ (.A1(_2126_),
.A2(_2127_),
.B1(_2128_),
.B2(_2110_),
.X(_2129_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4171_ (.A1(_2124_),
.A2(_2113_),
.B1(_2125_),
.B2(_2115_),
.C1(_2129_),
.Y(_0235_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4172_ (.A(\CONTROL_LOGIC.sram4_data1[18] ),
.Y(_2130_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _4173_ (.A(\CONTROL_LOGIC.sram3_data1[18] ),
.Y(_2131_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4174_ (.A(\CONTROL_LOGIC.sram2_data1[18] ),
.Y(_2132_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4175_ (.A(\CONTROL_LOGIC.sram1_data1[18] ),
.Y(_2133_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4176_ (.A1(_2132_),
.A2(_2127_),
.B1(_2133_),
.B2(_2110_),
.X(_2134_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4177_ (.A1(_2130_),
.A2(_2113_),
.B1(_2131_),
.B2(_2115_),
.C1(_2134_),
.Y(_0237_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _4178_ (.A(\CONTROL_LOGIC.sram4_data1[19] ),
.Y(_2135_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4179_ (.A(\CONTROL_LOGIC.sram3_data1[19] ),
.Y(_2136_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4180_ (.A(\CONTROL_LOGIC.sram2_data1[19] ),
.Y(_2137_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4181_ (.A(\CONTROL_LOGIC.sram1_data1[19] ),
.Y(_2138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4182_ (.A(_2028_),
.X(_2139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_1 _4183_ (.A1(_2137_),
.A2(_2127_),
.B1(_2138_),
.B2(_2139_),
.X(_2140_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4184_ (.A1(_2135_),
.A2(_2113_),
.B1(_2136_),
.B2(_2115_),
.C1(_2140_),
.Y(_0239_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4185_ (.A(\CONTROL_LOGIC.sram4_data1[20] ),
.Y(_2141_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4186_ (.A(_2054_),
.X(_2142_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_4 _4187_ (.A(\CONTROL_LOGIC.sram3_data1[20] ),
.Y(_2143_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4188_ (.A(_2024_),
.X(_2144_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4189_ (.A(\CONTROL_LOGIC.sram2_data1[20] ),
.Y(_2145_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4190_ (.A(\CONTROL_LOGIC.sram1_data1[20] ),
.Y(_2146_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_2 _4191_ (.A1(_2145_),
.A2(_2127_),
.B1(_2146_),
.B2(_2139_),
.X(_2147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4192_ (.A1(_2141_),
.A2(_2142_),
.B1(_2143_),
.B2(_2144_),
.C1(_2147_),
.Y(_0241_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_12 _4193_ (.A(\CONTROL_LOGIC.sram4_data1[21] ),
.Y(_2148_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4194_ (.A(\CONTROL_LOGIC.sram3_data1[21] ),
.Y(_2149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4195_ (.A(\CONTROL_LOGIC.sram2_data1[21] ),
.Y(_2150_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _4196_ (.A(\CONTROL_LOGIC.sram1_data1[21] ),
.Y(_2151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _4197_ (.A1(_2150_),
.A2(_2127_),
.B1(_2151_),
.B2(_2139_),
.X(_2152_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4198_ (.A1(_2148_),
.A2(_2142_),
.B1(_2149_),
.B2(_2144_),
.C1(_2152_),
.Y(_0243_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4199_ (.A(\CONTROL_LOGIC.sram4_data1[22] ),
.Y(_2153_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4200_ (.A(\CONTROL_LOGIC.sram3_data1[22] ),
.Y(_2154_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4201_ (.A(\CONTROL_LOGIC.sram2_data1[22] ),
.Y(_2155_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4202_ (.A(_1496_),
.X(_2156_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4203_ (.A(\CONTROL_LOGIC.sram1_data1[22] ),
.Y(_2157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_2 _4204_ (.A1(_2155_),
.A2(_2156_),
.B1(_2157_),
.B2(_2139_),
.X(_2158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4205_ (.A1(_2153_),
.A2(_2142_),
.B1(_2154_),
.B2(_2144_),
.C1(_2158_),
.Y(_0245_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4206_ (.A(\CONTROL_LOGIC.sram4_data1[23] ),
.Y(_2159_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4207_ (.A(\CONTROL_LOGIC.sram3_data1[23] ),
.Y(_2160_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4208_ (.A(\CONTROL_LOGIC.sram2_data1[23] ),
.Y(_2161_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _4209_ (.A(\CONTROL_LOGIC.sram1_data1[23] ),
.Y(_2162_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_2 _4210_ (.A1(_2161_),
.A2(_2156_),
.B1(_2162_),
.B2(_2139_),
.X(_2163_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4211_ (.A1(_2159_),
.A2(_2142_),
.B1(_2160_),
.B2(_2144_),
.C1(_2163_),
.Y(_0247_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4212_ (.A(\CONTROL_LOGIC.sram4_data1[24] ),
.Y(_2164_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4213_ (.A(\CONTROL_LOGIC.sram3_data1[24] ),
.Y(_2165_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4214_ (.A(\CONTROL_LOGIC.sram2_data1[24] ),
.Y(_2166_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4215_ (.A(\CONTROL_LOGIC.sram1_data1[24] ),
.Y(_2167_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4216_ (.A(_1563_),
.X(_2168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_2 _4217_ (.A1(_2166_),
.A2(_2156_),
.B1(_2167_),
.B2(_2168_),
.X(_2169_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4218_ (.A1(_2164_),
.A2(_2142_),
.B1(_2165_),
.B2(_2144_),
.C1(_2169_),
.Y(_0249_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_6 _4219_ (.A(\CONTROL_LOGIC.sram4_data1[25] ),
.Y(_2170_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4220_ (.A(_2054_),
.X(_2171_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4221_ (.A(\CONTROL_LOGIC.sram3_data1[25] ),
.Y(_2172_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4222_ (.A(_1557_),
.X(_2173_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4223_ (.A(\CONTROL_LOGIC.sram2_data1[25] ),
.Y(_2174_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4224_ (.A(\CONTROL_LOGIC.sram1_data1[25] ),
.Y(_2175_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _4225_ (.A1(_2174_),
.A2(_2156_),
.B1(_2175_),
.B2(_2168_),
.X(_2176_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4226_ (.A1(_2170_),
.A2(_2171_),
.B1(_2172_),
.B2(_2173_),
.C1(_2176_),
.Y(_0251_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _4227_ (.A(\CONTROL_LOGIC.sram4_data1[26] ),
.Y(_2177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_8 _4228_ (.A(\CONTROL_LOGIC.sram3_data1[26] ),
.Y(_2178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4229_ (.A(\CONTROL_LOGIC.sram2_data1[26] ),
.Y(_2179_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4230_ (.A(\CONTROL_LOGIC.sram1_data1[26] ),
.Y(_2180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _4231_ (.A1(_2179_),
.A2(_2156_),
.B1(_2180_),
.B2(_2168_),
.X(_2181_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4232_ (.A1(_2177_),
.A2(_2171_),
.B1(_2178_),
.B2(_2173_),
.C1(_2181_),
.Y(_0253_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4233_ (.A(\CONTROL_LOGIC.sram4_data1[27] ),
.Y(_2182_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4234_ (.A(\CONTROL_LOGIC.sram3_data1[27] ),
.Y(_2183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4235_ (.A(\CONTROL_LOGIC.sram2_data1[27] ),
.Y(_2184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _4236_ (.A(_1496_),
.X(_2185_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4237_ (.A(\CONTROL_LOGIC.sram1_data1[27] ),
.Y(_2186_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _4238_ (.A1(_2184_),
.A2(_2185_),
.B1(_2186_),
.B2(_2168_),
.X(_2187_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4239_ (.A1(_2182_),
.A2(_2171_),
.B1(_2183_),
.B2(_2173_),
.C1(_2187_),
.Y(_0255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4240_ (.A(\CONTROL_LOGIC.sram4_data1[28] ),
.Y(_2188_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4241_ (.A(\CONTROL_LOGIC.sram3_data1[28] ),
.Y(_2189_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4242_ (.A(\CONTROL_LOGIC.sram2_data1[28] ),
.Y(_2190_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4243_ (.A(\CONTROL_LOGIC.sram1_data1[28] ),
.Y(_2191_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _4244_ (.A1(_2190_),
.A2(_2185_),
.B1(_2191_),
.B2(_2168_),
.X(_2192_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4245_ (.A1(_2188_),
.A2(_2171_),
.B1(_2189_),
.B2(_2173_),
.C1(_2192_),
.Y(_0257_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4246_ (.A(\CONTROL_LOGIC.sram4_data1[29] ),
.Y(_2193_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4247_ (.A(\CONTROL_LOGIC.sram3_data1[29] ),
.Y(_2194_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4248_ (.A(\CONTROL_LOGIC.sram2_data1[29] ),
.Y(_2195_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_2 _4249_ (.A(\CONTROL_LOGIC.sram1_data1[29] ),
.Y(_2196_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _4250_ (.A1(_2195_),
.A2(_2185_),
.B1(_2196_),
.B2(_1528_),
.X(_2197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4251_ (.A1(_2193_),
.A2(_2171_),
.B1(_2194_),
.B2(_2173_),
.C1(_2197_),
.Y(_0259_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4252_ (.A(\CONTROL_LOGIC.sram4_data1[30] ),
.Y(_2198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4253_ (.A(\CONTROL_LOGIC.sram3_data1[30] ),
.Y(_2199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4254_ (.A(\CONTROL_LOGIC.sram2_data1[30] ),
.Y(_2200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4255_ (.A(\CONTROL_LOGIC.sram1_data1[30] ),
.Y(_2201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _4256_ (.A1(_2200_),
.A2(_2185_),
.B1(_2201_),
.B2(_1528_),
.X(_2202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4257_ (.A1(_2198_),
.A2(_2021_),
.B1(_2199_),
.B2(_1515_),
.C1(_2202_),
.Y(_0261_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4258_ (.A(\CONTROL_LOGIC.sram4_data1[31] ),
.Y(_2203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4259_ (.A(\CONTROL_LOGIC.sram3_data1[31] ),
.Y(_2204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _4260_ (.A(\CONTROL_LOGIC.sram2_data1[31] ),
.Y(_2205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_8 _4261_ (.A(\CONTROL_LOGIC.sram1_data1[31] ),
.Y(_2206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o22a_4 _4262_ (.A1(_2205_),
.A2(_2185_),
.B1(_2206_),
.B2(_1528_),
.X(_2207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221ai_4 _4263_ (.A1(_2203_),
.A2(_2021_),
.B1(_2204_),
.B2(_1515_),
.C1(_2207_),
.Y(_0263_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4264_ (.A(net430),
.B(net55),
.X(_2208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4265_ (.A(_2208_),
.X(_0265_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4266_ (.A(net430),
.B(net56),
.X(_2209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4267_ (.A(_2209_),
.X(_0266_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4268_ (.A(net430),
.B(net58),
.X(_2210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4269_ (.A(_2210_),
.X(_0267_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4270_ (.A(net430),
.B(net59),
.X(_2211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4271_ (.A(_2211_),
.X(_0268_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__and2_1 _4272_ (.A(net430),
.B(net60),
.X(_2212_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4273_ (.A(_2212_),
.X(_0269_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_16 _4274_ (.A(net6),
.Y(_2213_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o21bai_4 _4275_ (.A1(_2213_),
.A2(net22),
.B1_N(net192),
.Y(_2214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_1 _4276_ (.A(_0287_),
.B(_2214_),
.X(_2215_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4277_ (.A(_2215_),
.X(\CONTROL_LOGIC.csb1[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_4 _4278_ (.A(_2029_),
.B(net263),
.X(_2216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4279_ (.A(_2216_),
.X(\CONTROL_LOGIC.csb1[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_1 _4280_ (.A(_1967_),
.B(net263),
.X(_2217_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4281_ (.A(_2217_),
.X(\CONTROL_LOGIC.csb1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_4 _4282_ (.A(_1515_),
.B(net263),
.X(_2218_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4283_ (.A(_2218_),
.X(\CONTROL_LOGIC.csb1[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_4 _4284_ (.A(_2021_),
.B(net263),
.X(_2219_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4285_ (.A(_2219_),
.X(\CONTROL_LOGIC.csb1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o21bai_4 _4286_ (.A1(_2213_),
.A2(net22),
.B1_N(net191),
.Y(_2220_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _4287_ (.A(net262),
.X(_2221_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _4288_ (.A(net365),
.B(_2221_),
.C(net363),
.D(net364),
.X(_2222_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_4 _4289_ (.A(net124),
.B(_2222_),
.X(_2223_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 _4290_ (.A(_2223_),
.X(\CONTROL_LOGIC.csb0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _4291_ (.A(net363),
.B(net364),
.C(_1508_),
.D(_2221_),
.X(_2224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_4 _4292_ (.A(net362),
.B(_2224_),
.X(_2225_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _4293_ (.A(_2225_),
.X(\CONTROL_LOGIC.csb0[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_2 _4294_ (.A(net365),
.B(_2221_),
.C(net363),
.D(_1495_),
.X(_2226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_4 _4295_ (.A(net362),
.B(_2226_),
.X(_2227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _4296_ (.A(_2227_),
.X(\CONTROL_LOGIC.csb0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or4_4 _4297_ (.A(_1508_),
.B(net262),
.C(net363),
.D(_1495_),
.X(_2228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_1 _4298_ (.A(net361),
.B(_2228_),
.X(_2229_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _4299_ (.A(_2229_),
.X(\CONTROL_LOGIC.csb0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_4 _4300_ (.A(_2021_),
.B(_2221_),
.X(_2230_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 _4301_ (.A(_2230_),
.X(\CONTROL_LOGIC.csb0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_1 _4302_ (.A(_1506_),
.B(_2222_),
.X(_2231_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 _4303_ (.A(_2231_),
.X(\CONTROL_LOGIC.csb0[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_2 _4304_ (.A(_1506_),
.B(_2224_),
.X(_2232_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4305_ (.A(_2232_),
.X(\CONTROL_LOGIC.csb0[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_1 _4306_ (.A(_1506_),
.B(_2226_),
.X(_2233_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 _4307_ (.A(_2233_),
.X(\CONTROL_LOGIC.csb0[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_4 _4308_ (.A(_1506_),
.B(_2228_),
.X(_2234_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_4 _4309_ (.A(_2234_),
.X(\CONTROL_LOGIC.csb0[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__or2_1 _4310_ (.A(_1532_),
.B(_2221_),
.X(_2235_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 _4311_ (.A(_2235_),
.X(\CONTROL_LOGIC.csb0[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__o221a_1 _4312_ (.A1(_0102_),
.A2(_0853_),
.B1(net227),
.B2(_0855_),
.C1(_0939_),
.X(_0849_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4313_ (.HI(_2236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4314_ (.HI(_2237_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4315_ (.HI(_2238_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4316_ (.HI(_2239_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4317_ (.HI(_2240_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4318_ (.HI(_2241_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4319_ (.HI(_2242_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4320_ (.HI(_2243_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4321_ (.HI(io_oeb[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4322_ (.HI(io_oeb[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4323_ (.HI(io_oeb[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4324_ (.HI(io_oeb[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4325_ (.HI(io_oeb[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4326_ (.HI(io_oeb[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4327_ (.HI(io_oeb[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4328_ (.HI(io_oeb[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4329_ (.HI(io_oeb[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4330_ (.HI(io_oeb[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4331_ (.HI(io_oeb[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4332_ (.HI(io_oeb[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4333_ (.HI(io_oeb[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4334_ (.HI(io_oeb[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4335_ (.HI(io_oeb[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4336_ (.HI(io_oeb[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4337_ (.HI(io_oeb[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4338_ (.HI(io_oeb[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4339_ (.HI(io_oeb[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4340_ (.HI(io_oeb[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4341_ (.HI(io_oeb[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4342_ (.HI(io_oeb[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4343_ (.HI(io_oeb[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4344_ (.HI(io_oeb[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4345_ (.HI(io_oeb[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4346_ (.HI(io_oeb[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4347_ (.HI(io_oeb[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4348_ (.HI(io_oeb[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4349_ (.HI(io_oeb[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4350_ (.HI(io_oeb[30]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4351_ (.HI(io_oeb[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4352_ (.HI(io_oeb[32]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4353_ (.HI(io_oeb[33]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4354_ (.HI(io_oeb[34]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4355_ (.HI(io_oeb[35]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4356_ (.HI(io_oeb[36]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4357_ (.HI(io_oeb[37]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4358_ (.LO(_2244_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4359_ (.LO(_2245_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4360_ (.LO(_2246_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4361_ (.LO(_2247_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4362_ (.LO(_2248_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4363_ (.LO(_2249_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4364_ (.LO(_2250_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4365_ (.LO(_2251_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4366_ (.LO(_2252_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4367_ (.LO(_2253_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4368_ (.LO(io_oeb[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4369_ (.LO(io_out[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4370_ (.LO(io_out[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4371_ (.LO(io_out[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4372_ (.LO(io_out[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4373_ (.LO(io_out[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4374_ (.LO(io_out[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4375_ (.LO(io_out[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4376_ (.LO(io_out[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4377_ (.LO(io_out[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4378_ (.LO(io_out[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4379_ (.LO(io_out[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4380_ (.LO(io_out[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4381_ (.LO(io_out[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4382_ (.LO(io_out[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4383_ (.LO(io_out[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4384_ (.LO(io_out[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4385_ (.LO(io_out[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4386_ (.LO(io_out[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4387_ (.LO(io_out[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4388_ (.LO(io_out[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4389_ (.LO(io_out[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4390_ (.LO(io_out[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4391_ (.LO(io_out[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4392_ (.LO(io_out[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4393_ (.LO(io_out[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4394_ (.LO(io_out[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4395_ (.LO(io_out[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4396_ (.LO(io_out[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4397_ (.LO(io_out[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4398_ (.LO(io_out[30]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4399_ (.LO(io_out[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4400_ (.LO(io_out[32]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4401_ (.LO(io_out[33]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4402_ (.LO(io_out[34]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4403_ (.LO(io_out[35]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4404_ (.LO(io_out[36]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4405_ (.LO(io_out[37]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4406_ (.LO(la_data_out[112]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4407_ (.LO(la_data_out[113]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4408_ (.LO(la_data_out[114]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4409_ (.LO(la_data_out[115]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4410_ (.LO(la_data_out[116]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4411_ (.LO(la_data_out[117]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4412_ (.LO(la_data_out[118]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4413_ (.LO(la_data_out[119]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4414_ (.LO(la_data_out[120]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4415_ (.LO(la_data_out[121]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4416_ (.LO(la_data_out[122]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4417_ (.LO(la_data_out[123]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4418_ (.LO(la_data_out[124]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4419_ (.LO(la_data_out[125]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4420_ (.LO(la_data_out[126]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4421_ (.LO(la_data_out[127]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4422_ (.LO(user_irq[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4423_ (.LO(user_irq[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4424_ (.LO(user_irq[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4425_ (.LO(wbs_ack_o),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4426_ (.LO(wbs_dat_o[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4427_ (.LO(wbs_dat_o[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4428_ (.LO(wbs_dat_o[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4429_ (.LO(wbs_dat_o[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4430_ (.LO(wbs_dat_o[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4431_ (.LO(wbs_dat_o[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4432_ (.LO(wbs_dat_o[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4433_ (.LO(wbs_dat_o[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4434_ (.LO(wbs_dat_o[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4435_ (.LO(wbs_dat_o[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4436_ (.LO(wbs_dat_o[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4437_ (.LO(wbs_dat_o[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4438_ (.LO(wbs_dat_o[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4439_ (.LO(wbs_dat_o[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4440_ (.LO(wbs_dat_o[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4441_ (.LO(wbs_dat_o[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4442_ (.LO(wbs_dat_o[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4443_ (.LO(wbs_dat_o[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4444_ (.LO(wbs_dat_o[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4445_ (.LO(wbs_dat_o[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4446_ (.LO(wbs_dat_o[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4447_ (.LO(wbs_dat_o[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4448_ (.LO(wbs_dat_o[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4449_ (.LO(wbs_dat_o[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4450_ (.LO(wbs_dat_o[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4451_ (.LO(wbs_dat_o[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4452_ (.LO(wbs_dat_o[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4453_ (.LO(wbs_dat_o[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4454_ (.LO(wbs_dat_o[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4455_ (.LO(wbs_dat_o[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4456_ (.LO(wbs_dat_o[30]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__conb_1 _4457_ (.LO(wbs_dat_o[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 _4458_ (.A(net124),
.X(net138),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4459_ (.A0(net429),
.A1(io_in[17]),
.S(net2),
.X(\CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4460_ (.A0(_0269_),
.A1(net172),
.S(net425),
.X(_0048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4461_ (.A0(_0268_),
.A1(net171),
.S(net425),
.X(_0047_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4462_ (.A0(_0267_),
.A1(net169),
.S(net425),
.X(_0046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4463_ (.A0(_0266_),
.A1(net168),
.S(net425),
.X(_0044_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4464_ (.A0(_0265_),
.A1(net167),
.S(net425),
.X(_0043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4465_ (.A0(net247),
.A1(net54),
.S(net430),
.X(_0264_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4466_ (.A0(_0264_),
.A1(net166),
.S(net425),
.X(_0042_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4467_ (.A0(net248),
.A1(net53),
.S(net430),
.X(_0262_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4468_ (.A0(_0262_),
.A1(net165),
.S(net425),
.X(_0041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4469_ (.A0(net249),
.A1(net52),
.S(net430),
.X(_0260_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4470_ (.A0(_0260_),
.A1(net164),
.S(net425),
.X(_0040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4471_ (.A0(net250),
.A1(net51),
.S(net430),
.X(_0258_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4472_ (.A0(_0258_),
.A1(net163),
.S(net425),
.X(_0039_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4473_ (.A0(net251),
.A1(net50),
.S(net430),
.X(_0256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4474_ (.A0(_0256_),
.A1(net162),
.S(net425),
.X(_0038_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4475_ (.A0(net252),
.A1(net49),
.S(net430),
.X(_0254_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4476_ (.A0(_0254_),
.A1(net161),
.S(net425),
.X(_0037_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4477_ (.A0(net253),
.A1(net48),
.S(net430),
.X(_0252_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4478_ (.A0(_0252_),
.A1(net160),
.S(net425),
.X(_0036_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4479_ (.A0(net254),
.A1(net47),
.S(net430),
.X(_0250_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4480_ (.A0(_0250_),
.A1(net158),
.S(net425),
.X(_0035_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4481_ (.A0(net255),
.A1(net45),
.S(net430),
.X(_0248_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4482_ (.A0(_0248_),
.A1(net157),
.S(net425),
.X(_0033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4483_ (.A0(net256),
.A1(net44),
.S(net430),
.X(_0246_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4484_ (.A0(_0246_),
.A1(net156),
.S(net425),
.X(_0032_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4485_ (.A0(net257),
.A1(net43),
.S(net430),
.X(_0244_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4486_ (.A0(_0244_),
.A1(net155),
.S(net425),
.X(_0031_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4487_ (.A0(net258),
.A1(net42),
.S(net430),
.X(_0242_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4488_ (.A0(_0242_),
.A1(net154),
.S(net425),
.X(_0030_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4489_ (.A0(net259),
.A1(net41),
.S(net430),
.X(_0240_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4490_ (.A0(_0240_),
.A1(net153),
.S(net425),
.X(_0029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4491_ (.A0(net260),
.A1(net40),
.S(net430),
.X(_0238_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4492_ (.A0(_0238_),
.A1(net152),
.S(net425),
.X(_0028_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4493_ (.A0(net261),
.A1(net39),
.S(net430),
.X(_0236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4494_ (.A0(_0236_),
.A1(net151),
.S(net425),
.X(_0027_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4495_ (.A0(net237),
.A1(net38),
.S(net430),
.X(_0234_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4496_ (.A0(_0234_),
.A1(net150),
.S(net425),
.X(_0026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4497_ (.A0(net238),
.A1(net37),
.S(net430),
.X(_0232_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4498_ (.A0(_0232_),
.A1(net149),
.S(net425),
.X(_0025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4499_ (.A0(net239),
.A1(net36),
.S(net430),
.X(_0230_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4500_ (.A0(_0230_),
.A1(net147),
.S(net425),
.X(_0024_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4501_ (.A0(net240),
.A1(net34),
.S(net430),
.X(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4502_ (.A0(_0228_),
.A1(net146),
.S(net425),
.X(_0022_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4503_ (.A0(net241),
.A1(net33),
.S(net430),
.X(_0226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4504_ (.A0(_0226_),
.A1(net145),
.S(net425),
.X(_0021_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4505_ (.A0(net242),
.A1(net32),
.S(net430),
.X(_0224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4506_ (.A0(_0224_),
.A1(net144),
.S(net425),
.X(_0020_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4507_ (.A0(net243),
.A1(net31),
.S(net430),
.X(_0222_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4508_ (.A0(_0222_),
.A1(net143),
.S(net425),
.X(_0019_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4509_ (.A0(net244),
.A1(net30),
.S(net430),
.X(_0220_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4510_ (.A0(_0220_),
.A1(net142),
.S(net425),
.X(_0018_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4511_ (.A0(net245),
.A1(net29),
.S(net430),
.X(_0218_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4512_ (.A0(_0218_),
.A1(net141),
.S(net425),
.X(_0017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4513_ (.A0(\CONTROL_LOGIC.sram0_data1[7] ),
.A1(net246),
.S(_0192_),
.X(_0215_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4514_ (.A0(_0215_),
.A1(net28),
.S(net430),
.X(_0216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4515_ (.A0(_0216_),
.A1(net140),
.S(net425),
.X(_0016_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4516_ (.A0(\CONTROL_LOGIC.sram0_data1[6] ),
.A1(_0211_),
.S(_0192_),
.X(_0212_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4517_ (.A0(_0212_),
.A1(net27),
.S(net430),
.X(_0213_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4518_ (.A0(_0213_),
.A1(net139),
.S(net425),
.X(_0015_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4519_ (.A0(\CONTROL_LOGIC.sram0_data1[5] ),
.A1(_0208_),
.S(_0192_),
.X(_0209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4520_ (.A0(_0209_),
.A1(net21),
.S(net430),
.X(_0210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4521_ (.A0(_0210_),
.A1(net136),
.S(net425),
.X(_0014_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4522_ (.A0(\CONTROL_LOGIC.sram0_data1[4] ),
.A1(_0205_),
.S(_0192_),
.X(_0206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4523_ (.A0(_0206_),
.A1(net18),
.S(net430),
.X(_0207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4524_ (.A0(_0207_),
.A1(net236),
.S(net425),
.X(_0011_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4525_ (.A0(\CONTROL_LOGIC.sram0_data1[3] ),
.A1(_0202_),
.S(_0192_),
.X(_0203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4526_ (.A0(_0203_),
.A1(net123),
.S(net430),
.X(_0204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4527_ (.A0(_0204_),
.A1(net225),
.S(net425),
.X(_0111_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4528_ (.A0(\CONTROL_LOGIC.sram0_data1[2] ),
.A1(_0199_),
.S(_0192_),
.X(_0200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4529_ (.A0(_0200_),
.A1(net112),
.S(net430),
.X(_0201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4530_ (.A0(_0201_),
.A1(net214),
.S(net425),
.X(_0100_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4531_ (.A0(\CONTROL_LOGIC.sram0_data1[1] ),
.A1(_0196_),
.S(_0192_),
.X(_0197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4532_ (.A0(_0197_),
.A1(net101),
.S(net430),
.X(_0198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4533_ (.A0(_0198_),
.A1(net203),
.S(net425),
.X(_0089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4534_ (.A0(\CONTROL_LOGIC.sram0_data1[0] ),
.A1(_0193_),
.S(_0192_),
.X(_0194_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4535_ (.A0(_0194_),
.A1(net90),
.S(net430),
.X(_0195_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4536_ (.A0(_0195_),
.A1(net192),
.S(net425),
.X(_0078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4537_ (.A0(_0191_),
.A1(net181),
.S(net425),
.X(_0067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4538_ (.A0(_0190_),
.A1(net170),
.S(net425),
.X(_0056_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4539_ (.A0(_0189_),
.A1(net159),
.S(net425),
.X(_0045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4540_ (.A0(_0188_),
.A1(net148),
.S(net425),
.X(_0034_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4541_ (.A0(_0187_),
.A1(net125),
.S(net425),
.X(_0023_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4542_ (.A0(_0186_),
.A1(net428),
.S(net425),
.X(_0000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4543_ (.A0(_0185_),
.A1(net137),
.S(net426),
.X(_0013_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4544_ (.A0(_0184_),
.A1(net135),
.S(net426),
.X(_0012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4545_ (.A0(_0183_),
.A1(net134),
.S(net426),
.X(_0010_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4546_ (.A0(_0182_),
.A1(net133),
.S(net426),
.X(_0009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4547_ (.A0(_0181_),
.A1(net132),
.S(net426),
.X(_0008_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4548_ (.A0(_0180_),
.A1(net131),
.S(net426),
.X(_0007_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4549_ (.A0(_0179_),
.A1(net130),
.S(net426),
.X(_0006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4550_ (.A0(_0178_),
.A1(net129),
.S(net426),
.X(_0005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4551_ (.A0(_0177_),
.A1(net128),
.S(net426),
.X(_0004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4552_ (.A0(_0176_),
.A1(net127),
.S(net426),
.X(_0003_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4553_ (.A0(_0175_),
.A1(net126),
.S(net426),
.X(_0002_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4554_ (.A0(_0174_),
.A1(net235),
.S(net426),
.X(_0001_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4555_ (.A0(_0173_),
.A1(net234),
.S(net426),
.X(_0110_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4556_ (.A0(_0172_),
.A1(net233),
.S(net426),
.X(_0109_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4557_ (.A0(_0171_),
.A1(net232),
.S(net426),
.X(_0108_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4558_ (.A0(_0170_),
.A1(net231),
.S(net426),
.X(_0107_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4559_ (.A0(_0169_),
.A1(net230),
.S(net426),
.X(_0106_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4560_ (.A0(_0168_),
.A1(net229),
.S(net426),
.X(_0105_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4561_ (.A0(_0167_),
.A1(net228),
.S(net426),
.X(_0104_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4562_ (.A0(_0166_),
.A1(net266),
.S(net426),
.X(_0103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4563_ (.A0(_0164_),
.A1(net114),
.S(net430),
.X(_0165_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4564_ (.A0(_0165_),
.A1(net226),
.S(net425),
.X(_0102_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4565_ (.A0(_0162_),
.A1(net113),
.S(net430),
.X(_0163_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4566_ (.A0(_0163_),
.A1(net224),
.S(net425),
.X(_0101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4567_ (.A0(_0160_),
.A1(net111),
.S(net430),
.X(_0161_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4568_ (.A0(_0161_),
.A1(net223),
.S(net425),
.X(_0099_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4569_ (.A0(_0158_),
.A1(net110),
.S(net430),
.X(_0159_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4570_ (.A0(_0159_),
.A1(net222),
.S(net425),
.X(_0098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4571_ (.A0(_0156_),
.A1(net109),
.S(net430),
.X(_0157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4572_ (.A0(_0157_),
.A1(net221),
.S(net425),
.X(_0097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4573_ (.A0(_0154_),
.A1(net108),
.S(net430),
.X(_0155_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4574_ (.A0(_0155_),
.A1(net220),
.S(net425),
.X(_0096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4575_ (.A0(_0152_),
.A1(net107),
.S(net430),
.X(_0153_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4576_ (.A0(_0153_),
.A1(net219),
.S(net425),
.X(_0095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4577_ (.A0(_0150_),
.A1(net106),
.S(net430),
.X(_0151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4578_ (.A0(_0151_),
.A1(net218),
.S(net425),
.X(_0094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4579_ (.A0(_0148_),
.A1(net105),
.S(net430),
.X(_0149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4580_ (.A0(_0149_),
.A1(net217),
.S(net425),
.X(_0093_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4581_ (.A0(_0146_),
.A1(net104),
.S(net430),
.X(_0147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4582_ (.A0(_0147_),
.A1(net216),
.S(net425),
.X(_0092_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4583_ (.A0(_0144_),
.A1(net103),
.S(net430),
.X(_0145_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4584_ (.A0(_0145_),
.A1(net215),
.S(net425),
.X(_0091_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4585_ (.A0(_0142_),
.A1(net102),
.S(net430),
.X(_0143_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4586_ (.A0(_0143_),
.A1(net213),
.S(net425),
.X(_0090_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4587_ (.A0(_0140_),
.A1(net100),
.S(net430),
.X(_0141_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4588_ (.A0(_0141_),
.A1(net212),
.S(net425),
.X(_0088_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4589_ (.A0(_0138_),
.A1(net99),
.S(net430),
.X(_0139_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4590_ (.A0(_0139_),
.A1(net211),
.S(net425),
.X(_0087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4591_ (.A0(_0136_),
.A1(net98),
.S(net430),
.X(_0137_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4592_ (.A0(_0137_),
.A1(net210),
.S(net425),
.X(_0086_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4593_ (.A0(_0134_),
.A1(net97),
.S(net430),
.X(_0135_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4594_ (.A0(_0135_),
.A1(net209),
.S(net425),
.X(_0085_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4595_ (.A0(_0132_),
.A1(net96),
.S(net430),
.X(_0133_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4596_ (.A0(_0133_),
.A1(net318),
.S(net425),
.X(_0084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4597_ (.A0(_0130_),
.A1(net95),
.S(net430),
.X(_0131_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4598_ (.A0(_0131_),
.A1(net207),
.S(net425),
.X(_0083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4599_ (.A0(_0128_),
.A1(net94),
.S(net430),
.X(_0129_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4600_ (.A0(_0129_),
.A1(net324),
.S(net425),
.X(_0082_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4601_ (.A0(_0126_),
.A1(net93),
.S(net430),
.X(_0127_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4602_ (.A0(_0127_),
.A1(net205),
.S(net425),
.X(_0081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4603_ (.A0(_0124_),
.A1(net92),
.S(net430),
.X(_0125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4604_ (.A0(_0125_),
.A1(net204),
.S(net425),
.X(_0080_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4605_ (.A0(_0122_),
.A1(net91),
.S(net430),
.X(_0123_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4606_ (.A0(_0123_),
.A1(net202),
.S(net425),
.X(_0079_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4607_ (.A0(_0120_),
.A1(net89),
.S(net430),
.X(_0121_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4608_ (.A0(_0121_),
.A1(net201),
.S(net425),
.X(_0077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4609_ (.A0(_0118_),
.A1(net88),
.S(net430),
.X(_0119_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4610_ (.A0(_0119_),
.A1(net200),
.S(net425),
.X(_0076_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_4 _4611_ (.A0(\CONTROL_LOGIC.sram0_data0[7] ),
.A1(_0115_),
.S(_0287_),
.X(_0116_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4612_ (.A0(_0116_),
.A1(net87),
.S(net430),
.X(_0117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4613_ (.A0(_0117_),
.A1(net199),
.S(net425),
.X(_0075_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_2 _4614_ (.A0(\CONTROL_LOGIC.sram0_data0[6] ),
.A1(_0112_),
.S(_0287_),
.X(_0113_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4615_ (.A0(_0113_),
.A1(net86),
.S(net430),
.X(_0114_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4616_ (.A0(_0114_),
.A1(net345),
.S(net425),
.X(_0074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4617_ (.A0(\CONTROL_LOGIC.sram0_data0[5] ),
.A1(_0303_),
.S(_0287_),
.X(_0304_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4618_ (.A0(_0304_),
.A1(net85),
.S(net430),
.X(_0305_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4619_ (.A0(_0305_),
.A1(net197),
.S(net425),
.X(_0073_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_2 _4620_ (.A0(\CONTROL_LOGIC.sram0_data0[4] ),
.A1(_0300_),
.S(_0287_),
.X(_0301_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4621_ (.A0(_0301_),
.A1(net84),
.S(net430),
.X(_0302_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4622_ (.A0(_0302_),
.A1(net351),
.S(net425),
.X(_0072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4623_ (.A0(\CONTROL_LOGIC.sram0_data0[3] ),
.A1(_0297_),
.S(_0287_),
.X(_0298_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4624_ (.A0(_0298_),
.A1(net83),
.S(net430),
.X(_0299_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4625_ (.A0(_0299_),
.A1(net195),
.S(net425),
.X(_0071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4626_ (.A0(\CONTROL_LOGIC.sram0_data0[2] ),
.A1(_0294_),
.S(_0287_),
.X(_0295_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4627_ (.A0(_0295_),
.A1(net82),
.S(net430),
.X(_0296_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4628_ (.A0(_0296_),
.A1(net357),
.S(net425),
.X(_0070_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4629_ (.A0(\CONTROL_LOGIC.sram0_data0[1] ),
.A1(_0291_),
.S(_0287_),
.X(_0292_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4630_ (.A0(_0292_),
.A1(net81),
.S(net430),
.X(_0293_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4631_ (.A0(_0293_),
.A1(net193),
.S(net425),
.X(_0069_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_8 _4632_ (.A0(\CONTROL_LOGIC.sram0_data0[0] ),
.A1(_0288_),
.S(_0287_),
.X(_0289_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4633_ (.A0(_0289_),
.A1(net80),
.S(net430),
.X(_0290_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4634_ (.A0(_0290_),
.A1(net191),
.S(net425),
.X(_0068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4635_ (.A0(_0286_),
.A1(net190),
.S(net426),
.X(_0066_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4636_ (.A0(_0285_),
.A1(net189),
.S(net426),
.X(_0065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4637_ (.A0(_0284_),
.A1(net188),
.S(net426),
.X(_0064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4638_ (.A0(_0283_),
.A1(net187),
.S(net426),
.X(_0063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4639_ (.A0(_0282_),
.A1(net186),
.S(net426),
.X(_0062_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4640_ (.A0(_0281_),
.A1(net185),
.S(net426),
.X(_0061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4641_ (.A0(_0280_),
.A1(net184),
.S(net426),
.X(_0060_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4642_ (.A0(_0279_),
.A1(net183),
.S(net426),
.X(_0059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4643_ (.A0(_0278_),
.A1(net182),
.S(net426),
.X(_0058_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4644_ (.A0(_0277_),
.A1(net180),
.S(net426),
.X(_0057_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4645_ (.A0(_0276_),
.A1(net179),
.S(net426),
.X(_0055_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4646_ (.A0(_0275_),
.A1(net178),
.S(net425),
.X(_0054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4647_ (.A0(_0274_),
.A1(net177),
.S(net425),
.X(_0053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4648_ (.A0(_0273_),
.A1(net176),
.S(net425),
.X(_0052_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4649_ (.A0(_0272_),
.A1(net175),
.S(net425),
.X(_0051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4650_ (.A0(_0271_),
.A1(net174),
.S(net425),
.X(_0050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_1 _4651_ (.A0(_0270_),
.A1(net173),
.S(net425),
.X(_0049_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4652_ (.D(_0306_),
.Q(\CONTROL_LOGIC.sram0_data1[0] ),
.CLK(\clknet_leaf_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4653_ (.D(_0307_),
.Q(\CONTROL_LOGIC.sram0_data1[1] ),
.CLK(\clknet_leaf_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4654_ (.D(_0308_),
.Q(\CONTROL_LOGIC.sram0_data1[2] ),
.CLK(\clknet_leaf_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4655_ (.D(_0309_),
.Q(\CONTROL_LOGIC.sram0_data1[3] ),
.CLK(\clknet_leaf_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4656_ (.D(_0310_),
.Q(\CONTROL_LOGIC.sram0_data1[4] ),
.CLK(\clknet_leaf_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4657_ (.D(_0311_),
.Q(\CONTROL_LOGIC.sram0_data1[5] ),
.CLK(\clknet_leaf_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4658_ (.D(_0312_),
.Q(\CONTROL_LOGIC.sram0_data1[6] ),
.CLK(\clknet_leaf_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4659_ (.D(_0313_),
.Q(\CONTROL_LOGIC.sram0_data1[7] ),
.CLK(\clknet_leaf_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4660_ (.D(_0314_),
.Q(\CONTROL_LOGIC.sram1_data0[0] ),
.CLK(\clknet_leaf_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4661_ (.D(_0315_),
.Q(\CONTROL_LOGIC.sram1_data0[1] ),
.CLK(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4662_ (.D(_0316_),
.Q(\CONTROL_LOGIC.sram1_data0[2] ),
.CLK(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4663_ (.D(_0317_),
.Q(\CONTROL_LOGIC.sram1_data0[3] ),
.CLK(\clknet_leaf_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4664_ (.D(_0318_),
.Q(\CONTROL_LOGIC.sram1_data0[4] ),
.CLK(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4665_ (.D(_0319_),
.Q(\CONTROL_LOGIC.sram1_data0[5] ),
.CLK(\clknet_leaf_33_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4666_ (.D(_0320_),
.Q(\CONTROL_LOGIC.sram1_data0[6] ),
.CLK(\clknet_leaf_33_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4667_ (.D(_0321_),
.Q(\CONTROL_LOGIC.sram1_data0[7] ),
.CLK(\clknet_leaf_198_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4668_ (.D(_0322_),
.Q(\CONTROL_LOGIC.sram1_data0[8] ),
.CLK(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4669_ (.D(_0323_),
.Q(\CONTROL_LOGIC.sram1_data0[9] ),
.CLK(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4670_ (.D(_0324_),
.Q(\CONTROL_LOGIC.sram1_data0[10] ),
.CLK(\clknet_leaf_198_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4671_ (.D(_0325_),
.Q(\CONTROL_LOGIC.sram1_data0[11] ),
.CLK(\clknet_leaf_9_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4672_ (.D(_0326_),
.Q(\CONTROL_LOGIC.sram1_data0[12] ),
.CLK(\clknet_leaf_200_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4673_ (.D(_0327_),
.Q(\CONTROL_LOGIC.sram1_data0[13] ),
.CLK(\clknet_leaf_198_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4674_ (.D(_0328_),
.Q(\CONTROL_LOGIC.sram1_data0[14] ),
.CLK(\clknet_leaf_33_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4675_ (.D(_0329_),
.Q(\CONTROL_LOGIC.sram1_data0[15] ),
.CLK(\clknet_leaf_195_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4676_ (.D(_0330_),
.Q(\CONTROL_LOGIC.sram1_data0[16] ),
.CLK(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4677_ (.D(_0331_),
.Q(\CONTROL_LOGIC.sram1_data0[17] ),
.CLK(\clknet_leaf_194_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4678_ (.D(_0332_),
.Q(\CONTROL_LOGIC.sram1_data0[18] ),
.CLK(\clknet_leaf_195_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4679_ (.D(_0333_),
.Q(\CONTROL_LOGIC.sram1_data0[19] ),
.CLK(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4680_ (.D(_0334_),
.Q(\CONTROL_LOGIC.sram1_data0[20] ),
.CLK(\clknet_leaf_198_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4681_ (.D(_0335_),
.Q(\CONTROL_LOGIC.sram1_data0[21] ),
.CLK(\clknet_leaf_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4682_ (.D(_0336_),
.Q(\CONTROL_LOGIC.sram1_data0[22] ),
.CLK(\clknet_leaf_194_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4683_ (.D(_0337_),
.Q(\CONTROL_LOGIC.sram1_data0[23] ),
.CLK(\clknet_leaf_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4684_ (.D(_0338_),
.Q(\CONTROL_LOGIC.sram1_data0[24] ),
.CLK(\clknet_leaf_200_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4685_ (.D(_0339_),
.Q(\CONTROL_LOGIC.sram1_data0[25] ),
.CLK(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4686_ (.D(_0340_),
.Q(\CONTROL_LOGIC.sram1_data0[26] ),
.CLK(\clknet_leaf_29_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4687_ (.D(_0341_),
.Q(\CONTROL_LOGIC.sram1_data0[27] ),
.CLK(\clknet_leaf_28_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4688_ (.D(_0342_),
.Q(\CONTROL_LOGIC.sram1_data0[28] ),
.CLK(\clknet_leaf_37_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4689_ (.D(_0343_),
.Q(\CONTROL_LOGIC.sram1_data0[29] ),
.CLK(\clknet_leaf_34_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4690_ (.D(_0344_),
.Q(\CONTROL_LOGIC.sram1_data0[30] ),
.CLK(\clknet_leaf_34_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4691_ (.D(_0345_),
.Q(\CONTROL_LOGIC.sram1_data0[31] ),
.CLK(\clknet_leaf_27_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4692_ (.D(_0346_),
.Q(\CONTROL_LOGIC.sram1_data1[0] ),
.CLK(\clknet_leaf_11_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4693_ (.D(_0347_),
.Q(\CONTROL_LOGIC.sram1_data1[1] ),
.CLK(\clknet_leaf_11_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4694_ (.D(_0348_),
.Q(\CONTROL_LOGIC.sram1_data1[2] ),
.CLK(\clknet_leaf_11_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4695_ (.D(_0349_),
.Q(\CONTROL_LOGIC.sram1_data1[3] ),
.CLK(\clknet_leaf_11_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4696_ (.D(_0350_),
.Q(\CONTROL_LOGIC.sram1_data1[4] ),
.CLK(\clknet_leaf_12_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4697_ (.D(_0351_),
.Q(\CONTROL_LOGIC.sram1_data1[5] ),
.CLK(\clknet_leaf_12_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4698_ (.D(_0352_),
.Q(\CONTROL_LOGIC.sram1_data1[6] ),
.CLK(\clknet_leaf_9_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4699_ (.D(_0353_),
.Q(\CONTROL_LOGIC.sram1_data1[7] ),
.CLK(\clknet_leaf_12_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4700_ (.D(_0354_),
.Q(\CONTROL_LOGIC.sram1_data1[8] ),
.CLK(\clknet_leaf_12_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4701_ (.D(_0355_),
.Q(\CONTROL_LOGIC.sram1_data1[9] ),
.CLK(\clknet_leaf_9_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4702_ (.D(_0356_),
.Q(\CONTROL_LOGIC.sram1_data1[10] ),
.CLK(\clknet_leaf_15_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4703_ (.D(_0357_),
.Q(\CONTROL_LOGIC.sram1_data1[11] ),
.CLK(\clknet_leaf_8_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4704_ (.D(_0358_),
.Q(\CONTROL_LOGIC.sram1_data1[12] ),
.CLK(\clknet_leaf_15_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4705_ (.D(_0359_),
.Q(\CONTROL_LOGIC.sram1_data1[13] ),
.CLK(\clknet_leaf_8_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4706_ (.D(_0360_),
.Q(\CONTROL_LOGIC.sram1_data1[14] ),
.CLK(\clknet_leaf_8_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4707_ (.D(_0361_),
.Q(\CONTROL_LOGIC.sram1_data1[15] ),
.CLK(\clknet_leaf_8_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4708_ (.D(_0362_),
.Q(\CONTROL_LOGIC.sram1_data1[16] ),
.CLK(\clknet_leaf_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4709_ (.D(_0363_),
.Q(\CONTROL_LOGIC.sram1_data1[17] ),
.CLK(\clknet_leaf_18_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4710_ (.D(_0364_),
.Q(\CONTROL_LOGIC.sram1_data1[18] ),
.CLK(\clknet_leaf_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4711_ (.D(_0365_),
.Q(\CONTROL_LOGIC.sram1_data1[19] ),
.CLK(\clknet_leaf_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4712_ (.D(_0366_),
.Q(\CONTROL_LOGIC.sram1_data1[20] ),
.CLK(\clknet_leaf_24_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4713_ (.D(_0367_),
.Q(\CONTROL_LOGIC.sram1_data1[21] ),
.CLK(\clknet_leaf_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4714_ (.D(_0368_),
.Q(\CONTROL_LOGIC.sram1_data1[22] ),
.CLK(\clknet_leaf_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4715_ (.D(_0369_),
.Q(\CONTROL_LOGIC.sram1_data1[23] ),
.CLK(\clknet_leaf_17_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4716_ (.D(_0370_),
.Q(\CONTROL_LOGIC.sram1_data1[24] ),
.CLK(\clknet_leaf_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4717_ (.D(_0371_),
.Q(\CONTROL_LOGIC.sram1_data1[25] ),
.CLK(\clknet_leaf_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4718_ (.D(_0372_),
.Q(\CONTROL_LOGIC.sram1_data1[26] ),
.CLK(\clknet_leaf_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4719_ (.D(_0373_),
.Q(\CONTROL_LOGIC.sram1_data1[27] ),
.CLK(\clknet_leaf_24_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4720_ (.D(_0374_),
.Q(\CONTROL_LOGIC.sram1_data1[28] ),
.CLK(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4721_ (.D(_0375_),
.Q(\CONTROL_LOGIC.sram1_data1[29] ),
.CLK(\clknet_leaf_25_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4722_ (.D(_0376_),
.Q(\CONTROL_LOGIC.sram1_data1[30] ),
.CLK(\clknet_leaf_24_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4723_ (.D(_0377_),
.Q(\CONTROL_LOGIC.sram1_data1[31] ),
.CLK(\clknet_leaf_25_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4724_ (.D(_0378_),
.Q(\CONTROL_LOGIC.sram2_data0[0] ),
.CLK(\clknet_leaf_29_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4725_ (.D(_0379_),
.Q(\CONTROL_LOGIC.sram2_data0[1] ),
.CLK(\clknet_leaf_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4726_ (.D(_0380_),
.Q(\CONTROL_LOGIC.sram2_data0[2] ),
.CLK(\clknet_leaf_25_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4727_ (.D(_0381_),
.Q(\CONTROL_LOGIC.sram2_data0[3] ),
.CLK(\clknet_leaf_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4728_ (.D(_0382_),
.Q(\CONTROL_LOGIC.sram2_data0[4] ),
.CLK(\clknet_leaf_24_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4729_ (.D(_0383_),
.Q(\CONTROL_LOGIC.sram2_data0[5] ),
.CLK(\clknet_leaf_35_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4730_ (.D(_0384_),
.Q(\CONTROL_LOGIC.sram2_data0[6] ),
.CLK(\clknet_leaf_29_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4731_ (.D(_0385_),
.Q(\CONTROL_LOGIC.sram2_data0[7] ),
.CLK(\clknet_leaf_24_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4732_ (.D(_0386_),
.Q(\CONTROL_LOGIC.sram2_data0[8] ),
.CLK(\clknet_leaf_29_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4733_ (.D(_0387_),
.Q(\CONTROL_LOGIC.sram2_data0[9] ),
.CLK(\clknet_leaf_36_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4734_ (.D(_0388_),
.Q(\CONTROL_LOGIC.sram2_data0[10] ),
.CLK(\clknet_leaf_25_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4735_ (.D(_0389_),
.Q(\CONTROL_LOGIC.sram2_data0[11] ),
.CLK(\clknet_leaf_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4736_ (.D(_0390_),
.Q(\CONTROL_LOGIC.sram2_data0[12] ),
.CLK(\clknet_leaf_36_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4737_ (.D(_0391_),
.Q(\CONTROL_LOGIC.sram2_data0[13] ),
.CLK(\clknet_leaf_39_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4738_ (.D(_0392_),
.Q(\CONTROL_LOGIC.sram2_data0[14] ),
.CLK(\clknet_leaf_39_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4739_ (.D(_0393_),
.Q(\CONTROL_LOGIC.sram2_data0[15] ),
.CLK(\clknet_leaf_29_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4740_ (.D(_0394_),
.Q(\CONTROL_LOGIC.sram2_data0[16] ),
.CLK(\clknet_leaf_28_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4741_ (.D(_0395_),
.Q(\CONTROL_LOGIC.sram2_data0[17] ),
.CLK(\clknet_leaf_39_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4742_ (.D(_0396_),
.Q(\CONTROL_LOGIC.sram2_data0[18] ),
.CLK(\clknet_leaf_28_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4743_ (.D(_0397_),
.Q(\CONTROL_LOGIC.sram2_data0[19] ),
.CLK(\clknet_leaf_36_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4744_ (.D(_0398_),
.Q(\CONTROL_LOGIC.sram2_data0[20] ),
.CLK(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4745_ (.D(_0399_),
.Q(\CONTROL_LOGIC.sram2_data0[21] ),
.CLK(\clknet_leaf_37_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4746_ (.D(_0400_),
.Q(\CONTROL_LOGIC.sram2_data0[22] ),
.CLK(\clknet_leaf_34_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4747_ (.D(_0401_),
.Q(\CONTROL_LOGIC.sram2_data0[23] ),
.CLK(\clknet_leaf_28_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4748_ (.D(_0402_),
.Q(\CONTROL_LOGIC.sram2_data0[24] ),
.CLK(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4749_ (.D(_0403_),
.Q(\CONTROL_LOGIC.sram2_data0[25] ),
.CLK(\clknet_leaf_36_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4750_ (.D(_0404_),
.Q(\CONTROL_LOGIC.sram2_data0[26] ),
.CLK(\clknet_leaf_28_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4751_ (.D(_0405_),
.Q(\CONTROL_LOGIC.sram2_data0[27] ),
.CLK(\clknet_leaf_39_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4752_ (.D(_0406_),
.Q(\CONTROL_LOGIC.sram2_data0[28] ),
.CLK(\clknet_leaf_35_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4753_ (.D(_0407_),
.Q(\CONTROL_LOGIC.sram2_data0[29] ),
.CLK(\clknet_leaf_36_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4754_ (.D(_0408_),
.Q(\CONTROL_LOGIC.sram2_data0[30] ),
.CLK(\clknet_leaf_39_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4755_ (.D(_0409_),
.Q(\CONTROL_LOGIC.sram2_data0[31] ),
.CLK(\clknet_leaf_36_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4756_ (.D(_0410_),
.Q(\CONTROL_LOGIC.sram2_data1[0] ),
.CLK(\clknet_leaf_13_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4757_ (.D(_0411_),
.Q(\CONTROL_LOGIC.sram2_data1[1] ),
.CLK(\clknet_leaf_13_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4758_ (.D(_0412_),
.Q(\CONTROL_LOGIC.sram2_data1[2] ),
.CLK(\clknet_leaf_13_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4759_ (.D(_0413_),
.Q(\CONTROL_LOGIC.sram2_data1[3] ),
.CLK(\clknet_leaf_13_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4760_ (.D(_0414_),
.Q(\CONTROL_LOGIC.sram2_data1[4] ),
.CLK(\clknet_leaf_14_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4761_ (.D(_0415_),
.Q(\CONTROL_LOGIC.sram2_data1[5] ),
.CLK(\clknet_leaf_14_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4762_ (.D(_0416_),
.Q(\CONTROL_LOGIC.sram2_data1[6] ),
.CLK(\clknet_leaf_15_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4763_ (.D(_0417_),
.Q(\CONTROL_LOGIC.sram2_data1[7] ),
.CLK(\clknet_leaf_15_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4764_ (.D(_0418_),
.Q(\CONTROL_LOGIC.sram2_data1[8] ),
.CLK(\clknet_leaf_15_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4765_ (.D(_0419_),
.Q(\CONTROL_LOGIC.sram2_data1[9] ),
.CLK(\clknet_leaf_16_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4766_ (.D(_0420_),
.Q(\CONTROL_LOGIC.sram2_data1[10] ),
.CLK(\clknet_leaf_16_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4767_ (.D(_0421_),
.Q(\CONTROL_LOGIC.sram2_data1[11] ),
.CLK(\clknet_leaf_16_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4768_ (.D(_0422_),
.Q(\CONTROL_LOGIC.sram2_data1[12] ),
.CLK(\clknet_leaf_17_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4769_ (.D(_0423_),
.Q(\CONTROL_LOGIC.sram2_data1[13] ),
.CLK(\clknet_leaf_17_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4770_ (.D(_0424_),
.Q(\CONTROL_LOGIC.sram2_data1[14] ),
.CLK(\clknet_leaf_17_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4771_ (.D(_0425_),
.Q(\CONTROL_LOGIC.sram2_data1[15] ),
.CLK(\clknet_leaf_18_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4772_ (.D(_0426_),
.Q(\CONTROL_LOGIC.sram2_data1[16] ),
.CLK(\clknet_leaf_18_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4773_ (.D(_0427_),
.Q(\CONTROL_LOGIC.sram2_data1[17] ),
.CLK(\clknet_leaf_19_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4774_ (.D(_0428_),
.Q(\CONTROL_LOGIC.sram2_data1[18] ),
.CLK(\clknet_leaf_19_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4775_ (.D(_0429_),
.Q(\CONTROL_LOGIC.sram2_data1[19] ),
.CLK(\clknet_leaf_19_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4776_ (.D(_0430_),
.Q(\CONTROL_LOGIC.sram2_data1[20] ),
.CLK(\clknet_leaf_20_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4777_ (.D(_0431_),
.Q(\CONTROL_LOGIC.sram2_data1[21] ),
.CLK(\clknet_leaf_20_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4778_ (.D(_0432_),
.Q(\CONTROL_LOGIC.sram2_data1[22] ),
.CLK(\clknet_leaf_20_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4779_ (.D(_0433_),
.Q(\CONTROL_LOGIC.sram2_data1[23] ),
.CLK(\clknet_leaf_21_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4780_ (.D(_0434_),
.Q(\CONTROL_LOGIC.sram2_data1[24] ),
.CLK(\clknet_leaf_21_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4781_ (.D(_0435_),
.Q(\CONTROL_LOGIC.sram2_data1[25] ),
.CLK(\clknet_leaf_21_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4782_ (.D(_0436_),
.Q(\CONTROL_LOGIC.sram2_data1[26] ),
.CLK(\clknet_leaf_21_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4783_ (.D(_0437_),
.Q(\CONTROL_LOGIC.sram2_data1[27] ),
.CLK(\clknet_leaf_22_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4784_ (.D(_0438_),
.Q(\CONTROL_LOGIC.sram2_data1[28] ),
.CLK(\clknet_leaf_22_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4785_ (.D(_0439_),
.Q(\CONTROL_LOGIC.sram2_data1[29] ),
.CLK(\clknet_leaf_22_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4786_ (.D(_0440_),
.Q(\CONTROL_LOGIC.sram2_data1[30] ),
.CLK(\clknet_leaf_22_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4787_ (.D(_0441_),
.Q(\CONTROL_LOGIC.sram2_data1[31] ),
.CLK(\clknet_leaf_22_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4788_ (.D(_0442_),
.Q(\CONTROL_LOGIC.sram3_data0[0] ),
.CLK(\clknet_leaf_62_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4789_ (.D(_0443_),
.Q(\CONTROL_LOGIC.sram3_data0[1] ),
.CLK(\clknet_leaf_57_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4790_ (.D(_0444_),
.Q(\CONTROL_LOGIC.sram3_data0[2] ),
.CLK(\clknet_leaf_74_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4791_ (.D(_0445_),
.Q(\CONTROL_LOGIC.sram3_data0[3] ),
.CLK(\clknet_leaf_56_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4792_ (.D(_0446_),
.Q(\CONTROL_LOGIC.sram3_data0[4] ),
.CLK(\clknet_leaf_56_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4793_ (.D(_0447_),
.Q(\CONTROL_LOGIC.sram3_data0[5] ),
.CLK(\clknet_leaf_75_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4794_ (.D(_0448_),
.Q(\CONTROL_LOGIC.sram3_data0[6] ),
.CLK(\clknet_leaf_76_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4795_ (.D(_0449_),
.Q(\CONTROL_LOGIC.sram3_data0[7] ),
.CLK(\clknet_leaf_58_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4796_ (.D(_0450_),
.Q(\CONTROL_LOGIC.sram3_data0[8] ),
.CLK(\clknet_leaf_62_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4797_ (.D(_0451_),
.Q(\CONTROL_LOGIC.sram3_data0[9] ),
.CLK(\clknet_leaf_62_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4798_ (.D(_0452_),
.Q(\CONTROL_LOGIC.sram3_data0[10] ),
.CLK(\clknet_leaf_75_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4799_ (.D(_0453_),
.Q(\CONTROL_LOGIC.sram3_data0[11] ),
.CLK(\clknet_leaf_61_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4800_ (.D(_0454_),
.Q(\CONTROL_LOGIC.sram3_data0[12] ),
.CLK(\clknet_leaf_62_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4801_ (.D(_0455_),
.Q(\CONTROL_LOGIC.sram3_data0[13] ),
.CLK(\clknet_leaf_57_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4802_ (.D(_0456_),
.Q(\CONTROL_LOGIC.sram3_data0[14] ),
.CLK(\clknet_leaf_76_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4803_ (.D(_0457_),
.Q(\CONTROL_LOGIC.sram3_data0[15] ),
.CLK(\clknet_leaf_51_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4804_ (.D(_0458_),
.Q(\CONTROL_LOGIC.sram3_data0[16] ),
.CLK(\clknet_leaf_56_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4805_ (.D(_0459_),
.Q(\CONTROL_LOGIC.sram3_data0[17] ),
.CLK(\clknet_leaf_54_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4806_ (.D(_0460_),
.Q(\CONTROL_LOGIC.sram3_data0[18] ),
.CLK(\clknet_leaf_57_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4807_ (.D(_0461_),
.Q(\CONTROL_LOGIC.sram3_data0[19] ),
.CLK(\clknet_leaf_57_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4808_ (.D(_0462_),
.Q(\CONTROL_LOGIC.sram3_data0[20] ),
.CLK(\clknet_leaf_61_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4809_ (.D(_0463_),
.Q(\CONTROL_LOGIC.sram3_data0[21] ),
.CLK(\clknet_leaf_75_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4810_ (.D(_0464_),
.Q(\CONTROL_LOGIC.sram3_data0[22] ),
.CLK(\clknet_5_22_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4811_ (.D(_0465_),
.Q(\CONTROL_LOGIC.sram3_data0[23] ),
.CLK(\clknet_leaf_74_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4812_ (.D(_0466_),
.Q(\CONTROL_LOGIC.sram3_data0[24] ),
.CLK(\clknet_leaf_62_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4813_ (.D(_0467_),
.Q(\CONTROL_LOGIC.sram3_data0[25] ),
.CLK(\clknet_leaf_55_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4814_ (.D(_0468_),
.Q(\CONTROL_LOGIC.sram3_data0[26] ),
.CLK(\clknet_leaf_62_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4815_ (.D(_0469_),
.Q(\CONTROL_LOGIC.sram3_data0[27] ),
.CLK(\clknet_leaf_74_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4816_ (.D(_0470_),
.Q(\CONTROL_LOGIC.sram3_data0[28] ),
.CLK(\clknet_leaf_62_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4817_ (.D(_0471_),
.Q(\CONTROL_LOGIC.sram3_data0[29] ),
.CLK(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4818_ (.D(_0472_),
.Q(\CONTROL_LOGIC.sram3_data0[30] ),
.CLK(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4819_ (.D(_0473_),
.Q(\CONTROL_LOGIC.sram3_data0[31] ),
.CLK(\clknet_leaf_56_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4820_ (.D(_0474_),
.Q(\CONTROL_LOGIC.sram3_data1[0] ),
.CLK(\clknet_leaf_13_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4821_ (.D(_0475_),
.Q(\CONTROL_LOGIC.sram3_data1[1] ),
.CLK(\clknet_leaf_84_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4822_ (.D(_0476_),
.Q(\CONTROL_LOGIC.sram3_data1[2] ),
.CLK(\clknet_leaf_84_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4823_ (.D(_0477_),
.Q(\CONTROL_LOGIC.sram3_data1[3] ),
.CLK(\clknet_leaf_84_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4824_ (.D(_0478_),
.Q(\CONTROL_LOGIC.sram3_data1[4] ),
.CLK(\clknet_leaf_14_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4825_ (.D(_0479_),
.Q(\CONTROL_LOGIC.sram3_data1[5] ),
.CLK(\clknet_leaf_15_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4826_ (.D(_0480_),
.Q(\CONTROL_LOGIC.sram3_data1[6] ),
.CLK(\clknet_leaf_16_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4827_ (.D(_0481_),
.Q(\CONTROL_LOGIC.sram3_data1[7] ),
.CLK(\clknet_leaf_16_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4828_ (.D(_0482_),
.Q(\CONTROL_LOGIC.sram3_data1[8] ),
.CLK(\clknet_leaf_16_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4829_ (.D(_0483_),
.Q(\CONTROL_LOGIC.sram3_data1[9] ),
.CLK(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4830_ (.D(_0484_),
.Q(\CONTROL_LOGIC.sram3_data1[10] ),
.CLK(\clknet_leaf_86_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4831_ (.D(_0485_),
.Q(\CONTROL_LOGIC.sram3_data1[11] ),
.CLK(\clknet_5_22_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4832_ (.D(_0486_),
.Q(\CONTROL_LOGIC.sram3_data1[12] ),
.CLK(\clknet_leaf_18_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4833_ (.D(_0487_),
.Q(\CONTROL_LOGIC.sram3_data1[13] ),
.CLK(\clknet_leaf_87_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4834_ (.D(_0488_),
.Q(\CONTROL_LOGIC.sram3_data1[14] ),
.CLK(\clknet_leaf_87_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4835_ (.D(_0489_),
.Q(\CONTROL_LOGIC.sram3_data1[15] ),
.CLK(\clknet_leaf_81_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4836_ (.D(_0490_),
.Q(\CONTROL_LOGIC.sram3_data1[16] ),
.CLK(\clknet_leaf_81_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4837_ (.D(_0491_),
.Q(\CONTROL_LOGIC.sram3_data1[17] ),
.CLK(\clknet_leaf_81_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4838_ (.D(_0492_),
.Q(\CONTROL_LOGIC.sram3_data1[18] ),
.CLK(\clknet_leaf_88_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4839_ (.D(_0493_),
.Q(\CONTROL_LOGIC.sram3_data1[19] ),
.CLK(\clknet_leaf_20_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4840_ (.D(_0494_),
.Q(\CONTROL_LOGIC.sram3_data1[20] ),
.CLK(\clknet_5_22_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4841_ (.D(_0495_),
.Q(\CONTROL_LOGIC.sram3_data1[21] ),
.CLK(\clknet_leaf_77_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4842_ (.D(_0496_),
.Q(\CONTROL_LOGIC.sram3_data1[22] ),
.CLK(\clknet_leaf_77_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4843_ (.D(_0497_),
.Q(\CONTROL_LOGIC.sram3_data1[23] ),
.CLK(\clknet_leaf_89_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4844_ (.D(_0498_),
.Q(\CONTROL_LOGIC.sram3_data1[24] ),
.CLK(\clknet_leaf_23_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4845_ (.D(_0499_),
.Q(\CONTROL_LOGIC.sram3_data1[25] ),
.CLK(\clknet_leaf_71_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4846_ (.D(_0500_),
.Q(\CONTROL_LOGIC.sram3_data1[26] ),
.CLK(\clknet_leaf_104_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4847_ (.D(_0501_),
.Q(\CONTROL_LOGIC.sram3_data1[27] ),
.CLK(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4848_ (.D(_0502_),
.Q(\CONTROL_LOGIC.sram3_data1[28] ),
.CLK(\clknet_leaf_61_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4849_ (.D(_0503_),
.Q(\CONTROL_LOGIC.sram3_data1[29] ),
.CLK(\clknet_leaf_103_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4850_ (.D(_0504_),
.Q(\CONTROL_LOGIC.sram3_data1[30] ),
.CLK(\clknet_leaf_73_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4851_ (.D(_0505_),
.Q(\CONTROL_LOGIC.sram3_data1[31] ),
.CLK(\clknet_leaf_73_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4852_ (.D(_0506_),
.Q(\CONTROL_LOGIC.sram4_data0[0] ),
.CLK(\clknet_5_17_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4853_ (.D(_0507_),
.Q(\CONTROL_LOGIC.sram4_data0[1] ),
.CLK(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4854_ (.D(_0508_),
.Q(\CONTROL_LOGIC.sram4_data0[2] ),
.CLK(\clknet_leaf_23_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4855_ (.D(_0509_),
.Q(\CONTROL_LOGIC.sram4_data0[3] ),
.CLK(\clknet_leaf_77_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4856_ (.D(_0510_),
.Q(\CONTROL_LOGIC.sram4_data0[4] ),
.CLK(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4857_ (.D(_0511_),
.Q(\CONTROL_LOGIC.sram4_data0[5] ),
.CLK(\clknet_leaf_66_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4858_ (.D(_0512_),
.Q(\CONTROL_LOGIC.sram4_data0[6] ),
.CLK(\clknet_leaf_101_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4859_ (.D(_0513_),
.Q(\CONTROL_LOGIC.sram4_data0[7] ),
.CLK(\clknet_5_29_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4860_ (.D(_0514_),
.Q(\CONTROL_LOGIC.sram4_data0[8] ),
.CLK(\clknet_5_28_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4861_ (.D(_0515_),
.Q(\CONTROL_LOGIC.sram4_data0[9] ),
.CLK(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4862_ (.D(_0516_),
.Q(\CONTROL_LOGIC.sram4_data0[10] ),
.CLK(\clknet_leaf_70_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4863_ (.D(_0517_),
.Q(\CONTROL_LOGIC.sram4_data0[11] ),
.CLK(\clknet_leaf_103_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4864_ (.D(_0518_),
.Q(\CONTROL_LOGIC.sram4_data0[12] ),
.CLK(\clknet_leaf_50_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4865_ (.D(_0519_),
.Q(\CONTROL_LOGIC.sram4_data0[13] ),
.CLK(\clknet_leaf_45_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4866_ (.D(_0520_),
.Q(\CONTROL_LOGIC.sram4_data0[14] ),
.CLK(\clknet_5_29_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4867_ (.D(_0521_),
.Q(\CONTROL_LOGIC.sram4_data0[15] ),
.CLK(\clknet_5_29_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4868_ (.D(_0522_),
.Q(\CONTROL_LOGIC.sram4_data0[16] ),
.CLK(\clknet_leaf_55_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4869_ (.D(_0523_),
.Q(\CONTROL_LOGIC.sram4_data0[17] ),
.CLK(\clknet_leaf_72_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4870_ (.D(_0524_),
.Q(\CONTROL_LOGIC.sram4_data0[18] ),
.CLK(\clknet_leaf_71_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4871_ (.D(_0525_),
.Q(\CONTROL_LOGIC.sram4_data0[19] ),
.CLK(\clknet_leaf_67_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4872_ (.D(_0526_),
.Q(\CONTROL_LOGIC.sram4_data0[20] ),
.CLK(\clknet_leaf_53_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4873_ (.D(_0527_),
.Q(\CONTROL_LOGIC.sram4_data0[21] ),
.CLK(\clknet_leaf_67_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4874_ (.D(_0528_),
.Q(\CONTROL_LOGIC.sram4_data0[22] ),
.CLK(\clknet_leaf_45_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4875_ (.D(_0529_),
.Q(\CONTROL_LOGIC.sram4_data0[23] ),
.CLK(\clknet_5_28_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4876_ (.D(_0530_),
.Q(\CONTROL_LOGIC.sram4_data0[24] ),
.CLK(\clknet_leaf_72_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4877_ (.D(_0531_),
.Q(\CONTROL_LOGIC.sram4_data0[25] ),
.CLK(\clknet_5_31_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4878_ (.D(_0532_),
.Q(\CONTROL_LOGIC.sram4_data0[26] ),
.CLK(\clknet_leaf_54_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4879_ (.D(_0533_),
.Q(\CONTROL_LOGIC.sram4_data0[27] ),
.CLK(\clknet_5_28_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4880_ (.D(_0534_),
.Q(\CONTROL_LOGIC.sram4_data0[28] ),
.CLK(\clknet_leaf_107_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4881_ (.D(_0535_),
.Q(\CONTROL_LOGIC.sram4_data0[29] ),
.CLK(\clknet_leaf_107_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4882_ (.D(_0536_),
.Q(\CONTROL_LOGIC.sram4_data0[30] ),
.CLK(\clknet_leaf_50_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4883_ (.D(_0537_),
.Q(\CONTROL_LOGIC.sram4_data0[31] ),
.CLK(\clknet_leaf_104_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4884_ (.D(_0538_),
.Q(\CONTROL_LOGIC.sram4_data1[0] ),
.CLK(\clknet_leaf_94_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4885_ (.D(_0539_),
.Q(\CONTROL_LOGIC.sram4_data1[1] ),
.CLK(\clknet_leaf_94_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4886_ (.D(_0540_),
.Q(\CONTROL_LOGIC.sram4_data1[2] ),
.CLK(\clknet_opt_7_8_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4887_ (.D(_0541_),
.Q(\CONTROL_LOGIC.sram4_data1[3] ),
.CLK(\clknet_leaf_93_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4888_ (.D(_0542_),
.Q(\CONTROL_LOGIC.sram4_data1[4] ),
.CLK(\clknet_leaf_93_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4889_ (.D(_0543_),
.Q(\CONTROL_LOGIC.sram4_data1[5] ),
.CLK(\clknet_leaf_16_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4890_ (.D(_0544_),
.Q(\CONTROL_LOGIC.sram4_data1[6] ),
.CLK(\clknet_leaf_92_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4891_ (.D(_0545_),
.Q(\CONTROL_LOGIC.sram4_data1[7] ),
.CLK(\clknet_leaf_92_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4892_ (.D(_0546_),
.Q(\CONTROL_LOGIC.sram4_data1[8] ),
.CLK(\clknet_leaf_86_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4893_ (.D(_0547_),
.Q(\CONTROL_LOGIC.sram4_data1[9] ),
.CLK(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4894_ (.D(_0548_),
.Q(\CONTROL_LOGIC.sram4_data1[10] ),
.CLK(\clknet_leaf_18_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4895_ (.D(_0549_),
.Q(\CONTROL_LOGIC.sram4_data1[11] ),
.CLK(\clknet_leaf_87_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4896_ (.D(_0550_),
.Q(\CONTROL_LOGIC.sram4_data1[12] ),
.CLK(\clknet_leaf_19_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4897_ (.D(_0551_),
.Q(\CONTROL_LOGIC.sram4_data1[13] ),
.CLK(\clknet_5_22_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4898_ (.D(_0552_),
.Q(\CONTROL_LOGIC.sram4_data1[14] ),
.CLK(\clknet_leaf_19_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4899_ (.D(_0553_),
.Q(\CONTROL_LOGIC.sram4_data1[15] ),
.CLK(\clknet_leaf_88_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4900_ (.D(_0554_),
.Q(\CONTROL_LOGIC.sram4_data1[16] ),
.CLK(\clknet_leaf_88_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4901_ (.D(_0555_),
.Q(\CONTROL_LOGIC.sram4_data1[17] ),
.CLK(\clknet_leaf_90_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4902_ (.D(_0556_),
.Q(\CONTROL_LOGIC.sram4_data1[18] ),
.CLK(\clknet_5_20_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4903_ (.D(_0557_),
.Q(\CONTROL_LOGIC.sram4_data1[19] ),
.CLK(\clknet_leaf_90_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4904_ (.D(_0558_),
.Q(\CONTROL_LOGIC.sram4_data1[20] ),
.CLK(\clknet_leaf_89_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4905_ (.D(_0559_),
.Q(\CONTROL_LOGIC.sram4_data1[21] ),
.CLK(\clknet_leaf_89_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4906_ (.D(_0560_),
.Q(\CONTROL_LOGIC.sram4_data1[22] ),
.CLK(\clknet_leaf_99_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4907_ (.D(_0561_),
.Q(\CONTROL_LOGIC.sram4_data1[23] ),
.CLK(\clknet_leaf_66_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4908_ (.D(_0562_),
.Q(\CONTROL_LOGIC.sram4_data1[24] ),
.CLK(\clknet_leaf_99_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4909_ (.D(_0563_),
.Q(\CONTROL_LOGIC.sram4_data1[25] ),
.CLK(\clknet_leaf_70_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4910_ (.D(_0564_),
.Q(\CONTROL_LOGIC.sram4_data1[26] ),
.CLK(\clknet_leaf_104_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4911_ (.D(_0565_),
.Q(\CONTROL_LOGIC.sram4_data1[27] ),
.CLK(\clknet_leaf_46_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4912_ (.D(_0566_),
.Q(\CONTROL_LOGIC.sram4_data1[28] ),
.CLK(\clknet_leaf_46_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4913_ (.D(_0567_),
.Q(\CONTROL_LOGIC.sram4_data1[29] ),
.CLK(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4914_ (.D(_0568_),
.Q(\CONTROL_LOGIC.sram4_data1[30] ),
.CLK(\clknet_leaf_58_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4915_ (.D(_0569_),
.Q(\CONTROL_LOGIC.sram4_data1[31] ),
.CLK(\clknet_leaf_53_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4916_ (.D(_0570_),
.Q(\CONTROL_LOGIC.sram8_data0[0] ),
.CLK(\clknet_5_8_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4917_ (.D(_0571_),
.Q(\CONTROL_LOGIC.sram8_data0[1] ),
.CLK(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4918_ (.D(_0572_),
.Q(\CONTROL_LOGIC.sram8_data0[2] ),
.CLK(\clknet_leaf_141_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4919_ (.D(_0573_),
.Q(\CONTROL_LOGIC.sram8_data0[3] ),
.CLK(\clknet_leaf_140_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4920_ (.D(_0574_),
.Q(\CONTROL_LOGIC.sram8_data0[4] ),
.CLK(\clknet_leaf_140_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4921_ (.D(_0575_),
.Q(\CONTROL_LOGIC.sram8_data0[5] ),
.CLK(\clknet_5_9_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4922_ (.D(_0576_),
.Q(\CONTROL_LOGIC.sram8_data0[6] ),
.CLK(\clknet_5_8_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4923_ (.D(_0577_),
.Q(\CONTROL_LOGIC.sram8_data0[7] ),
.CLK(\clknet_5_9_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4924_ (.D(_0578_),
.Q(\CONTROL_LOGIC.sram8_data0[8] ),
.CLK(\clknet_leaf_139_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4925_ (.D(_0579_),
.Q(\CONTROL_LOGIC.sram8_data0[9] ),
.CLK(\clknet_5_9_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4926_ (.D(_0580_),
.Q(\CONTROL_LOGIC.sram8_data0[10] ),
.CLK(\clknet_leaf_171_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4927_ (.D(_0581_),
.Q(\CONTROL_LOGIC.sram8_data0[11] ),
.CLK(\clknet_leaf_145_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4928_ (.D(_0582_),
.Q(\CONTROL_LOGIC.sram8_data0[12] ),
.CLK(\clknet_leaf_145_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4929_ (.D(_0583_),
.Q(\CONTROL_LOGIC.sram8_data0[13] ),
.CLK(\clknet_leaf_171_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4930_ (.D(_0584_),
.Q(\CONTROL_LOGIC.sram8_data0[14] ),
.CLK(\clknet_5_11_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4931_ (.D(_0585_),
.Q(\CONTROL_LOGIC.sram8_data0[15] ),
.CLK(\clknet_5_11_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4932_ (.D(_0586_),
.Q(\CONTROL_LOGIC.sram8_data0[16] ),
.CLK(\clknet_5_11_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4933_ (.D(_0587_),
.Q(\CONTROL_LOGIC.sram8_data0[17] ),
.CLK(\clknet_leaf_144_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4934_ (.D(_0588_),
.Q(\CONTROL_LOGIC.sram8_data0[18] ),
.CLK(\clknet_leaf_150_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4935_ (.D(_0589_),
.Q(\CONTROL_LOGIC.sram8_data0[19] ),
.CLK(\clknet_5_11_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4936_ (.D(_0590_),
.Q(\CONTROL_LOGIC.sram8_data0[20] ),
.CLK(\clknet_leaf_176_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4937_ (.D(_0591_),
.Q(\CONTROL_LOGIC.sram8_data0[21] ),
.CLK(\clknet_leaf_176_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4938_ (.D(_0592_),
.Q(\CONTROL_LOGIC.sram8_data0[22] ),
.CLK(\clknet_leaf_176_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4939_ (.D(_0593_),
.Q(\CONTROL_LOGIC.sram8_data0[23] ),
.CLK(\clknet_leaf_151_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4940_ (.D(_0594_),
.Q(\CONTROL_LOGIC.sram8_data0[24] ),
.CLK(\clknet_leaf_151_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4941_ (.D(_0595_),
.Q(\CONTROL_LOGIC.sram8_data0[25] ),
.CLK(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4942_ (.D(_0596_),
.Q(\CONTROL_LOGIC.sram8_data0[26] ),
.CLK(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4943_ (.D(_0597_),
.Q(\CONTROL_LOGIC.sram8_data0[27] ),
.CLK(\clknet_leaf_165_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4944_ (.D(_0598_),
.Q(\CONTROL_LOGIC.sram8_data0[28] ),
.CLK(\clknet_leaf_156_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _4945_ (.D(_0599_),
.Q(\CONTROL_LOGIC.sram8_data0[29] ),
.CLK(\clknet_leaf_165_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4946_ (.D(_0600_),
.Q(\CONTROL_LOGIC.sram8_data0[30] ),
.CLK(\clknet_leaf_145_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4947_ (.D(_0601_),
.Q(\CONTROL_LOGIC.sram8_data0[31] ),
.CLK(\clknet_leaf_178_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4948_ (.D(_0602_),
.Q(\CONTROL_LOGIC.sram9_data0[0] ),
.CLK(\clknet_5_9_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4949_ (.D(_0603_),
.Q(\CONTROL_LOGIC.sram9_data0[1] ),
.CLK(\clknet_leaf_141_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4950_ (.D(_0604_),
.Q(\CONTROL_LOGIC.sram9_data0[2] ),
.CLK(\clknet_leaf_141_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4951_ (.D(_0605_),
.Q(\CONTROL_LOGIC.sram9_data0[3] ),
.CLK(\clknet_leaf_140_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4952_ (.D(_0606_),
.Q(\CONTROL_LOGIC.sram9_data0[4] ),
.CLK(\clknet_leaf_138_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4953_ (.D(_0607_),
.Q(\CONTROL_LOGIC.sram9_data0[5] ),
.CLK(\clknet_leaf_139_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4954_ (.D(_0608_),
.Q(\CONTROL_LOGIC.sram9_data0[6] ),
.CLK(\clknet_leaf_139_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4955_ (.D(_0609_),
.Q(\CONTROL_LOGIC.sram9_data0[7] ),
.CLK(\clknet_leaf_139_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4956_ (.D(_0610_),
.Q(\CONTROL_LOGIC.sram9_data0[8] ),
.CLK(\clknet_leaf_139_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4957_ (.D(_0611_),
.Q(\CONTROL_LOGIC.sram9_data0[9] ),
.CLK(\clknet_leaf_178_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4958_ (.D(_0612_),
.Q(\CONTROL_LOGIC.sram9_data0[10] ),
.CLK(\clknet_leaf_145_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4959_ (.D(_0613_),
.Q(\CONTROL_LOGIC.sram9_data0[11] ),
.CLK(\clknet_leaf_145_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4960_ (.D(_0614_),
.Q(\CONTROL_LOGIC.sram9_data0[12] ),
.CLK(\clknet_leaf_177_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4961_ (.D(_0615_),
.Q(\CONTROL_LOGIC.sram9_data0[13] ),
.CLK(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4962_ (.D(_0616_),
.Q(\CONTROL_LOGIC.sram9_data0[14] ),
.CLK(\clknet_leaf_177_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4963_ (.D(_0617_),
.Q(\CONTROL_LOGIC.sram9_data0[15] ),
.CLK(\clknet_leaf_144_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4964_ (.D(_0618_),
.Q(\CONTROL_LOGIC.sram9_data0[16] ),
.CLK(\clknet_leaf_144_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4965_ (.D(_0619_),
.Q(\CONTROL_LOGIC.sram9_data0[17] ),
.CLK(\clknet_leaf_144_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4966_ (.D(_0620_),
.Q(\CONTROL_LOGIC.sram9_data0[18] ),
.CLK(\clknet_leaf_150_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4967_ (.D(_0621_),
.Q(\CONTROL_LOGIC.sram9_data0[19] ),
.CLK(\clknet_leaf_144_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4968_ (.D(_0622_),
.Q(\CONTROL_LOGIC.sram9_data0[20] ),
.CLK(\clknet_leaf_151_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4969_ (.D(_0623_),
.Q(\CONTROL_LOGIC.sram9_data0[21] ),
.CLK(\clknet_leaf_151_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4970_ (.D(_0624_),
.Q(\CONTROL_LOGIC.sram9_data0[22] ),
.CLK(\clknet_leaf_152_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _4971_ (.D(_0625_),
.Q(\CONTROL_LOGIC.sram9_data0[23] ),
.CLK(\clknet_leaf_165_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4972_ (.D(_0626_),
.Q(\CONTROL_LOGIC.sram9_data0[24] ),
.CLK(\clknet_leaf_152_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4973_ (.D(_0627_),
.Q(\CONTROL_LOGIC.sram9_data0[25] ),
.CLK(\clknet_leaf_163_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4974_ (.D(_0628_),
.Q(\CONTROL_LOGIC.sram9_data0[26] ),
.CLK(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4975_ (.D(_0629_),
.Q(\CONTROL_LOGIC.sram9_data0[27] ),
.CLK(\clknet_leaf_163_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4976_ (.D(_0630_),
.Q(\CONTROL_LOGIC.sram9_data0[28] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4977_ (.D(_0631_),
.Q(\CONTROL_LOGIC.sram9_data0[29] ),
.CLK(\clknet_leaf_156_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4978_ (.D(_0632_),
.Q(\CONTROL_LOGIC.sram9_data0[30] ),
.CLK(\clknet_leaf_148_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4979_ (.D(_0633_),
.Q(\CONTROL_LOGIC.sram9_data0[31] ),
.CLK(\clknet_leaf_150_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4980_ (.D(_0634_),
.Q(\CONTROL_LOGIC.sram10_data0[0] ),
.CLK(\clknet_leaf_136_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4981_ (.D(_0635_),
.Q(\CONTROL_LOGIC.sram10_data0[1] ),
.CLK(\clknet_leaf_136_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4982_ (.D(_0636_),
.Q(\CONTROL_LOGIC.sram10_data0[2] ),
.CLK(\clknet_leaf_138_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4983_ (.D(_0637_),
.Q(\CONTROL_LOGIC.sram10_data0[3] ),
.CLK(\clknet_leaf_137_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4984_ (.D(_0638_),
.Q(\CONTROL_LOGIC.sram10_data0[4] ),
.CLK(\clknet_leaf_137_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4985_ (.D(_0639_),
.Q(\CONTROL_LOGIC.sram10_data0[5] ),
.CLK(\clknet_leaf_137_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4986_ (.D(_0640_),
.Q(\CONTROL_LOGIC.sram10_data0[6] ),
.CLK(\clknet_leaf_146_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4987_ (.D(_0641_),
.Q(\CONTROL_LOGIC.sram10_data0[7] ),
.CLK(\clknet_leaf_147_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4988_ (.D(_0642_),
.Q(\CONTROL_LOGIC.sram10_data0[8] ),
.CLK(\clknet_leaf_146_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4989_ (.D(_0643_),
.Q(\CONTROL_LOGIC.sram10_data0[9] ),
.CLK(\clknet_leaf_147_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4990_ (.D(_0644_),
.Q(\CONTROL_LOGIC.sram10_data0[10] ),
.CLK(\clknet_leaf_146_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4991_ (.D(_0645_),
.Q(\CONTROL_LOGIC.sram10_data0[11] ),
.CLK(\clknet_leaf_150_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4992_ (.D(_0646_),
.Q(\CONTROL_LOGIC.sram10_data0[12] ),
.CLK(\clknet_leaf_149_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4993_ (.D(_0647_),
.Q(\CONTROL_LOGIC.sram10_data0[13] ),
.CLK(\clknet_leaf_146_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4994_ (.D(_0648_),
.Q(\CONTROL_LOGIC.sram10_data0[14] ),
.CLK(\clknet_leaf_149_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4995_ (.D(_0649_),
.Q(\CONTROL_LOGIC.sram10_data0[15] ),
.CLK(\clknet_leaf_149_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4996_ (.D(_0650_),
.Q(\CONTROL_LOGIC.sram10_data0[16] ),
.CLK(\clknet_leaf_149_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4997_ (.D(_0651_),
.Q(\CONTROL_LOGIC.sram10_data0[17] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4998_ (.D(_0652_),
.Q(\CONTROL_LOGIC.sram10_data0[18] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _4999_ (.D(_0653_),
.Q(\CONTROL_LOGIC.sram10_data0[19] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5000_ (.D(_0654_),
.Q(\CONTROL_LOGIC.sram10_data0[20] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5001_ (.D(_0655_),
.Q(\CONTROL_LOGIC.sram10_data0[21] ),
.CLK(\clknet_leaf_162_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5002_ (.D(_0656_),
.Q(\CONTROL_LOGIC.sram10_data0[22] ),
.CLK(\clknet_leaf_149_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5003_ (.D(_0657_),
.Q(\CONTROL_LOGIC.sram10_data0[23] ),
.CLK(\clknet_leaf_162_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5004_ (.D(_0658_),
.Q(\CONTROL_LOGIC.sram10_data0[24] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5005_ (.D(_0659_),
.Q(\CONTROL_LOGIC.sram10_data0[25] ),
.CLK(\clknet_leaf_157_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5006_ (.D(_0660_),
.Q(\CONTROL_LOGIC.sram10_data0[26] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5007_ (.D(_0661_),
.Q(\CONTROL_LOGIC.sram10_data0[27] ),
.CLK(\clknet_leaf_162_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5008_ (.D(_0662_),
.Q(\CONTROL_LOGIC.sram10_data0[28] ),
.CLK(\clknet_leaf_157_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5009_ (.D(_0663_),
.Q(\CONTROL_LOGIC.sram10_data0[29] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5010_ (.D(_0664_),
.Q(\CONTROL_LOGIC.sram10_data0[30] ),
.CLK(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5011_ (.D(_0665_),
.Q(\CONTROL_LOGIC.sram10_data0[31] ),
.CLK(\clknet_leaf_146_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5012_ (.D(_0666_),
.Q(\CONTROL_LOGIC.sram11_data0[0] ),
.CLK(\clknet_leaf_123_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5013_ (.D(_0667_),
.Q(\CONTROL_LOGIC.sram11_data0[1] ),
.CLK(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5014_ (.D(_0668_),
.Q(\CONTROL_LOGIC.sram11_data0[2] ),
.CLK(\clknet_leaf_55_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5015_ (.D(_0669_),
.Q(\CONTROL_LOGIC.sram11_data0[3] ),
.CLK(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5016_ (.D(_0670_),
.Q(\CONTROL_LOGIC.sram11_data0[4] ),
.CLK(\clknet_leaf_127_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5017_ (.D(_0671_),
.Q(\CONTROL_LOGIC.sram11_data0[5] ),
.CLK(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _5018_ (.D(_0672_),
.Q(\CONTROL_LOGIC.sram11_data0[6] ),
.CLK(\clknet_5_25_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5019_ (.D(_0673_),
.Q(\CONTROL_LOGIC.sram11_data0[7] ),
.CLK(\clknet_leaf_110_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5020_ (.D(_0674_),
.Q(\CONTROL_LOGIC.sram11_data0[8] ),
.CLK(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5021_ (.D(_0675_),
.Q(\CONTROL_LOGIC.sram11_data0[9] ),
.CLK(\clknet_leaf_49_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5022_ (.D(_0676_),
.Q(\CONTROL_LOGIC.sram11_data0[10] ),
.CLK(\clknet_leaf_110_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5023_ (.D(_0677_),
.Q(\CONTROL_LOGIC.sram11_data0[11] ),
.CLK(\clknet_5_25_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5024_ (.D(_0678_),
.Q(\CONTROL_LOGIC.sram11_data0[12] ),
.CLK(\clknet_leaf_129_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5025_ (.D(_0679_),
.Q(\CONTROL_LOGIC.sram11_data0[13] ),
.CLK(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5026_ (.D(_0680_),
.Q(\CONTROL_LOGIC.sram11_data0[14] ),
.CLK(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5027_ (.D(_0681_),
.Q(\CONTROL_LOGIC.sram11_data0[15] ),
.CLK(\clknet_leaf_110_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5028_ (.D(_0682_),
.Q(\CONTROL_LOGIC.sram11_data0[16] ),
.CLK(\clknet_leaf_127_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5029_ (.D(_0683_),
.Q(\CONTROL_LOGIC.sram11_data0[17] ),
.CLK(\clknet_leaf_122_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5030_ (.D(_0684_),
.Q(\CONTROL_LOGIC.sram11_data0[18] ),
.CLK(\clknet_5_25_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5031_ (.D(_0685_),
.Q(\CONTROL_LOGIC.sram11_data0[19] ),
.CLK(\clknet_leaf_129_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5032_ (.D(_0686_),
.Q(\CONTROL_LOGIC.sram11_data0[20] ),
.CLK(\clknet_leaf_52_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _5033_ (.D(_0687_),
.Q(\CONTROL_LOGIC.sram11_data0[21] ),
.CLK(\clknet_leaf_49_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5034_ (.D(_0688_),
.Q(\CONTROL_LOGIC.sram11_data0[22] ),
.CLK(\clknet_leaf_48_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5035_ (.D(_0689_),
.Q(\CONTROL_LOGIC.sram11_data0[23] ),
.CLK(\clknet_leaf_122_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _5036_ (.D(_0690_),
.Q(\CONTROL_LOGIC.sram11_data0[24] ),
.CLK(\clknet_leaf_48_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5037_ (.D(_0691_),
.Q(\CONTROL_LOGIC.sram11_data0[25] ),
.CLK(\clknet_leaf_52_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _5038_ (.D(_0692_),
.Q(\CONTROL_LOGIC.sram11_data0[26] ),
.CLK(\clknet_leaf_49_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5039_ (.D(_0693_),
.Q(\CONTROL_LOGIC.sram11_data0[27] ),
.CLK(\clknet_leaf_48_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5040_ (.D(_0694_),
.Q(\CONTROL_LOGIC.sram11_data0[28] ),
.CLK(\clknet_leaf_123_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5041_ (.D(_0695_),
.Q(\CONTROL_LOGIC.sram11_data0[29] ),
.CLK(\clknet_leaf_51_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5042_ (.D(_0696_),
.Q(\CONTROL_LOGIC.sram11_data0[30] ),
.CLK(\clknet_leaf_54_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5043_ (.D(_0697_),
.Q(\CONTROL_LOGIC.sram11_data0[31] ),
.CLK(\clknet_leaf_54_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5044_ (.D(_0698_),
.Q(\CONTROL_LOGIC.sram0_data0[0] ),
.CLK(\clknet_leaf_218_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5045_ (.D(_0699_),
.Q(\CONTROL_LOGIC.sram0_data0[1] ),
.CLK(\clknet_leaf_218_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5046_ (.D(_0700_),
.Q(\CONTROL_LOGIC.sram0_data0[2] ),
.CLK(\clknet_leaf_218_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5047_ (.D(_0701_),
.Q(\CONTROL_LOGIC.sram0_data0[3] ),
.CLK(\clknet_leaf_218_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5048_ (.D(_0702_),
.Q(\CONTROL_LOGIC.sram0_data0[4] ),
.CLK(\clknet_leaf_218_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5049_ (.D(_0703_),
.Q(\CONTROL_LOGIC.sram0_data0[5] ),
.CLK(\clknet_leaf_218_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5050_ (.D(_0704_),
.Q(\CONTROL_LOGIC.sram0_data0[6] ),
.CLK(\clknet_leaf_218_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5051_ (.D(_0705_),
.Q(\CONTROL_LOGIC.sram0_data0[7] ),
.CLK(\clknet_5_3_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5052_ (.D(_0706_),
.Q(\CONTROL_LOGIC.sram12_data0[0] ),
.CLK(\clknet_leaf_136_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5053_ (.D(_0707_),
.Q(\CONTROL_LOGIC.sram12_data0[1] ),
.CLK(\clknet_leaf_136_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5054_ (.D(_0708_),
.Q(\CONTROL_LOGIC.sram12_data0[2] ),
.CLK(\clknet_leaf_136_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5055_ (.D(_0709_),
.Q(\CONTROL_LOGIC.sram12_data0[3] ),
.CLK(\clknet_leaf_137_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5056_ (.D(_0710_),
.Q(\CONTROL_LOGIC.sram12_data0[4] ),
.CLK(\clknet_leaf_134_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5057_ (.D(_0711_),
.Q(\CONTROL_LOGIC.sram12_data0[5] ),
.CLK(\clknet_leaf_134_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5058_ (.D(_0712_),
.Q(\CONTROL_LOGIC.sram12_data0[6] ),
.CLK(\clknet_leaf_134_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5059_ (.D(_0713_),
.Q(\CONTROL_LOGIC.sram12_data0[7] ),
.CLK(\clknet_leaf_137_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5060_ (.D(_0714_),
.Q(\CONTROL_LOGIC.sram12_data0[8] ),
.CLK(\clknet_leaf_134_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5061_ (.D(_0715_),
.Q(\CONTROL_LOGIC.sram12_data0[9] ),
.CLK(\clknet_leaf_118_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5062_ (.D(_0716_),
.Q(\CONTROL_LOGIC.sram12_data0[10] ),
.CLK(\clknet_leaf_158_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5063_ (.D(_0717_),
.Q(\CONTROL_LOGIC.sram12_data0[11] ),
.CLK(\clknet_leaf_118_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5064_ (.D(_0718_),
.Q(\CONTROL_LOGIC.sram12_data0[12] ),
.CLK(\clknet_opt_11_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5065_ (.D(_0719_),
.Q(\CONTROL_LOGIC.sram12_data0[13] ),
.CLK(\clknet_leaf_158_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5066_ (.D(_0720_),
.Q(\CONTROL_LOGIC.sram12_data0[14] ),
.CLK(\clknet_5_27_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5067_ (.D(_0721_),
.Q(\CONTROL_LOGIC.sram12_data0[15] ),
.CLK(\clknet_leaf_148_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5068_ (.D(_0722_),
.Q(\CONTROL_LOGIC.sram12_data0[16] ),
.CLK(\clknet_5_15_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5069_ (.D(_0723_),
.Q(\CONTROL_LOGIC.sram12_data0[17] ),
.CLK(\clknet_leaf_117_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5070_ (.D(_0724_),
.Q(\CONTROL_LOGIC.sram12_data0[18] ),
.CLK(\clknet_leaf_117_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5071_ (.D(_0725_),
.Q(\CONTROL_LOGIC.sram12_data0[19] ),
.CLK(\clknet_5_15_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5072_ (.D(_0726_),
.Q(\CONTROL_LOGIC.sram12_data0[20] ),
.CLK(\clknet_leaf_113_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _5073_ (.D(_0727_),
.Q(\CONTROL_LOGIC.sram12_data0[21] ),
.CLK(\clknet_5_27_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5074_ (.D(_0728_),
.Q(\CONTROL_LOGIC.sram12_data0[22] ),
.CLK(\clknet_leaf_112_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5075_ (.D(_0729_),
.Q(\CONTROL_LOGIC.sram12_data0[23] ),
.CLK(\clknet_5_26_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5076_ (.D(_0730_),
.Q(\CONTROL_LOGIC.sram12_data0[24] ),
.CLK(\clknet_5_26_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5077_ (.D(_0731_),
.Q(\CONTROL_LOGIC.sram12_data0[25] ),
.CLK(\clknet_5_15_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5078_ (.D(_0732_),
.Q(\CONTROL_LOGIC.sram12_data0[26] ),
.CLK(\clknet_opt_10_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5079_ (.D(_0733_),
.Q(\CONTROL_LOGIC.sram12_data0[27] ),
.CLK(\clknet_leaf_113_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5080_ (.D(_0734_),
.Q(\CONTROL_LOGIC.sram12_data0[28] ),
.CLK(\clknet_leaf_112_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5081_ (.D(_0735_),
.Q(\CONTROL_LOGIC.sram12_data0[29] ),
.CLK(\clknet_leaf_146_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5082_ (.D(_0736_),
.Q(\CONTROL_LOGIC.sram12_data0[30] ),
.CLK(\clknet_leaf_147_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5083_ (.D(_0737_),
.Q(\CONTROL_LOGIC.sram12_data0[31] ),
.CLK(\clknet_leaf_137_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5084_ (.D(_0738_),
.Q(net125),
.CLK(\clknet_leaf_213_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5085_ (.D(_0739_),
.Q(net148),
.CLK(\clknet_leaf_226_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5086_ (.D(_0740_),
.Q(net159),
.CLK(\clknet_leaf_226_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5087_ (.D(_0741_),
.Q(net170),
.CLK(\clknet_leaf_226_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5088_ (.D(_0742_),
.Q(net181),
.CLK(\clknet_leaf_226_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_2 _5089_ (.D(_0743_),
.Q(net192),
.CLK(\clknet_leaf_225_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5090_ (.D(_0744_),
.Q(net168),
.CLK(\clknet_leaf_202_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5091_ (.D(_0745_),
.Q(net169),
.CLK(\clknet_leaf_201_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5092_ (.D(_0746_),
.Q(net171),
.CLK(\clknet_leaf_201_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5093_ (.D(_0747_),
.Q(net172),
.CLK(\clknet_leaf_201_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5094_ (.D(_0748_),
.Q(net173),
.CLK(\clknet_leaf_192_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5095_ (.D(_0749_),
.Q(net174),
.CLK(\clknet_leaf_192_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5096_ (.D(_0750_),
.Q(net175),
.CLK(\clknet_leaf_191_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5097_ (.D(_0751_),
.Q(net176),
.CLK(\clknet_leaf_191_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5098_ (.D(_0752_),
.Q(net177),
.CLK(\clknet_leaf_191_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5099_ (.D(_0753_),
.Q(net178),
.CLK(\clknet_leaf_190_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5100_ (.D(_0754_),
.Q(net179),
.CLK(\clknet_leaf_190_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5101_ (.D(_0755_),
.Q(net180),
.CLK(\clknet_leaf_189_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5102_ (.D(_0756_),
.Q(net182),
.CLK(\clknet_leaf_189_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5103_ (.D(_0757_),
.Q(net183),
.CLK(\clknet_leaf_188_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5104_ (.D(_0758_),
.Q(net184),
.CLK(\clknet_leaf_188_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5105_ (.D(_0759_),
.Q(net185),
.CLK(\clknet_leaf_188_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5106_ (.D(_0760_),
.Q(net186),
.CLK(\clknet_leaf_188_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5107_ (.D(_0761_),
.Q(net187),
.CLK(\clknet_leaf_187_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5108_ (.D(_0762_),
.Q(net188),
.CLK(\clknet_leaf_187_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5109_ (.D(_0763_),
.Q(net189),
.CLK(\clknet_leaf_187_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5110_ (.D(_0764_),
.Q(net190),
.CLK(\clknet_leaf_185_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5111_ (.D(_0765_),
.Q(net191),
.CLK(\clknet_leaf_185_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5112_ (.D(_0766_),
.Q(net228),
.CLK(\clknet_leaf_170_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5113_ (.D(_0767_),
.Q(net229),
.CLK(\clknet_leaf_170_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5114_ (.D(_0768_),
.Q(net230),
.CLK(\clknet_leaf_170_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5115_ (.D(_0769_),
.Q(net231),
.CLK(\clknet_leaf_169_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5116_ (.D(_0770_),
.Q(net232),
.CLK(\clknet_leaf_169_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5117_ (.D(_0771_),
.Q(net233),
.CLK(\clknet_leaf_169_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5118_ (.D(_0772_),
.Q(net234),
.CLK(\clknet_leaf_168_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5119_ (.D(_0773_),
.Q(net235),
.CLK(\clknet_leaf_168_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5120_ (.D(_0774_),
.Q(net126),
.CLK(\clknet_leaf_168_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5121_ (.D(_0775_),
.Q(net127),
.CLK(\clknet_leaf_168_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5122_ (.D(_0776_),
.Q(net128),
.CLK(\clknet_leaf_167_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5123_ (.D(_0777_),
.Q(net129),
.CLK(\clknet_leaf_167_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5124_ (.D(_0778_),
.Q(net130),
.CLK(\clknet_leaf_167_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5125_ (.D(_0779_),
.Q(net131),
.CLK(\clknet_leaf_166_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5126_ (.D(_0780_),
.Q(net132),
.CLK(\clknet_leaf_166_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5127_ (.D(_0781_),
.Q(net133),
.CLK(\clknet_leaf_166_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5128_ (.D(_0782_),
.Q(net134),
.CLK(\clknet_leaf_166_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5129_ (.D(_0783_),
.Q(net135),
.CLK(\clknet_leaf_166_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5130_ (.D(_0784_),
.Q(net137),
.CLK(\clknet_leaf_166_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5131_ (.D(_0785_),
.Q(net124),
.CLK(\clknet_leaf_166_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5132_ (.D(_0786_),
.Q(net203),
.CLK(\clknet_leaf_225_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5133_ (.D(_0787_),
.Q(net214),
.CLK(\clknet_leaf_225_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5134_ (.D(_0788_),
.Q(net225),
.CLK(\clknet_leaf_225_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5135_ (.D(_0789_),
.Q(net236),
.CLK(\clknet_leaf_221_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5136_ (.D(_0790_),
.Q(net136),
.CLK(\clknet_leaf_221_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5137_ (.D(_0791_),
.Q(net139),
.CLK(\clknet_leaf_220_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5138_ (.D(_0792_),
.Q(net140),
.CLK(\clknet_leaf_220_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5139_ (.D(_0793_),
.Q(net141),
.CLK(\clknet_leaf_220_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5140_ (.D(_0794_),
.Q(net142),
.CLK(\clknet_leaf_219_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5141_ (.D(_0795_),
.Q(net143),
.CLK(\clknet_leaf_219_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5142_ (.D(_0796_),
.Q(net144),
.CLK(\clknet_leaf_219_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5143_ (.D(_0797_),
.Q(net145),
.CLK(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5144_ (.D(_0798_),
.Q(net146),
.CLK(\clknet_leaf_213_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5145_ (.D(_0799_),
.Q(net147),
.CLK(\clknet_leaf_213_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5146_ (.D(_0800_),
.Q(net149),
.CLK(\clknet_leaf_213_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5147_ (.D(_0801_),
.Q(net150),
.CLK(\clknet_leaf_211_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5148_ (.D(_0802_),
.Q(net151),
.CLK(\clknet_leaf_211_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5149_ (.D(_0803_),
.Q(net152),
.CLK(\clknet_leaf_211_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5150_ (.D(_0804_),
.Q(net153),
.CLK(\clknet_leaf_206_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5151_ (.D(_0805_),
.Q(net154),
.CLK(\clknet_leaf_206_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5152_ (.D(_0806_),
.Q(net155),
.CLK(\clknet_leaf_206_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5153_ (.D(_0807_),
.Q(net156),
.CLK(\clknet_leaf_205_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5154_ (.D(_0808_),
.Q(net157),
.CLK(\clknet_leaf_205_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5155_ (.D(_0809_),
.Q(net158),
.CLK(\clknet_leaf_205_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5156_ (.D(_0810_),
.Q(net160),
.CLK(\clknet_leaf_204_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5157_ (.D(_0811_),
.Q(net161),
.CLK(\clknet_leaf_204_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5158_ (.D(_0812_),
.Q(net162),
.CLK(\clknet_leaf_204_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5159_ (.D(_0813_),
.Q(net163),
.CLK(\clknet_leaf_204_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5160_ (.D(_0814_),
.Q(net164),
.CLK(\clknet_leaf_203_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5161_ (.D(_0815_),
.Q(net165),
.CLK(\clknet_leaf_203_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5162_ (.D(_0816_),
.Q(net166),
.CLK(\clknet_leaf_202_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_1 _5163_ (.D(_0817_),
.Q(net167),
.CLK(\clknet_leaf_202_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5164_ (.D(_0818_),
.Q(net193),
.CLK(\clknet_leaf_202_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5165_ (.D(_0819_),
.Q(net194),
.CLK(\clknet_leaf_215_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5166_ (.D(_0820_),
.Q(net195),
.CLK(\clknet_leaf_215_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5167_ (.D(_0821_),
.Q(net196),
.CLK(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5168_ (.D(_0822_),
.Q(net197),
.CLK(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5169_ (.D(_0823_),
.Q(net198),
.CLK(\clknet_leaf_215_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5170_ (.D(_0824_),
.Q(net199),
.CLK(\clknet_leaf_210_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5171_ (.D(_0825_),
.Q(net200),
.CLK(\clknet_leaf_210_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5172_ (.D(_0826_),
.Q(net201),
.CLK(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5173_ (.D(_0827_),
.Q(net202),
.CLK(\clknet_leaf_210_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5174_ (.D(_0828_),
.Q(net204),
.CLK(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5175_ (.D(_0829_),
.Q(net205),
.CLK(\clknet_leaf_216_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5176_ (.D(_0830_),
.Q(net206),
.CLK(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5177_ (.D(_0831_),
.Q(net207),
.CLK(\clknet_leaf_216_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5178_ (.D(_0832_),
.Q(net208),
.CLK(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5179_ (.D(_0833_),
.Q(net209),
.CLK(\clknet_leaf_216_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5180_ (.D(_0834_),
.Q(net210),
.CLK(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5181_ (.D(_0835_),
.Q(net211),
.CLK(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5182_ (.D(_0836_),
.Q(net212),
.CLK(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5183_ (.D(_0837_),
.Q(net213),
.CLK(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5184_ (.D(_0838_),
.Q(net215),
.CLK(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5185_ (.D(_0839_),
.Q(net216),
.CLK(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5186_ (.D(_0840_),
.Q(net217),
.CLK(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5187_ (.D(_0841_),
.Q(net218),
.CLK(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5188_ (.D(_0842_),
.Q(net219),
.CLK(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5189_ (.D(_0843_),
.Q(net220),
.CLK(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5190_ (.D(_0844_),
.Q(net221),
.CLK(\clknet_leaf_208_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5191_ (.D(_0845_),
.Q(net222),
.CLK(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5192_ (.D(_0846_),
.Q(net223),
.CLK(\clknet_leaf_208_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5193_ (.D(_0847_),
.Q(net224),
.CLK(\clknet_leaf_216_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5194_ (.D(_0848_),
.Q(net226),
.CLK(\clknet_leaf_216_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxtp_4 _5195_ (.D(_0849_),
.Q(net227),
.CLK(\clknet_leaf_216_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_0_CONTROL_LOGIC.clk (.A(net432),
.X(\clknet_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_0_0_CONTROL_LOGIC.clk (.A(\clknet_0_CONTROL_LOGIC.clk ),
.X(\clknet_1_0_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_0_1_CONTROL_LOGIC.clk (.A(\clknet_1_0_0_CONTROL_LOGIC.clk ),
.X(\clknet_1_0_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_0_2_CONTROL_LOGIC.clk (.A(\clknet_1_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_1_0_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_0_3_CONTROL_LOGIC.clk (.A(\clknet_1_0_2_CONTROL_LOGIC.clk ),
.X(\clknet_1_0_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_0_4_CONTROL_LOGIC.clk (.A(\clknet_1_0_3_CONTROL_LOGIC.clk ),
.X(\clknet_1_0_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_0_5_CONTROL_LOGIC.clk (.A(\clknet_1_0_4_CONTROL_LOGIC.clk ),
.X(\clknet_1_0_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_0_6_CONTROL_LOGIC.clk (.A(\clknet_1_0_5_CONTROL_LOGIC.clk ),
.X(\clknet_1_0_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_0_7_CONTROL_LOGIC.clk (.A(\clknet_1_0_6_CONTROL_LOGIC.clk ),
.X(\clknet_1_0_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_1_0_CONTROL_LOGIC.clk (.A(\clknet_0_CONTROL_LOGIC.clk ),
.X(\clknet_1_1_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_1_1_CONTROL_LOGIC.clk (.A(\clknet_1_1_0_CONTROL_LOGIC.clk ),
.X(\clknet_1_1_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_1_2_CONTROL_LOGIC.clk (.A(\clknet_1_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_1_1_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_1_3_CONTROL_LOGIC.clk (.A(\clknet_1_1_2_CONTROL_LOGIC.clk ),
.X(\clknet_1_1_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_1_4_CONTROL_LOGIC.clk (.A(\clknet_1_1_3_CONTROL_LOGIC.clk ),
.X(\clknet_1_1_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_1_5_CONTROL_LOGIC.clk (.A(\clknet_1_1_4_CONTROL_LOGIC.clk ),
.X(\clknet_1_1_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_1_6_CONTROL_LOGIC.clk (.A(\clknet_1_1_5_CONTROL_LOGIC.clk ),
.X(\clknet_1_1_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_1_1_7_CONTROL_LOGIC.clk (.A(\clknet_1_1_6_CONTROL_LOGIC.clk ),
.X(\clknet_1_1_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_0_0_CONTROL_LOGIC.clk (.A(\clknet_1_0_7_CONTROL_LOGIC.clk ),
.X(\clknet_2_0_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_0_1_CONTROL_LOGIC.clk (.A(\clknet_2_0_0_CONTROL_LOGIC.clk ),
.X(\clknet_2_0_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_0_2_CONTROL_LOGIC.clk (.A(\clknet_2_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_2_0_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_0_3_CONTROL_LOGIC.clk (.A(\clknet_2_0_2_CONTROL_LOGIC.clk ),
.X(\clknet_2_0_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_0_4_CONTROL_LOGIC.clk (.A(\clknet_2_0_3_CONTROL_LOGIC.clk ),
.X(\clknet_2_0_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_0_5_CONTROL_LOGIC.clk (.A(\clknet_2_0_4_CONTROL_LOGIC.clk ),
.X(\clknet_2_0_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_1_0_CONTROL_LOGIC.clk (.A(\clknet_1_0_7_CONTROL_LOGIC.clk ),
.X(\clknet_2_1_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_1_1_CONTROL_LOGIC.clk (.A(\clknet_2_1_0_CONTROL_LOGIC.clk ),
.X(\clknet_2_1_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_1_2_CONTROL_LOGIC.clk (.A(\clknet_2_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_2_1_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_1_3_CONTROL_LOGIC.clk (.A(\clknet_2_1_2_CONTROL_LOGIC.clk ),
.X(\clknet_2_1_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_1_4_CONTROL_LOGIC.clk (.A(\clknet_2_1_3_CONTROL_LOGIC.clk ),
.X(\clknet_2_1_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_1_5_CONTROL_LOGIC.clk (.A(\clknet_2_1_4_CONTROL_LOGIC.clk ),
.X(\clknet_2_1_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_2_0_CONTROL_LOGIC.clk (.A(\clknet_1_1_7_CONTROL_LOGIC.clk ),
.X(\clknet_2_2_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_2_1_CONTROL_LOGIC.clk (.A(\clknet_2_2_0_CONTROL_LOGIC.clk ),
.X(\clknet_2_2_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_2_2_CONTROL_LOGIC.clk (.A(\clknet_2_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_2_2_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_2_3_CONTROL_LOGIC.clk (.A(\clknet_2_2_2_CONTROL_LOGIC.clk ),
.X(\clknet_2_2_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_2_4_CONTROL_LOGIC.clk (.A(\clknet_2_2_3_CONTROL_LOGIC.clk ),
.X(\clknet_2_2_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_2_5_CONTROL_LOGIC.clk (.A(\clknet_2_2_4_CONTROL_LOGIC.clk ),
.X(\clknet_2_2_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_3_0_CONTROL_LOGIC.clk (.A(\clknet_1_1_7_CONTROL_LOGIC.clk ),
.X(\clknet_2_3_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_3_1_CONTROL_LOGIC.clk (.A(\clknet_2_3_0_CONTROL_LOGIC.clk ),
.X(\clknet_2_3_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_3_2_CONTROL_LOGIC.clk (.A(\clknet_2_3_1_CONTROL_LOGIC.clk ),
.X(\clknet_2_3_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_3_3_CONTROL_LOGIC.clk (.A(\clknet_2_3_2_CONTROL_LOGIC.clk ),
.X(\clknet_2_3_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_3_4_CONTROL_LOGIC.clk (.A(\clknet_2_3_3_CONTROL_LOGIC.clk ),
.X(\clknet_2_3_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_2_3_5_CONTROL_LOGIC.clk (.A(\clknet_2_3_4_CONTROL_LOGIC.clk ),
.X(\clknet_2_3_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_0_0_CONTROL_LOGIC.clk (.A(\clknet_2_0_5_CONTROL_LOGIC.clk ),
.X(\clknet_3_0_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_0_1_CONTROL_LOGIC.clk (.A(\clknet_3_0_0_CONTROL_LOGIC.clk ),
.X(\clknet_3_0_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_0_2_CONTROL_LOGIC.clk (.A(\clknet_3_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_3_0_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_0_3_CONTROL_LOGIC.clk (.A(\clknet_3_0_2_CONTROL_LOGIC.clk ),
.X(\clknet_3_0_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_1_0_CONTROL_LOGIC.clk (.A(\clknet_2_0_5_CONTROL_LOGIC.clk ),
.X(\clknet_3_1_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_1_1_CONTROL_LOGIC.clk (.A(\clknet_3_1_0_CONTROL_LOGIC.clk ),
.X(\clknet_3_1_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_1_2_CONTROL_LOGIC.clk (.A(\clknet_3_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_3_1_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_1_3_CONTROL_LOGIC.clk (.A(\clknet_3_1_2_CONTROL_LOGIC.clk ),
.X(\clknet_3_1_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_2_0_CONTROL_LOGIC.clk (.A(\clknet_2_1_5_CONTROL_LOGIC.clk ),
.X(\clknet_3_2_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_2_1_CONTROL_LOGIC.clk (.A(\clknet_3_2_0_CONTROL_LOGIC.clk ),
.X(\clknet_3_2_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_2_2_CONTROL_LOGIC.clk (.A(\clknet_3_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_3_2_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_2_3_CONTROL_LOGIC.clk (.A(\clknet_3_2_2_CONTROL_LOGIC.clk ),
.X(\clknet_3_2_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_3_0_CONTROL_LOGIC.clk (.A(\clknet_2_1_5_CONTROL_LOGIC.clk ),
.X(\clknet_3_3_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_3_1_CONTROL_LOGIC.clk (.A(\clknet_3_3_0_CONTROL_LOGIC.clk ),
.X(\clknet_3_3_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_3_2_CONTROL_LOGIC.clk (.A(\clknet_3_3_1_CONTROL_LOGIC.clk ),
.X(\clknet_3_3_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_3_3_CONTROL_LOGIC.clk (.A(\clknet_3_3_2_CONTROL_LOGIC.clk ),
.X(\clknet_3_3_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_4_0_CONTROL_LOGIC.clk (.A(\clknet_2_2_5_CONTROL_LOGIC.clk ),
.X(\clknet_3_4_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_4_1_CONTROL_LOGIC.clk (.A(\clknet_3_4_0_CONTROL_LOGIC.clk ),
.X(\clknet_3_4_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_4_2_CONTROL_LOGIC.clk (.A(\clknet_3_4_1_CONTROL_LOGIC.clk ),
.X(\clknet_3_4_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_4_3_CONTROL_LOGIC.clk (.A(\clknet_3_4_2_CONTROL_LOGIC.clk ),
.X(\clknet_3_4_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_5_0_CONTROL_LOGIC.clk (.A(\clknet_2_2_5_CONTROL_LOGIC.clk ),
.X(\clknet_3_5_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_5_1_CONTROL_LOGIC.clk (.A(\clknet_3_5_0_CONTROL_LOGIC.clk ),
.X(\clknet_3_5_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_5_2_CONTROL_LOGIC.clk (.A(\clknet_3_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_3_5_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_5_3_CONTROL_LOGIC.clk (.A(\clknet_3_5_2_CONTROL_LOGIC.clk ),
.X(\clknet_3_5_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_6_0_CONTROL_LOGIC.clk (.A(\clknet_2_3_5_CONTROL_LOGIC.clk ),
.X(\clknet_3_6_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_6_1_CONTROL_LOGIC.clk (.A(\clknet_3_6_0_CONTROL_LOGIC.clk ),
.X(\clknet_3_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_6_2_CONTROL_LOGIC.clk (.A(\clknet_3_6_1_CONTROL_LOGIC.clk ),
.X(\clknet_3_6_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_6_3_CONTROL_LOGIC.clk (.A(\clknet_3_6_2_CONTROL_LOGIC.clk ),
.X(\clknet_3_6_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_7_0_CONTROL_LOGIC.clk (.A(\clknet_2_3_5_CONTROL_LOGIC.clk ),
.X(\clknet_3_7_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_7_1_CONTROL_LOGIC.clk (.A(\clknet_3_7_0_CONTROL_LOGIC.clk ),
.X(\clknet_3_7_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_7_2_CONTROL_LOGIC.clk (.A(\clknet_3_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_3_7_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_3_7_3_CONTROL_LOGIC.clk (.A(\clknet_3_7_2_CONTROL_LOGIC.clk ),
.X(\clknet_3_7_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_0_0_CONTROL_LOGIC.clk (.A(\clknet_3_0_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_0_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_0_1_CONTROL_LOGIC.clk (.A(\clknet_4_0_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_0_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_0_2_CONTROL_LOGIC.clk (.A(\clknet_4_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_0_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_10_0_CONTROL_LOGIC.clk (.A(\clknet_3_5_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_10_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_10_1_CONTROL_LOGIC.clk (.A(\clknet_4_10_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_10_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_10_2_CONTROL_LOGIC.clk (.A(\clknet_4_10_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_10_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_11_0_CONTROL_LOGIC.clk (.A(\clknet_3_5_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_11_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_11_1_CONTROL_LOGIC.clk (.A(\clknet_4_11_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_11_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_11_2_CONTROL_LOGIC.clk (.A(\clknet_4_11_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_11_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_12_0_CONTROL_LOGIC.clk (.A(\clknet_3_6_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_12_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_12_1_CONTROL_LOGIC.clk (.A(\clknet_4_12_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_12_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_12_2_CONTROL_LOGIC.clk (.A(\clknet_4_12_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_12_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_13_0_CONTROL_LOGIC.clk (.A(\clknet_3_6_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_13_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_13_1_CONTROL_LOGIC.clk (.A(\clknet_4_13_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_13_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_13_2_CONTROL_LOGIC.clk (.A(\clknet_4_13_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_13_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_14_0_CONTROL_LOGIC.clk (.A(\clknet_3_7_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_14_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_14_1_CONTROL_LOGIC.clk (.A(\clknet_4_14_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_14_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_14_2_CONTROL_LOGIC.clk (.A(\clknet_4_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_14_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_15_0_CONTROL_LOGIC.clk (.A(\clknet_3_7_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_15_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_15_1_CONTROL_LOGIC.clk (.A(\clknet_4_15_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_15_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_15_2_CONTROL_LOGIC.clk (.A(\clknet_4_15_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_15_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_1_0_CONTROL_LOGIC.clk (.A(\clknet_3_0_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_1_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_1_1_CONTROL_LOGIC.clk (.A(\clknet_4_1_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_1_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_1_2_CONTROL_LOGIC.clk (.A(\clknet_4_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_1_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_2_0_CONTROL_LOGIC.clk (.A(\clknet_3_1_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_2_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_2_1_CONTROL_LOGIC.clk (.A(\clknet_4_2_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_2_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_2_2_CONTROL_LOGIC.clk (.A(\clknet_4_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_2_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_3_0_CONTROL_LOGIC.clk (.A(\clknet_3_1_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_3_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_3_1_CONTROL_LOGIC.clk (.A(\clknet_4_3_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_3_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_3_2_CONTROL_LOGIC.clk (.A(\clknet_4_3_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_3_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_4_0_CONTROL_LOGIC.clk (.A(\clknet_3_2_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_4_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_4_1_CONTROL_LOGIC.clk (.A(\clknet_4_4_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_4_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_4_2_CONTROL_LOGIC.clk (.A(\clknet_4_4_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_4_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_5_0_CONTROL_LOGIC.clk (.A(\clknet_3_2_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_5_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_5_1_CONTROL_LOGIC.clk (.A(\clknet_4_5_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_5_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_5_2_CONTROL_LOGIC.clk (.A(\clknet_4_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_5_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_6_0_CONTROL_LOGIC.clk (.A(\clknet_3_3_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_6_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_6_1_CONTROL_LOGIC.clk (.A(\clknet_4_6_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_6_2_CONTROL_LOGIC.clk (.A(\clknet_4_6_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_6_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_7_0_CONTROL_LOGIC.clk (.A(\clknet_3_3_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_7_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_7_1_CONTROL_LOGIC.clk (.A(\clknet_4_7_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_7_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_7_2_CONTROL_LOGIC.clk (.A(\clknet_4_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_7_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_8_0_CONTROL_LOGIC.clk (.A(\clknet_3_4_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_8_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_8_1_CONTROL_LOGIC.clk (.A(\clknet_4_8_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_8_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_8_2_CONTROL_LOGIC.clk (.A(\clknet_4_8_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_8_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_9_0_CONTROL_LOGIC.clk (.A(\clknet_3_4_3_CONTROL_LOGIC.clk ),
.X(\clknet_4_9_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_9_1_CONTROL_LOGIC.clk (.A(\clknet_4_9_0_CONTROL_LOGIC.clk ),
.X(\clknet_4_9_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_4_9_2_CONTROL_LOGIC.clk (.A(\clknet_4_9_1_CONTROL_LOGIC.clk ),
.X(\clknet_4_9_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_0_0_CONTROL_LOGIC.clk (.A(\clknet_4_0_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_0_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_0_1_CONTROL_LOGIC.clk (.A(\clknet_5_0_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_10_0_CONTROL_LOGIC.clk (.A(\clknet_4_5_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_10_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_10_1_CONTROL_LOGIC.clk (.A(\clknet_5_10_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_10_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_11_0_CONTROL_LOGIC.clk (.A(\clknet_4_5_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_11_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_11_1_CONTROL_LOGIC.clk (.A(\clknet_5_11_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_11_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_12_0_CONTROL_LOGIC.clk (.A(\clknet_4_6_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_12_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_12_1_CONTROL_LOGIC.clk (.A(\clknet_5_12_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_13_0_CONTROL_LOGIC.clk (.A(\clknet_4_6_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_13_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_13_1_CONTROL_LOGIC.clk (.A(\clknet_5_13_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_13_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_14_0_CONTROL_LOGIC.clk (.A(\clknet_4_7_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_14_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_14_1_CONTROL_LOGIC.clk (.A(\clknet_5_14_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_15_0_CONTROL_LOGIC.clk (.A(\clknet_4_7_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_15_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_15_1_CONTROL_LOGIC.clk (.A(\clknet_5_15_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_15_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_16_0_CONTROL_LOGIC.clk (.A(\clknet_4_8_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_16_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_16_1_CONTROL_LOGIC.clk (.A(\clknet_5_16_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_17_0_CONTROL_LOGIC.clk (.A(\clknet_4_8_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_17_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_17_1_CONTROL_LOGIC.clk (.A(\clknet_5_17_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_17_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_18_0_CONTROL_LOGIC.clk (.A(\clknet_4_9_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_18_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_18_1_CONTROL_LOGIC.clk (.A(\clknet_5_18_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_19_0_CONTROL_LOGIC.clk (.A(\clknet_4_9_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_19_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_19_1_CONTROL_LOGIC.clk (.A(\clknet_5_19_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_1_0_CONTROL_LOGIC.clk (.A(\clknet_4_0_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_1_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_1_1_CONTROL_LOGIC.clk (.A(\clknet_5_1_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_1_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_20_0_CONTROL_LOGIC.clk (.A(\clknet_4_10_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_20_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_20_1_CONTROL_LOGIC.clk (.A(\clknet_5_20_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_20_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_21_0_CONTROL_LOGIC.clk (.A(\clknet_4_10_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_21_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_21_1_CONTROL_LOGIC.clk (.A(\clknet_5_21_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_22_0_CONTROL_LOGIC.clk (.A(\clknet_4_11_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_22_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_22_1_CONTROL_LOGIC.clk (.A(\clknet_5_22_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_22_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_23_0_CONTROL_LOGIC.clk (.A(\clknet_4_11_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_23_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_23_1_CONTROL_LOGIC.clk (.A(\clknet_5_23_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_23_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_24_0_CONTROL_LOGIC.clk (.A(\clknet_4_12_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_24_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_24_1_CONTROL_LOGIC.clk (.A(\clknet_5_24_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_25_0_CONTROL_LOGIC.clk (.A(\clknet_4_12_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_25_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_25_1_CONTROL_LOGIC.clk (.A(\clknet_5_25_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_25_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_26_0_CONTROL_LOGIC.clk (.A(\clknet_4_13_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_26_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_26_1_CONTROL_LOGIC.clk (.A(\clknet_5_26_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_26_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_27_0_CONTROL_LOGIC.clk (.A(\clknet_4_13_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_27_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_27_1_CONTROL_LOGIC.clk (.A(\clknet_5_27_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_27_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_28_0_CONTROL_LOGIC.clk (.A(\clknet_4_14_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_28_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_28_1_CONTROL_LOGIC.clk (.A(\clknet_5_28_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_28_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_29_0_CONTROL_LOGIC.clk (.A(\clknet_4_14_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_29_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_29_1_CONTROL_LOGIC.clk (.A(\clknet_5_29_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_29_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_2_0_CONTROL_LOGIC.clk (.A(\clknet_4_1_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_2_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_2_1_CONTROL_LOGIC.clk (.A(\clknet_5_2_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_2_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_30_0_CONTROL_LOGIC.clk (.A(\clknet_4_15_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_30_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_30_1_CONTROL_LOGIC.clk (.A(\clknet_5_30_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_30_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_31_0_CONTROL_LOGIC.clk (.A(\clknet_4_15_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_31_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_31_1_CONTROL_LOGIC.clk (.A(\clknet_5_31_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_31_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_3_0_CONTROL_LOGIC.clk (.A(\clknet_4_1_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_3_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_3_1_CONTROL_LOGIC.clk (.A(\clknet_5_3_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_3_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_4_0_CONTROL_LOGIC.clk (.A(\clknet_4_2_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_4_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_4_1_CONTROL_LOGIC.clk (.A(\clknet_5_4_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_5_0_CONTROL_LOGIC.clk (.A(\clknet_4_2_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_5_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_5_1_CONTROL_LOGIC.clk (.A(\clknet_5_5_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_6_0_CONTROL_LOGIC.clk (.A(\clknet_4_3_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_6_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_6_1_CONTROL_LOGIC.clk (.A(\clknet_5_6_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_7_0_CONTROL_LOGIC.clk (.A(\clknet_4_3_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_7_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_7_1_CONTROL_LOGIC.clk (.A(\clknet_5_7_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_8_0_CONTROL_LOGIC.clk (.A(\clknet_4_4_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_8_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_8_1_CONTROL_LOGIC.clk (.A(\clknet_5_8_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_8_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_9_0_CONTROL_LOGIC.clk (.A(\clknet_4_4_2_CONTROL_LOGIC.clk ),
.X(\clknet_5_9_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \clkbuf_5_9_1_CONTROL_LOGIC.clk (.A(\clknet_5_9_0_CONTROL_LOGIC.clk ),
.X(\clknet_5_9_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_0_CONTROL_LOGIC.clk (.A(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_101_CONTROL_LOGIC.clk (.A(\clknet_5_20_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_101_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_103_CONTROL_LOGIC.clk (.A(\clknet_5_29_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_103_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_104_CONTROL_LOGIC.clk (.A(\clknet_5_31_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_104_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_107_CONTROL_LOGIC.clk (.A(\clknet_5_29_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_107_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_110_CONTROL_LOGIC.clk (.A(\clknet_5_25_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_110_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_112_CONTROL_LOGIC.clk (.A(\clknet_opt_8_4_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_112_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_113_CONTROL_LOGIC.clk (.A(\clknet_opt_9_6_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_113_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_117_CONTROL_LOGIC.clk (.A(\clknet_5_26_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_117_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_118_CONTROL_LOGIC.clk (.A(\clknet_5_26_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_118_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_11_CONTROL_LOGIC.clk (.A(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_11_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_122_CONTROL_LOGIC.clk (.A(\clknet_5_26_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_122_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_123_CONTROL_LOGIC.clk (.A(\clknet_5_26_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_123_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_127_CONTROL_LOGIC.clk (.A(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_127_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_129_CONTROL_LOGIC.clk (.A(\clknet_5_24_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_129_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_12_CONTROL_LOGIC.clk (.A(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_12_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_134_CONTROL_LOGIC.clk (.A(\clknet_5_13_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_134_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_136_CONTROL_LOGIC.clk (.A(\clknet_5_13_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_136_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_137_CONTROL_LOGIC.clk (.A(\clknet_5_13_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_137_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_138_CONTROL_LOGIC.clk (.A(\clknet_5_13_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_138_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_139_CONTROL_LOGIC.clk (.A(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_139_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_13_CONTROL_LOGIC.clk (.A(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_13_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_140_CONTROL_LOGIC.clk (.A(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_140_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_141_CONTROL_LOGIC.clk (.A(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_141_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_144_CONTROL_LOGIC.clk (.A(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_144_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_145_CONTROL_LOGIC.clk (.A(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_145_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_146_CONTROL_LOGIC.clk (.A(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_146_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_147_CONTROL_LOGIC.clk (.A(\clknet_5_12_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_147_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_148_CONTROL_LOGIC.clk (.A(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_148_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_149_CONTROL_LOGIC.clk (.A(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_149_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_14_CONTROL_LOGIC.clk (.A(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_14_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_150_CONTROL_LOGIC.clk (.A(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_150_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_151_CONTROL_LOGIC.clk (.A(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_151_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_152_CONTROL_LOGIC.clk (.A(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_152_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_155_CONTROL_LOGIC.clk (.A(\clknet_5_15_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_155_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_156_CONTROL_LOGIC.clk (.A(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_156_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_157_CONTROL_LOGIC.clk (.A(\clknet_5_15_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_157_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_158_CONTROL_LOGIC.clk (.A(\clknet_5_13_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_158_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_15_CONTROL_LOGIC.clk (.A(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_15_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_162_CONTROL_LOGIC.clk (.A(\clknet_5_15_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_162_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_163_CONTROL_LOGIC.clk (.A(\clknet_5_14_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_163_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_165_CONTROL_LOGIC.clk (.A(\clknet_5_11_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_165_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_166_CONTROL_LOGIC.clk (.A(\clknet_opt_3_4_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_166_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_167_CONTROL_LOGIC.clk (.A(\clknet_opt_4_4_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_167_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_168_CONTROL_LOGIC.clk (.A(\clknet_5_10_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_168_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_169_CONTROL_LOGIC.clk (.A(\clknet_5_10_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_169_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_16_CONTROL_LOGIC.clk (.A(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_16_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_170_CONTROL_LOGIC.clk (.A(\clknet_5_10_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_170_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_171_CONTROL_LOGIC.clk (.A(\clknet_5_9_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_171_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_176_CONTROL_LOGIC.clk (.A(\clknet_5_11_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_176_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_177_CONTROL_LOGIC.clk (.A(\clknet_5_11_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_177_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_178_CONTROL_LOGIC.clk (.A(\clknet_5_9_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_178_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_17_CONTROL_LOGIC.clk (.A(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_17_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_185_CONTROL_LOGIC.clk (.A(\clknet_5_8_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_185_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_187_CONTROL_LOGIC.clk (.A(\clknet_5_8_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_187_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_188_CONTROL_LOGIC.clk (.A(\clknet_5_8_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_188_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_189_CONTROL_LOGIC.clk (.A(\clknet_5_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_189_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_18_CONTROL_LOGIC.clk (.A(\clknet_5_17_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_18_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_190_CONTROL_LOGIC.clk (.A(\clknet_5_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_190_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_191_CONTROL_LOGIC.clk (.A(\clknet_5_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_191_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_192_CONTROL_LOGIC.clk (.A(\clknet_5_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_192_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_194_CONTROL_LOGIC.clk (.A(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_194_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_195_CONTROL_LOGIC.clk (.A(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_195_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_198_CONTROL_LOGIC.clk (.A(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_198_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_19_CONTROL_LOGIC.clk (.A(\clknet_5_17_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_19_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_200_CONTROL_LOGIC.clk (.A(\clknet_5_6_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_200_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_201_CONTROL_LOGIC.clk (.A(\clknet_5_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_201_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_202_CONTROL_LOGIC.clk (.A(\clknet_5_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_202_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_203_CONTROL_LOGIC.clk (.A(\clknet_5_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_203_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_204_CONTROL_LOGIC.clk (.A(\clknet_5_3_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_204_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_205_CONTROL_LOGIC.clk (.A(\clknet_5_3_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_205_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_206_CONTROL_LOGIC.clk (.A(\clknet_5_3_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_206_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_208_CONTROL_LOGIC.clk (.A(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_208_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_209_CONTROL_LOGIC.clk (.A(\clknet_5_3_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_209_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_20_CONTROL_LOGIC.clk (.A(\clknet_5_22_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_20_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_210_CONTROL_LOGIC.clk (.A(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_210_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_211_CONTROL_LOGIC.clk (.A(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_211_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_212_CONTROL_LOGIC.clk (.A(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_212_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_213_CONTROL_LOGIC.clk (.A(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_213_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_215_CONTROL_LOGIC.clk (.A(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_215_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_216_CONTROL_LOGIC.clk (.A(\clknet_5_0_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_216_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_218_CONTROL_LOGIC.clk (.A(\clknet_5_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_218_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_219_CONTROL_LOGIC.clk (.A(\clknet_5_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_219_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_21_CONTROL_LOGIC.clk (.A(\clknet_5_17_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_21_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_220_CONTROL_LOGIC.clk (.A(\clknet_5_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_220_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_221_CONTROL_LOGIC.clk (.A(\clknet_5_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_221_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_225_CONTROL_LOGIC.clk (.A(\clknet_5_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_225_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_226_CONTROL_LOGIC.clk (.A(\clknet_5_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_226_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_22_CONTROL_LOGIC.clk (.A(\clknet_5_17_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_22_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_23_CONTROL_LOGIC.clk (.A(\clknet_5_17_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_23_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_24_CONTROL_LOGIC.clk (.A(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_24_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_25_CONTROL_LOGIC.clk (.A(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_25_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_27_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_27_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_28_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_28_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_29_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_29_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_2_CONTROL_LOGIC.clk (.A(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_33_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_33_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_34_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_34_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_35_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_35_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_36_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_36_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_37_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_37_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_39_CONTROL_LOGIC.clk (.A(\clknet_5_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_39_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_3_CONTROL_LOGIC.clk (.A(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_45_CONTROL_LOGIC.clk (.A(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_45_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_46_CONTROL_LOGIC.clk (.A(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_46_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_48_CONTROL_LOGIC.clk (.A(\clknet_5_25_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_48_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_49_CONTROL_LOGIC.clk (.A(\clknet_5_25_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_49_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_4_CONTROL_LOGIC.clk (.A(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_50_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_50_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_51_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_51_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_52_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_52_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_53_CONTROL_LOGIC.clk (.A(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_53_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_54_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_54_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_55_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_55_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_56_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_56_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_57_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_57_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_58_CONTROL_LOGIC.clk (.A(\clknet_5_18_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_58_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_5_CONTROL_LOGIC.clk (.A(\clknet_5_4_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_61_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_61_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_62_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_62_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_66_CONTROL_LOGIC.clk (.A(\clknet_5_23_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_66_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_67_CONTROL_LOGIC.clk (.A(\clknet_5_23_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_67_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_6_CONTROL_LOGIC.clk (.A(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_70_CONTROL_LOGIC.clk (.A(\clknet_5_28_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_70_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_71_CONTROL_LOGIC.clk (.A(\clknet_5_28_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_71_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_72_CONTROL_LOGIC.clk (.A(\clknet_5_28_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_72_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_73_CONTROL_LOGIC.clk (.A(\clknet_5_29_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_73_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_74_CONTROL_LOGIC.clk (.A(\clknet_5_23_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_74_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_75_CONTROL_LOGIC.clk (.A(\clknet_5_23_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_75_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_76_CONTROL_LOGIC.clk (.A(\clknet_5_19_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_76_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_77_CONTROL_LOGIC.clk (.A(\clknet_5_17_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_77_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_7_CONTROL_LOGIC.clk (.A(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_81_CONTROL_LOGIC.clk (.A(\clknet_5_22_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_81_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_84_CONTROL_LOGIC.clk (.A(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_84_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_86_CONTROL_LOGIC.clk (.A(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_86_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_87_CONTROL_LOGIC.clk (.A(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_87_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_88_CONTROL_LOGIC.clk (.A(\clknet_5_20_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_88_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_89_CONTROL_LOGIC.clk (.A(\clknet_5_20_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_89_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_8_CONTROL_LOGIC.clk (.A(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_8_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_90_CONTROL_LOGIC.clk (.A(\clknet_5_20_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_90_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_92_CONTROL_LOGIC.clk (.A(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_92_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_93_CONTROL_LOGIC.clk (.A(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_93_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_94_CONTROL_LOGIC.clk (.A(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_94_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_99_CONTROL_LOGIC.clk (.A(\clknet_5_20_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_99_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_9_CONTROL_LOGIC.clk (.A(\clknet_5_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_leaf_9_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_10_0_CONTROL_LOGIC.clk (.A(\clknet_5_30_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_10_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_10_1_CONTROL_LOGIC.clk (.A(\clknet_opt_10_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_10_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_10_2_CONTROL_LOGIC.clk (.A(\clknet_opt_10_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_10_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_10_3_CONTROL_LOGIC.clk (.A(\clknet_opt_10_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_10_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_10_4_CONTROL_LOGIC.clk (.A(\clknet_opt_10_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_10_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_10_5_CONTROL_LOGIC.clk (.A(\clknet_opt_10_4_CONTROL_LOGIC.clk ),
.X(\clknet_opt_10_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_11_0_CONTROL_LOGIC.clk (.A(\clknet_5_30_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_11_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_11_1_CONTROL_LOGIC.clk (.A(\clknet_opt_11_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_11_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_11_2_CONTROL_LOGIC.clk (.A(\clknet_opt_11_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_11_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_11_3_CONTROL_LOGIC.clk (.A(\clknet_opt_11_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_11_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_12_0_CONTROL_LOGIC.clk (.A(\clknet_5_31_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_12_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_12_1_CONTROL_LOGIC.clk (.A(\clknet_opt_12_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_12_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_12_2_CONTROL_LOGIC.clk (.A(\clknet_opt_12_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_12_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_12_3_CONTROL_LOGIC.clk (.A(\clknet_opt_12_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_12_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_12_4_CONTROL_LOGIC.clk (.A(\clknet_opt_12_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_12_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_12_5_CONTROL_LOGIC.clk (.A(\clknet_opt_12_4_CONTROL_LOGIC.clk ),
.X(\clknet_opt_12_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_12_6_CONTROL_LOGIC.clk (.A(\clknet_opt_12_5_CONTROL_LOGIC.clk ),
.X(\clknet_opt_12_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_12_7_CONTROL_LOGIC.clk (.A(\clknet_opt_12_6_CONTROL_LOGIC.clk ),
.X(\clknet_opt_12_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_1_0_CONTROL_LOGIC.clk (.A(\clknet_5_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_1_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_1_1_CONTROL_LOGIC.clk (.A(\clknet_opt_1_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_1_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_1_2_CONTROL_LOGIC.clk (.A(\clknet_opt_1_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_1_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_1_3_CONTROL_LOGIC.clk (.A(\clknet_opt_1_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_1_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_1_4_CONTROL_LOGIC.clk (.A(\clknet_opt_1_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_1_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_2_0_CONTROL_LOGIC.clk (.A(\clknet_5_9_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_2_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_2_1_CONTROL_LOGIC.clk (.A(\clknet_opt_2_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_2_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_2_2_CONTROL_LOGIC.clk (.A(\clknet_opt_2_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_2_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_2_3_CONTROL_LOGIC.clk (.A(\clknet_opt_2_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_2_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_3_0_CONTROL_LOGIC.clk (.A(\clknet_5_10_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_3_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_3_1_CONTROL_LOGIC.clk (.A(\clknet_opt_3_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_3_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_3_2_CONTROL_LOGIC.clk (.A(\clknet_opt_3_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_3_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_3_3_CONTROL_LOGIC.clk (.A(\clknet_opt_3_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_3_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_3_4_CONTROL_LOGIC.clk (.A(\clknet_opt_3_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_3_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_4_0_CONTROL_LOGIC.clk (.A(\clknet_5_10_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_4_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_4_1_CONTROL_LOGIC.clk (.A(\clknet_opt_4_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_4_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_4_2_CONTROL_LOGIC.clk (.A(\clknet_opt_4_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_4_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_4_3_CONTROL_LOGIC.clk (.A(\clknet_opt_4_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_4_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_4_4_CONTROL_LOGIC.clk (.A(\clknet_opt_4_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_4_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_5_0_CONTROL_LOGIC.clk (.A(\clknet_5_16_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_5_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_5_1_CONTROL_LOGIC.clk (.A(\clknet_opt_5_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_5_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_5_2_CONTROL_LOGIC.clk (.A(\clknet_opt_5_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_5_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_5_3_CONTROL_LOGIC.clk (.A(\clknet_opt_5_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_5_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_5_4_CONTROL_LOGIC.clk (.A(\clknet_opt_5_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_5_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_5_5_CONTROL_LOGIC.clk (.A(\clknet_opt_5_4_CONTROL_LOGIC.clk ),
.X(\clknet_opt_5_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_6_0_CONTROL_LOGIC.clk (.A(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_6_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_6_1_CONTROL_LOGIC.clk (.A(\clknet_opt_6_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_6_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_6_2_CONTROL_LOGIC.clk (.A(\clknet_opt_6_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_6_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_6_3_CONTROL_LOGIC.clk (.A(\clknet_opt_6_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_6_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_0_CONTROL_LOGIC.clk (.A(\clknet_5_21_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_1_CONTROL_LOGIC.clk (.A(\clknet_opt_7_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_2_CONTROL_LOGIC.clk (.A(\clknet_opt_7_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_3_CONTROL_LOGIC.clk (.A(\clknet_opt_7_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_4_CONTROL_LOGIC.clk (.A(\clknet_opt_7_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_5_CONTROL_LOGIC.clk (.A(\clknet_opt_7_4_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_6_CONTROL_LOGIC.clk (.A(\clknet_opt_7_5_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_7_CONTROL_LOGIC.clk (.A(\clknet_opt_7_6_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_7_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_7_8_CONTROL_LOGIC.clk (.A(\clknet_opt_7_7_CONTROL_LOGIC.clk ),
.X(\clknet_opt_7_8_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_8_0_CONTROL_LOGIC.clk (.A(\clknet_5_27_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_8_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_8_1_CONTROL_LOGIC.clk (.A(\clknet_opt_8_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_8_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_8_2_CONTROL_LOGIC.clk (.A(\clknet_opt_8_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_8_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_8_3_CONTROL_LOGIC.clk (.A(\clknet_opt_8_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_8_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_8_4_CONTROL_LOGIC.clk (.A(\clknet_opt_8_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_8_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_9_0_CONTROL_LOGIC.clk (.A(\clknet_5_30_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_9_0_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_9_1_CONTROL_LOGIC.clk (.A(\clknet_opt_9_0_CONTROL_LOGIC.clk ),
.X(\clknet_opt_9_1_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_9_2_CONTROL_LOGIC.clk (.A(\clknet_opt_9_1_CONTROL_LOGIC.clk ),
.X(\clknet_opt_9_2_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_9_3_CONTROL_LOGIC.clk (.A(\clknet_opt_9_2_CONTROL_LOGIC.clk ),
.X(\clknet_opt_9_3_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_9_4_CONTROL_LOGIC.clk (.A(\clknet_opt_9_3_CONTROL_LOGIC.clk ),
.X(\clknet_opt_9_4_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_9_5_CONTROL_LOGIC.clk (.A(\clknet_opt_9_4_CONTROL_LOGIC.clk ),
.X(\clknet_opt_9_5_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_9_6_CONTROL_LOGIC.clk (.A(\clknet_opt_9_5_CONTROL_LOGIC.clk ),
.X(\clknet_opt_9_6_CONTROL_LOGIC.clk ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 input1 (.A(io_in[15]),
.X(net1),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input10 (.A(la_data_in[102]),
.X(net10),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input100 (.A(la_data_in[79]),
.X(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input101 (.A(la_data_in[7]),
.X(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input102 (.A(la_data_in[80]),
.X(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input103 (.A(la_data_in[81]),
.X(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input104 (.A(la_data_in[82]),
.X(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input105 (.A(la_data_in[83]),
.X(net105),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input106 (.A(la_data_in[84]),
.X(net106),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input107 (.A(la_data_in[85]),
.X(net107),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input108 (.A(la_data_in[86]),
.X(net108),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input109 (.A(la_data_in[87]),
.X(net109),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input11 (.A(la_data_in[103]),
.X(net11),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input110 (.A(la_data_in[88]),
.X(net110),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input111 (.A(la_data_in[89]),
.X(net111),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input112 (.A(la_data_in[8]),
.X(net112),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input113 (.A(la_data_in[90]),
.X(net113),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input114 (.A(la_data_in[91]),
.X(net114),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input115 (.A(la_data_in[92]),
.X(net115),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input116 (.A(la_data_in[93]),
.X(net116),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input117 (.A(la_data_in[94]),
.X(net117),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input118 (.A(la_data_in[95]),
.X(net118),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input119 (.A(la_data_in[96]),
.X(net119),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input12 (.A(la_data_in[104]),
.X(net12),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input120 (.A(la_data_in[97]),
.X(net120),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input121 (.A(la_data_in[98]),
.X(net121),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input122 (.A(la_data_in[99]),
.X(net122),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input123 (.A(la_data_in[9]),
.X(net123),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input13 (.A(la_data_in[105]),
.X(net13),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input14 (.A(la_data_in[106]),
.X(net14),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input15 (.A(la_data_in[107]),
.X(net15),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input16 (.A(la_data_in[108]),
.X(net16),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input17 (.A(la_data_in[109]),
.X(net17),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input18 (.A(la_data_in[10]),
.X(net18),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input19 (.A(la_data_in[110]),
.X(net19),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input2 (.A(io_in[16]),
.X(net2),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input20 (.A(la_data_in[111]),
.X(net20),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input21 (.A(la_data_in[11]),
.X(net21),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input22 (.A(la_data_in[123]),
.X(net22),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input23 (.A(la_data_in[124]),
.X(net23),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input24 (.A(la_data_in[125]),
.X(net24),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input25 (.A(la_data_in[126]),
.X(net25),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input26 (.A(la_data_in[127]),
.X(net26),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input27 (.A(la_data_in[12]),
.X(net27),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input28 (.A(la_data_in[13]),
.X(net28),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input29 (.A(la_data_in[14]),
.X(net29),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input3 (.A(io_in[18]),
.X(net3),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input30 (.A(la_data_in[15]),
.X(net30),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input31 (.A(la_data_in[16]),
.X(net31),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input32 (.A(la_data_in[17]),
.X(net32),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input33 (.A(la_data_in[18]),
.X(net33),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input34 (.A(la_data_in[19]),
.X(net34),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input35 (.A(la_data_in[1]),
.X(net35),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input36 (.A(la_data_in[20]),
.X(net36),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input37 (.A(la_data_in[21]),
.X(net37),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input38 (.A(la_data_in[22]),
.X(net38),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input39 (.A(la_data_in[23]),
.X(net39),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_6 input4 (.A(io_in[19]),
.X(net4),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input40 (.A(la_data_in[24]),
.X(net40),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input41 (.A(la_data_in[25]),
.X(net41),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input42 (.A(la_data_in[26]),
.X(net42),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input43 (.A(la_data_in[27]),
.X(net43),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input44 (.A(la_data_in[28]),
.X(net44),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input45 (.A(la_data_in[29]),
.X(net45),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input46 (.A(la_data_in[2]),
.X(net46),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input47 (.A(la_data_in[30]),
.X(net47),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input48 (.A(la_data_in[31]),
.X(net48),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input49 (.A(la_data_in[32]),
.X(net49),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input5 (.A(io_in[20]),
.X(net5),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input50 (.A(la_data_in[33]),
.X(net50),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input51 (.A(la_data_in[34]),
.X(net51),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input52 (.A(la_data_in[35]),
.X(net52),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input53 (.A(la_data_in[36]),
.X(net53),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input54 (.A(la_data_in[37]),
.X(net54),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input55 (.A(la_data_in[38]),
.X(net55),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input56 (.A(la_data_in[39]),
.X(net56),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input57 (.A(la_data_in[3]),
.X(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input58 (.A(la_data_in[40]),
.X(net58),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input59 (.A(la_data_in[41]),
.X(net59),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input6 (.A(io_in[21]),
.X(net6),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input60 (.A(la_data_in[42]),
.X(net60),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input61 (.A(la_data_in[43]),
.X(net61),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input62 (.A(la_data_in[44]),
.X(net62),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input63 (.A(la_data_in[45]),
.X(net63),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input64 (.A(la_data_in[46]),
.X(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input65 (.A(la_data_in[47]),
.X(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input66 (.A(la_data_in[48]),
.X(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input67 (.A(la_data_in[49]),
.X(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input68 (.A(la_data_in[4]),
.X(net68),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input69 (.A(la_data_in[50]),
.X(net69),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 input7 (.A(la_data_in[0]),
.X(net7),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input70 (.A(la_data_in[51]),
.X(net70),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input71 (.A(la_data_in[52]),
.X(net71),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input72 (.A(la_data_in[53]),
.X(net72),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input73 (.A(la_data_in[54]),
.X(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input74 (.A(la_data_in[55]),
.X(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input75 (.A(la_data_in[56]),
.X(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input76 (.A(la_data_in[57]),
.X(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input77 (.A(la_data_in[58]),
.X(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input78 (.A(la_data_in[59]),
.X(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input79 (.A(la_data_in[5]),
.X(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input8 (.A(la_data_in[100]),
.X(net8),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_4 input80 (.A(la_data_in[60]),
.X(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input81 (.A(la_data_in[61]),
.X(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input82 (.A(la_data_in[62]),
.X(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input83 (.A(la_data_in[63]),
.X(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input84 (.A(la_data_in[64]),
.X(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input85 (.A(la_data_in[65]),
.X(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input86 (.A(la_data_in[66]),
.X(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input87 (.A(la_data_in[67]),
.X(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input88 (.A(la_data_in[68]),
.X(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input89 (.A(la_data_in[69]),
.X(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input9 (.A(la_data_in[101]),
.X(net9),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 input90 (.A(la_data_in[6]),
.X(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input91 (.A(la_data_in[70]),
.X(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input92 (.A(la_data_in[71]),
.X(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input93 (.A(la_data_in[72]),
.X(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input94 (.A(la_data_in[73]),
.X(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input95 (.A(la_data_in[74]),
.X(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input96 (.A(la_data_in[75]),
.X(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input97 (.A(la_data_in[76]),
.X(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input98 (.A(la_data_in[77]),
.X(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 input99 (.A(la_data_in[78]),
.X(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output124 (.A(net361),
.X(io_out[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output125 (.A(net125),
.X(la_data_out[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output126 (.A(net126),
.X(la_data_out[100]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output127 (.A(net127),
.X(la_data_out[101]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output128 (.A(net128),
.X(la_data_out[102]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output129 (.A(net129),
.X(la_data_out[103]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output130 (.A(net130),
.X(la_data_out[104]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output131 (.A(net131),
.X(la_data_out[105]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output132 (.A(net132),
.X(la_data_out[106]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output133 (.A(net133),
.X(la_data_out[107]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output134 (.A(net134),
.X(la_data_out[108]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output135 (.A(net135),
.X(la_data_out[109]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output136 (.A(net136),
.X(la_data_out[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output137 (.A(net137),
.X(la_data_out[110]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output138 (.A(net138),
.X(la_data_out[111]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output139 (.A(net139),
.X(la_data_out[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output140 (.A(net140),
.X(la_data_out[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output141 (.A(net141),
.X(la_data_out[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output142 (.A(net142),
.X(la_data_out[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output143 (.A(net143),
.X(la_data_out[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output144 (.A(net144),
.X(la_data_out[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output145 (.A(net145),
.X(la_data_out[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output146 (.A(net146),
.X(la_data_out[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output147 (.A(net147),
.X(la_data_out[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output148 (.A(net148),
.X(la_data_out[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output149 (.A(net149),
.X(la_data_out[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output150 (.A(net150),
.X(la_data_out[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output151 (.A(net151),
.X(la_data_out[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output152 (.A(net152),
.X(la_data_out[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output153 (.A(net153),
.X(la_data_out[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output154 (.A(net154),
.X(la_data_out[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output155 (.A(net155),
.X(la_data_out[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output156 (.A(net156),
.X(la_data_out[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output157 (.A(net157),
.X(la_data_out[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output158 (.A(net158),
.X(la_data_out[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output159 (.A(net159),
.X(la_data_out[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output160 (.A(net160),
.X(la_data_out[30]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output161 (.A(net161),
.X(la_data_out[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output162 (.A(net162),
.X(la_data_out[32]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output163 (.A(net163),
.X(la_data_out[33]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output164 (.A(net164),
.X(la_data_out[34]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output165 (.A(net165),
.X(la_data_out[35]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output166 (.A(net166),
.X(la_data_out[36]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output167 (.A(net167),
.X(la_data_out[37]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output168 (.A(net168),
.X(la_data_out[38]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output169 (.A(net169),
.X(la_data_out[39]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output170 (.A(net170),
.X(la_data_out[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output171 (.A(net171),
.X(la_data_out[40]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output172 (.A(net172),
.X(la_data_out[41]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output173 (.A(net173),
.X(la_data_out[42]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output174 (.A(net174),
.X(la_data_out[43]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output175 (.A(net175),
.X(la_data_out[44]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output176 (.A(net176),
.X(la_data_out[45]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output177 (.A(net177),
.X(la_data_out[46]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output178 (.A(net178),
.X(la_data_out[47]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output179 (.A(net179),
.X(la_data_out[48]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output180 (.A(net180),
.X(la_data_out[49]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output181 (.A(net181),
.X(la_data_out[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output182 (.A(net182),
.X(la_data_out[50]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output183 (.A(net183),
.X(la_data_out[51]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output184 (.A(net184),
.X(la_data_out[52]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output185 (.A(net185),
.X(la_data_out[53]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output186 (.A(net186),
.X(la_data_out[54]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output187 (.A(net187),
.X(la_data_out[55]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output188 (.A(net188),
.X(la_data_out[56]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output189 (.A(net189),
.X(la_data_out[57]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output190 (.A(net190),
.X(la_data_out[58]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output191 (.A(net191),
.X(la_data_out[59]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output192 (.A(net192),
.X(la_data_out[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output193 (.A(net360),
.X(la_data_out[60]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output194 (.A(net357),
.X(la_data_out[61]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output195 (.A(net354),
.X(la_data_out[62]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output196 (.A(net351),
.X(la_data_out[63]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output197 (.A(net348),
.X(la_data_out[64]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output198 (.A(net345),
.X(la_data_out[65]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output199 (.A(net342),
.X(la_data_out[66]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output200 (.A(net339),
.X(la_data_out[67]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output201 (.A(net336),
.X(la_data_out[68]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output202 (.A(net333),
.X(la_data_out[69]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output203 (.A(net203),
.X(la_data_out[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output204 (.A(net330),
.X(la_data_out[70]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output205 (.A(net327),
.X(la_data_out[71]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output206 (.A(net324),
.X(la_data_out[72]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output207 (.A(net321),
.X(la_data_out[73]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output208 (.A(net318),
.X(la_data_out[74]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output209 (.A(net315),
.X(la_data_out[75]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output210 (.A(net312),
.X(la_data_out[76]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output211 (.A(net309),
.X(la_data_out[77]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output212 (.A(net306),
.X(la_data_out[78]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output213 (.A(net303),
.X(la_data_out[79]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output214 (.A(net214),
.X(la_data_out[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output215 (.A(net300),
.X(la_data_out[80]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output216 (.A(net297),
.X(la_data_out[81]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output217 (.A(net293),
.X(la_data_out[82]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output218 (.A(net291),
.X(la_data_out[83]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output219 (.A(net288),
.X(la_data_out[84]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output220 (.A(net284),
.X(la_data_out[85]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output221 (.A(net282),
.X(la_data_out[86]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output222 (.A(net278),
.X(la_data_out[87]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output223 (.A(net275),
.X(la_data_out[88]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output224 (.A(net272),
.X(la_data_out[89]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output225 (.A(net225),
.X(la_data_out[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output226 (.A(net270),
.X(la_data_out[90]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output227 (.A(net266),
.X(la_data_out[91]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output228 (.A(net228),
.X(la_data_out[92]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output229 (.A(net229),
.X(la_data_out[93]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output230 (.A(net230),
.X(la_data_out[94]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output231 (.A(net231),
.X(la_data_out[95]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output232 (.A(net232),
.X(la_data_out[96]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output233 (.A(net233),
.X(la_data_out[97]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output234 (.A(net234),
.X(la_data_out[98]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output235 (.A(net235),
.X(la_data_out[99]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_2 output236 (.A(net236),
.X(la_data_out[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater1 (.A(\CONTROL_LOGIC.clk ),
.X(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater237 (.A(_0233_),
.X(net237),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater238 (.A(_0231_),
.X(net238),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater239 (.A(_0229_),
.X(net239),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater240 (.A(_0227_),
.X(net240),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater241 (.A(_0225_),
.X(net241),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater242 (.A(_0223_),
.X(net242),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater243 (.A(_0221_),
.X(net243),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater244 (.A(_0219_),
.X(net244),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater245 (.A(_0217_),
.X(net245),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater246 (.A(_0214_),
.X(net246),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater247 (.A(_0263_),
.X(net247),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater248 (.A(_0261_),
.X(net248),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater249 (.A(_0259_),
.X(net249),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater250 (.A(_0257_),
.X(net250),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater251 (.A(_0255_),
.X(net251),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater252 (.A(_0253_),
.X(net252),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater253 (.A(_0251_),
.X(net253),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater254 (.A(_0249_),
.X(net254),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater255 (.A(_0247_),
.X(net255),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater256 (.A(_0245_),
.X(net256),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater257 (.A(_0243_),
.X(net257),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater258 (.A(_0241_),
.X(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater259 (.A(_0239_),
.X(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater260 (.A(_0237_),
.X(net260),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater261 (.A(_0235_),
.X(net261),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater262 (.A(_2220_),
.X(net262),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater263 (.A(_2214_),
.X(net263),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater264 (.A(_0937_),
.X(net264),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater265 (.A(net266),
.X(net265),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater266 (.A(net227),
.X(net266),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater267 (.A(net227),
.X(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater268 (.A(net226),
.X(net268),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater269 (.A(net270),
.X(net269),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater270 (.A(net226),
.X(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater271 (.A(net272),
.X(net271),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater272 (.A(net224),
.X(net272),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater273 (.A(net224),
.X(net273),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater274 (.A(net275),
.X(net274),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater275 (.A(net223),
.X(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater276 (.A(net223),
.X(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater277 (.A(net278),
.X(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater278 (.A(net222),
.X(net278),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater279 (.A(net222),
.X(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater280 (.A(net221),
.X(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater281 (.A(net282),
.X(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater282 (.A(net221),
.X(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater283 (.A(net284),
.X(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater284 (.A(net220),
.X(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater285 (.A(net220),
.X(net285),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater286 (.A(net219),
.X(net286),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater287 (.A(net288),
.X(net287),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater288 (.A(net219),
.X(net288),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater289 (.A(net218),
.X(net289),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater290 (.A(net291),
.X(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater291 (.A(net218),
.X(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater292 (.A(net293),
.X(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater293 (.A(net217),
.X(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater294 (.A(net217),
.X(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater295 (.A(net216),
.X(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater296 (.A(net297),
.X(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater297 (.A(net216),
.X(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater298 (.A(net215),
.X(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater299 (.A(net300),
.X(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater300 (.A(net215),
.X(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater301 (.A(net213),
.X(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater302 (.A(net303),
.X(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater303 (.A(net213),
.X(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater304 (.A(net306),
.X(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater305 (.A(net212),
.X(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater306 (.A(net212),
.X(net306),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater307 (.A(net211),
.X(net307),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater308 (.A(net309),
.X(net308),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater309 (.A(net211),
.X(net309),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater310 (.A(net312),
.X(net310),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater311 (.A(net210),
.X(net311),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater312 (.A(net210),
.X(net312),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater313 (.A(net315),
.X(net313),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater314 (.A(net209),
.X(net314),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater315 (.A(net209),
.X(net315),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater316 (.A(net208),
.X(net316),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater317 (.A(net318),
.X(net317),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater318 (.A(net208),
.X(net318),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater319 (.A(net207),
.X(net319),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater320 (.A(net321),
.X(net320),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater321 (.A(net207),
.X(net321),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater322 (.A(net206),
.X(net322),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater323 (.A(net324),
.X(net323),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater324 (.A(net206),
.X(net324),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater325 (.A(net327),
.X(net325),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater326 (.A(net205),
.X(net326),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater327 (.A(net205),
.X(net327),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater328 (.A(net330),
.X(net328),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater329 (.A(net204),
.X(net329),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater330 (.A(net204),
.X(net330),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater331 (.A(net333),
.X(net331),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater332 (.A(net202),
.X(net332),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater333 (.A(net202),
.X(net333),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater334 (.A(net336),
.X(net334),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater335 (.A(net201),
.X(net335),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater336 (.A(net201),
.X(net336),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater337 (.A(net339),
.X(net337),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater338 (.A(net200),
.X(net338),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater339 (.A(net200),
.X(net339),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater340 (.A(net342),
.X(net340),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater341 (.A(net199),
.X(net341),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater342 (.A(net199),
.X(net342),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater343 (.A(net198),
.X(net343),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater344 (.A(net345),
.X(net344),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater345 (.A(net198),
.X(net345),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater346 (.A(net197),
.X(net346),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater347 (.A(net348),
.X(net347),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater348 (.A(net197),
.X(net348),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater349 (.A(net196),
.X(net349),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater350 (.A(net351),
.X(net350),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater351 (.A(net196),
.X(net351),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater352 (.A(net354),
.X(net352),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater353 (.A(net195),
.X(net353),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater354 (.A(net195),
.X(net354),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater355 (.A(net194),
.X(net355),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater356 (.A(net357),
.X(net356),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater357 (.A(net194),
.X(net357),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater358 (.A(net360),
.X(net358),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater359 (.A(net193),
.X(net359),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater360 (.A(net193),
.X(net360),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater361 (.A(net362),
.X(net361),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater362 (.A(net124),
.X(net362),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater363 (.A(net137),
.X(net363),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater364 (.A(net135),
.X(net364),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater365 (.A(net134),
.X(net365),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater366 (.A(net367),
.X(net366),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater367 (.A(net128),
.X(net367),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater368 (.A(net127),
.X(net368),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater369 (.A(net370),
.X(net369),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater370 (.A(net127),
.X(net370),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater371 (.A(net373),
.X(net371),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater372 (.A(net373),
.X(net372),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater373 (.A(net126),
.X(net373),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater374 (.A(net376),
.X(net374),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater375 (.A(net376),
.X(net375),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater376 (.A(net235),
.X(net376),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater377 (.A(net379),
.X(net377),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater378 (.A(net379),
.X(net378),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater379 (.A(net234),
.X(net379),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater380 (.A(net382),
.X(net380),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater381 (.A(net382),
.X(net381),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater382 (.A(net233),
.X(net382),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater383 (.A(net385),
.X(net383),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater384 (.A(net385),
.X(net384),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater385 (.A(net232),
.X(net385),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater386 (.A(net388),
.X(net386),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater387 (.A(net388),
.X(net387),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater388 (.A(net231),
.X(net388),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater389 (.A(net391),
.X(net389),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater390 (.A(net230),
.X(net390),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater391 (.A(net230),
.X(net391),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater392 (.A(net394),
.X(net392),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater393 (.A(net394),
.X(net393),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater394 (.A(net229),
.X(net394),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater395 (.A(net397),
.X(net395),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater396 (.A(net397),
.X(net396),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater397 (.A(net228),
.X(net397),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater398 (.A(net400),
.X(net398),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater399 (.A(net400),
.X(net399),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater400 (.A(net190),
.X(net400),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater401 (.A(net189),
.X(net401),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater402 (.A(net189),
.X(net402),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater403 (.A(net188),
.X(net403),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater404 (.A(net188),
.X(net404),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater405 (.A(net187),
.X(net405),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater406 (.A(net187),
.X(net406),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater407 (.A(net186),
.X(net407),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater408 (.A(net409),
.X(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater409 (.A(net186),
.X(net409),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater410 (.A(net179),
.X(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater411 (.A(net178),
.X(net411),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater412 (.A(net177),
.X(net412),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater413 (.A(net176),
.X(net413),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater414 (.A(net175),
.X(net414),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater415 (.A(net174),
.X(net415),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater416 (.A(net173),
.X(net416),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater417 (.A(net172),
.X(net417),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater418 (.A(net419),
.X(net418),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater419 (.A(net171),
.X(net419),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater420 (.A(net421),
.X(net420),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater421 (.A(net169),
.X(net421),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater422 (.A(net423),
.X(net422),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater423 (.A(net168),
.X(net423),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater424 (.A(net5),
.X(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 repeater425 (.A(net426),
.X(net425),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater426 (.A(net427),
.X(net426),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater427 (.A(net4),
.X(net427),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater428 (.A(net3),
.X(net428),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater429 (.A(net26),
.X(net429),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_16 repeater430 (.A(net24),
.X(net430),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__buf_12 repeater431 (.A(net1),
.X(net431),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
endmodule