Add files via upload
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION b/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION
new file mode 100644
index 0000000..ba96224
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION
@@ -0,0 +1 @@
+openlane 2021.09.19_20.25.16
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/PDK_SOURCES b/openlane/user_project_wrapper/runs/user_project_wrapper/PDK_SOURCES
new file mode 100644
index 0000000..22b75cd
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/PDK_SOURCES
@@ -0,0 +1,4 @@
+-ne skywater-pdk 
+c094b6e83a4f9298e47f696ec5a7fd53535ec5eb
+-ne open_pdks 
+6c05bc48dc88784f9d98b89d6791cdfd91526676
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log b/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log
new file mode 100644
index 0000000..43ef1f6
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log
@@ -0,0 +1,128 @@
+Fri Oct 29 08:41:00 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/extract_metal_layers.py -t /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/met_layers_list.txt"
+
+Fri Oct 29 08:41:01 UTC 2021 - Executing "/openLANE_flow/scripts/mergeLef.py -i /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef {/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_12.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_8.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__decap_12.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef} -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef |& tee >&@stdout"
+
+Fri Oct 29 08:41:01 UTC 2021 - Executing "/openLANE_flow/scripts/mergeLef.py -i /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef /project/openlane/user_project_wrapper/../../lef/user_proj_example.lef -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef |& tee >&@stdout"
+
+Fri Oct 29 08:41:01 UTC 2021 - Executing "/openLANE_flow/scripts/libtrim.pl /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/trimmed.lib.exclude.list > /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/trimmed.lib"
+
+Fri Oct 29 08:41:01 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/new_tracks.py -i /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/config.tracks"
+
+Fri Oct 29 08:41:02 UTC 2021 - Executing "echo {openlane 2021.09.19_20.25.16} > /project/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION"
+
+Fri Oct 29 08:41:02 UTC 2021 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
+
+Fri Oct 29 08:41:02 UTC 2021 - Executing "yosys -c /openLANE_flow/scripts/synth_top.tcl -l /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-yosys.log |& tee >&@stdout"
+
+Fri Oct 29 08:41:02 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.synthesis.v/} /project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+
+Fri Oct 29 08:41:02 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+
+Fri Oct 29 08:41:02 UTC 2021 - Executing "sed -i /defparam/d /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v"
+
+Fri Oct 29 08:41:02 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-opensta"
+
+Fri Oct 29 08:41:05 UTC 2021 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
+
+Fri Oct 29 08:41:05 UTC 2021 - Executing "yosys -c /openLANE_flow/scripts/synth_top.tcl -l /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/3-yosys.log |& tee >&@stdout"
+
+Fri Oct 29 08:41:05 UTC 2021 - Executing "sed -i /defparam/d /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/yosys.pg_define.v"
+
+Fri Oct 29 08:41:05 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-verilog2def.openroad.log"
+
+Fri Oct 29 08:41:06 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/io_place.py --input-lef /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef --input-def /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-verilog2def_openroad.def --config /soft/ProgramFiles/caravel_user_project/caravel/openlane/user_project_wrapper_empty/pin_order.cfg --hor-layer 4 --ver-layer 3 --ver-width-mult 4 --hor-width-mult 4 --hor-extension 4.8 --ver-extension 4.8 --length 2.4 -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/5-ioPlacer.def |& tee /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/5-place_io_ol.log >&@stdout"
+
+Fri Oct 29 08:41:08 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/manual_macro_place.py -l /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef -id /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/5-ioPlacer.def -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/5-ioPlacer.macro_placement.def -c /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/macro_placement.cfg -f |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/6-macro_placement.log"
+
+Fri Oct 29 08:41:09 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/8-pdn.log"
+
+Fri Oct 29 08:41:10 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/10-pdn.log"
+
+Fri Oct 29 08:41:12 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/12-pdn.log"
+
+Fri Oct 29 08:41:14 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/14-pdn.log"
+
+Fri Oct 29 08:41:15 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/random_place.py --lef /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef --input-def /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/13-pdn.def --output-def /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/15-replace.def |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/15-replace.log"
+
+Fri Oct 29 08:41:16 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/16-opendp.log"
+
+Fri Oct 29 08:41:18 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_resizer_routing_timing.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/17-resizer_timing.log"
+
+Fri Oct 29 08:41:21 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/18-write_verilog.log"
+
+Fri Oct 29 08:41:22 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.synthesis_optimized.v/} /project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+
+Fri Oct 29 08:41:22 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.synthesis.v/} /project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+
+Fri Oct 29 08:41:22 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/19-opensta_post_resizer_routing_timing"
+
+Fri Oct 29 08:41:25 UTC 2021 - Executing "cp /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/17-resizer_timing.def /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/20-addspacers.def"
+
+Fri Oct 29 08:41:25 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/add_def_obstructions.py --input-def /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/20-addspacers.def --lef /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef --obstructions {met5 0 0 2920 3520} --output /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/20-addspacers.obs.def |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/obs.log"
+
+Fri Oct 29 08:41:26 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/21-opendp.log"
+
+Fri Oct 29 08:41:27 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_groute.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/22-fastroute.log"
+
+Fri Oct 29 08:41:31 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/23-write_verilog.log"
+
+Fri Oct 29 08:41:32 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.synthesis_preroute.v/} /project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+
+Fri Oct 29 08:41:32 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.synthesis_optimized.v/} /project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+
+Fri Oct 29 08:41:32 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_droute.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/24-tritonRoute.log"
+
+Fri Oct 29 08:42:46 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/tr2klayout.py -i /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/24-tritonRoute.drc -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/24-tritonRoute.klayout.xml --design-name user_project_wrapper"
+
+Fri Oct 29 08:42:47 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_rcx.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/25-spef_extraction.log"
+
+Fri Oct 29 08:42:49 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/sta.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/26-opensta_spef"
+
+Fri Oct 29 08:42:51 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/write_powered_def.py -d /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def -l /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef --power-port vccd1 --ground-port vssd1 --powered-netlist /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/yosys.pg_define.v -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/27-user_project_wrapper.powered.def |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/lvs/27-write_powered_verilog.log"
+
+Fri Oct 29 08:42:53 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/28-write_verilog.log"
+
+Fri Oct 29 08:42:54 UTC 2021 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/lvs\/user_project_wrapper.lvs.powered.v/} /project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+
+Fri Oct 29 08:42:54 UTC 2021 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.synthesis_preroute.v/} /project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+
+Fri Oct 29 08:42:54 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/mag_gds.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/magic/29-magic.log"
+
+Fri Oct 29 08:42:57 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/gds_pointers.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/magic/30-magic.mag.gds_ptrs.log"
+
+Fri Oct 29 08:42:59 UTC 2021 - Executing "sed -i -n {/^<< properties >>/,/^<< end >>/p} /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/magic/magic_gds_ptrs.mag"
+
+Fri Oct 29 08:42:59 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/lef.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/magic/31-magic.lef.log"
+
+Fri Oct 29 08:43:00 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/maglef.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/magic/32-magic.maglef.log"
+
+Fri Oct 29 08:43:00 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/def2gds.sh /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lyt /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def user_project_wrapper /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/klayout/user_project_wrapper.gds {/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_8.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fakediode_2.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__decap_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_4.gds  /project/openlane/user_project_wrapper/../../gds/user_proj_example.gds} |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/klayout/33-klayout.log"
+
+Fri Oct 29 08:43:02 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/xor.sh /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/magic/user_project_wrapper.gds /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/klayout/user_project_wrapper.gds user_project_wrapper /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/klayout/user_project_wrapper.xor.gds |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/klayout/34-klayout.xor.log"
+
+Fri Oct 29 08:43:26 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/parse_klayout_xor_log.py -l /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/klayout/34-klayout.xor.log -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/34-klayout.xor.rpt"
+
+Fri Oct 29 08:43:27 UTC 2021 - Executing "bash /openLANE_flow/scripts/klayout/xor.sh /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/magic/user_project_wrapper.gds /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/klayout/user_project_wrapper.gds user_project_wrapper /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/klayout/user_project_wrapper.xor.xml |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/klayout/35-klayout.xor.log"
+
+Fri Oct 29 08:43:52 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/parse_klayout_xor_log.py -l /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/klayout/35-klayout.xor.log -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/35-klayout.xor.rpt"
+
+Fri Oct 29 08:43:53 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/magic_spice.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/magic/36-magic_spice.log"
+
+Fri Oct 29 08:44:00 UTC 2021 - Executing "netgen -batch source /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/lvs/setup_file.lef.lvs |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/lvs/37-lvs.lef.log"
+
+Fri Oct 29 08:44:00 UTC 2021 - Executing "magic -noconsole -dnull -rcfile /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic/drc.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/magic/38-magic.drc.log"
+
+Fri Oct 29 08:45:10 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/magic_drc_to_tcl.py -i /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.tcl"
+
+Fri Oct 29 08:45:11 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/magic_drc_to_tr_drc.py -i /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.tr.drc"
+
+Fri Oct 29 08:45:13 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/tr2klayout.py -i /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.tr.drc -o /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.klayout.xml --design-name user_project_wrapper"
+
+Fri Oct 29 08:45:14 UTC 2021 - Executing "openroad -python /openLANE_flow/scripts/magic_drc_to_rdb.py --magic_drc_in /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc --rdb_out /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.rdb"
+
+Fri Oct 29 08:45:15 UTC 2021 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_antenna_check.tcl |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/39-or_antenna.log"
+
+Fri Oct 29 08:45:16 UTC 2021 - Executing "mv -f /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/antenna.rpt /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/40-antenna.rpt"
+
+Fri Oct 29 08:45:16 UTC 2021 - Executing "openroad -python /openLANE_flow/generate_reports.py -d /project/openlane/user_project_wrapper --design_name user_project_wrapper --tag user_project_wrapper --output_file /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/final_summary_report.csv --man_report /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/manufacturability_report.rpt --runtime_summary /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/runtime_summary_report.rpt --run_path /project/openlane/user_project_wrapper/runs/user_project_wrapper"
+
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl
new file mode 100644
index 0000000..25c5158
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl
@@ -0,0 +1,738 @@
+# Run configs
+set ::env(PDK_ROOT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks"
+set ::env(BASE_SDC_FILE) "/openLANE_flow/scripts/base.sdc"
+set ::env(BOTTOM_MARGIN_MULT) "4"
+set ::env(CARRY_SELECT_ADDER_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/csa_map.v"
+set ::env(CELLS_LEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(CELLS_LEF_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_12.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_8.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__decap_12.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef"
+set ::env(CELLS_LEF_UNPADDED) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(CELL_CLK_PORT) "CLK"
+set ::env(CELL_PAD) "4"
+set ::env(CELL_PAD_EXCLUDE) "sky130_fd_sc_hd__tap* sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill*"
+set ::env(CHECK_ASSIGN_STATEMENTS) "0"
+set ::env(CHECK_UNMAPPED_CELLS) "1"
+set ::env(CLK_BUFFER) "sky130_fd_sc_hd__clkbuf_4"
+set ::env(CLK_BUFFER_INPUT) "A"
+set ::env(CLK_BUFFER_OUTPUT) "X"
+set ::env(CLOCK_BUFFER_FANOUT) "16"
+set ::env(CLOCK_NET) "mprj.clk"
+set ::env(CLOCK_PERIOD) "10"
+set ::env(CLOCK_PORT) "user_clock2"
+set ::env(CLOCK_TREE_SYNTH) "0"
+set ::env(CLOCK_WIRE_RC_LAYER) "met5"
+set ::env(CONFIGS) "/openLANE_flow/configuration/cts.tcl /openLANE_flow/configuration/floorplan.tcl /openLANE_flow/configuration/lvs.tcl /openLANE_flow/configuration/extraction.tcl /openLANE_flow/configuration/synthesis.tcl /openLANE_flow/configuration/routing.tcl /openLANE_flow/configuration/checkers.tcl /openLANE_flow/configuration/placement.tcl /openLANE_flow/configuration/general.tcl"
+set ::env(CTS_CLK_BUFFER_LIST) "sky130_fd_sc_hd__clkbuf_1 sky130_fd_sc_hd__clkbuf_2 sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_8"
+set ::env(CTS_MAX_CAP) "1.53169"
+set ::env(CTS_REPORT_TIMING) "1"
+set ::env(CTS_ROOT_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
+set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) "50"
+set ::env(CTS_SINK_CLUSTERING_SIZE) "20"
+set ::env(CTS_SQR_CAP) "0.258e-3"
+set ::env(CTS_SQR_RES) "0.125"
+set ::env(CTS_TARGET_SKEW) "200"
+set ::env(CTS_TECH_DIR) "N/A"
+set ::env(CTS_TOLERANCE) "100"
+set ::env(DATA_WIRE_RC_LAYER) "met2"
+set ::env(DECAP_CELL) "sky130_fd_sc_hd__decap_"
+set ::env(DEF_UNITS_PER_MICRON) "1000"
+set ::env(DESIGN_CONFIG) "/project/openlane/user_project_wrapper/config.tcl"
+set ::env(DESIGN_DIR) "/project/openlane/user_project_wrapper"
+set ::env(DESIGN_IS_CORE) "1"
+set ::env(DESIGN_NAME) "user_project_wrapper"
+set ::env(DETAILED_ROUTER) "tritonroute"
+set ::env(DIE_AREA) "0 0 2920 3520"
+set ::env(DIODE_CELL) "sky130_fd_sc_hd__diode_2"
+set ::env(DIODE_CELL_PIN) "DIODE"
+set ::env(DIODE_INSERTION_STRATEGY) "0"
+set ::env(DIODE_PADDING) "2"
+set ::env(DRC_EXCLUDE_CELL_LIST) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells"
+set ::env(DRC_EXCLUDE_CELL_LIST_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells"
+set ::env(EXTRA_GDS_FILES) " /project/openlane/user_project_wrapper/../../gds/user_proj_example.gds"
+set ::env(EXTRA_LEFS) " /project/openlane/user_project_wrapper/../../lef/user_proj_example.lef"
+set ::env(FAKEDIODE_CELL) "sky130_ef_sc_hd__fakediode_2"
+set ::env(FILL_CELL) "sky130_fd_sc_hd__fill_"
+set ::env(FILL_INSERTION) "0"
+set ::env(FP_ASPECT_RATIO) "1"
+set ::env(FP_CORE_MARGIN) "0"
+set ::env(FP_CORE_UTIL) "50"
+set ::env(FP_ENDCAP_CELL) "sky130_fd_sc_hd__decap_3"
+set ::env(FP_HORIZONTAL_HALO) "10"
+set ::env(FP_IO_HEXTEND) "4.8"
+set ::env(FP_IO_HLENGTH) "2.4"
+set ::env(FP_IO_HMETAL) "4"
+set ::env(FP_IO_HTHICKNESS_MULT) "4"
+set ::env(FP_IO_MIN_DISTANCE) "5"
+set ::env(FP_IO_MODE) "1"
+set ::env(FP_IO_VEXTEND) "4.8"
+set ::env(FP_IO_VLENGTH) "2.4"
+set ::env(FP_IO_VMETAL) "3"
+set ::env(FP_IO_VTHICKNESS_MULT) "4"
+set ::env(FP_PDN_AUTO_ADJUST) "1"
+set ::env(FP_PDN_CHECK_NODES) "0"
+set ::env(FP_PDN_CORE_RING) "1"
+set ::env(FP_PDN_CORE_RING_HOFFSET) "14"
+set ::env(FP_PDN_CORE_RING_HSPACING) "1.7"
+set ::env(FP_PDN_CORE_RING_HWIDTH) "3.1"
+set ::env(FP_PDN_CORE_RING_VOFFSET) "14"
+set ::env(FP_PDN_CORE_RING_VSPACING) "1.7"
+set ::env(FP_PDN_CORE_RING_VWIDTH) "3.1"
+set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) "1"
+set ::env(FP_PDN_ENABLE_MACROS_GRID) "1"
+set ::env(FP_PDN_ENABLE_RAILS) "0"
+set ::env(FP_PDN_HOFFSET) "5"
+set ::env(FP_PDN_HPITCH) "180"
+set ::env(FP_PDN_HSPACING) "15.5"
+set ::env(FP_PDN_HWIDTH) "3.1"
+set ::env(FP_PDN_IRDROP) "1"
+set ::env(FP_PDN_LOWER_LAYER) "met4"
+set ::env(FP_PDN_MACRO_HOOKS) " mprj vccd1 vssd1"
+set ::env(FP_PDN_RAILS_LAYER) "met1"
+set ::env(FP_PDN_RAIL_OFFSET) "0"
+set ::env(FP_PDN_RAIL_WIDTH) "0.48"
+set ::env(FP_PDN_UPPER_LAYER) "met5"
+set ::env(FP_PDN_VOFFSET) "5"
+set ::env(FP_PDN_VPITCH) "180"
+set ::env(FP_PDN_VSPACING) "15.5"
+set ::env(FP_PDN_VWIDTH) "3.1"
+set ::env(FP_PIN_ORDER_CFG) "/soft/ProgramFiles/caravel_user_project/caravel/openlane/user_project_wrapper_empty/pin_order.cfg"
+set ::env(FP_SIZING) "absolute"
+set ::env(FP_TAPCELL_DIST) "13"
+set ::env(FP_VERTICAL_HALO) "10"
+set ::env(FP_WELLTAP_CELL) "sky130_fd_sc_hd__tapvpwrvgnd_1"
+set ::env(FULL_ADDER_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/fa_map.v"
+set ::env(GDS_FILES) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_8.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fakediode_2.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__decap_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_4.gds"
+set ::env(GDS_FILES_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_8.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fakediode_2.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__decap_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_4.gds"
+set ::env(GENERATE_FINAL_SUMMARY_REPORT) "1"
+set ::env(GLB_CFG_FILE) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+set ::env(GLB_OPTIMIZE_MIRRORING) "1"
+set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) "1"
+set ::env(GLB_RT_ADJUSTMENT) "0.0"
+set ::env(GLB_RT_ALLOW_CONGESTION) "0"
+set ::env(GLB_RT_ANT_ITERS) "3"
+set ::env(GLB_RT_ESTIMATE_PARASITICS) "1"
+set ::env(GLB_RT_L1_ADJUSTMENT) "0.99"
+set ::env(GLB_RT_L2_ADJUSTMENT) "0"
+set ::env(GLB_RT_L3_ADJUSTMENT) "0"
+set ::env(GLB_RT_L4_ADJUSTMENT) "0"
+set ::env(GLB_RT_L5_ADJUSTMENT) "0"
+set ::env(GLB_RT_L6_ADJUSTMENT) "0"
+set ::env(GLB_RT_MAXLAYER) "5"
+set ::env(GLB_RT_MAX_DIODE_INS_ITERS) "1"
+set ::env(GLB_RT_MINLAYER) "1"
+set ::env(GLB_RT_OVERFLOW_ITERS) "50"
+set ::env(GLOBAL_ROUTER) "fastroute"
+set ::env(GND_NETS) "vssd1 vssd2 vssa1 vssa2"
+set ::env(GND_PIN) "VGND"
+set ::env(GPIO_PADS_LEF) " /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_io/lef/sky130_fd_io.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef "
+set ::env(GPIO_PADS_LEF_CORE_SIDE) " /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/custom_cells/lef/sky130_fd_io_core.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/custom_cells/lef/sky130_ef_io_core.lef "
+set ::env(GPIO_PADS_VERILOG) " /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_io/verilog/sky130_ef_io.v /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_io/verilog/sky130_ef_io__gpiov2_pad_wrapped.v "
+set ::env(IO_PCT) "0.2"
+set ::env(KLAYOUT_DRC_KLAYOUT_GDS) "0"
+set ::env(KLAYOUT_DRC_TECH) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lydrc"
+set ::env(KLAYOUT_DRC_TECH_SCRIPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.drc"
+set ::env(KLAYOUT_PROPERTIES) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lyp"
+set ::env(KLAYOUT_TECH) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lyt"
+set ::env(KLAYOUT_XOR_GDS) "1"
+set ::env(KLAYOUT_XOR_XML) "1"
+set ::env(LAYERS_RC) " li1 1.499e-04 7.176e-02, met1 1.449e-04 8.929e-04, met2 1.331e-04 8.929e-04, met3 1.464e-04 1.567e-04, met4 1.297e-04 1.567e-04, met5 1.501e-04 1.781e-05"
+set ::env(LEC_ENABLE) "0"
+set ::env(LEFT_MARGIN_MULT) "12"
+set ::env(LIB_FASTEST) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib"
+set ::env(LIB_SLOWEST) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
+set ::env(LIB_SLOWEST_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
+set ::env(LIB_SYNTH) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/trimmed.lib"
+set ::env(LIB_SYNTH_COMPLETE) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(LIB_TYPICAL) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(LOG_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs"
+set ::env(LVS_CONNECT_BY_LABEL) "0"
+set ::env(LVS_INSERT_POWER_PINS) "1"
+set ::env(MACRO_PLACEMENT_CFG) "/project/openlane/user_project_wrapper/macro.cfg"
+set ::env(MAGIC_CONVERT_DRC_TO_RDB) "1"
+set ::env(MAGIC_DISABLE_HIER_GDS) "1"
+set ::env(MAGIC_DRC_USE_GDS) "1"
+set ::env(MAGIC_EXT_USE_GDS) "0"
+set ::env(MAGIC_GENERATE_GDS) "1"
+set ::env(MAGIC_GENERATE_LEF) "1"
+set ::env(MAGIC_GENERATE_MAGLEF) "1"
+set ::env(MAGIC_INCLUDE_GDS_POINTERS) "0"
+set ::env(MAGIC_MAGICRC) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc"
+set ::env(MAGIC_PAD) "0"
+set ::env(MAGIC_TECH_FILE) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.tech"
+set ::env(MAGIC_WRITE_FULL_LEF) "0"
+set ::env(MAGIC_ZEROIZE_ORIGIN) "0"
+set ::env(MAX_METAL_LAYER) "6"
+set ::env(MERGED_LEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(MERGED_LEF_ORIGINAL) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(MERGED_LEF_UNPADDED) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(MERGED_LEF_UNPADDED_ORIGINAL) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(MERGED_LEF_UNPADDED_WIDENED) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(MERGED_LEF_WIDENED) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(NETGEN_SETUP_FILE) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/netgen/sky130A_setup.tcl"
+set ::env(NO_SYNTH_CELL_LIST) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells"
+set ::env(PDK) "sky130A"
+set ::env(PDKPATH) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A"
+set ::env(PDN_CFG) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/common_pdn.tcl"
+set ::env(PLACE_SITE) "unithd"
+set ::env(PLACE_SITE_HEIGHT) "2.720"
+set ::env(PLACE_SITE_WIDTH) "0.460"
+set ::env(PL_BASIC_PLACEMENT) "0"
+set ::env(PL_ESTIMATE_PARASITICS) "1"
+set ::env(PL_LIB) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(PL_OPTIMIZE_MIRRORING) "1"
+set ::env(PL_RANDOM_GLB_PLACEMENT) "1"
+set ::env(PL_RANDOM_INITIAL_PLACEMENT) "0"
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) "0"
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) "0"
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) "0"
+set ::env(PL_RESIZER_MAX_WIRE_LENGTH) "0"
+set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) "0"
+set ::env(PL_ROUTABILITY_DRIVEN) "0"
+set ::env(PL_SKIP_INITIAL_PLACEMENT) "0"
+set ::env(PL_TARGET_DENSITY) "0.55"
+set ::env(PL_TIME_DRIVEN) "0"
+set ::env(PROCESS) "130"
+set ::env(PSN_ENABLE_PIN_SWAP) "1"
+set ::env(PSN_ENABLE_RESIZING) "1"
+set ::env(PSN_TRANSFORM_PATH) "//.local/transforms"
+set ::env(QUIT_ON_ILLEGAL_OVERLAPS) "1"
+set ::env(QUIT_ON_LVS_ERROR) "1"
+set ::env(QUIT_ON_MAGIC_DRC) "1"
+set ::env(QUIT_ON_TR_DRC) "1"
+set ::env(RCX_CC_MODEL) "10"
+set ::env(RCX_CONTEXT_DEPTH) "5"
+set ::env(RCX_CORNER_COUNT) "1"
+set ::env(RCX_COUPLING_THRESHOLD) "0.1"
+set ::env(RCX_MAX_RESISTANCE) "50"
+set ::env(RCX_MERGE_VIA_WIRE_RES) "1"
+set ::env(RCX_RULES) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/rcx_rules.info"
+set ::env(REPORTS_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports"
+set ::env(RESULTS_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results"
+set ::env(RE_BUFFER_CELL) "sky130_fd_sc_hd__buf_4"
+set ::env(RIGHT_MARGIN_MULT) "12"
+set ::env(RIPPLE_CARRY_ADDER_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/rca_map.v"
+set ::env(ROOT_CLK_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
+set ::env(ROUTING_CORES) "2"
+set ::env(ROUTING_OPT_ITERS) "64"
+set ::env(RUN_CVC) "0"
+set ::env(RUN_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper"
+set ::env(RUN_KLAYOUT) "1"
+set ::env(RUN_KLAYOUT_DRC) "0"
+set ::env(RUN_KLAYOUT_XOR) "1"
+set ::env(RUN_MAGIC) "1"
+set ::env(RUN_ROUTING_DETAILED) "1"
+set ::env(RUN_SIMPLE_CTS) "0"
+set ::env(RUN_SPEF_EXTRACTION) "1"
+set ::env(RUN_TAG) "user_project_wrapper"
+set ::env(SPEF_EDGE_CAP_FACTOR) "1"
+set ::env(SPEF_EXTRACTOR) "openrcx"
+set ::env(SPEF_WIRE_MODEL) "L"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+set ::env(STD_CELL_LIBRARY_CDL) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl"
+set ::env(STD_CELL_LIBRARY_OPT) "sky130_fd_sc_hd"
+set ::env(STD_CELL_LIBRARY_OPT_CDL) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl"
+set ::env(SYNTH_ADDER_TYPE) "YOSYS"
+set ::env(SYNTH_BIN) "yosys"
+set ::env(SYNTH_BUFFERING) "1"
+set ::env(SYNTH_CAP_LOAD) "17.65"
+set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_8"
+set ::env(SYNTH_DRIVING_CELL_PIN) "Y"
+set ::env(SYNTH_FLAT_TOP) "0"
+set ::env(SYNTH_LATCH_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/latch_map.v"
+set ::env(SYNTH_MAX_FANOUT) "5"
+set ::env(SYNTH_MIN_BUF_PORT) "sky130_fd_sc_hd__buf_2 A X"
+set ::env(SYNTH_MUX4_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux4_map.v"
+set ::env(SYNTH_MUX_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux2_map.v"
+set ::env(SYNTH_NO_FLAT) "0"
+set ::env(SYNTH_READ_BLACKBOX_LIB) "0"
+set ::env(SYNTH_SCRIPT) "/openLANE_flow/scripts/synth.tcl"
+set ::env(SYNTH_SHARE_RESOURCES) "1"
+set ::env(SYNTH_SIZING) "0"
+set ::env(SYNTH_STRATEGY) "AREA 0"
+set ::env(SYNTH_TIEHI_PORT) "sky130_fd_sc_hd__conb_1 HI"
+set ::env(SYNTH_TIELO_PORT) "sky130_fd_sc_hd__conb_1 LO"
+set ::env(SYNTH_TOP_LEVEL) "1"
+set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS"
+set ::env(TAKE_LAYOUT_SCROT) "0"
+set ::env(TAP_DECAP_INSERTION) "0"
+set ::env(TECH_LEF) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef"
+set ::env(TECH_LEF_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef"
+set ::env(TECH_METAL_LAYERS) "li1 met1 met2 met3 met4 met5"
+set ::env(TERMINAL_OUTPUT) ">&@stdout"
+set ::env(TMP_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp"
+set ::env(TOP_MARGIN_MULT) "4"
+set ::env(TRACKS_INFO_FILE) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/config.tracks"
+set ::env(TRISTATE_BUFFER_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v"
+set ::env(USE_ARC_ANTENNA_CHECK) "1"
+set ::env(USE_GPIO_PADS) "0"
+set ::env(VDD_NETS) "vccd1 vccd2 vdda1 vdda2"
+set ::env(VDD_PIN) "VPWR"
+set ::env(VERILOG_FILES) " /soft/ProgramFiles/caravel_user_project/caravel/verilog/rtl/defines.v  /project/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v"
+set ::env(VERILOG_FILES_BLACKBOX) " /soft/ProgramFiles/caravel_user_project/caravel/verilog/rtl/defines.v  /project/openlane/user_project_wrapper/../../verilog/rtl/user_proj_example.v"
+set ::env(VIAS_RC) " mcon 9.249146E-3, via 4.5E-3, via2 3.368786E-3, via3 0.376635E-3, via4 0.00580E-3"
+set ::env(WIDEN_SITE) "1"
+set ::env(WIDEN_SITE_IS_FACTOR) "1"
+set ::env(WIRE_RC_LAYER) "met1"
+set ::env(YOSYS_REWRITE_VERILOG) "0"
+set ::env(addspacers_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/addspacers"
+set ::env(addspacers_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/addspacers"
+set ::env(addspacers_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/addspacers"
+set ::env(cts_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/cts"
+set ::env(cts_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts"
+set ::env(cts_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/cts/user_project_wrapper.cts"
+set ::env(cts_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cts/cts"
+set ::env(cvc_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cvc/cvc"
+set ::env(cvc_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cvc/cvc"
+set ::env(cvc_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/cvc/user_project_wrapper"
+set ::env(cvc_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cvc/cvc"
+set ::env(datetime) "29-10_08-40"
+set ::env(fastroute_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/fastroute"
+set ::env(fastroute_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/fastroute"
+set ::env(fastroute_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/fastroute"
+set ::env(ioPlacer_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/ioPlacer"
+set ::env(ioPlacer_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/ioPlacer"
+set ::env(ioPlacer_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/ioPlacer"
+set ::env(klayout_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/klayout/klayout"
+set ::env(klayout_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/klayout"
+set ::env(klayout_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/klayout/user_project_wrapper"
+set ::env(klayout_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/klayout/klayout"
+set ::env(lvs_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/lvs/lvs"
+set ::env(lvs_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/lvs/lvs"
+set ::env(lvs_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/lvs/user_project_wrapper.lvs"
+set ::env(lvs_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/lvs/lvs"
+set ::env(magic_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/magic/magic"
+set ::env(magic_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/magic"
+set ::env(magic_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/magic/user_project_wrapper"
+set ::env(magic_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/magic/magic"
+set ::env(opendp_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/opendp"
+set ::env(opendp_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/placement/opendp"
+set ::env(opendp_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.placement"
+set ::env(opendp_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/opendp"
+set ::env(opensta_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/opensta"
+set ::env(opensta_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/synthesis/opensta"
+set ::env(opensta_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/opensta"
+set ::env(pdn_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/pdn"
+set ::env(pdn_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/pdn"
+set ::env(pdn_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/pdn"
+set ::env(replaceio_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/replace"
+set ::env(replaceio_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/placement/replace"
+set ::env(replaceio_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/replace"
+set ::env(resizer_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/resizer"
+set ::env(resizer_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/placement/resizer"
+set ::env(resizer_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/resizer"
+set ::env(tapcell_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/tapcell"
+set ::env(tapcell_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/tapcell"
+set ::env(tapcell_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/floorplan/user_project_wrapper.floorplan"
+set ::env(tapcell_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/tapcell"
+set ::env(tritonRoute_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/tritonRoute"
+set ::env(tritonRoute_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/tritonRoute"
+set ::env(tritonRoute_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper"
+set ::env(tritonRoute_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/tritonRoute"
+set ::env(verilog2def_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/verilog2def"
+set ::env(verilog2def_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/verilog2def"
+set ::env(verilog2def_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/verilog2def"
+set ::env(yosys_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/yosys"
+set ::env(yosys_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/synthesis/yosys"
+set ::env(yosys_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis"
+set ::env(yosys_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/yosys"
+set ::env(SYNTH_MAX_TRAN) "1.0"
+set ::env(CURRENT_DEF) /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def
+set ::env(CURRENT_GUIDE) /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/22-fastroute.guide
+set ::env(CURRENT_INDEX) 40
+set ::env(CURRENT_NETLIST) /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/lvs/user_project_wrapper.lvs.powered.v
+set ::env(PREV_NETLIST) /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis_preroute.v
+set ::env(PDK_ROOT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks"
+set ::env(ANTENNA_CHECK_CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def"
+set ::env(BASE_SDC_FILE) "/openLANE_flow/scripts/base.sdc"
+set ::env(BOTTOM_MARGIN_MULT) "4"
+set ::env(CARAVEL_ROOT) "/soft/ProgramFiles/caravel_user_project/caravel"
+set ::env(CARRY_SELECT_ADDER_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/csa_map.v"
+set ::env(CELLS_LEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(CELLS_LEF_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_12.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fill_8.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__decap_12.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef"
+set ::env(CELLS_LEF_UNPADDED) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(CELL_CLK_PORT) "CLK"
+set ::env(CELL_PAD) "4"
+set ::env(CELL_PAD_EXCLUDE) "sky130_fd_sc_hd__tap* sky130_fd_sc_hd__decap* sky130_fd_sc_hd__fill*"
+set ::env(CHECK_ASSIGN_STATEMENTS) "0"
+set ::env(CHECK_UNMAPPED_CELLS) "1"
+set ::env(CLK_BUFFER) "sky130_fd_sc_hd__clkbuf_4"
+set ::env(CLK_BUFFER_INPUT) "A"
+set ::env(CLK_BUFFER_OUTPUT) "X"
+set ::env(CLOCK_BUFFER_FANOUT) "16"
+set ::env(CLOCK_NET) "mprj.clk"
+set ::env(CLOCK_PERIOD) "10"
+set ::env(CLOCK_PORT) "user_clock2"
+set ::env(CLOCK_TREE_SYNTH) "0"
+set ::env(CLOCK_WIRE_RC_LAYER) "met5"
+set ::env(CONFIGS) "/openLANE_flow/configuration/cts.tcl /openLANE_flow/configuration/floorplan.tcl /openLANE_flow/configuration/lvs.tcl /openLANE_flow/configuration/extraction.tcl /openLANE_flow/configuration/synthesis.tcl /openLANE_flow/configuration/routing.tcl /openLANE_flow/configuration/checkers.tcl /openLANE_flow/configuration/placement.tcl /openLANE_flow/configuration/general.tcl"
+set ::env(CORE_AREA) "5.5200000000000005 10.88 2914.48 3509.12
+"
+set ::env(CTS_CLK_BUFFER_LIST) "sky130_fd_sc_hd__clkbuf_1 sky130_fd_sc_hd__clkbuf_2 sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_8"
+set ::env(CTS_CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.placement.def"
+set ::env(CTS_MAX_CAP) "1.53169"
+set ::env(CTS_REPORT_TIMING) "1"
+set ::env(CTS_ROOT_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
+set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) "50"
+set ::env(CTS_SINK_CLUSTERING_SIZE) "20"
+set ::env(CTS_SQR_CAP) "0.258e-3"
+set ::env(CTS_SQR_RES) "0.125"
+set ::env(CTS_TARGET_SKEW) "200"
+set ::env(CTS_TECH_DIR) "N/A"
+set ::env(CTS_TOLERANCE) "100"
+set ::env(CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def"
+set ::env(CURRENT_GDS) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/magic/user_project_wrapper.gds"
+set ::env(CURRENT_GUIDE) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/22-fastroute.guide"
+set ::env(CURRENT_INDEX) "40"
+set ::env(CURRENT_NETLIST) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/lvs/user_project_wrapper.lvs.powered.v"
+set ::env(CURRENT_SPEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.spef"
+set ::env(CURRENT_STAGE) "klayout"
+set ::env(CURRENT_STEP) ""
+set ::env(DATA_WIRE_RC_LAYER) "met2"
+set ::env(DECAP_CELL) "sky130_fd_sc_hd__decap_"
+set ::env(DEF_UNITS_PER_MICRON) "1000"
+set ::env(DESIGN_CONFIG) "/project/openlane/user_project_wrapper/config.tcl"
+set ::env(DESIGN_DIR) "/project/openlane/user_project_wrapper"
+set ::env(DESIGN_IS_CORE) "1"
+set ::env(DESIGN_NAME) "user_project_wrapper"
+set ::env(DETAILED_ROUTER) "tritonroute"
+set ::env(DIE_AREA) "0 0 2920 3520
+"
+set ::env(DIODE_CELL) "sky130_fd_sc_hd__diode_2"
+set ::env(DIODE_CELL_PIN) "DIODE"
+set ::env(DIODE_INSERTION_CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def"
+set ::env(DIODE_INSERTION_STRATEGY) "0"
+set ::env(DIODE_PADDING) "2"
+set ::env(DONT_USE_CELLS) "sky130_fd_sc_hd__a2111oi_0 sky130_fd_sc_hd__a21boi_0 sky130_fd_sc_hd__and2_0 sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__clkdlybuf4s15_1 sky130_fd_sc_hd__clkdlybuf4s18_1 sky130_fd_sc_hd__fa_4 sky130_fd_sc_hd__lpflow_bleeder_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_16 sky130_fd_sc_hd__lpflow_clkbufkapwr_2 sky130_fd_sc_hd__lpflow_clkbufkapwr_4 sky130_fd_sc_hd__lpflow_clkbufkapwr_8 sky130_fd_sc_hd__lpflow_clkinvkapwr_1 sky130_fd_sc_hd__lpflow_clkinvkapwr_16 sky130_fd_sc_hd__lpflow_clkinvkapwr_2 sky130_fd_sc_hd__lpflow_clkinvkapwr_4 sky130_fd_sc_hd__lpflow_clkinvkapwr_8 sky130_fd_sc_hd__lpflow_decapkapwr_12 sky130_fd_sc_hd__lpflow_decapkapwr_3 sky130_fd_sc_hd__lpflow_decapkapwr_4 sky130_fd_sc_hd__lpflow_decapkapwr_6 sky130_fd_sc_hd__lpflow_decapkapwr_8 sky130_fd_sc_hd__lpflow_inputiso0n_1 sky130_fd_sc_hd__lpflow_inputiso0p_1 sky130_fd_sc_hd__lpflow_inputiso1n_1 sky130_fd_sc_hd__lpflow_inputiso1p_1 sky130_fd_sc_hd__lpflow_inputisolatch_1 sky130_fd_sc_hd__lpflow_isobufsrc_1 sky130_fd_sc_hd__lpflow_isobufsrc_16 sky130_fd_sc_hd__lpflow_isobufsrc_2 sky130_fd_sc_hd__lpflow_isobufsrc_4 sky130_fd_sc_hd__lpflow_isobufsrc_8 sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 sky130_fd_sc_hd__mux4_4 sky130_fd_sc_hd__o21ai_0 sky130_fd_sc_hd__o311ai_0 sky130_fd_sc_hd__or2_0 sky130_fd_sc_hd__probe_p_8 sky130_fd_sc_hd__probec_p_8 sky130_fd_sc_hd__xor3_1 sky130_fd_sc_hd__xor3_2 sky130_fd_sc_hd__xor3_4 sky130_fd_sc_hd__xnor3_1 sky130_fd_sc_hd__xnor3_2 sky130_fd_sc_hd__xnor3_4 "
+set ::env(DRC_CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def"
+set ::env(DRC_EXCLUDE_CELL_LIST) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells"
+set ::env(DRC_EXCLUDE_CELL_LIST_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells"
+set ::env(EXTRA_GDS_FILES) " /project/openlane/user_project_wrapper/../../gds/user_proj_example.gds"
+set ::env(EXTRA_LEFS) " /project/openlane/user_project_wrapper/../../lef/user_proj_example.lef"
+set ::env(EXT_NETLIST) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/magic/user_project_wrapper.spice"
+set ::env(FAKEDIODE_CELL) "sky130_ef_sc_hd__fakediode_2"
+set ::env(FILL_CELL) "sky130_fd_sc_hd__fill_"
+set ::env(FILL_INSERTION) "0"
+set ::env(FP_ASPECT_RATIO) "1"
+set ::env(FP_CORE_MARGIN) "0"
+set ::env(FP_CORE_UTIL) "50"
+set ::env(FP_ENDCAP_CELL) "sky130_fd_sc_hd__decap_3"
+set ::env(FP_HORIZONTAL_HALO) "10"
+set ::env(FP_IO_HEXTEND) "4.8"
+set ::env(FP_IO_HLENGTH) "2.4"
+set ::env(FP_IO_HMETAL) "4"
+set ::env(FP_IO_HTHICKNESS_MULT) "4"
+set ::env(FP_IO_MIN_DISTANCE) "5"
+set ::env(FP_IO_MODE) "1"
+set ::env(FP_IO_VEXTEND) "4.8"
+set ::env(FP_IO_VLENGTH) "2.4"
+set ::env(FP_IO_VMETAL) "3"
+set ::env(FP_IO_VTHICKNESS_MULT) "4"
+set ::env(FP_PDN_AUTO_ADJUST) "1"
+set ::env(FP_PDN_CHECK_NODES) "0"
+set ::env(FP_PDN_CORE_RING) "1"
+set ::env(FP_PDN_CORE_RING_HOFFSET) "52.400000000000006"
+set ::env(FP_PDN_CORE_RING_HSPACING) "1.7"
+set ::env(FP_PDN_CORE_RING_HWIDTH) "3.1"
+set ::env(FP_PDN_CORE_RING_VOFFSET) "52.400000000000006"
+set ::env(FP_PDN_CORE_RING_VSPACING) "1.7"
+set ::env(FP_PDN_CORE_RING_VWIDTH) "3.1"
+set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) "1"
+set ::env(FP_PDN_ENABLE_MACROS_GRID) "0"
+set ::env(FP_PDN_ENABLE_RAILS) "1"
+set ::env(FP_PDN_HOFFSET) "79.4"
+set ::env(FP_PDN_HPITCH) "180"
+set ::env(FP_PDN_HSPACING) "15.5"
+set ::env(FP_PDN_HWIDTH) "3.1"
+set ::env(FP_PDN_IRDROP) "1"
+set ::env(FP_PDN_LOWER_LAYER) "met4"
+set ::env(FP_PDN_MACROS) ""
+set ::env(FP_PDN_MACRO_HOOKS) " mprj vccd1 vssd1"
+set ::env(FP_PDN_RAILS_LAYER) "met1"
+set ::env(FP_PDN_RAIL_OFFSET) "0"
+set ::env(FP_PDN_RAIL_WIDTH) "0.48"
+set ::env(FP_PDN_UPPER_LAYER) "met5"
+set ::env(FP_PDN_VOFFSET) "79.4"
+set ::env(FP_PDN_VPITCH) "180"
+set ::env(FP_PDN_VSPACING) "15.5"
+set ::env(FP_PDN_VWIDTH) "3.1"
+set ::env(FP_PIN_ORDER_CFG) "/soft/ProgramFiles/caravel_user_project/caravel/openlane/user_project_wrapper_empty/pin_order.cfg"
+set ::env(FP_SIZING) "absolute"
+set ::env(FP_TAPCELL_DIST) "13"
+set ::env(FP_VERTICAL_HALO) "10"
+set ::env(FP_WELLTAP_CELL) "sky130_fd_sc_hd__tapvpwrvgnd_1"
+set ::env(FULL_ADDER_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/fa_map.v"
+set ::env(GDS_FILES) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_8.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fakediode_2.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__decap_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_4.gds"
+set ::env(GDS_FILES_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_8.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fakediode_2.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__decap_12.gds /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_ef_sc_hd__fill_4.gds"
+set ::env(GENERATE_FINAL_SUMMARY_REPORT) "1"
+set ::env(GLB_CFG_FILE) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+set ::env(GLB_OPTIMIZE_MIRRORING) "1"
+set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) "1"
+set ::env(GLB_RT_ADJUSTMENT) "0.0"
+set ::env(GLB_RT_ALLOW_CONGESTION) "0"
+set ::env(GLB_RT_ANT_ITERS) "3"
+set ::env(GLB_RT_ESTIMATE_PARASITICS) "1"
+set ::env(GLB_RT_L1_ADJUSTMENT) "0.99"
+set ::env(GLB_RT_L2_ADJUSTMENT) "0"
+set ::env(GLB_RT_L3_ADJUSTMENT) "0"
+set ::env(GLB_RT_L4_ADJUSTMENT) "0"
+set ::env(GLB_RT_L5_ADJUSTMENT) "0"
+set ::env(GLB_RT_L6_ADJUSTMENT) "0"
+set ::env(GLB_RT_MAXLAYER) "5"
+set ::env(GLB_RT_MAX_DIODE_INS_ITERS) "1"
+set ::env(GLB_RT_MINLAYER) "1"
+set ::env(GLB_RT_OBS) "met5 0 0 2920 3520"
+set ::env(GLB_RT_OVERFLOW_ITERS) "50"
+set ::env(GLOBAL_ROUTER) "fastroute"
+set ::env(GND_NET) "vssa2"
+set ::env(GND_NETS) "vssd1 vssd2 vssa1 vssa2"
+set ::env(GND_PIN) "vssd1"
+set ::env(GPIO_PADS_LEF) " /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_io/lef/sky130_fd_io.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef "
+set ::env(GPIO_PADS_LEF_CORE_SIDE) " /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/custom_cells/lef/sky130_fd_io_core.lef /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/custom_cells/lef/sky130_ef_io_core.lef "
+set ::env(GPIO_PADS_VERILOG) " /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_io/verilog/sky130_ef_io.v /soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_io/verilog/sky130_ef_io__gpiov2_pad_wrapped.v "
+set ::env(HOME) "/"
+set ::env(HOSTNAME) "57934cf5503e"
+set ::env(INPUT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/27-user_project_wrapper.powered.def"
+set ::env(IO_PCT) "0.2"
+set ::env(KLAYOUT_DRC_KLAYOUT_GDS) "0"
+set ::env(KLAYOUT_DRC_TECH) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lydrc"
+set ::env(KLAYOUT_DRC_TECH_SCRIPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.drc"
+set ::env(KLAYOUT_PROPERTIES) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lyp"
+set ::env(KLAYOUT_TECH) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/klayout/sky130A.lyt"
+set ::env(KLAYOUT_XOR_GDS) "1"
+set ::env(KLAYOUT_XOR_XML) "1"
+set ::env(LAYERS_RC) " li1 1.499e-04 7.176e-02, met1 1.449e-04 8.929e-04, met2 1.331e-04 8.929e-04, met3 1.464e-04 1.567e-04, met4 1.297e-04 1.567e-04, met5 1.501e-04 1.781e-05"
+set ::env(LD_LIBRARY_PATH) "/build//lib:/build//lib/Linux-x86_64:"
+set ::env(LEC_ENABLE) "0"
+set ::env(LEFT_MARGIN_MULT) "12"
+set ::env(LIB_FASTEST) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib"
+set ::env(LIB_RESIZER_OPT) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/resizer.lib"
+set ::env(LIB_SLOWEST) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
+set ::env(LIB_SLOWEST_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
+set ::env(LIB_SYNTH) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/trimmed.lib"
+set ::env(LIB_SYNTH_COMPLETE) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(LIB_SYNTH_COMPLETE_NO_PG) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
+set ::env(LIB_TYPICAL) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(LOG_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs"
+set ::env(LVS_CONNECT_BY_LABEL) "0"
+set ::env(LVS_CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def"
+set ::env(LVS_INSERT_POWER_PINS) "1"
+set ::env(MACRO_PLACEMENT_CFG) "/project/openlane/user_project_wrapper/macro.cfg"
+set ::env(MAGIC_CONVERT_DRC_TO_RDB) "1"
+set ::env(MAGIC_DISABLE_HIER_GDS) "1"
+set ::env(MAGIC_DRC_USE_GDS) "1"
+set ::env(MAGIC_EXT_USE_GDS) "0"
+set ::env(MAGIC_GENERATE_GDS) "1"
+set ::env(MAGIC_GENERATE_LEF) "1"
+set ::env(MAGIC_GENERATE_MAGLEF) "1"
+set ::env(MAGIC_INCLUDE_GDS_POINTERS) "0"
+set ::env(MAGIC_MAGICRC) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.magicrc"
+set ::env(MAGIC_PAD) "0"
+set ::env(MAGIC_TECH_FILE) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/magic/sky130A.tech"
+set ::env(MAGIC_WRITE_FULL_LEF) "0"
+set ::env(MAGIC_ZEROIZE_ORIGIN) "0"
+set ::env(MAGTYPE) "maglef"
+set ::env(MANPATH) "/build//share/man:"
+set ::env(MAX_METAL_LAYER) "6"
+set ::env(MERGED_LEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(MERGED_LEF_ORIGINAL) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(MERGED_LEF_UNPADDED) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(MERGED_LEF_UNPADDED_ORIGINAL) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(MERGED_LEF_UNPADDED_WIDENED) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged_unpadded.lef"
+set ::env(MERGED_LEF_WIDENED) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.lef"
+set ::env(NETGEN_SETUP_FILE) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/netgen/sky130A_setup.tcl"
+set ::env(NO_SYNTH_CELL_LIST) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells"
+set ::env(OLDPWD) "/openLANE_flow"
+set ::env(OPENLANE_ROOT) "/openLANE_flow"
+set ::env(OPENLANE_VERSION) "2021.09.19_20.25.16"
+set ::env(OPENROAD) "/build/"
+set ::env(OPENROADOS) "Linux-x86_64"
+set ::env(OPENROAD_BIN) "openroad"
+set ::env(PATH) "/openLANE_flow:/openLANE_flow/scripts:/build//bin:/build//bin/Linux-x86_64:/build//pdn/scripts:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin"
+set ::env(PDK) "sky130A"
+set ::env(PDKPATH) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A"
+set ::env(PDK_ROOT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks"
+set ::env(PDN_CFG) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/common_pdn.tcl"
+set ::env(PGA_RPT_FILE) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/14-pdn.pga.rpt"
+set ::env(PLACEMENT_CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/13-pdn.def"
+set ::env(PLACE_SITE) "unithd"
+set ::env(PLACE_SITE_HEIGHT) "2.720"
+set ::env(PLACE_SITE_WIDTH) "0.460"
+set ::env(PL_BASIC_PLACEMENT) "0"
+set ::env(PL_ESTIMATE_PARASITICS) "1"
+set ::env(PL_INIT_COEFF) "0.00002"
+set ::env(PL_IO_ITER) "5"
+set ::env(PL_LIB) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(PL_OPTIMIZE_MIRRORING) "1"
+set ::env(PL_RANDOM_GLB_PLACEMENT) "1"
+set ::env(PL_RANDOM_INITIAL_PLACEMENT) "0"
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) "0"
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) "0"
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) "0"
+set ::env(PL_RESIZER_MAX_WIRE_LENGTH) "0"
+set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) "0"
+set ::env(PL_ROUTABILITY_DRIVEN) "0"
+set ::env(PL_SKIP_INITIAL_PLACEMENT) "0"
+set ::env(PL_TARGET_DENSITY) "0.55"
+set ::env(PL_TIME_DRIVEN) "0"
+set ::env(POWER_PINS_INSERTION_CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def"
+set ::env(PREV_NETLIST) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis_preroute.v"
+set ::env(PROCESS) "130"
+set ::env(PSN_ENABLE_PIN_SWAP) "1"
+set ::env(PSN_ENABLE_RESIZING) "1"
+set ::env(PSN_TRANSFORM_PATH) "//.local/transforms"
+set ::env(PWD) "/project/openlane"
+set ::env(QUIT_ON_ILLEGAL_OVERLAPS) "1"
+set ::env(QUIT_ON_LVS_ERROR) "1"
+set ::env(QUIT_ON_MAGIC_DRC) "1"
+set ::env(QUIT_ON_TR_DRC) "1"
+set ::env(RCX_CC_MODEL) "10"
+set ::env(RCX_CONTEXT_DEPTH) "5"
+set ::env(RCX_CORNER_COUNT) "1"
+set ::env(RCX_COUPLING_THRESHOLD) "0.1"
+set ::env(RCX_MAX_RESISTANCE) "50"
+set ::env(RCX_MERGE_VIA_WIRE_RES) "1"
+set ::env(RCX_RULES) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/rcx_rules.info"
+set ::env(REPORTS_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports"
+set ::env(RESULTS_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results"
+set ::env(RE_BUFFER_CELL) "sky130_fd_sc_hd__buf_4"
+set ::env(RIGHT_MARGIN_MULT) "12"
+set ::env(RIPPLE_CARRY_ADDER_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/rca_map.v"
+set ::env(ROOT_CLK_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
+set ::env(ROUTING_CORES) "2"
+set ::env(ROUTING_CURRENT_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.placement.def"
+set ::env(ROUTING_OPT_ITERS) "64"
+set ::env(RUN_CVC) "0"
+set ::env(RUN_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper"
+set ::env(RUN_KLAYOUT) "1"
+set ::env(RUN_KLAYOUT_DRC) "0"
+set ::env(RUN_KLAYOUT_XOR) "1"
+set ::env(RUN_MAGIC) "1"
+set ::env(RUN_ROUTING_DETAILED) "1"
+set ::env(RUN_SIMPLE_CTS) "0"
+set ::env(RUN_SPEF_EXTRACTION) "1"
+set ::env(RUN_TAG) "user_project_wrapper"
+set ::env(SAVE_DEF) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/23-user_project_wrapper.def"
+set ::env(SAVE_GUIDE) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/22-fastroute.guide"
+set ::env(SAVE_NETLIST) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/lvs/user_project_wrapper.lvs.powered.v"
+set ::env(SCRIPTS_DIR) "/openLANE_flow/scripts"
+set ::env(SHLVL) "1"
+set ::env(SPEF_EDGE_CAP_FACTOR) "1"
+set ::env(SPEF_EXTRACTOR) "openrcx"
+set ::env(SPEF_WIRE_MODEL) "L"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+set ::env(STD_CELL_LIBRARY_CDL) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl"
+set ::env(STD_CELL_LIBRARY_OPT) "sky130_fd_sc_hd"
+set ::env(STD_CELL_LIBRARY_OPT_CDL) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl"
+set ::env(SYNTH_ADDER_TYPE) "YOSYS"
+set ::env(SYNTH_BIN) "yosys"
+set ::env(SYNTH_BUFFERING) "1"
+set ::env(SYNTH_CAP_LOAD) "17.65"
+set ::env(SYNTH_DEFINES) "USE_POWER_PINS"
+set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_8"
+set ::env(SYNTH_DRIVING_CELL_PIN) "Y"
+set ::env(SYNTH_FLAT_TOP) "0"
+set ::env(SYNTH_LATCH_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/latch_map.v"
+set ::env(SYNTH_MAX_FANOUT) "5"
+set ::env(SYNTH_MAX_TRAN) "1.0"
+set ::env(SYNTH_MIN_BUF_PORT) "sky130_fd_sc_hd__buf_2 A X"
+set ::env(SYNTH_MUX4_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux4_map.v"
+set ::env(SYNTH_MUX_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux2_map.v"
+set ::env(SYNTH_NO_FLAT) "0"
+set ::env(SYNTH_OPT) "0"
+set ::env(SYNTH_READ_BLACKBOX_LIB) "0"
+set ::env(SYNTH_SCRIPT) "/openLANE_flow/scripts/synth_top.tcl"
+set ::env(SYNTH_SHARE_RESOURCES) "1"
+set ::env(SYNTH_SIZING) "0"
+set ::env(SYNTH_STRATEGY) "AREA 0"
+set ::env(SYNTH_TIEHI_PORT) "sky130_fd_sc_hd__conb_1 HI"
+set ::env(SYNTH_TIELO_PORT) "sky130_fd_sc_hd__conb_1 LO"
+set ::env(SYNTH_TOP_LEVEL) "1"
+set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS"
+set ::env(TAKE_LAYOUT_SCROT) "0"
+set ::env(TAP_DECAP_INSERTION) "0"
+set ::env(TECH_LEF) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef"
+set ::env(TECH_LEF_OPT) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef"
+set ::env(TECH_METAL_LAYERS) "li1 met1 met2 met3 met4 met5"
+set ::env(TERM) "xterm"
+set ::env(TERMINAL_OUTPUT) ">&@stdout"
+set ::env(TMP_DIR) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp"
+set ::env(TOP_MARGIN_MULT) "4"
+set ::env(TRACKS_INFO_FILE) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/config.tracks"
+set ::env(TRISTATE_BUFFER_MAP) "/soft/ProgramFiles/caravel_user_project/openlane_caravel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v"
+set ::env(TRITONROUTE_FILE_PREFIX) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/24-tritonRoute"
+set ::env(TRITONROUTE_RPT_PREFIX) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/24-tritonRoute"
+set ::env(USE_ARC_ANTENNA_CHECK) "1"
+set ::env(USE_GPIO_PADS) "0"
+set ::env(VCHECK_OUTPUT) ""
+set ::env(VDD_NET) "vdda2"
+set ::env(VDD_NETS) "vccd1 vccd2 vdda1 vdda2"
+set ::env(VDD_PIN) "vccd1"
+set ::env(VERILOG_FILES) " /soft/ProgramFiles/caravel_user_project/caravel/verilog/rtl/defines.v  /project/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v"
+set ::env(VERILOG_FILES_BLACKBOX) " /soft/ProgramFiles/caravel_user_project/caravel/verilog/rtl/defines.v  /project/openlane/user_project_wrapper/../../verilog/rtl/user_proj_example.v"
+set ::env(VIAS_RC) " mcon 9.249146E-3, via 4.5E-3, via2 3.368786E-3, via3 0.376635E-3, via4 0.00580E-3"
+set ::env(WIDEN_SITE) "1"
+set ::env(WIDEN_SITE_IS_FACTOR) "1"
+set ::env(WIRE_RC_LAYER) "met1"
+set ::env(YOSYS_REWRITE_VERILOG) "0"
+set ::env(_) "/openLANE_flow/flow.tcl"
+set ::env(addspacers_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/addspacers"
+set ::env(addspacers_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/addspacers"
+set ::env(addspacers_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/addspacers"
+set ::env(cts_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/cts"
+set ::env(cts_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts"
+set ::env(cts_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/cts/user_project_wrapper.cts"
+set ::env(cts_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cts/cts"
+set ::env(cvc_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cvc/cvc"
+set ::env(cvc_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cvc/cvc"
+set ::env(cvc_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/cvc/user_project_wrapper"
+set ::env(cvc_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cvc/cvc"
+set ::env(datetime) "29-10_08-40"
+set ::env(fastroute_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/fastroute"
+set ::env(fastroute_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/fastroute"
+set ::env(fastroute_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/fastroute"
+set ::env(ioPlacer_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/ioPlacer"
+set ::env(ioPlacer_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/ioPlacer"
+set ::env(ioPlacer_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/ioPlacer"
+set ::env(klayout_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/klayout/klayout"
+set ::env(klayout_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/klayout"
+set ::env(klayout_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/klayout/user_project_wrapper"
+set ::env(klayout_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/klayout/klayout"
+set ::env(lvs_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/lvs/lvs"
+set ::env(lvs_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/lvs/lvs"
+set ::env(lvs_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/lvs/user_project_wrapper.lvs"
+set ::env(lvs_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/lvs/lvs"
+set ::env(magic_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/magic/magic"
+set ::env(magic_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/magic"
+set ::env(magic_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/magic/user_project_wrapper"
+set ::env(magic_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/magic/magic"
+set ::env(opendp_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/opendp"
+set ::env(opendp_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/placement/opendp"
+set ::env(opendp_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.placement"
+set ::env(opendp_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/opendp"
+set ::env(opensta_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/opensta"
+set ::env(opensta_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/synthesis/opensta"
+set ::env(opensta_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/opensta"
+set ::env(pdn_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/pdn"
+set ::env(pdn_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/pdn"
+set ::env(pdn_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/pdn"
+set ::env(replaceio_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/replace"
+set ::env(replaceio_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/placement/replace"
+set ::env(replaceio_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/replace"
+set ::env(resizer_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/resizer"
+set ::env(resizer_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/placement/resizer"
+set ::env(resizer_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/resizer"
+set ::env(tapcell_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/tapcell"
+set ::env(tapcell_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/tapcell"
+set ::env(tapcell_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/floorplan/user_project_wrapper.floorplan"
+set ::env(tapcell_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/tapcell"
+set ::env(timer_start) "1635496859"
+set ::env(tritonRoute_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/tritonRoute"
+set ::env(tritonRoute_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/tritonRoute"
+set ::env(tritonRoute_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper"
+set ::env(tritonRoute_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/tritonRoute"
+set ::env(verilog2def_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/verilog2def"
+set ::env(verilog2def_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/verilog2def"
+set ::env(verilog2def_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/verilog2def"
+set ::env(yosys_log_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/yosys"
+set ::env(yosys_report_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/synthesis/yosys"
+set ::env(yosys_result_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis"
+set ::env(yosys_tmp_file_tag) "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/yosys"
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.min_max.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.min_max.rpt
new file mode 100644
index 0000000..b282e98
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.min_max.rpt
@@ -0,0 +1 @@
+cts.log not found or empty.
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.rpt
new file mode 100644
index 0000000..b282e98
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.rpt
@@ -0,0 +1 @@
+cts.log not found or empty.
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.timing.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.timing.rpt
new file mode 100644
index 0000000..b282e98
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts.timing.rpt
@@ -0,0 +1 @@
+cts.log not found or empty.
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_clock_skew.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_clock_skew.rpt
new file mode 100644
index 0000000..b282e98
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_clock_skew.rpt
@@ -0,0 +1 @@
+cts.log not found or empty.
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_tns.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_tns.rpt
new file mode 100644
index 0000000..b282e98
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_tns.rpt
@@ -0,0 +1 @@
+cts.log not found or empty.
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_wns.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_wns.rpt
new file mode 100644
index 0000000..b282e98
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/cts/cts_wns.rpt
@@ -0,0 +1 @@
+cts.log not found or empty.
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/final_summary_report.csv b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/final_summary_report.csv
new file mode 100644
index 0000000..6d8ebfa
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/final_summary_report.csv
@@ -0,0 +1,2 @@
+,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h4m17s,0h1m52s,0.19458281444582815,10.2784,0.09729140722291407,-1,504.12,1,0,0,0,0,0,0,0,0,0,-1,-1,1381575,2027,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,40141.04,1.22,4.19,0.57,0.6,-1,27,645,27,645,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/10-pdn.pga.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/10-pdn.pga.rpt
new file mode 100644
index 0000000..537237a
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/10-pdn.pga.rpt
@@ -0,0 +1,2 @@
+Instance name,  X location,  Y location,  Voltage 
+
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/12-pdn.pga.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/12-pdn.pga.rpt
new file mode 100644
index 0000000..537237a
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/12-pdn.pga.rpt
@@ -0,0 +1,2 @@
+Instance name,  X location,  Y location,  Voltage 
+
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/14-pdn.pga.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/14-pdn.pga.rpt
new file mode 100644
index 0000000..537237a
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/14-pdn.pga.rpt
@@ -0,0 +1,2 @@
+Instance name,  X location,  Y location,  Voltage 
+
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/4-verilog2def.core_area.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/4-verilog2def.core_area.rpt
new file mode 100644
index 0000000..c4a50bd
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/4-verilog2def.core_area.rpt
@@ -0,0 +1 @@
+5.5200000000000005 10.88 2914.48 3509.12
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/4-verilog2def.die_area.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/4-verilog2def.die_area.rpt
new file mode 100644
index 0000000..17b240c
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/4-verilog2def.die_area.rpt
@@ -0,0 +1 @@
+0 0 2920 3520
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/8-pdn.pga.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/8-pdn.pga.rpt
new file mode 100644
index 0000000..537237a
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/floorplan/8-pdn.pga.rpt
@@ -0,0 +1,2 @@
+Instance name,  X location,  Y location,  Voltage 
+
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/34-klayout.xor.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/34-klayout.xor.rpt
new file mode 100644
index 0000000..be7cc5b
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/34-klayout.xor.rpt
@@ -0,0 +1 @@
+Total XOR differences = 47804
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/35-klayout.xor.rpt b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/35-klayout.xor.rpt
new file mode 100644
index 0000000..be7cc5b
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/klayout/35-klayout.xor.rpt
@@ -0,0 +1 @@
+Total XOR differences = 47804
\ No newline at end of file
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc
new file mode 100644
index 0000000..46ca7f3
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc
@@ -0,0 +1,5 @@
+user_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.klayout.xml b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.klayout.xml
new file mode 100644
index 0000000..0eff265
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.klayout.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.rdb b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.rdb
new file mode 100644
index 0000000..ac5b3c4
--- /dev/null
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/magic/38-magic.drc.rdb
@@ -0,0 +1,2 @@
+$user_project_wrapper
+ 100