blob: cbf2405e02c48d21a8e6d81161df3dca21f24e65 [file] [log] [blame]
module collapsering_macro (clk_out,
start,
vccd1,
vssd1,
clkmux,
trim_a,
trim_b);
output clk_out;
input start;
input vccd1;
input vssd1;
input [2:0] clkmux;
input [27:0] trim_a;
input [27:0] trim_b;
wire \ring.clk_ff0 ;
wire \ring.clk_ff1 ;
wire \ring.clk_ff2 ;
wire \ring.clk_ff3 ;
wire \ring.net1 ;
wire \ring.net10 ;
wire \ring.net11 ;
wire \ring.net12 ;
wire \ring.net13 ;
wire \ring.net14 ;
wire \ring.net15 ;
wire \ring.net16 ;
wire \ring.net17 ;
wire \ring.net18 ;
wire \ring.net19 ;
wire \ring.net2 ;
wire \ring.net20 ;
wire \ring.net21 ;
wire \ring.net22 ;
wire \ring.net23 ;
wire \ring.net24 ;
wire \ring.net25 ;
wire \ring.net26 ;
wire \ring.net27 ;
wire \ring.net28 ;
wire \ring.net29 ;
wire \ring.net3 ;
wire \ring.net30 ;
wire \ring.net31 ;
wire \ring.net32 ;
wire \ring.net33 ;
wire \ring.net34 ;
wire \ring.net35 ;
wire \ring.net36 ;
wire \ring.net37 ;
wire \ring.net38 ;
wire \ring.net39 ;
wire \ring.net4 ;
wire \ring.net40 ;
wire \ring.net41 ;
wire \ring.net42 ;
wire \ring.net5 ;
wire \ring.net6 ;
wire \ring.net7 ;
wire \ring.net8 ;
wire \ring.net9 ;
wire \ring.outa ;
wire \ring.outb ;
wire \ring.x1.d0 ;
wire \ring.x1.d1 ;
wire \ring.x1.d2 ;
wire \ring.x1.ts ;
wire \ring.x10.d0 ;
wire \ring.x10.d1 ;
wire \ring.x10.d2 ;
wire \ring.x10.ts ;
wire \ring.x11.d0 ;
wire \ring.x11.d1 ;
wire \ring.x11.d2 ;
wire \ring.x11.ts ;
wire \ring.x12.d0 ;
wire \ring.x12.d1 ;
wire \ring.x12.d2 ;
wire \ring.x12.ts ;
wire \ring.x13.d0 ;
wire \ring.x13.d1 ;
wire \ring.x13.d2 ;
wire \ring.x13.ts ;
wire \ring.x14.d0 ;
wire \ring.x14.d1 ;
wire \ring.x14.d2 ;
wire \ring.x14.ts ;
wire \ring.x15.d0 ;
wire \ring.x15.d1 ;
wire \ring.x15.d2 ;
wire \ring.x15.ts ;
wire \ring.x16.d0 ;
wire \ring.x16.d1 ;
wire \ring.x16.d2 ;
wire \ring.x16.ts ;
wire \ring.x17.d0 ;
wire \ring.x17.d1 ;
wire \ring.x17.d2 ;
wire \ring.x17.ts ;
wire \ring.x18.d0 ;
wire \ring.x18.d1 ;
wire \ring.x18.d2 ;
wire \ring.x18.ts ;
wire \ring.x19.d0 ;
wire \ring.x19.d1 ;
wire \ring.x19.d2 ;
wire \ring.x19.ts ;
wire \ring.x2.d0 ;
wire \ring.x2.d1 ;
wire \ring.x2.d2 ;
wire \ring.x2.ts ;
wire \ring.x20.d0 ;
wire \ring.x20.d1 ;
wire \ring.x20.d2 ;
wire \ring.x20.ts ;
wire \ring.x22.d0 ;
wire \ring.x22.d1 ;
wire \ring.x22.d2 ;
wire \ring.x22.ts ;
wire \ring.x23.d0 ;
wire \ring.x23.d1 ;
wire \ring.x23.d2 ;
wire \ring.x23.ts ;
wire \ring.x24.d0 ;
wire \ring.x24.d1 ;
wire \ring.x24.d2 ;
wire \ring.x24.ts ;
wire \ring.x25.d0 ;
wire \ring.x25.d1 ;
wire \ring.x25.d2 ;
wire \ring.x25.ts ;
wire \ring.x3.d0 ;
wire \ring.x3.d1 ;
wire \ring.x3.d2 ;
wire \ring.x3.ts ;
wire \ring.x39.d0 ;
wire \ring.x39.d1 ;
wire \ring.x39.d2 ;
wire \ring.x39.ts ;
wire \ring.x4.d0 ;
wire \ring.x4.d1 ;
wire \ring.x4.d2 ;
wire \ring.x4.ts ;
wire \ring.x40.d0 ;
wire \ring.x40.d1 ;
wire \ring.x40.d2 ;
wire \ring.x40.ts ;
wire \ring.x41.d0 ;
wire \ring.x41.d1 ;
wire \ring.x41.d2 ;
wire \ring.x41.ts ;
wire \ring.x42.d0 ;
wire \ring.x42.d1 ;
wire \ring.x42.d2 ;
wire \ring.x42.ts ;
wire \ring.x5.d0 ;
wire \ring.x5.d1 ;
wire \ring.x5.d2 ;
wire \ring.x5.ts ;
wire \ring.x6.d0 ;
wire \ring.x6.d1 ;
wire \ring.x6.d2 ;
wire \ring.x6.ts ;
wire \ring.x7.d0 ;
wire \ring.x7.d1 ;
wire \ring.x7.d2 ;
wire \ring.x7.ts ;
wire \ring.x8.d0 ;
wire \ring.x8.d1 ;
wire \ring.x8.d2 ;
wire \ring.x8.ts ;
wire \ring.x9.d0 ;
wire \ring.x9.d1 ;
wire \ring.x9.d2 ;
wire \ring.x9.ts ;
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x1.x2_TE_B (.DIODE(trim_a[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x1.x4_TE (.DIODE(trim_a[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x1.x6_TE (.DIODE(trim_a[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x1.x7_TE_B (.DIODE(trim_a[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x10.x2_TE_B (.DIODE(trim_a[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x10.x4_TE (.DIODE(trim_a[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x10.x6_TE (.DIODE(trim_a[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x10.x7_TE_B (.DIODE(trim_a[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x11.x2_TE_B (.DIODE(trim_a[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x11.x4_TE (.DIODE(trim_a[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x11.x6_TE (.DIODE(trim_a[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x11.x7_TE_B (.DIODE(trim_a[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x12.x2_TE_B (.DIODE(trim_a[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x12.x4_TE (.DIODE(trim_a[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x12.x6_TE (.DIODE(trim_a[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x12.x7_TE_B (.DIODE(trim_a[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x13.x2_TE_B (.DIODE(trim_b[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x13.x4_TE (.DIODE(trim_b[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x13.x6_TE (.DIODE(trim_b[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x13.x7_TE_B (.DIODE(trim_b[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x14.x2_TE_B (.DIODE(trim_b[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x14.x4_TE (.DIODE(trim_b[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x14.x6_TE (.DIODE(trim_b[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x14.x7_TE_B (.DIODE(trim_b[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x15.x2_TE_B (.DIODE(trim_b[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x15.x4_TE (.DIODE(trim_b[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x15.x6_TE (.DIODE(trim_b[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x15.x7_TE_B (.DIODE(trim_b[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x16.x2_TE_B (.DIODE(trim_b[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x16.x4_TE (.DIODE(trim_b[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x16.x6_TE (.DIODE(trim_b[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x16.x7_TE_B (.DIODE(trim_b[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x17.x2_TE_B (.DIODE(trim_b[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x17.x4_TE (.DIODE(trim_b[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x17.x6_TE (.DIODE(trim_b[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x17.x7_TE_B (.DIODE(trim_b[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x18.x2_TE_B (.DIODE(trim_b[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x18.x4_TE (.DIODE(trim_b[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x18.x6_TE (.DIODE(trim_b[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x18.x7_TE_B (.DIODE(trim_b[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x19.x2_TE_B (.DIODE(trim_b[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x19.x4_TE (.DIODE(trim_b[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x19.x6_TE (.DIODE(trim_b[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x19.x7_TE_B (.DIODE(trim_b[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x2.x2_TE_B (.DIODE(trim_a[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x2.x4_TE (.DIODE(trim_a[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x2.x6_TE (.DIODE(trim_a[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x2.x7_TE_B (.DIODE(trim_a[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x20.x2_TE_B (.DIODE(trim_b[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x20.x4_TE (.DIODE(trim_b[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x20.x6_TE (.DIODE(trim_b[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x20.x7_TE_B (.DIODE(trim_b[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x22.x2_TE_B (.DIODE(trim_b[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x22.x4_TE (.DIODE(trim_b[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x22.x6_TE (.DIODE(trim_b[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x22.x7_TE_B (.DIODE(trim_b[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x23.x2_TE_B (.DIODE(trim_b[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x23.x4_TE (.DIODE(trim_b[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x23.x6_TE (.DIODE(trim_b[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x23.x7_TE_B (.DIODE(trim_b[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x24.x2_TE_B (.DIODE(trim_b[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x24.x4_TE (.DIODE(trim_b[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x24.x6_TE (.DIODE(trim_b[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x24.x7_TE_B (.DIODE(trim_b[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x25.x2_TE_B (.DIODE(trim_b[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x25.x4_TE (.DIODE(trim_b[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x25.x6_TE (.DIODE(trim_b[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x25.x7_TE_B (.DIODE(trim_b[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x3.x2_TE_B (.DIODE(trim_a[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x3.x4_TE (.DIODE(trim_a[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x3.x6_TE (.DIODE(trim_a[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x3.x7_TE_B (.DIODE(trim_a[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x30_S0 (.DIODE(clkmux[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x30_S1 (.DIODE(clkmux[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x34_S (.DIODE(clkmux[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x38_A (.DIODE(start),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x39.x2_TE_B (.DIODE(trim_a[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x39.x4_TE (.DIODE(trim_a[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x39.x6_TE (.DIODE(trim_a[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x39.x7_TE_B (.DIODE(trim_a[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x4.x2_TE_B (.DIODE(trim_a[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x4.x4_TE (.DIODE(trim_a[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x4.x6_TE (.DIODE(trim_a[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x4.x7_TE_B (.DIODE(trim_a[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x40.x2_TE_B (.DIODE(trim_a[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x40.x4_TE (.DIODE(trim_a[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x40.x6_TE (.DIODE(trim_a[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x40.x7_TE_B (.DIODE(trim_a[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x41.x2_TE_B (.DIODE(trim_b[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x41.x4_TE (.DIODE(trim_b[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x41.x6_TE (.DIODE(trim_b[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x41.x7_TE_B (.DIODE(trim_b[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x42.x2_TE_B (.DIODE(trim_b[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x42.x4_TE (.DIODE(trim_b[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x42.x6_TE (.DIODE(trim_b[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x42.x7_TE_B (.DIODE(trim_b[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x5.x2_TE_B (.DIODE(trim_a[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x5.x4_TE (.DIODE(trim_a[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x5.x6_TE (.DIODE(trim_a[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x5.x7_TE_B (.DIODE(trim_a[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x6.x2_TE_B (.DIODE(trim_a[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x6.x4_TE (.DIODE(trim_a[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x6.x6_TE (.DIODE(trim_a[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x6.x7_TE_B (.DIODE(trim_a[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x7.x2_TE_B (.DIODE(trim_a[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x7.x4_TE (.DIODE(trim_a[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x7.x6_TE (.DIODE(trim_a[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x7.x7_TE_B (.DIODE(trim_a[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x8.x2_TE_B (.DIODE(trim_a[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x8.x4_TE (.DIODE(trim_a[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x8.x6_TE (.DIODE(trim_a[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x8.x7_TE_B (.DIODE(trim_a[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x9.x2_TE_B (.DIODE(trim_a[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x9.x4_TE (.DIODE(trim_a[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x9.x6_TE (.DIODE(trim_a[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_ring.x9.x7_TE_B (.DIODE(trim_a[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_0_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_0_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_0_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_10_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_10_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_25 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_11_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_11_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_12_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_25 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_13_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_13_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_13_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_14_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_14_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_20 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_15_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_15_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_16_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_16_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_16_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_17_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_17_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_17_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_17_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_18_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_76 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_18_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_19_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_30 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_1_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_1_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_1_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_20_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_20_58 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_21_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_22_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_22_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_22_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_28 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_23_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_23_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_23_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_24_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_24_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_25_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_25_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_25_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_25_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_26_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_26_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_26_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_26_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_26_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_26_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_26_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_26_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_26_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_26_73 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_20 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_76 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_27_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_28_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_28_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_28_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_28_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_28_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_28_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_28_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_28_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_28_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_29_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_29_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_2_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_58 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_30_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_30_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_30_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_30_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_30_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_30_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_30_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_30_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_30_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_30_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_30_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_21 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_31_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_31_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_32_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_32_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_32_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_32_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_32_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_32_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_32_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_32_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_32_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_32_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_33_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_33_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_33_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_33_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_33_30 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_33_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_33_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_33_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_34_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_34_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_34_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_34_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_34_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_34_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_34_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_34_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_34_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_35_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_35_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_35_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_35_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_35_30 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_35_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_35_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_35_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_35_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_35_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_36_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_36_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_36_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_36_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_36_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_36_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_36_73 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_37_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_37_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_37_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_37_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_37_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_37_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_37_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_37_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_37_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_38_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_38_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_38_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_38_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_38_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_38_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_38_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_38_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_38_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_38_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_38_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_39_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_39_21 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_39_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_39_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_39_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_39_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_39_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_39_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_39_62 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_39_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_3_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_3_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_3_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_3_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_3_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_3_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_40_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_40_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_40_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_40_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_40_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_40_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_40_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_40_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_40_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_40_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_41_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_41_21 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_41_28 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_41_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_41_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_41_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_41_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_41_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_41_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_42_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_42_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_42_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_42_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_42_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_42_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_42_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_42_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_43_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_43_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_43_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_43_30 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_43_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_43_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_43_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_43_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_44_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_44_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_44_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_44_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_44_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_44_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_44_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_44_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_45_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_45_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_45_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_45_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_45_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_45_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_45_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_45_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_45_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_45_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_46_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_46_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_46_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_46_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_46_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_46_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_46_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_46_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_46_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_46_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_46_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_46_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_46_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_4_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_4_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_4_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_5_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_5_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_5_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_5_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_5_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_6_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_6_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_62 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_7_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_7_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_7_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_7_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_7_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_7_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_71 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_30 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_9_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_9_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_1 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_20 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_21 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_25 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_28 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_30 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_58 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_62 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_70 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_71 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_73 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_76 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_78 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_81 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_82 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_83 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_84 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_86 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_87 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_90 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_91 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_100 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_101 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_102 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_103 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_104 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_105 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_106 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_107 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_108 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_109 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_110 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_111 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_112 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_113 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_114 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_115 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_116 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_117 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_118 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_119 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_120 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_121 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_122 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_123 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_124 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_125 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_126 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_127 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_128 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_129 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_130 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_131 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_132 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_133 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_134 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_135 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_136 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_137 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_138 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_139 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_140 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_141 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_142 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_94 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_95 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_96 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_97 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_98 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_99 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x1.x1 (.A(\ring.net1 ),
.X(\ring.x1.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x1.x2 (.A(\ring.x1.ts ),
.TE_B(trim_a[1]),
.Z(\ring.x1.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x1.x3 (.A(\ring.x1.d1 ),
.Y(\ring.x1.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x1.x4 (.A(\ring.x1.d2 ),
.TE(trim_a[0]),
.Z(\ring.net2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x1.x5 (.A(\ring.x1.ts ),
.X(\ring.x1.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x1.x6 (.A(\ring.x1.d0 ),
.TE(trim_a[1]),
.Z(\ring.x1.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x1.x7 (.A(\ring.x1.ts ),
.TE_B(trim_a[0]),
.Z(\ring.net2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x10.x1 (.A(\ring.net17 ),
.X(\ring.x10.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x10.x2 (.A(\ring.x10.ts ),
.TE_B(trim_a[19]),
.Z(\ring.x10.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x10.x3 (.A(\ring.x10.d1 ),
.Y(\ring.x10.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x10.x4 (.A(\ring.x10.d2 ),
.TE(trim_a[18]),
.Z(\ring.net18 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x10.x5 (.A(\ring.x10.ts ),
.X(\ring.x10.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x10.x6 (.A(\ring.x10.d0 ),
.TE(trim_a[19]),
.Z(\ring.x10.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x10.x7 (.A(\ring.x10.ts ),
.TE_B(trim_a[18]),
.Z(\ring.net18 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x11.x1 (.A(\ring.net18 ),
.X(\ring.x11.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x11.x2 (.A(\ring.x11.ts ),
.TE_B(trim_a[21]),
.Z(\ring.x11.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x11.x3 (.A(\ring.x11.d1 ),
.Y(\ring.x11.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x11.x4 (.A(\ring.x11.d2 ),
.TE(trim_a[20]),
.Z(\ring.net19 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x11.x5 (.A(\ring.x11.ts ),
.X(\ring.x11.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x11.x6 (.A(\ring.x11.d0 ),
.TE(trim_a[21]),
.Z(\ring.x11.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x11.x7 (.A(\ring.x11.ts ),
.TE_B(trim_a[20]),
.Z(\ring.net19 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x12.x1 (.A(\ring.net19 ),
.X(\ring.x12.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x12.x2 (.A(\ring.x12.ts ),
.TE_B(trim_a[23]),
.Z(\ring.x12.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x12.x3 (.A(\ring.x12.d1 ),
.Y(\ring.x12.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x12.x4 (.A(\ring.x12.d2 ),
.TE(trim_a[22]),
.Z(\ring.net39 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x12.x5 (.A(\ring.x12.ts ),
.X(\ring.x12.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x12.x6 (.A(\ring.x12.d0 ),
.TE(trim_a[23]),
.Z(\ring.x12.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x12.x7 (.A(\ring.x12.ts ),
.TE_B(trim_a[22]),
.Z(\ring.net39 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x13.x1 (.A(\ring.net16 ),
.X(\ring.x13.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x13.x2 (.A(\ring.x13.ts ),
.TE_B(trim_b[1]),
.Z(\ring.x13.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x13.x3 (.A(\ring.x13.d1 ),
.Y(\ring.x13.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x13.x4 (.A(\ring.x13.d2 ),
.TE(trim_b[0]),
.Z(\ring.net9 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x13.x5 (.A(\ring.x13.ts ),
.X(\ring.x13.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x13.x6 (.A(\ring.x13.d0 ),
.TE(trim_b[1]),
.Z(\ring.x13.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x13.x7 (.A(\ring.x13.ts ),
.TE_B(trim_b[0]),
.Z(\ring.net9 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x14.x1 (.A(\ring.net9 ),
.X(\ring.x14.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x14.x2 (.A(\ring.x14.ts ),
.TE_B(trim_b[3]),
.Z(\ring.x14.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x14.x3 (.A(\ring.x14.d1 ),
.Y(\ring.x14.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x14.x4 (.A(\ring.x14.d2 ),
.TE(trim_b[2]),
.Z(\ring.net10 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x14.x5 (.A(\ring.x14.ts ),
.X(\ring.x14.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x14.x6 (.A(\ring.x14.d0 ),
.TE(trim_b[3]),
.Z(\ring.x14.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x14.x7 (.A(\ring.x14.ts ),
.TE_B(trim_b[2]),
.Z(\ring.net10 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x15.x1 (.A(\ring.net10 ),
.X(\ring.x15.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x15.x2 (.A(\ring.x15.ts ),
.TE_B(trim_b[5]),
.Z(\ring.x15.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x15.x3 (.A(\ring.x15.d1 ),
.Y(\ring.x15.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x15.x4 (.A(\ring.x15.d2 ),
.TE(trim_b[4]),
.Z(\ring.net11 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x15.x5 (.A(\ring.x15.ts ),
.X(\ring.x15.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x15.x6 (.A(\ring.x15.d0 ),
.TE(trim_b[5]),
.Z(\ring.x15.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x15.x7 (.A(\ring.x15.ts ),
.TE_B(trim_b[4]),
.Z(\ring.net11 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x16.x1 (.A(\ring.net11 ),
.X(\ring.x16.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x16.x2 (.A(\ring.x16.ts ),
.TE_B(trim_b[7]),
.Z(\ring.x16.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x16.x3 (.A(\ring.x16.d1 ),
.Y(\ring.x16.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x16.x4 (.A(\ring.x16.d2 ),
.TE(trim_b[6]),
.Z(\ring.net12 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x16.x5 (.A(\ring.x16.ts ),
.X(\ring.x16.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x16.x6 (.A(\ring.x16.d0 ),
.TE(trim_b[7]),
.Z(\ring.x16.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x16.x7 (.A(\ring.x16.ts ),
.TE_B(trim_b[6]),
.Z(\ring.net12 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x17.x1 (.A(\ring.net12 ),
.X(\ring.x17.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x17.x2 (.A(\ring.x17.ts ),
.TE_B(trim_b[9]),
.Z(\ring.x17.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x17.x3 (.A(\ring.x17.d1 ),
.Y(\ring.x17.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x17.x4 (.A(\ring.x17.d2 ),
.TE(trim_b[8]),
.Z(\ring.net13 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x17.x5 (.A(\ring.x17.ts ),
.X(\ring.x17.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x17.x6 (.A(\ring.x17.d0 ),
.TE(trim_b[9]),
.Z(\ring.x17.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x17.x7 (.A(\ring.x17.ts ),
.TE_B(trim_b[8]),
.Z(\ring.net13 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x18.x1 (.A(\ring.net13 ),
.X(\ring.x18.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x18.x2 (.A(\ring.x18.ts ),
.TE_B(trim_b[11]),
.Z(\ring.x18.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x18.x3 (.A(\ring.x18.d1 ),
.Y(\ring.x18.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x18.x4 (.A(\ring.x18.d2 ),
.TE(trim_b[10]),
.Z(\ring.net14 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x18.x5 (.A(\ring.x18.ts ),
.X(\ring.x18.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x18.x6 (.A(\ring.x18.d0 ),
.TE(trim_b[11]),
.Z(\ring.x18.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x18.x7 (.A(\ring.x18.ts ),
.TE_B(trim_b[10]),
.Z(\ring.net14 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x19.x1 (.A(\ring.net14 ),
.X(\ring.x19.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x19.x2 (.A(\ring.x19.ts ),
.TE_B(trim_b[13]),
.Z(\ring.x19.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x19.x3 (.A(\ring.x19.d1 ),
.Y(\ring.x19.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x19.x4 (.A(\ring.x19.d2 ),
.TE(trim_b[12]),
.Z(\ring.net15 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x19.x5 (.A(\ring.x19.ts ),
.X(\ring.x19.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x19.x6 (.A(\ring.x19.d0 ),
.TE(trim_b[13]),
.Z(\ring.x19.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x19.x7 (.A(\ring.x19.ts ),
.TE_B(trim_b[12]),
.Z(\ring.net15 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x2.x1 (.A(\ring.net2 ),
.X(\ring.x2.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x2.x2 (.A(\ring.x2.ts ),
.TE_B(trim_a[3]),
.Z(\ring.x2.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x2.x3 (.A(\ring.x2.d1 ),
.Y(\ring.x2.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x2.x4 (.A(\ring.x2.d2 ),
.TE(trim_a[2]),
.Z(\ring.net3 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x2.x5 (.A(\ring.x2.ts ),
.X(\ring.x2.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x2.x6 (.A(\ring.x2.d0 ),
.TE(trim_a[3]),
.Z(\ring.x2.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x2.x7 (.A(\ring.x2.ts ),
.TE_B(trim_a[2]),
.Z(\ring.net3 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x20.x1 (.A(\ring.net15 ),
.X(\ring.x20.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x20.x2 (.A(\ring.x20.ts ),
.TE_B(trim_b[15]),
.Z(\ring.x20.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x20.x3 (.A(\ring.x20.d1 ),
.Y(\ring.x20.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x20.x4 (.A(\ring.x20.d2 ),
.TE(trim_b[14]),
.Z(\ring.net23 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x20.x5 (.A(\ring.x20.ts ),
.X(\ring.x20.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x20.x6 (.A(\ring.x20.d0 ),
.TE(trim_b[15]),
.Z(\ring.x20.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x20.x7 (.A(\ring.x20.ts ),
.TE_B(trim_b[14]),
.Z(\ring.net23 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__nand2_4 \ring.x21 (.A(\ring.outb ),
.B(\ring.net25 ),
.Y(\ring.net1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x22.x1 (.A(\ring.net23 ),
.X(\ring.x22.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x22.x2 (.A(\ring.x22.ts ),
.TE_B(trim_b[17]),
.Z(\ring.x22.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x22.x3 (.A(\ring.x22.d1 ),
.Y(\ring.x22.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x22.x4 (.A(\ring.x22.d2 ),
.TE(trim_b[16]),
.Z(\ring.net20 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x22.x5 (.A(\ring.x22.ts ),
.X(\ring.x22.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x22.x6 (.A(\ring.x22.d0 ),
.TE(trim_b[17]),
.Z(\ring.x22.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x22.x7 (.A(\ring.x22.ts ),
.TE_B(trim_b[16]),
.Z(\ring.net20 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x23.x1 (.A(\ring.net20 ),
.X(\ring.x23.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x23.x2 (.A(\ring.x23.ts ),
.TE_B(trim_b[19]),
.Z(\ring.x23.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x23.x3 (.A(\ring.x23.d1 ),
.Y(\ring.x23.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x23.x4 (.A(\ring.x23.d2 ),
.TE(trim_b[18]),
.Z(\ring.net21 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x23.x5 (.A(\ring.x23.ts ),
.X(\ring.x23.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x23.x6 (.A(\ring.x23.d0 ),
.TE(trim_b[19]),
.Z(\ring.x23.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x23.x7 (.A(\ring.x23.ts ),
.TE_B(trim_b[18]),
.Z(\ring.net21 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x24.x1 (.A(\ring.net21 ),
.X(\ring.x24.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x24.x2 (.A(\ring.x24.ts ),
.TE_B(trim_b[21]),
.Z(\ring.x24.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x24.x3 (.A(\ring.x24.d1 ),
.Y(\ring.x24.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x24.x4 (.A(\ring.x24.d2 ),
.TE(trim_b[20]),
.Z(\ring.net22 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x24.x5 (.A(\ring.x24.ts ),
.X(\ring.x24.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x24.x6 (.A(\ring.x24.d0 ),
.TE(trim_b[21]),
.Z(\ring.x24.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x24.x7 (.A(\ring.x24.ts ),
.TE_B(trim_b[20]),
.Z(\ring.net22 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x25.x1 (.A(\ring.net22 ),
.X(\ring.x25.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x25.x2 (.A(\ring.x25.ts ),
.TE_B(trim_b[23]),
.Z(\ring.x25.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x25.x3 (.A(\ring.x25.d1 ),
.Y(\ring.x25.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x25.x4 (.A(\ring.x25.d2 ),
.TE(trim_b[22]),
.Z(\ring.net41 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x25.x5 (.A(\ring.x25.ts ),
.X(\ring.x25.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x25.x6 (.A(\ring.x25.d0 ),
.TE(trim_b[23]),
.Z(\ring.x25.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x25.x7 (.A(\ring.x25.ts ),
.TE_B(trim_b[22]),
.Z(\ring.net41 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_8 \ring.x26 (.A(\ring.net30 ),
.X(\ring.clk_ff0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxbp_2 \ring.x27 (.D(\ring.net27 ),
.Q(\ring.clk_ff1 ),
.Q_N(\ring.net27 ),
.CLK(\ring.clk_ff0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxbp_2 \ring.x28 (.D(\ring.net28 ),
.Q(\ring.net26 ),
.Q_N(\ring.net28 ),
.CLK(\ring.clk_ff0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxbp_2 \ring.x29 (.D(\ring.net29 ),
.Q(\ring.clk_ff2 ),
.Q_N(\ring.net29 ),
.CLK(\ring.net26 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x3.x1 (.A(\ring.net3 ),
.X(\ring.x3.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x3.x2 (.A(\ring.x3.ts ),
.TE_B(trim_a[5]),
.Z(\ring.x3.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x3.x3 (.A(\ring.x3.d1 ),
.Y(\ring.x3.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x3.x4 (.A(\ring.x3.d2 ),
.TE(trim_a[4]),
.Z(\ring.net4 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x3.x5 (.A(\ring.x3.ts ),
.X(\ring.x3.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x3.x6 (.A(\ring.x3.d0 ),
.TE(trim_a[5]),
.Z(\ring.x3.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x3.x7 (.A(\ring.x3.ts ),
.TE_B(trim_a[4]),
.Z(\ring.net4 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux4_2 \ring.x30 (.A0(\ring.clk_ff0 ),
.A1(\ring.clk_ff1 ),
.A2(\ring.clk_ff2 ),
.A3(\ring.clk_ff3 ),
.S0(clkmux[0]),
.S1(clkmux[1]),
.X(\ring.net38 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxbp_2 \ring.x31 (.D(\ring.net32 ),
.Q(\ring.net31 ),
.Q_N(\ring.net32 ),
.CLK(\ring.clk_ff0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxbp_2 \ring.x32 (.D(\ring.net33 ),
.Q(\ring.net34 ),
.Q_N(\ring.net33 ),
.CLK(\ring.net31 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__dfxbp_2 \ring.x33 (.D(\ring.net35 ),
.Q(\ring.clk_ff3 ),
.Q_N(\ring.net35 ),
.CLK(\ring.net34 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__mux2_2 \ring.x34 (.A0(\ring.net36 ),
.A1(\ring.net37 ),
.S(clkmux[2]),
.X(\ring.net30 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_8 \ring.x35 (.A(\ring.net38 ),
.X(clk_out),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x36 (.A(\ring.outb ),
.X(\ring.net36 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x37 (.A(\ring.outa ),
.X(\ring.net37 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x38 (.A(start),
.X(\ring.net25 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x39.x1 (.A(\ring.net39 ),
.X(\ring.x39.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x39.x2 (.A(\ring.x39.ts ),
.TE_B(trim_a[25]),
.Z(\ring.x39.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x39.x3 (.A(\ring.x39.d1 ),
.Y(\ring.x39.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x39.x4 (.A(\ring.x39.d2 ),
.TE(trim_a[24]),
.Z(\ring.net40 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x39.x5 (.A(\ring.x39.ts ),
.X(\ring.x39.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x39.x6 (.A(\ring.x39.d0 ),
.TE(trim_a[25]),
.Z(\ring.x39.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x39.x7 (.A(\ring.x39.ts ),
.TE_B(trim_a[24]),
.Z(\ring.net40 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x4.x1 (.A(\ring.net4 ),
.X(\ring.x4.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x4.x2 (.A(\ring.x4.ts ),
.TE_B(trim_a[7]),
.Z(\ring.x4.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x4.x3 (.A(\ring.x4.d1 ),
.Y(\ring.x4.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x4.x4 (.A(\ring.x4.d2 ),
.TE(trim_a[6]),
.Z(\ring.net5 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x4.x5 (.A(\ring.x4.ts ),
.X(\ring.x4.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x4.x6 (.A(\ring.x4.d0 ),
.TE(trim_a[7]),
.Z(\ring.x4.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x4.x7 (.A(\ring.x4.ts ),
.TE_B(trim_a[6]),
.Z(\ring.net5 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x40.x1 (.A(\ring.net40 ),
.X(\ring.x40.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x40.x2 (.A(\ring.x40.ts ),
.TE_B(trim_a[27]),
.Z(\ring.x40.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x40.x3 (.A(\ring.x40.d1 ),
.Y(\ring.x40.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x40.x4 (.A(\ring.x40.d2 ),
.TE(trim_a[26]),
.Z(\ring.outa ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x40.x5 (.A(\ring.x40.ts ),
.X(\ring.x40.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x40.x6 (.A(\ring.x40.d0 ),
.TE(trim_a[27]),
.Z(\ring.x40.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x40.x7 (.A(\ring.x40.ts ),
.TE_B(trim_a[26]),
.Z(\ring.outa ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x41.x1 (.A(\ring.net41 ),
.X(\ring.x41.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x41.x2 (.A(\ring.x41.ts ),
.TE_B(trim_b[25]),
.Z(\ring.x41.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x41.x3 (.A(\ring.x41.d1 ),
.Y(\ring.x41.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x41.x4 (.A(\ring.x41.d2 ),
.TE(trim_b[24]),
.Z(\ring.net42 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x41.x5 (.A(\ring.x41.ts ),
.X(\ring.x41.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x41.x6 (.A(\ring.x41.d0 ),
.TE(trim_b[25]),
.Z(\ring.x41.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x41.x7 (.A(\ring.x41.ts ),
.TE_B(trim_b[24]),
.Z(\ring.net42 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x42.x1 (.A(\ring.net42 ),
.X(\ring.x42.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x42.x2 (.A(\ring.x42.ts ),
.TE_B(trim_b[27]),
.Z(\ring.x42.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x42.x3 (.A(\ring.x42.d1 ),
.Y(\ring.x42.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x42.x4 (.A(\ring.x42.d2 ),
.TE(trim_b[26]),
.Z(\ring.outb ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x42.x5 (.A(\ring.x42.ts ),
.X(\ring.x42.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x42.x6 (.A(\ring.x42.d0 ),
.TE(trim_b[27]),
.Z(\ring.x42.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x42.x7 (.A(\ring.x42.ts ),
.TE_B(trim_b[26]),
.Z(\ring.outb ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__nand2_4 \ring.x47 (.A(\ring.net25 ),
.B(\ring.outa ),
.Y(\ring.net16 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x5.x1 (.A(\ring.net5 ),
.X(\ring.x5.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x5.x2 (.A(\ring.x5.ts ),
.TE_B(trim_a[9]),
.Z(\ring.x5.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x5.x3 (.A(\ring.x5.d1 ),
.Y(\ring.x5.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x5.x4 (.A(\ring.x5.d2 ),
.TE(trim_a[8]),
.Z(\ring.net6 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x5.x5 (.A(\ring.x5.ts ),
.X(\ring.x5.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x5.x6 (.A(\ring.x5.d0 ),
.TE(trim_a[9]),
.Z(\ring.x5.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x5.x7 (.A(\ring.x5.ts ),
.TE_B(trim_a[8]),
.Z(\ring.net6 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x6.x1 (.A(\ring.net6 ),
.X(\ring.x6.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x6.x2 (.A(\ring.x6.ts ),
.TE_B(trim_a[11]),
.Z(\ring.x6.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x6.x3 (.A(\ring.x6.d1 ),
.Y(\ring.x6.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x6.x4 (.A(\ring.x6.d2 ),
.TE(trim_a[10]),
.Z(\ring.net7 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x6.x5 (.A(\ring.x6.ts ),
.X(\ring.x6.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x6.x6 (.A(\ring.x6.d0 ),
.TE(trim_a[11]),
.Z(\ring.x6.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x6.x7 (.A(\ring.x6.ts ),
.TE_B(trim_a[10]),
.Z(\ring.net7 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x7.x1 (.A(\ring.net7 ),
.X(\ring.x7.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x7.x2 (.A(\ring.x7.ts ),
.TE_B(trim_a[13]),
.Z(\ring.x7.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x7.x3 (.A(\ring.x7.d1 ),
.Y(\ring.x7.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x7.x4 (.A(\ring.x7.d2 ),
.TE(trim_a[12]),
.Z(\ring.net8 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x7.x5 (.A(\ring.x7.ts ),
.X(\ring.x7.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x7.x6 (.A(\ring.x7.d0 ),
.TE(trim_a[13]),
.Z(\ring.x7.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x7.x7 (.A(\ring.x7.ts ),
.TE_B(trim_a[12]),
.Z(\ring.net8 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x8.x1 (.A(\ring.net8 ),
.X(\ring.x8.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x8.x2 (.A(\ring.x8.ts ),
.TE_B(trim_a[15]),
.Z(\ring.x8.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x8.x3 (.A(\ring.x8.d1 ),
.Y(\ring.x8.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x8.x4 (.A(\ring.x8.d2 ),
.TE(trim_a[14]),
.Z(\ring.net24 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x8.x5 (.A(\ring.x8.ts ),
.X(\ring.x8.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x8.x6 (.A(\ring.x8.d0 ),
.TE(trim_a[15]),
.Z(\ring.x8.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x8.x7 (.A(\ring.x8.ts ),
.TE_B(trim_a[14]),
.Z(\ring.net24 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_2 \ring.x9.x1 (.A(\ring.net24 ),
.X(\ring.x9.ts ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_4 \ring.x9.x2 (.A(\ring.x9.ts ),
.TE_B(trim_a[17]),
.Z(\ring.x9.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkinv_1 \ring.x9.x3 (.A(\ring.x9.d1 ),
.Y(\ring.x9.d2 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x9.x4 (.A(\ring.x9.d2 ),
.TE(trim_a[16]),
.Z(\ring.net17 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__clkbuf_1 \ring.x9.x5 (.A(\ring.x9.ts ),
.X(\ring.x9.d0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvp_2 \ring.x9.x6 (.A(\ring.x9.d0 ),
.TE(trim_a[17]),
.Z(\ring.x9.d1 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__einvn_8 \ring.x9.x7 (.A(\ring.x9.ts ),
.TE_B(trim_a[16]),
.Z(\ring.net17 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
endmodule