blob: 53ca9ba361bb4c0a7c61a0dfedb2dc845b5191c9 [file] [log] [blame]
// SPDX-FileCopyrightText: 2021 Natalia Machado
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0
`default_nettype wire
/* Generated by Yosys 0.11 (git sha1 UNKNOWN, clang 10.0.1 -fPIC -Os) */
(* cells_not_processed = 1 *)
module CanAcf(clock, reset, io_id, io_resetMode, io_acceptanceFilterMode, io_extendedMode, io_acceptanceCode_0, io_acceptanceCode_1, io_acceptanceCode_2, io_acceptanceCode_3, io_acceptanceMask_0, io_acceptanceMask_1, io_acceptanceMask_2, io_acceptanceMask_3, io_goRxCrcLim, io_goRxInter, io_goErrorFrame, io_data0, io_data1, io_rtr1, io_rtr2
, io_ide, io_noByte0, io_noByte1, io_idOk);
(* src = "CanAcf.scala:60.61|CanAcf.scala:61.10|CanAcf.scala:27.28" *)
wire _000_;
(* src = "CanAcf.scala:58.23|CanAcf.scala:59.10" *)
wire _001_;
(* src = "CanAcf.scala:60.27" *)
wire _002_;
(* src = "CanAcf.scala:60.42" *)
wire _003_;
(* src = "CanAcf.scala:33.43" *)
wire [7:0] _004_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _005_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _006_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _007_;
(* src = "CanAcf.scala:59.64" *)
wire _008_;
(* src = "CanAcf.scala:59.98" *)
wire _009_;
(* src = "CanAcf.scala:59.36" *)
wire _010_;
(* src = "CanAcf.scala:59.16" *)
wire _011_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _012_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _013_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _014_;
(* src = "CanAcf.scala:31.35" *)
wire _015_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _016_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _017_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _018_;
(* src = "CanAcf.scala:31.35" *)
wire _019_;
(* src = "CanAcf.scala:55.92" *)
wire _020_;
(* src = "CanAcf.scala:48.53" *)
wire [3:0] _021_;
(* src = "CanAcf.scala:48.80" *)
wire [3:0] _022_;
(* src = "CanAcf.scala:31.11" *)
wire [3:0] _023_;
(* src = "CanAcf.scala:31.7" *)
wire [3:0] _024_;
(* src = "CanAcf.scala:31.26" *)
wire [3:0] _025_;
(* src = "CanAcf.scala:31.35" *)
wire _026_;
(* src = "CanAcf.scala:48.87" *)
wire _027_;
(* src = "CanAcf.scala:47.94" *)
wire _028_;
(* src = "CanAcf.scala:49.26" *)
wire [3:0] _029_;
(* src = "CanAcf.scala:49.53" *)
wire [3:0] _030_;
(* src = "CanAcf.scala:49.80" *)
wire [3:0] _031_;
(* src = "CanAcf.scala:31.11" *)
wire [3:0] _032_;
(* src = "CanAcf.scala:31.7" *)
wire [3:0] _033_;
(* src = "CanAcf.scala:31.26" *)
wire [3:0] _034_;
(* src = "CanAcf.scala:31.35" *)
wire _035_;
(* src = "CanAcf.scala:49.87" *)
wire _036_;
(* src = "CanAcf.scala:48.101" *)
wire _037_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _038_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _039_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _040_;
(* src = "CanAcf.scala:31.35" *)
wire _041_;
(* src = "CanAcf.scala:51.61" *)
wire [3:0] _042_;
(* src = "CanAcf.scala:51.87" *)
wire [3:0] _043_;
(* src = "CanAcf.scala:31.11" *)
wire [3:0] _044_;
(* src = "CanAcf.scala:31.7" *)
wire [3:0] _045_;
(* src = "CanAcf.scala:31.26" *)
wire [3:0] _046_;
(* src = "CanAcf.scala:31.35" *)
wire _047_;
(* src = "CanAcf.scala:50.75" *)
wire _048_;
(* src = "CanAcf.scala:48.26" *)
wire [3:0] _049_;
(* src = "CanAcf.scala:40.44" *)
wire [7:0] _050_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _051_;
(* src = "CanAcf.scala:40.97" *)
wire _052_;
(* src = "CanAcf.scala:42.22" *)
wire [7:0] _053_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _054_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _055_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _056_;
(* src = "CanAcf.scala:31.35" *)
wire _057_;
(* src = "CanAcf.scala:41.75" *)
wire _058_;
(* src = "CanAcf.scala:43.26" *)
wire [4:0] _059_;
(* src = "Cat.scala:30.58" *)
wire [5:0] _060_;
(* src = "CanAcf.scala:43.61" *)
wire [5:0] _061_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _062_;
(* src = "CanAcf.scala:43.87" *)
wire [5:0] _063_;
(* src = "CanAcf.scala:31.11" *)
wire [5:0] _064_;
(* src = "CanAcf.scala:31.7" *)
wire [5:0] _065_;
(* src = "CanAcf.scala:31.26" *)
wire [5:0] _066_;
(* src = "CanAcf.scala:31.35" *)
wire _067_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _068_;
(* src = "CanAcf.scala:31.35" *)
wire _069_;
(* src = "CanAcf.scala:41.22" *)
wire [7:0] _070_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _071_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _072_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _073_;
(* src = "CanAcf.scala:31.35" *)
wire _074_;
(* src = "CanAcf.scala:36.26" *)
wire [2:0] _075_;
(* src = "Cat.scala:30.58" *)
wire [3:0] _076_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _077_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _078_;
(* src = "CanAcf.scala:31.35" *)
wire _079_;
(* src = "CanAcf.scala:37.72" *)
wire _080_;
(* src = "CanAcf.scala:36.95" *)
wire _081_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _082_;
(* src = "CanAcf.scala:31.7" *)
wire [7:0] _083_;
(* src = "CanAcf.scala:31.26" *)
wire [7:0] _084_;
(* src = "CanAcf.scala:31.35" *)
wire _085_;
(* src = "CanAcf.scala:38.72" *)
wire _086_;
(* src = "CanAcf.scala:36.61" *)
wire [3:0] _087_;
(* src = "CanAcf.scala:36.88" *)
wire [3:0] _088_;
(* src = "CanAcf.scala:31.11" *)
wire [3:0] _089_;
(* src = "CanAcf.scala:31.7" *)
wire [3:0] _090_;
(* src = "CanAcf.scala:31.26" *)
wire [3:0] _091_;
(* src = "CanAcf.scala:31.35" *)
wire _092_;
(* src = "CanAcf.scala:35.35" *)
wire _093_;
(* src = "CanAcf.scala:31.11" *)
wire [7:0] _094_;
wire _095_;
wire _096_;
input clock;
(* src = "CanAcf.scala:31.35" *)
wire idMatch;
(* src = "CanAcf.scala:27.28" *)
reg idOk;
(* src = "CanAcf.scala:27.28|CanAcf.scala:27.28" *)
wire \idOk$process$CanAcf ;
input [7:0] io_acceptanceCode_0;
input [7:0] io_acceptanceCode_1;
input [7:0] io_acceptanceCode_2;
input [7:0] io_acceptanceCode_3;
input io_acceptanceFilterMode;
input [7:0] io_acceptanceMask_0;
input [7:0] io_acceptanceMask_1;
input [7:0] io_acceptanceMask_2;
input [7:0] io_acceptanceMask_3;
input [7:0] io_data0;
input [7:0] io_data1;
input io_extendedMode;
input io_goErrorFrame;
input io_goRxCrcLim;
input io_goRxInter;
input [28:0] io_id;
output io_idOk;
input io_ide;
input io_noByte0;
input io_noByte1;
input io_resetMode;
input io_rtr1;
input io_rtr2;
(* src = "CanAcf.scala:54.93" *)
wire matchDfExt;
(* src = "CanAcf.scala:49.102" *)
wire matchDfStd;
(* src = "CanAcf.scala:42.74" *)
wire matchSfExt;
(* src = "CanAcf.scala:37.86" *)
wire matchSfStd;
input reset;
assign _093_ = idMatch & _092_;
assign _081_ = _093_ & _080_;
assign matchSfStd = _081_ & _086_;
assign _052_ = _069_ & _074_;
assign _058_ = _052_ & _057_;
assign matchSfExt = _058_ & _067_;
assign _028_ = _093_ & _027_;
assign _037_ = _028_ & _036_;
assign _048_ = _041_ & _047_;
assign _020_ = _015_ & _019_;
assign _008_ = io_ide ? (* src = "CanAcf.scala:59.64" *) matchSfExt : matchSfStd;
assign _009_ = io_ide ? (* src = "CanAcf.scala:59.98" *) matchDfExt : matchDfStd;
assign _010_ = io_acceptanceFilterMode ? (* src = "CanAcf.scala:59.36" *) _008_ : _009_;
assign _011_ = io_extendedMode ? (* src = "CanAcf.scala:59.16" *) _010_ : idMatch;
assign _000_ = _003_ ? (* src = "CanAcf.scala:60.61|CanAcf.scala:61.10|CanAcf.scala:27.28" *) 1'h0 : idOk;
assign _001_ = io_goRxCrcLim ? (* src = "CanAcf.scala:58.23|CanAcf.scala:59.10" *) _011_ : _000_;
assign _006_ = ~ _005_;
assign _090_ = ~ _089_;
assign _077_ = ~ _094_;
assign _045_ = ~ _044_;
assign _013_ = ~ _012_;
assign _017_ = ~ _016_;
assign _083_ = ~ _082_;
assign _062_ = ~ _051_;
assign _072_ = ~ _071_;
assign _055_ = ~ _054_;
assign _065_ = ~ _064_;
assign _024_ = ~ _023_;
assign _033_ = ~ _032_;
assign _039_ = ~ _038_;
assign _007_ = _006_ | io_acceptanceMask_0;
assign _091_ = _090_ | _088_;
assign _078_ = _077_ | io_acceptanceMask_2;
assign _027_ = _026_ | io_noByte0;
assign _034_ = _033_ | _031_;
assign _036_ = _035_ | io_noByte0;
assign _040_ = _039_ | io_acceptanceMask_2;
assign _046_ = _045_ | _043_;
assign matchDfStd = _037_ | _048_;
assign _014_ = _013_ | io_acceptanceMask_2;
assign _018_ = _017_ | io_acceptanceMask_3;
assign matchDfExt = _052_ | _020_;
assign _002_ = io_resetMode | io_goRxInter;
assign _080_ = _079_ | io_noByte0;
assign _003_ = _002_ | io_goErrorFrame;
assign _084_ = _083_ | io_acceptanceMask_3;
assign _086_ = _085_ | io_noByte1;
assign _068_ = _062_ | io_acceptanceMask_0;
assign _073_ = _072_ | io_acceptanceMask_1;
assign _056_ = _055_ | io_acceptanceMask_2;
assign _066_ = _065_ | _063_;
assign _025_ = _024_ | _022_;
always @(posedge clock)
idOk <= _095_;
assign _095_ = _096_ ? (* full_case = 32'd1 *) (* src = "CanAcf.scala:27.28" *) 1'h0 : _001_;
assign idMatch = & _007_;
assign _092_ = & _091_;
assign _079_ = & _078_;
assign _047_ = & _046_;
assign _015_ = & _014_;
assign _019_ = & _018_;
assign _085_ = & _084_;
assign _069_ = & _068_;
assign _074_ = & _073_;
assign _057_ = & _056_;
assign _067_ = & _066_;
assign _026_ = & _025_;
assign _035_ = & _034_;
assign _041_ = & _040_;
assign _005_ = _004_ ^ io_acceptanceCode_0;
assign _089_ = _076_ ^ _087_;
assign _094_ = io_data0 ^ io_acceptanceCode_2;
assign _044_ = _076_ ^ _042_;
assign _012_ = _050_ ^ io_acceptanceCode_2;
assign _016_ = _070_ ^ io_acceptanceCode_3;
assign _082_ = io_data1 ^ io_acceptanceCode_3;
assign _051_ = _050_ ^ io_acceptanceCode_0;
assign _071_ = _070_ ^ io_acceptanceCode_1;
assign _054_ = _053_ ^ io_acceptanceCode_2;
assign _064_ = _060_ ^ _061_;
assign _023_ = _049_ ^ _021_;
assign _032_ = _029_ ^ _030_;
assign _038_ = _004_ ^ io_acceptanceCode_2;
assign _004_ = io_id[10:3];
assign _075_ = io_id[2:0];
assign _076_ = { _075_, io_rtr1 };
assign _087_ = io_acceptanceCode_1[7:4];
assign _088_ = io_acceptanceMask_1[7:4];
assign _050_ = io_id[28:21];
assign _070_ = io_id[20:13];
assign _053_ = io_id[12:5];
assign _059_ = io_id[4:0];
assign _060_ = { _059_, io_rtr2 };
assign _061_ = io_acceptanceCode_3[7:2];
assign _063_ = io_acceptanceMask_3[7:2];
assign _049_ = io_data0[7:4];
assign _021_ = io_acceptanceCode_1[3:0];
assign _022_ = io_acceptanceMask_1[3:0];
assign _029_ = io_data0[3:0];
assign _030_ = io_acceptanceCode_3[3:0];
assign _031_ = io_acceptanceMask_3[3:0];
assign _042_ = io_acceptanceCode_3[7:4];
assign _043_ = io_acceptanceMask_3[7:4];
assign io_idOk = idOk;
assign _096_ = reset;
assign \idOk$process$CanAcf = _095_;
endmodule
(* cells_not_processed = 1 *)
module CanBsp(clock, reset, io_samplePoint, io_sampledBit, io_sampledBitQ, io_txPoint, io_hardSync, io_addr, io_dataIn, io_dataOut, io_resetMode, io_listenOnlyMode, io_acceptanceFilterMode, io_extendedMode, io_selfTestMode, io_releaseBuffer, io_txRequest, io_abortTx, io_selfRxRequest, io_singleShotTransmission, io_txState
, io_txStateQ, io_overloadFrame, io_readArbitrationLostCaptureReg, io_readErrorCodeCaptureReg, io_errorCaptureCode, io_errorWarningLimit, io_writeEnReceiveErrorCounter, io_writeEnTransmitErrorCounter, io_rxIdle, io_transmitting, io_transmitter, io_goRxInter, io_notFirstBitOfInter, io_rxInter, io_setResetMode, io_nodeBusOff, io_errorStatus, io_rxErrorCount, io_txErrorCount, io_transmitStatus, io_receiveStatus
, io_txSuccessful, io_needToTx, io_overrun, io_infoEmpty, io_setBusErrorIrq, io_setArbitrationLostIrq, io_arbitrationLostCapture, io_nodeErrorPassive, io_nodeErrorActive, io_rxMessageCounter, io_acceptanceCode_0, io_acceptanceCode_1, io_acceptanceCode_2, io_acceptanceCode_3, io_acceptanceMask_0, io_acceptanceMask_1, io_acceptanceMask_2, io_acceptanceMask_3, io_txData_0, io_txData_1, io_txData_2
, io_txData_3, io_txData_4, io_txData_5, io_txData_6, io_txData_7, io_txData_8, io_txData_9, io_txData_10, io_txData_11, io_txData_12, io_tx, io_txNext, io_busOffOn, io_goOverloadFrame, io_goErrorFrame, io_goTx, io_sendAck);
(* src = "CanBsp.scala:292.24|CanBsp.scala:293.12|CanBsp.scala:83.30" *)
wire _0000_;
(* src = "CanBsp.scala:290.35|CanBsp.scala:291.12" *)
wire _0001_;
(* src = "CanBsp.scala:322.24|CanBsp.scala:323.12|CanBsp.scala:116.30" *)
wire _0002_;
(* src = "CanBsp.scala:636.25|CanBsp.scala:637.21" *)
wire _0003_;
(* src = "CanBsp.scala:644.34|CanBsp.scala:645.19|CanBsp.scala:79.37" *)
wire _0004_;
(* src = "CanBsp.scala:642.46|CanBsp.scala:643.19" *)
wire _0005_;
(* src = "CanBsp.scala:650.67|CanBsp.scala:651.18|CanBsp.scala:151.36" *)
wire [2:0] _0006_;
(* src = "CanBsp.scala:648.67|CanBsp.scala:649.18" *)
wire [2:0] _0007_;
(* src = "CanBsp.scala:656.68|CanBsp.scala:657.24|CanBsp.scala:148.42" *)
wire _0008_;
(* src = "CanBsp.scala:654.67|CanBsp.scala:655.24" *)
wire _0009_;
(* src = "CanBsp.scala:662.46|CanBsp.scala:663.18|CanBsp.scala:152.36" *)
wire [2:0] _0010_;
(* src = "CanBsp.scala:660.67|CanBsp.scala:661.18" *)
wire [2:0] _0011_;
(* src = "CanBsp.scala:320.34|CanBsp.scala:321.12" *)
wire _0012_;
(* src = "CanBsp.scala:682.29|CanBsp.scala:690.19" *)
wire _0013_;
(* src = "CanBsp.scala:693.33|CanBsp.scala:694.19|CanBsp.scala:696.19" *)
wire _0014_;
(* src = "CanBsp.scala:701.30|CanBsp.scala:702.17|CanBsp.scala:704.17" *)
wire _0015_;
(* src = "CanBsp.scala:699.39|CanBsp.scala:700.19" *)
wire _0016_;
(* src = "CanBsp.scala:692.55" *)
wire _0017_;
(* src = "CanBsp.scala:681.41" *)
wire _0018_;
(* src = "CanBsp.scala:328.22|CanBsp.scala:329.10|CanBsp.scala:118.27" *)
wire _0019_;
(* src = "CanBsp.scala:710.26|CanBsp.scala:711.8|CanBsp.scala:103.26" *)
wire _0020_;
(* src = "CanBsp.scala:708.22|CanBsp.scala:709.8" *)
wire _0021_;
(* src = "CanBsp.scala:716.26|CanBsp.scala:717.9|CanBsp.scala:159.27" *)
wire _0022_;
(* src = "CanBsp.scala:714.22|CanBsp.scala:715.9" *)
wire _0023_;
(* src = "CanBsp.scala:725.27|CanBsp.scala:726.13|CanBsp.scala:728.13" *)
wire _0024_;
(* src = "CanBsp.scala:723.23|CanBsp.scala:724.13" *)
wire _0025_;
(* src = "CanBsp.scala:721.18|CanBsp.scala:722.13" *)
wire _0026_;
(* src = "CanBsp.scala:735.27|CanBsp.scala:736.13|CanBsp.scala:738.13" *)
wire _0027_;
(* src = "CanBsp.scala:733.23|CanBsp.scala:734.13" *)
wire _0028_;
(* src = "CanBsp.scala:326.34|CanBsp.scala:327.10" *)
wire _0029_;
(* src = "CanBsp.scala:731.18|CanBsp.scala:732.13" *)
wire _0030_;
(* src = "CanBsp.scala:744.81|CanBsp.scala:745.15|CanBsp.scala:164.33" *)
wire [5:0] _0031_;
(* src = "CanBsp.scala:742.22|CanBsp.scala:743.15" *)
wire [5:0] _0032_;
(* src = "CanBsp.scala:750.45|CanBsp.scala:751.14|CanBsp.scala:93.32" *)
wire _0033_;
(* src = "CanBsp.scala:748.130|CanBsp.scala:749.14" *)
wire _0034_;
(* src = "CanBsp.scala:758.25|CanBsp.scala:759.22|CanBsp.scala:126.40" *)
wire _0035_;
(* src = "CanBsp.scala:756.35|CanBsp.scala:757.22" *)
wire _0036_;
(* src = "CanBsp.scala:764.23|CanBsp.scala:765.13|CanBsp.scala:75.31" *)
wire _0037_;
(* src = "CanBsp.scala:762.68|CanBsp.scala:763.13" *)
wire _0038_;
(* src = "CanBsp.scala:334.22|CanBsp.scala:335.10|CanBsp.scala:117.28" *)
wire _0039_;
(* src = "CanBsp.scala:770.59|CanBsp.scala:771.17|CanBsp.scala:87.35" *)
wire _0040_;
(* src = "CanBsp.scala:768.17|CanBsp.scala:769.17" *)
wire _0041_;
(* src = "CanBsp.scala:776.98|CanBsp.scala:777.18|CanBsp.scala:85.36" *)
wire _0042_;
(* src = "CanBsp.scala:774.69|CanBsp.scala:775.18" *)
wire _0043_;
(* src = "CanBsp.scala:782.76|CanBsp.scala:783.13|CanBsp.scala:177.31" *)
wire _0044_;
(* src = "CanBsp.scala:780.63|CanBsp.scala:781.13" *)
wire _0045_;
(* src = "CanBsp.scala:788.57|CanBsp.scala:789.18|CanBsp.scala:178.36" *)
wire _0046_;
(* src = "CanBsp.scala:786.64|CanBsp.scala:787.18" *)
wire _0047_;
(* src = "CanBsp.scala:794.45|CanBsp.scala:795.16|CanBsp.scala:179.34" *)
wire [2:0] _0048_;
(* src = "CanBsp.scala:792.64|CanBsp.scala:793.16" *)
wire [2:0] _0049_;
(* src = "CanBsp.scala:332.35|CanBsp.scala:333.10" *)
wire _0050_;
(* src = "CanBsp.scala:800.26|CanBsp.scala:801.15|CanBsp.scala:166.33" *)
wire _0051_;
(* src = "CanBsp.scala:798.56|CanBsp.scala:799.15" *)
wire _0052_;
(* src = "CanBsp.scala:806.91|CanBsp.scala:807.21|CanBsp.scala:154.39" *)
wire _0053_;
(* src = "CanBsp.scala:804.36|CanBsp.scala:805.21" *)
wire _0054_;
(* src = "CanBsp.scala:810.24|CanBsp.scala:811.23|CanBsp.scala:156.41" *)
wire _0055_;
(* src = "CanBsp.scala:817.29|CanBsp.scala:818.22|CanBsp.scala:820.22" *)
wire [4:0] _0056_;
(* src = "CanBsp.scala:816.38|CanBsp.scala:157.38" *)
wire [4:0] _0057_;
(* src = "CanBsp.scala:824.34|CanBsp.scala:825.28|CanBsp.scala:99.46" *)
wire [4:0] _0058_;
(* src = "CanBsp.scala:830.40|CanBsp.scala:831.24|CanBsp.scala:158.42" *)
wire _0059_;
(* src = "CanBsp.scala:828.42|CanBsp.scala:829.24" *)
wire _0060_;
(* src = "CanBsp.scala:340.23|CanBsp.scala:341.11|CanBsp.scala:119.29" *)
wire _0061_;
(* src = "CanBsp.scala:841.39|CanBsp.scala:842.24|CanBsp.scala:844.24" *)
wire [8:0] _0062_;
(* src = "CanBsp.scala:850.116|CanBsp.scala:851.24|CanBsp.scala:95.36" *)
wire [8:0] _0063_;
(* src = "CanBsp.scala:847.40|CanBsp.scala:848.24" *)
wire [8:0] _0064_;
(* src = "CanBsp.scala:846.43|CanBsp.scala:95.36" *)
wire [8:0] _0065_;
(* src = "CanBsp.scala:840.83" *)
wire [8:0] _0066_;
(* src = "CanBsp.scala:839.68|CanBsp.scala:95.36" *)
wire [8:0] _0067_;
(* src = "CanBsp.scala:836.30|CanBsp.scala:837.18" *)
wire [8:0] _0068_;
(* src = "CanBsp.scala:834.58|CanBsp.scala:835.18" *)
wire [8:0] _0069_;
(* src = "CanBsp.scala:868.38|CanBsp.scala:869.22|CanBsp.scala:97.36" *)
wire [8:0] _0070_;
(* src = "CanBsp.scala:864.53|CanBsp.scala:97.36" *)
wire [8:0] _0071_;
(* src = "CanBsp.scala:338.46|CanBsp.scala:339.11" *)
wire _0072_;
(* src = "CanBsp.scala:862.68|CanBsp.scala:863.20" *)
wire [8:0] _0073_;
(* src = "CanBsp.scala:860.27|CanBsp.scala:861.20" *)
wire [8:0] _0074_;
(* src = "CanBsp.scala:857.40|CanBsp.scala:858.18" *)
wire [8:0] _0075_;
(* src = "CanBsp.scala:876.155|CanBsp.scala:877.22|CanBsp.scala:101.40" *)
wire _0076_;
(* src = "CanBsp.scala:874.57|CanBsp.scala:875.22" *)
wire _0077_;
(* src = "CanBsp.scala:884.101|CanBsp.scala:885.16|CanBsp.scala:91.34" *)
wire _0078_;
(* src = "CanBsp.scala:882.138|CanBsp.scala:883.16" *)
wire _0079_;
(* src = "CanBsp.scala:889.60|CanBsp.scala:890.18|CanBsp.scala:892.18" *)
wire [3:0] _0080_;
(* src = "CanBsp.scala:888.24|CanBsp.scala:167.34" *)
wire [3:0] _0081_;
(* src = "CanBsp.scala:898.87|CanBsp.scala:899.18|CanBsp.scala:168.36" *)
wire _0082_;
(* src = "CanBsp.scala:346.24|CanBsp.scala:347.12|CanBsp.scala:120.30" *)
wire _0083_;
(* src = "CanBsp.scala:896.68|CanBsp.scala:897.18" *)
wire _0084_;
(* src = "CanBsp.scala:910.43|CanBsp.scala:911.23|CanBsp.scala:169.41" *)
wire _0085_;
(* src = "CanBsp.scala:908.34|CanBsp.scala:909.23" *)
wire _0086_;
(* src = "CanBsp.scala:916.33|CanBsp.scala:917.22|CanBsp.scala:81.40" *)
wire [7:0] _0087_;
(* src = "CanBsp.scala:914.36|CanBsp.scala:915.22" *)
wire [7:0] _0088_;
(* src = "CanBsp.scala:929.24|CanBsp.scala:930.26|CanBsp.scala:932.26" *)
wire [1:0] _0089_;
(* src = "CanBsp.scala:927.23|CanBsp.scala:928.26" *)
wire [1:0] _0090_;
(* src = "CanBsp.scala:942.33|CanBsp.scala:943.29|CanBsp.scala:182.47" *)
wire _0091_;
(* src = "CanBsp.scala:344.35|CanBsp.scala:345.12" *)
wire _0092_;
(* src = "CanBsp.scala:940.36|CanBsp.scala:941.29" *)
wire _0093_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0094_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0095_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0096_;
(* src = "CanBsp.scala:244.63" *)
wire [3:0] _0097_;
(* src = "CanBsp.scala:244.37" *)
wire [4:0] _0098_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0099_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0100_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0101_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0102_;
(* src = "CanBsp.scala:298.23|CanBsp.scala:299.11|CanBsp.scala:112.29" *)
wire _0103_;
(* src = "CanBsp.scala:352.23|CanBsp.scala:353.11|CanBsp.scala:121.29" *)
wire _0104_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0105_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0106_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0107_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0108_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0109_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0110_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0111_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0112_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0113_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0114_;
(* src = "CanBsp.scala:350.38|CanBsp.scala:351.11" *)
wire _0115_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0116_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0117_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0118_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0119_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0120_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0121_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0122_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0123_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0124_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0125_;
(* src = "CanBsp.scala:358.26|CanBsp.scala:359.14|CanBsp.scala:122.32" *)
wire _0126_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0127_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0128_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0129_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0130_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0131_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0132_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0133_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0134_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0135_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0136_;
(* src = "CanBsp.scala:356.35|CanBsp.scala:357.14" *)
wire _0137_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0138_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0139_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0140_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0141_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _0142_;
(* src = "CanBsp.scala:946.58" *)
wire [8:0] _0143_;
(* src = "CanBsp.scala:160.31|CanBsp.scala:160.31" *)
wire [4:0] _0144_;
(* src = "CanBsp.scala:161.33|CanBsp.scala:161.33" *)
wire [3:0] _0145_;
(* src = "CanBsp.scala:364.23|CanBsp.scala:365.11|CanBsp.scala:123.29" *)
wire _0146_;
(* src = "CanBsp.scala:362.38|CanBsp.scala:363.11" *)
wire _0147_;
(* src = "CanBsp.scala:370.26|CanBsp.scala:371.14|CanBsp.scala:124.32" *)
wire _0148_;
(* src = "CanBsp.scala:368.35|CanBsp.scala:369.14" *)
wire _0149_;
(* src = "CanBsp.scala:376.23|CanBsp.scala:377.11|CanBsp.scala:125.29" *)
wire _0150_;
(* src = "CanBsp.scala:374.61|CanBsp.scala:375.11" *)
wire _0151_;
(* src = "CanBsp.scala:296.36|CanBsp.scala:297.11" *)
wire _0152_;
(* src = "CanBsp.scala:382.28|CanBsp.scala:383.13|CanBsp.scala:89.31" *)
wire _0153_;
(* src = "CanBsp.scala:380.67|CanBsp.scala:381.13" *)
wire _0154_;
(* src = "CanBsp.scala:386.56|CanBsp.scala:387.8|CanBsp.scala:108.26" *)
wire [28:0] _0155_;
(* src = "CanBsp.scala:390.47|CanBsp.scala:391.10|CanBsp.scala:127.28" *)
wire _0156_;
(* src = "CanBsp.scala:394.47|CanBsp.scala:395.10|CanBsp.scala:129.28" *)
wire _0157_;
(* src = "CanBsp.scala:398.46|CanBsp.scala:399.9|CanBsp.scala:128.27" *)
wire _0158_;
(* src = "CanBsp.scala:402.46|CanBsp.scala:403.13|CanBsp.scala:107.31" *)
wire [3:0] _0159_;
(* src = "CanBsp.scala:406.47|CanBsp.scala:407.13|CanBsp.scala:131.31" *)
wire [7:0] _0160_;
(* src = "CanBsp.scala:418.43|CanBsp.scala:419.13|CanBsp.scala:138.31" *)
wire [2:0] _0161_;
(* src = "CanBsp.scala:304.24|CanBsp.scala:305.12|CanBsp.scala:113.30" *)
wire _0162_;
(* src = "CanBsp.scala:416.28|CanBsp.scala:417.13" *)
wire [2:0] _0163_;
(* src = "CanBsp.scala:426.46|CanBsp.scala:427.11|CanBsp.scala:130.29" *)
wire [14:0] _0164_;
(* src = "CanBsp.scala:432.44|CanBsp.scala:433.12|CanBsp.scala:106.30" *)
wire [5:0] _0165_;
(* src = "CanBsp.scala:430.132|CanBsp.scala:431.12" *)
wire [5:0] _0166_;
(* src = "CanBsp.scala:438.23|CanBsp.scala:439.14|CanBsp.scala:141.30" *)
wire [2:0] _0167_;
(* src = "CanBsp.scala:302.35|CanBsp.scala:303.12" *)
wire _0168_;
(* src = "CanBsp.scala:436.63|CanBsp.scala:437.14" *)
wire [2:0] _0169_;
(* src = "CanBsp.scala:435.24|CanBsp.scala:141.30" *)
wire [2:0] _0170_;
(* src = "CanBsp.scala:445.31|CanBsp.scala:446.19|CanBsp.scala:139.37" *)
wire _0171_;
(* src = "CanBsp.scala:443.23|CanBsp.scala:444.19" *)
wire _0172_;
(* src = "CanBsp.scala:454.50|CanBsp.scala:455.19|CanBsp.scala:457.19" *)
wire [2:0] _0173_;
(* src = "CanBsp.scala:452.31|CanBsp.scala:453.19" *)
wire [2:0] _0174_;
(* src = "CanBsp.scala:451.46|CanBsp.scala:109.35" *)
wire [2:0] _0175_;
(* src = "CanBsp.scala:449.25|CanBsp.scala:450.17" *)
wire [2:0] _0176_;
(* src = "CanBsp.scala:466.31|CanBsp.scala:467.21|CanBsp.scala:469.21" *)
wire [2:0] _0177_;
(* src = "CanBsp.scala:464.33|CanBsp.scala:465.21" *)
wire [2:0] _0178_;
(* src = "CanBsp.scala:310.23|CanBsp.scala:311.11|CanBsp.scala:114.29" *)
wire _0179_;
(* src = "CanBsp.scala:463.40|CanBsp.scala:110.37" *)
wire [2:0] _0180_;
(* src = "CanBsp.scala:461.40|CanBsp.scala:462.19" *)
wire [2:0] _0181_;
(* src = "CanBsp.scala:475.27|CanBsp.scala:476.15|CanBsp.scala:140.33" *)
wire _0182_;
(* src = "CanBsp.scala:473.22|CanBsp.scala:474.15" *)
wire _0183_;
(* src = "CanBsp.scala:481.23|CanBsp.scala:482.12|CanBsp.scala:153.30" *)
wire _0184_;
(* src = "CanBsp.scala:479.40|CanBsp.scala:480.12" *)
wire _0185_;
(* src = "CanBsp.scala:487.22|CanBsp.scala:488.19|CanBsp.scala:172.37" *)
wire _0186_;
(* src = "CanBsp.scala:485.61|CanBsp.scala:486.19" *)
wire _0187_;
(* src = "CanBsp.scala:493.22|CanBsp.scala:494.19|CanBsp.scala:173.37" *)
wire _0188_;
(* src = "CanBsp.scala:491.61|CanBsp.scala:492.19" *)
wire _0189_;
(* src = "CanBsp.scala:308.45|CanBsp.scala:309.11" *)
wire _0190_;
(* src = "CanBsp.scala:499.84|CanBsp.scala:500.18|CanBsp.scala:176.38" *)
wire _0191_;
(* src = "CanBsp.scala:497.40|CanBsp.scala:498.18" *)
wire _0192_;
(* src = "CanBsp.scala:505.61|CanBsp.scala:506.18|CanBsp.scala:176.38" *)
wire _0193_;
(* src = "CanBsp.scala:503.38|CanBsp.scala:504.18" *)
wire _0194_;
(* src = "CanBsp.scala:511.24|CanBsp.scala:512.21|CanBsp.scala:174.39" *)
wire _0195_;
(* src = "CanBsp.scala:509.61|CanBsp.scala:510.21" *)
wire _0196_;
(* src = "CanBsp.scala:517.23|CanBsp.scala:518.20|CanBsp.scala:175.38" *)
wire _0197_;
(* src = "CanBsp.scala:515.61|CanBsp.scala:516.20" *)
wire _0198_;
(* src = "CanBsp.scala:547.89|CanBsp.scala:548.12|CanBsp.scala:162.30" *)
wire _0199_;
(* src = "CanBsp.scala:545.21|CanBsp.scala:546.12" *)
wire _0200_;
(* src = "CanBsp.scala:316.23|CanBsp.scala:317.11|CanBsp.scala:115.29" *)
wire _0201_;
(* src = "CanBsp.scala:553.38|CanBsp.scala:554.15|CanBsp.scala:161.33" *)
wire [3:0] _0202_;
(* src = "CanBsp.scala:551.21|CanBsp.scala:552.15" *)
wire [3:0] _0203_;
(* src = "CanBsp.scala:559.22|CanBsp.scala:560.13|CanBsp.scala:160.31" *)
wire [4:0] _0204_;
(* src = "CanBsp.scala:557.21|CanBsp.scala:558.13" *)
wire [4:0] _0205_;
(* src = "CanBsp.scala:592.31|CanBsp.scala:593.16|CanBsp.scala:143.34" *)
wire _0206_;
(* src = "CanBsp.scala:590.64|CanBsp.scala:591.16" *)
wire _0207_;
(* src = "CanBsp.scala:598.59|CanBsp.scala:599.15|CanBsp.scala:145.33" *)
wire [2:0] _0208_;
(* src = "CanBsp.scala:596.64|CanBsp.scala:597.15" *)
wire [2:0] _0209_;
(* src = "CanBsp.scala:604.29|CanBsp.scala:605.26|CanBsp.scala:180.44" *)
wire _0210_;
(* src = "CanBsp.scala:602.64|CanBsp.scala:603.26" *)
wire _0211_;
(* src = "CanBsp.scala:314.37|CanBsp.scala:315.11" *)
wire _0212_;
(* src = "CanBsp.scala:610.60|CanBsp.scala:611.21|CanBsp.scala:144.39" *)
wire _0213_;
(* src = "CanBsp.scala:608.64|CanBsp.scala:609.21" *)
wire _0214_;
(* src = "CanBsp.scala:616.44|CanBsp.scala:617.15|CanBsp.scala:146.33" *)
wire [2:0] _0215_;
(* src = "CanBsp.scala:614.64|CanBsp.scala:615.15" *)
wire [2:0] _0216_;
(* src = "CanBsp.scala:622.95|CanBsp.scala:623.24|CanBsp.scala:147.42" *)
wire [2:0] _0217_;
(* src = "CanBsp.scala:620.85|CanBsp.scala:621.24" *)
wire [2:0] _0218_;
(* src = "CanBsp.scala:629.78|CanBsp.scala:630.18|CanBsp.scala:632.18" *)
wire [2:0] _0219_;
(* src = "CanBsp.scala:628.51|CanBsp.scala:142.34" *)
wire [2:0] _0220_;
(* src = "CanBsp.scala:626.82|CanBsp.scala:627.16" *)
wire [2:0] _0221_;
(* src = "CanBsp.scala:638.30|CanBsp.scala:639.21|CanBsp.scala:183.39" *)
wire _0222_;
wire [2:0] _0223_;
wire [7:0] _0224_;
wire _0225_;
wire [3:0] _0226_;
wire [1:0] _0227_;
wire [15:0] _0228_;
wire [15:0] _0229_;
wire [5:0] _0230_;
wire [5:0] _0231_;
wire [6:0] _0232_;
wire [5:0] _0233_;
wire [5:0] _0234_;
wire [5:0] _0235_;
wire [6:0] _0236_;
wire [5:0] _0237_;
wire [1:0] _0238_;
wire [10:0] _0239_;
wire [10:0] _0240_;
wire [11:0] _0241_;
wire [10:0] _0242_;
wire [5:0] _0243_;
wire [5:0] _0244_;
wire [6:0] _0245_;
wire [5:0] _0246_;
wire [10:0] _0247_;
wire [10:0] _0248_;
wire [1:0] _0249_;
wire [11:0] _0250_;
wire [10:0] _0251_;
wire [5:0] _0252_;
wire [5:0] _0253_;
wire [6:0] _0254_;
wire [5:0] _0255_;
wire [5:0] _0256_;
wire [5:0] _0257_;
wire [6:0] _0258_;
wire [5:0] _0259_;
wire [3:0] _0260_;
wire [12:0] _0261_;
wire [19:0] _0262_;
wire [5:0] _0263_;
wire [5:0] _0264_;
wire [6:0] _0265_;
wire [5:0] _0266_;
wire [12:0] _0267_;
wire [5:0] _0268_;
wire [5:0] _0269_;
wire [6:0] _0270_;
wire [1:0] _0271_;
wire [5:0] _0272_;
wire [5:0] _0273_;
wire [5:0] _0274_;
wire [6:0] _0275_;
wire [5:0] _0276_;
wire [12:0] _0277_;
wire [19:0] _0278_;
wire [5:0] _0279_;
wire [5:0] _0280_;
wire [6:0] _0281_;
wire [1:0] _0282_;
wire [5:0] _0283_;
wire [12:0] _0284_;
wire [6:0] _0285_;
wire [6:0] _0286_;
wire [9:0] _0287_;
wire [6:0] _0288_;
wire [6:0] _0289_;
wire [6:0] _0290_;
wire [9:0] _0291_;
wire [6:0] _0292_;
wire [1:0] _0293_;
wire [8:0] _0294_;
wire [18:0] _0295_;
wire [6:0] _0296_;
wire [6:0] _0297_;
wire [9:0] _0298_;
wire [6:0] _0299_;
wire [8:0] _0300_;
wire [5:0] _0301_;
wire [5:0] _0302_;
wire [6:0] _0303_;
wire [1:0] _0304_;
wire [5:0] _0305_;
wire [5:0] _0306_;
wire [5:0] _0307_;
wire [6:0] _0308_;
wire [5:0] _0309_;
wire [12:0] _0310_;
wire [19:0] _0311_;
wire [5:0] _0312_;
wire [5:0] _0313_;
wire [6:0] _0314_;
wire [2:0] _0315_;
wire [5:0] _0316_;
wire [12:0] _0317_;
wire [6:0] _0318_;
wire [6:0] _0319_;
wire [9:0] _0320_;
wire [6:0] _0321_;
wire [6:0] _0322_;
wire [6:0] _0323_;
wire [9:0] _0324_;
wire [6:0] _0325_;
wire [1:0] _0326_;
wire [8:0] _0327_;
wire [18:0] _0328_;
wire [6:0] _0329_;
wire [6:0] _0330_;
wire [9:0] _0331_;
wire [6:0] _0332_;
wire [8:0] _0333_;
wire [15:0] _0334_;
wire [15:0] _0335_;
wire [15:0] _0336_;
wire [2:0] _0337_;
wire [6:0] _0338_;
wire [31:0] _0339_;
wire [15:0] _0340_;
wire [15:0] _0341_;
wire [15:0] _0342_;
wire [15:0] _0343_;
wire [15:0] _0344_;
wire [31:0] _0345_;
wire [15:0] _0346_;
wire [15:0] _0347_;
wire [15:0] _0348_;
wire [1:0] _0349_;
wire [15:0] _0350_;
wire [15:0] _0351_;
wire [31:0] _0352_;
wire [15:0] _0353_;
wire [15:0] _0354_;
wire [15:0] _0355_;
wire [15:0] _0356_;
wire [15:0] _0357_;
wire [31:0] _0358_;
wire [15:0] _0359_;
wire [1:0] _0360_;
wire [15:0] _0361_;
wire [15:0] _0362_;
wire [15:0] _0363_;
wire [15:0] _0364_;
wire [31:0] _0365_;
wire [15:0] _0366_;
wire [15:0] _0367_;
wire [15:0] _0368_;
wire [15:0] _0369_;
wire [15:0] _0370_;
wire [1:0] _0371_;
wire [31:0] _0372_;
wire [15:0] _0373_;
wire [15:0] _0374_;
wire [15:0] _0375_;
wire [15:0] _0376_;
wire [15:0] _0377_;
wire [31:0] _0378_;
wire [15:0] _0379_;
wire [15:0] _0380_;
wire [15:0] _0381_;
wire [3:0] _0382_;
wire [15:0] _0383_;
wire [15:0] _0384_;
wire [31:0] _0385_;
wire [15:0] _0386_;
wire [15:0] _0387_;
wire [15:0] _0388_;
wire [15:0] _0389_;
wire [15:0] _0390_;
wire [31:0] _0391_;
wire [15:0] _0392_;
wire [1:0] _0393_;
wire [15:0] _0394_;
wire [15:0] _0395_;
wire [15:0] _0396_;
wire [15:0] _0397_;
wire [31:0] _0398_;
wire [15:0] _0399_;
wire [15:0] _0400_;
wire [3:0] _0401_;
wire [4:0] _0402_;
wire [1:0] _0403_;
wire [1:0] _0404_;
wire [1:0] _0405_;
wire [3:0] _0406_;
wire [1:0] _0407_;
wire [3:0] _0408_;
wire [1:0] _0409_;
wire [1:0] _0410_;
wire [5:0] _0411_;
wire [1:0] _0412_;
wire [5:0] _0413_;
wire [1:0] _0414_;
wire [1:0] _0415_;
wire [1:0] _0416_;
wire [5:0] _0417_;
wire [1:0] _0418_;
wire [5:0] _0419_;
wire [3:0] _0420_;
wire [3:0] _0421_;
wire [3:0] _0422_;
wire [3:0] _0423_;
wire [3:0] _0424_;
wire [3:0] _0425_;
wire [1:0] _0426_;
wire [2:0] _0427_;
wire [2:0] _0428_;
wire [1:0] _0429_;
wire [1:0] _0430_;
wire [2:0] _0431_;
wire [1:0] _0432_;
wire [1:0] _0433_;
wire [1:0] _0434_;
wire [2:0] _0435_;
wire [1:0] _0436_;
wire [2:0] _0437_;
wire [1:0] _0438_;
wire [4:0] _0439_;
wire [1:0] _0440_;
wire [1:0] _0441_;
wire [2:0] _0442_;
wire [1:0] _0443_;
wire [1:0] _0444_;
wire [2:0] _0445_;
wire [1:0] _0446_;
wire [1:0] _0447_;
wire _0448_;
wire [1:0] _0449_;
wire [2:0] _0450_;
wire [1:0] _0451_;
wire [1:0] _0452_;
wire [1:0] _0453_;
wire [2:0] _0454_;
wire [1:0] _0455_;
wire [1:0] _0456_;
wire [4:0] _0457_;
wire [1:0] _0458_;
wire [1:0] _0459_;
wire [1:0] _0460_;
wire [2:0] _0461_;
wire [1:0] _0462_;
wire [1:0] _0463_;
wire _0464_;
wire _0465_;
wire _0466_;
wire _0467_;
wire _0468_;
wire [5:0] _0469_;
wire [1:0] _0470_;
wire [1:0] _0471_;
wire [3:0] _0472_;
wire [1:0] _0473_;
wire [1:0] _0474_;
wire [1:0] _0475_;
wire [1:0] _0476_;
wire [1:0] _0477_;
wire [1:0] _0478_;
wire [3:0] _0479_;
wire [1:0] _0480_;
wire [1:0] _0481_;
wire [1:0] _0482_;
wire [1:0] _0483_;
wire [2:0] _0484_;
wire [1:0] _0485_;
wire [6:0] _0486_;
wire [1:0] _0487_;
wire [1:0] _0488_;
wire [1:0] _0489_;
wire [1:0] _0490_;
wire [3:0] _0491_;
wire [1:0] _0492_;
wire [1:0] _0493_;
wire [1:0] _0494_;
wire [1:0] _0495_;
wire [2:0] _0496_;
wire [1:0] _0497_;
wire [5:0] _0498_;
wire [5:0] _0499_;
wire [1:0] _0500_;
wire [9:0] _0501_;
wire [5:0] _0502_;
wire [5:0] _0503_;
wire [5:0] _0504_;
wire [9:0] _0505_;
wire [5:0] _0506_;
wire [8:0] _0507_;
wire [5:0] _0508_;
wire [5:0] _0509_;
wire [9:0] _0510_;
wire [3:0] _0511_;
wire [5:0] _0512_;
wire [8:0] _0513_;
wire [15:0] _0514_;
wire [15:0] _0515_;
wire [15:0] _0516_;
wire [31:0] _0517_;
wire [15:0] _0518_;
wire [15:0] _0519_;
wire [15:0] _0520_;
wire [15:0] _0521_;
wire [1:0] _0522_;
wire [15:0] _0523_;
wire [31:0] _0524_;
wire [15:0] _0525_;
wire [15:0] _0526_;
wire [15:0] _0527_;
wire [15:0] _0528_;
wire [15:0] _0529_;
wire [31:0] _0530_;
wire [15:0] _0531_;
wire [15:0] _0532_;
wire [1:0] _0533_;
wire [15:0] _0534_;
wire [15:0] _0535_;
wire [15:0] _0536_;
wire [31:0] _0537_;
wire [15:0] _0538_;
wire [15:0] _0539_;
wire [15:0] _0540_;
wire [15:0] _0541_;
wire [15:0] _0542_;
wire [31:0] _0543_;
(* src = "CanBsp.scala:290.16" *)
wire _0544_;
(* src = "CanBsp.scala:296.17" *)
wire _0545_;
(* src = "CanBsp.scala:338.27" *)
wire _0546_;
(* src = "CanBsp.scala:598.38" *)
wire _0547_;
(* src = "CanBsp.scala:610.42" *)
wire _0548_;
(* src = "CanBsp.scala:610.25" *)
wire _0549_;
(* src = "CanBsp.scala:344.16" *)
wire _0550_;
(* src = "CanBsp.scala:616.30" *)
wire _0551_;
(* src = "CanBsp.scala:620.24" *)
wire _0552_;
(* src = "CanBsp.scala:620.42" *)
wire _0553_;
(* src = "CanBsp.scala:620.63" *)
wire _0554_;
(* src = "CanBsp.scala:622.68" *)
wire _0555_;
(* src = "CanBsp.scala:622.46" *)
wire _0556_;
(* src = "CanBsp.scala:350.19" *)
wire _0557_;
(* src = "CanBsp.scala:626.63" *)
wire _0558_;
(* src = "CanBsp.scala:628.43" *)
wire _0559_;
(* src = "CanBsp.scala:628.29" *)
wire _0560_;
(* src = "CanBsp.scala:629.21" *)
wire _0561_;
(* src = "CanBsp.scala:629.40" *)
wire _0562_;
(* src = "CanBsp.scala:642.27" *)
wire _0563_;
(* src = "CanBsp.scala:648.45" *)
wire _0564_;
(* src = "CanBsp.scala:650.31" *)
wire _0565_;
(* src = "CanBsp.scala:650.44" *)
wire _0566_;
(* src = "CanBsp.scala:656.51" *)
wire _0567_;
(* src = "CanBsp.scala:656.31" *)
wire _0568_;
(* src = "CanBsp.scala:362.19" *)
wire _0569_;
(* src = "CanBsp.scala:662.33" *)
wire _0570_;
(* src = "CanBsp.scala:678.22" *)
wire _0571_;
(* src = "CanBsp.scala:368.16" *)
wire _0572_;
(* src = "CanBsp.scala:682.22" *)
wire _0573_;
(* src = "CanBsp.scala:692.35" *)
wire _0574_;
(* src = "CanBsp.scala:693.26" *)
wire _0575_;
(* src = "CanBsp.scala:699.25" *)
wire _0576_;
(* src = "CanBsp.scala:744.52" *)
wire _0577_;
(* src = "CanBsp.scala:744.38" *)
wire _0578_;
(* src = "CanBsp.scala:744.63" *)
wire _0579_;
(* src = "CanBsp.scala:744.24" *)
wire _0580_;
(* src = "CanBsp.scala:748.24" *)
wire _0581_;
(* src = "CanBsp.scala:374.21" *)
wire _0582_;
(* src = "CanBsp.scala:748.53" *)
wire _0583_;
(* src = "CanBsp.scala:748.39" *)
wire _0584_;
(* src = "CanBsp.scala:748.87" *)
wire _0585_;
(* src = "CanBsp.scala:748.101" *)
wire _0586_;
(* src = "CanBsp.scala:748.73" *)
wire _0587_;
(* src = "CanBsp.scala:750.27" *)
wire _0588_;
(* src = "CanBsp.scala:756.21" *)
wire _0589_;
(* src = "CanBsp.scala:762.21" *)
wire _0590_;
(* src = "CanBsp.scala:374.39" *)
wire _0591_;
(* src = "CanBsp.scala:762.36" *)
wire _0592_;
(* src = "CanBsp.scala:762.49" *)
wire _0593_;
(* src = "CanBsp.scala:770.27" *)
wire _0594_;
(* src = "CanBsp.scala:770.48" *)
wire _0595_;
(* src = "CanBsp.scala:770.38" *)
wire _0596_;
(* src = "CanBsp.scala:774.24" *)
wire _0597_;
(* src = "CanBsp.scala:774.45" *)
wire _0598_;
(* src = "CanBsp.scala:774.55" *)
wire _0599_;
(* src = "CanBsp.scala:380.17" *)
wire _0600_;
(* src = "CanBsp.scala:776.49" *)
wire _0601_;
(* src = "CanBsp.scala:776.38" *)
wire _0602_;
(* src = "CanBsp.scala:776.83" *)
wire _0603_;
(* src = "CanBsp.scala:776.64" *)
wire _0604_;
(* src = "CanBsp.scala:780.21" *)
wire _0605_;
(* src = "CanBsp.scala:782.36" *)
wire _0606_;
(* src = "CanBsp.scala:782.53" *)
wire _0607_;
(* src = "CanBsp.scala:380.27" *)
wire _0608_;
(* src = "CanBsp.scala:788.22" *)
wire _0609_;
(* src = "CanBsp.scala:788.39" *)
wire _0610_;
(* src = "CanBsp.scala:794.27" *)
wire _0611_;
(* src = "CanBsp.scala:798.27" *)
wire _0612_;
(* src = "CanBsp.scala:302.16" *)
wire _0613_;
(* src = "CanBsp.scala:380.48" *)
wire _0614_;
(* src = "CanBsp.scala:798.40" *)
wire _0615_;
(* src = "CanBsp.scala:804.17" *)
wire _0616_;
(* src = "CanBsp.scala:806.29" *)
wire _0617_;
(* src = "CanBsp.scala:806.46" *)
wire _0618_;
(* src = "CanBsp.scala:806.54" *)
wire _0619_;
(* src = "CanBsp.scala:806.73" *)
wire _0620_;
(* src = "CanBsp.scala:386.32" *)
wire _0621_;
(* src = "CanBsp.scala:834.38" *)
wire _0622_;
(* src = "CanBsp.scala:839.48" *)
wire _0623_;
(* src = "CanBsp.scala:839.29" *)
wire _0624_;
(* src = "CanBsp.scala:840.23" *)
wire _0625_;
(* src = "CanBsp.scala:840.47" *)
wire _0626_;
(* src = "CanBsp.scala:840.44" *)
wire _0627_;
(* src = "CanBsp.scala:840.75" *)
wire _0628_;
(* src = "CanBsp.scala:386.23" *)
wire _0629_;
(* src = "CanBsp.scala:840.56" *)
wire _0630_;
(* src = "CanBsp.scala:841.30" *)
wire _0631_;
(* src = "CanBsp.scala:846.34" *)
wire _0632_;
(* src = "CanBsp.scala:847.32" *)
wire _0633_;
(* src = "CanBsp.scala:847.30" *)
wire _0634_;
(* src = "CanBsp.scala:849.38" *)
wire _0635_;
(* src = "CanBsp.scala:849.35" *)
wire _0636_;
(* src = "CanBsp.scala:849.61" *)
wire _0637_;
(* src = "CanBsp.scala:849.78" *)
wire _0638_;
(* src = "CanBsp.scala:849.97" *)
wire _0639_;
(* src = "CanBsp.scala:850.37" *)
wire _0640_;
(* src = "CanBsp.scala:849.119" *)
wire _0641_;
(* src = "CanBsp.scala:850.105" *)
wire _0642_;
(* src = "CanBsp.scala:850.83" *)
wire _0643_;
(* src = "CanBsp.scala:850.46" *)
wire _0644_;
(* src = "CanBsp.scala:862.30" *)
wire _0645_;
(* src = "CanBsp.scala:386.41" *)
wire _0646_;
(* src = "CanBsp.scala:862.56" *)
wire _0647_;
(* src = "CanBsp.scala:862.37" *)
wire _0648_;
(* src = "CanBsp.scala:864.31" *)
wire _0649_;
(* src = "CanBsp.scala:865.79" *)
wire _0650_;
(* src = "CanBsp.scala:390.23" *)
wire _0651_;
(* src = "CanBsp.scala:866.29" *)
wire _0652_;
(* src = "CanBsp.scala:866.26" *)
wire _0653_;
(* src = "CanBsp.scala:867.29" *)
wire _0654_;
(* src = "CanBsp.scala:867.40" *)
wire _0655_;
(* src = "CanBsp.scala:867.59" *)
wire _0656_;
(* src = "CanBsp.scala:867.76" *)
wire _0657_;
(* src = "CanBsp.scala:867.84" *)
wire _0658_;
(* src = "CanBsp.scala:867.12" *)
wire _0659_;
(* src = "CanBsp.scala:866.79" *)
wire _0660_;
(* src = "CanBsp.scala:865.107" *)
wire _0661_;
(* src = "CanBsp.scala:868.21" *)
wire _0662_;
(* src = "CanBsp.scala:867.106" *)
wire _0663_;
(* src = "CanBsp.scala:874.22" *)
wire _0664_;
(* src = "CanBsp.scala:874.47" *)
wire _0665_;
(* src = "CanBsp.scala:874.31" *)
wire _0666_;
(* src = "CanBsp.scala:876.29" *)
wire _0667_;
(* src = "CanBsp.scala:876.55" *)
wire _0668_;
(* src = "CanBsp.scala:390.32" *)
wire _0669_;
(* src = "CanBsp.scala:876.39" *)
wire _0670_;
(* src = "CanBsp.scala:876.121" *)
wire _0671_;
(* src = "CanBsp.scala:876.103" *)
wire _0672_;
(* src = "CanBsp.scala:876.66" *)
wire _0673_;
(* src = "CanBsp.scala:876.135" *)
wire _0674_;
(* src = "CanBsp.scala:882.25" *)
wire _0675_;
(* src = "CanBsp.scala:882.53" *)
wire _0676_;
(* src = "CanBsp.scala:394.23" *)
wire _0677_;
(* src = "CanBsp.scala:882.34" *)
wire _0678_;
(* src = "CanBsp.scala:882.62" *)
wire _0679_;
(* src = "CanBsp.scala:882.127" *)
wire _0680_;
(* src = "CanBsp.scala:882.114" *)
wire _0681_;
(* src = "CanBsp.scala:882.80" *)
wire _0682_;
(* src = "CanBsp.scala:884.31" *)
wire _0683_;
(* src = "CanBsp.scala:884.88" *)
wire _0684_;
(* src = "CanBsp.scala:884.75" *)
wire _0685_;
(* src = "CanBsp.scala:884.41" *)
wire _0686_;
(* src = "CanBsp.scala:889.24" *)
wire _0687_;
(* src = "CanBsp.scala:889.52" *)
wire _0688_;
(* src = "CanBsp.scala:889.39" *)
wire _0689_;
(* src = "CanBsp.scala:896.51" *)
wire _0690_;
(* src = "CanBsp.scala:896.37" *)
wire _0691_;
(* src = "CanBsp.scala:308.16" *)
wire _0692_;
(* src = "CanBsp.scala:394.32" *)
wire _0693_;
(* src = "CanBsp.scala:898.69" *)
wire _0694_;
(* src = "CanBsp.scala:398.23" *)
wire _0695_;
(* src = "CanBsp.scala:398.31" *)
wire _0696_;
(* src = "CanBsp.scala:402.23" *)
wire _0697_;
(* src = "CanBsp.scala:402.31" *)
wire _0698_;
(* src = "CanBsp.scala:406.23" *)
wire _0699_;
(* src = "CanBsp.scala:406.32" *)
wire _0700_;
(* src = "CanBsp.scala:308.26" *)
wire _0701_;
(* src = "CanBsp.scala:410.54" *)
wire [2:0] _0702_;
(* src = "CanBsp.scala:410.64" *)
wire _0703_;
(* src = "CanBsp.scala:410.46" *)
wire _0704_;
(* src = "CanBsp.scala:418.29" *)
wire _0705_;
(* src = "CanBsp.scala:426.23" *)
wire _0706_;
(* src = "CanBsp.scala:426.31" *)
wire _0707_;
(* src = "CanBsp.scala:314.18" *)
wire _0708_;
(* src = "CanBsp.scala:430.16" *)
wire _0709_;
(* src = "CanBsp.scala:430.26" *)
wire _0710_;
(* src = "CanBsp.scala:430.36" *)
wire _0711_;
(* src = "CanBsp.scala:430.47" *)
wire _0712_;
(* src = "CanBsp.scala:430.57" *)
wire _0713_;
(* src = "CanBsp.scala:430.67" *)
wire _0714_;
(* src = "CanBsp.scala:430.77" *)
wire _0715_;
(* src = "CanBsp.scala:430.92" *)
wire _0716_;
(* src = "CanBsp.scala:430.110" *)
wire _0717_;
(* src = "CanBsp.scala:320.15" *)
wire _0718_;
(* src = "CanBsp.scala:432.29" *)
wire _0719_;
(* src = "CanBsp.scala:461.21" *)
wire _0720_;
(* src = "CanBsp.scala:463.23" *)
wire _0721_;
(* src = "CanBsp.scala:466.22" *)
wire _0722_;
(* src = "CanBsp.scala:326.15" *)
wire _0723_;
(* src = "CanBsp.scala:479.21" *)
wire _0724_;
(* src = "CanBsp.scala:485.39" *)
wire _0725_;
(* src = "CanBsp.scala:497.24" *)
wire _0726_;
(* src = "CanBsp.scala:499.27" *)
wire _0727_;
(* src = "CanBsp.scala:499.47" *)
wire _0728_;
(* src = "CanBsp.scala:332.16" *)
wire _0729_;
(* src = "CanBsp.scala:499.64" *)
wire _0730_;
(* src = "CanBsp.scala:503.22" *)
wire _0731_;
(* src = "CanBsp.scala:505.29" *)
wire _0732_;
(* src = "CanBsp.scala:505.51" *)
wire _0733_;
(* src = "CanBsp.scala:547.27" *)
wire _0734_;
(* src = "CanBsp.scala:338.17" *)
wire _0735_;
(* src = "CanBsp.scala:547.34" *)
wire _0736_;
(* src = "CanBsp.scala:547.68" *)
wire _0737_;
(* src = "CanBsp.scala:547.53" *)
wire _0738_;
(* src = "CanBsp.scala:553.21" *)
wire _0739_;
(* src = "CanBsp.scala:590.24" *)
wire _0740_;
(* src = "CanBsp.scala:590.42" *)
wire _0741_;
(* src = "CanBsp.scala:596.24" *)
wire _0742_;
(* src = "CanBsp.scala:596.42" *)
wire _0743_;
(* src = "CanBsp.scala:598.25" *)
wire _0744_;
(* src = "CanBsp.scala:232.29" *)
wire _0745_;
(* src = "CanBsp.scala:232.46" *)
wire _0746_;
(* src = "CanBsp.scala:232.62" *)
wire _0747_;
(* src = "CanBsp.scala:232.78" *)
wire _0748_;
(* src = "CanBsp.scala:818.40" *)
wire [5:0] _0749_;
(* src = "CanBsp.scala:818.40" *)
wire [4:0] _0750_;
(* src = "CanBsp.scala:247.39" *)
wire _0751_;
(* src = "CanBsp.scala:247.48" *)
wire _0752_;
(* src = "CanBsp.scala:247.56" *)
wire _0753_;
(* src = "CanBsp.scala:253.41" *)
wire [3:0] _0754_;
(* src = "CanBsp.scala:253.68" *)
wire [3:0] _0755_;
(* src = "CanBsp.scala:433.22" *)
wire [6:0] _0756_;
(* src = "CanBsp.scala:433.22" *)
wire [5:0] _0757_;
(* src = "CanBsp.scala:215.40" *)
wire _0758_;
(* src = "CanBsp.scala:214.41" *)
wire _0759_;
(* src = "CanBsp.scala:225.66" *)
wire [2:0] _0760_;
(* src = "CanBsp.scala:225.71" *)
wire [5:0] _0761_;
(* src = "CanBsp.scala:225.85" *)
wire [6:0] _0762_;
(* src = "CanBsp.scala:225.85" *)
wire [5:0] _0763_;
(* src = "CanBsp.scala:261.38" *)
wire _0764_;
(* src = "CanBsp.scala:263.38" *)
wire _0765_;
(* src = "CanBsp.scala:263.73" *)
wire _0766_;
(* src = "CanBsp.scala:264.56" *)
wire _0767_;
(* src = "CanBsp.scala:264.39" *)
wire _0768_;
(* src = "CanBsp.scala:264.63" *)
wire _0769_;
(* src = "CanBsp.scala:264.60" *)
wire _0770_;
(* src = "CanBsp.scala:264.118" *)
wire _0771_;
(* src = "CanBsp.scala:264.102" *)
wire _0772_;
(* src = "CanBsp.scala:264.130" *)
wire _0773_;
(* src = "CanBsp.scala:264.127" *)
wire _0774_;
(* src = "CanBsp.scala:265.39" *)
wire _0775_;
(* src = "CanBsp.scala:265.81" *)
wire _0776_;
(* src = "CanBsp.scala:266.44" *)
wire _0777_;
(* src = "CanBsp.scala:269.25" *)
wire _0778_;
(* src = "CanBsp.scala:269.38" *)
wire _0779_;
(* src = "CanBsp.scala:269.146" *)
wire _0780_;
(* src = "CanBsp.scala:269.143" *)
wire _0781_;
(* src = "CanBsp.scala:269.162" *)
wire _0782_;
(* src = "CanBsp.scala:269.159" *)
wire _0783_;
(* src = "CanBsp.scala:269.178" *)
wire _0784_;
(* src = "CanBsp.scala:269.175" *)
wire _0785_;
(* src = "CanBsp.scala:269.194" *)
wire _0786_;
(* src = "CanBsp.scala:269.191" *)
wire _0787_;
(* src = "CanBsp.scala:269.210" *)
wire _0788_;
(* src = "CanBsp.scala:269.57" *)
wire _0789_;
(* src = "CanBsp.scala:269.66" *)
wire _0790_;
(* src = "CanBsp.scala:269.92" *)
wire _0791_;
(* src = "CanBsp.scala:269.83" *)
wire _0792_;
(* src = "CanBsp.scala:269.114" *)
wire _0793_;
(* src = "CanBsp.scala:269.111" *)
wire _0794_;
(* src = "CanBsp.scala:269.130" *)
wire _0795_;
(* src = "CanBsp.scala:269.127" *)
wire _0796_;
(* src = "CanBsp.scala:467.38" *)
wire [3:0] _0797_;
(* src = "CanBsp.scala:467.38" *)
wire [2:0] _0798_;
(* src = "CanBsp.scala:455.34" *)
wire [3:0] _0799_;
(* src = "CanBsp.scala:455.34" *)
wire [2:0] _0800_;
(* src = "CanBsp.scala:890.32" *)
wire [4:0] _0801_;
(* src = "CanBsp.scala:890.32" *)
wire [3:0] _0802_;
(* src = "CanBsp.scala:170.47" *)
wire _0803_;
(* src = "CanBsp.scala:170.77" *)
wire _0804_;
(* src = "CanBsp.scala:170.63" *)
wire _0805_;
(* src = "CanBsp.scala:170.87" *)
wire _0806_;
(* src = "CanBsp.scala:417.24" *)
wire [3:0] _0807_;
(* src = "CanBsp.scala:417.24" *)
wire [2:0] _0808_;
(* src = "CanBsp.scala:523.35" *)
wire _0809_;
(* src = "CanBsp.scala:482.21" *)
wire _0810_;
(* src = "CanBsp.scala:427.23" *)
wire [13:0] _0811_;
(* src = "Cat.scala:30.58" *)
wire [14:0] _0812_;
(* src = "CanBsp.scala:560.24" *)
wire [4:0] _0813_;
(* src = "Cat.scala:30.58" *)
wire [3:0] _0814_;
(* src = "CanBsp.scala:564.54" *)
wire [4:0] _0815_;
(* src = "Cat.scala:30.58" *)
wire [7:0] _0816_;
(* src = "CanBsp.scala:571.28" *)
wire [7:0] _0817_;
(* src = "CanBsp.scala:572.32" *)
wire [2:0] _0818_;
(* src = "Cat.scala:30.58" *)
wire [7:0] _0819_;
(* src = "Cat.scala:30.58" *)
wire [7:0] _0820_;
(* src = "Lookup.scala:31.38" *)
wire [5:0] _0821_;
(* src = "Lookup.scala:31.38" *)
wire _0822_;
(* src = "CanBsp.scala:564.54" *)
wire [3:0] _0823_;
(* src = "Lookup.scala:31.38" *)
wire _0824_;
(* src = "Lookup.scala:31.38" *)
wire _0825_;
(* src = "Lookup.scala:31.38" *)
wire _0826_;
(* src = "Lookup.scala:31.38" *)
wire _0827_;
(* src = "Lookup.scala:31.38" *)
wire _0828_;
(* src = "Lookup.scala:31.38" *)
wire _0829_;
(* src = "Lookup.scala:31.38" *)
wire _0830_;
(* src = "Lookup.scala:31.38" *)
wire _0831_;
(* src = "Lookup.scala:31.38" *)
wire _0832_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0833_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0834_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0835_;
(* src = "Cat.scala:30.58" *)
wire [7:0] _0836_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0837_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0838_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0839_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0840_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0841_;
(* src = "Lookup.scala:33.37" *)
wire [7:0] _0842_;
(* src = "CanBsp.scala:566.28" *)
wire [7:0] _0843_;
(* src = "CanBsp.scala:567.28" *)
wire [7:0] _0844_;
(* src = "CanBsp.scala:568.28" *)
wire [7:0] _0845_;
(* src = "CanBsp.scala:569.32" *)
wire [4:0] _0846_;
(* src = "Cat.scala:30.58" *)
wire [7:0] _0847_;
(* src = "Cat.scala:30.58" *)
wire [3:0] _0848_;
(* src = "CanBsp.scala:623.46" *)
wire [3:0] _0849_;
(* src = "CanBsp.scala:623.46" *)
wire [2:0] _0850_;
(* src = "CanBsp.scala:439.24" *)
wire [3:0] _0851_;
(* src = "CanBsp.scala:439.24" *)
wire [2:0] _0852_;
(* src = "CanBsp.scala:245.28" *)
wire _0853_;
(* src = "CanBsp.scala:245.39" *)
wire _0854_;
(* src = "CanBsp.scala:245.48" *)
wire _0855_;
(* src = "CanBsp.scala:245.57" *)
wire _0856_;
(* src = "CanBsp.scala:245.74" *)
wire _0857_;
(* src = "CanBsp.scala:245.92" *)
wire _0858_;
(* src = "CanBsp.scala:245.108" *)
wire _0859_;
(* src = "CanBsp.scala:919.43" *)
wire _0860_;
(* src = "CanBsp.scala:919.51" *)
wire _0861_;
(* src = "CanBsp.scala:920.46" *)
wire _0862_;
(* src = "CanBsp.scala:920.53" *)
wire _0863_;
(* src = "CanBsp.scala:920.61" *)
wire _0864_;
(* src = "CanBsp.scala:920.70" *)
wire _0865_;
(* src = "CanBsp.scala:920.78" *)
wire _0866_;
(* src = "CanBsp.scala:920.89" *)
wire _0867_;
(* src = "CanBsp.scala:920.97" *)
wire _0868_;
(* src = "CanBsp.scala:920.108" *)
wire _0869_;
(* src = "CanBsp.scala:920.116" *)
wire _0870_;
(* src = "CanBsp.scala:921.22" *)
wire _0871_;
(* src = "CanBsp.scala:919.62" *)
wire _0872_;
(* src = "CanBsp.scala:921.12" *)
wire _0873_;
(* src = "CanBsp.scala:921.30" *)
wire _0874_;
(* src = "CanBsp.scala:921.39" *)
wire _0875_;
(* src = "CanBsp.scala:921.47" *)
wire _0876_;
(* src = "CanBsp.scala:921.55" *)
wire _0877_;
(* src = "CanBsp.scala:921.64" *)
wire _0878_;
(* src = "CanBsp.scala:921.71" *)
wire _0879_;
(* src = "CanBsp.scala:921.106" *)
wire _0880_;
(* src = "CanBsp.scala:922.13" *)
wire _0881_;
(* src = "CanBsp.scala:919.70" *)
wire _0882_;
(* src = "CanBsp.scala:922.21" *)
wire _0883_;
(* src = "CanBsp.scala:922.29" *)
wire _0884_;
(* src = "CanBsp.scala:922.37" *)
wire _0885_;
(* src = "CanBsp.scala:922.46" *)
wire _0886_;
(* src = "CanBsp.scala:922.57" *)
wire _0887_;
(* src = "CanBsp.scala:922.65" *)
wire _0888_;
(* src = "CanBsp.scala:922.78" *)
wire _0889_;
(* src = "CanBsp.scala:923.15" *)
wire _0890_;
(* src = "CanBsp.scala:923.42" *)
wire _0891_;
(* src = "CanBsp.scala:919.83" *)
wire _0892_;
(* src = "CanBsp.scala:923.32" *)
wire _0893_;
(* src = "CanBsp.scala:923.23" *)
wire _0894_;
(* src = "CanBsp.scala:923.51" *)
wire _0895_;
(* src = "CanBsp.scala:923.58" *)
wire _0896_;
(* src = "CanBsp.scala:923.65" *)
wire _0897_;
(* src = "CanBsp.scala:923.73" *)
wire _0898_;
(* src = "CanBsp.scala:923.81" *)
wire _0899_;
(* src = "CanBsp.scala:923.105" *)
wire _0900_;
(* src = "CanBsp.scala:923.92" *)
wire _0901_;
(* src = "CanBsp.scala:919.96" *)
wire _0902_;
(* src = "CanBsp.scala:920.22" *)
wire _0903_;
(* src = "CanBsp.scala:920.12" *)
wire _0904_;
(* src = "CanBsp.scala:920.30" *)
wire _0905_;
(* src = "CanBsp.scala:920.39" *)
wire _0906_;
(* src = "Cat.scala:30.58" *)
wire [7:0] _0907_;
(* src = "CanBsp.scala:599.28" *)
wire [3:0] _0908_;
(* src = "CanBsp.scala:599.28" *)
wire [2:0] _0909_;
(* src = "CanBsp.scala:617.28" *)
wire [3:0] _0910_;
(* src = "CanBsp.scala:617.28" *)
wire [2:0] _0911_;
(* src = "CanBsp.scala:267.32" *)
wire _0912_;
(* src = "CanBsp.scala:267.54" *)
wire _0913_;
(* src = "CanBsp.scala:267.71" *)
wire _0914_;
(* src = "CanBsp.scala:267.114" *)
wire _0915_;
(* src = "CanBsp.scala:267.145" *)
wire _0916_;
(* src = "CanBsp.scala:267.131" *)
wire _0917_;
(* src = "CanBsp.scala:267.92" *)
wire _0918_;
(* src = "CanBsp.scala:229.47" *)
wire _0919_;
(* src = "CanBsp.scala:256.89" *)
wire [4:0] _0920_;
(* src = "CanBsp.scala:256.123" *)
wire [4:0] _0921_;
(* src = "CanBsp.scala:255.47" *)
wire [3:0] _0922_;
(* src = "CanBsp.scala:255.74" *)
wire _0923_;
(* src = "CanBsp.scala:255.89" *)
wire [2:0] _0924_;
(* src = "CanBsp.scala:222.57" *)
wire _0925_;
(* src = "CanBsp.scala:223.60" *)
wire _0926_;
(* src = "CanBsp.scala:223.82" *)
wire _0927_;
(* src = "CanBsp.scala:223.79" *)
wire _0928_;
(* src = "CanBsp.scala:223.35" *)
wire _0929_;
(* src = "CanBsp.scala:223.109" *)
wire _0930_;
(* src = "CanBsp.scala:223.128" *)
wire _0931_;
(* src = "CanBsp.scala:223.100" *)
wire _0932_;
(* src = "CanBsp.scala:222.68" *)
wire _0933_;
(* src = "CanBsp.scala:223.15" *)
wire _0934_;
(* src = "CanBsp.scala:222.88" *)
wire _0935_;
(* src = "CanBsp.scala:223.46" *)
wire _0936_;
(* src = "CanBsp.scala:223.52" *)
wire _0937_;
(* src = "CanBsp.scala:217.27" *)
wire _0938_;
(* src = "CanBsp.scala:217.47" *)
wire _0939_;
(* src = "CanBsp.scala:217.130" *)
wire _0940_;
(* src = "CanBsp.scala:217.64" *)
wire _0941_;
(* src = "CanBsp.scala:217.61" *)
wire _0942_;
(* src = "CanBsp.scala:217.80" *)
wire _0943_;
(* src = "CanBsp.scala:217.103" *)
wire _0944_;
(* src = "CanBsp.scala:217.89" *)
wire _0945_;
(* src = "CanBsp.scala:217.77" *)
wire _0946_;
(* src = "CanBsp.scala:217.113" *)
wire _0947_;
(* src = "CanBsp.scala:205.58" *)
wire _0948_;
(* src = "CanBsp.scala:205.81" *)
wire _0949_;
(* src = "CanBsp.scala:227.122" *)
wire _0950_;
(* src = "CanBsp.scala:227.78" *)
wire _0951_;
(* src = "CanBsp.scala:227.143" *)
wire _0952_;
(* src = "CanBsp.scala:227.134" *)
wire _0953_;
(* src = "CanBsp.scala:227.54" *)
wire _0954_;
(* src = "CanBsp.scala:227.101" *)
wire _0955_;
(* src = "CanBsp.scala:227.98" *)
wire _0956_;
(* src = "CanBsp.scala:226.120" *)
wire _0957_;
(* src = "CanBsp.scala:226.46" *)
wire _0958_;
(* src = "CanBsp.scala:226.69" *)
wire _0959_;
(* src = "CanBsp.scala:226.54" *)
wire _0960_;
(* src = "CanBsp.scala:226.104" *)
wire [2:0] _0961_;
(* src = "CanBsp.scala:226.113" *)
wire _0962_;
(* src = "CanBsp.scala:226.95" *)
wire _0963_;
(* src = "CanBsp.scala:226.78" *)
wire _0964_;
(* src = "CanBsp.scala:195.41" *)
wire _0965_;
(* src = "CanBsp.scala:195.39" *)
wire _0966_;
(* src = "CanBsp.scala:195.69" *)
wire _0967_;
(* src = "CanBsp.scala:198.55" *)
wire _0968_;
(* src = "CanBsp.scala:194.56" *)
wire _0969_;
(* src = "CanBsp.scala:194.85" *)
wire _0970_;
(* src = "CanBsp.scala:194.83" *)
wire _0971_;
(* src = "CanBsp.scala:201.63" *)
wire _0972_;
(* src = "CanBsp.scala:201.82" *)
wire _0973_;
(* src = "CanBsp.scala:196.26" *)
wire _0974_;
(* src = "CanBsp.scala:196.39" *)
wire _0975_;
(* src = "CanBsp.scala:196.56" *)
wire _0976_;
(* src = "CanBsp.scala:196.73" *)
wire [3:0] _0977_;
(* src = "CanBsp.scala:196.79" *)
wire _0978_;
(* src = "CanBsp.scala:199.56" *)
wire _0979_;
(* src = "CanBsp.scala:199.73" *)
wire [4:0] _0980_;
(* src = "CanBsp.scala:199.79" *)
wire _0981_;
(* src = "CanBsp.scala:554.28" *)
wire [3:0] _0982_;
(* src = "CanBsp.scala:241.50" *)
wire [2:0] _0983_;
(* src = "CanBsp.scala:387.17" *)
wire [27:0] _0984_;
(* src = "Cat.scala:30.58" *)
wire [28:0] _0985_;
(* src = "CanBsp.scala:946.58" *)
wire _0986_;
(* src = "CanBsp.scala:946.102" *)
wire _0987_;
(* src = "CanBsp.scala:946.83" *)
wire _0988_;
(* src = "CanBsp.scala:947.58" *)
wire _0989_;
(* src = "CanBsp.scala:947.86" *)
wire _0990_;
(* src = "CanBsp.scala:947.67" *)
wire _0991_;
(* src = "CanBsp.scala:286.70" *)
wire _0992_;
(* src = "CanBsp.scala:283.60" *)
wire _0993_;
(* src = "CanBsp.scala:283.88" *)
wire _0994_;
(* src = "CanBsp.scala:283.73" *)
wire _0995_;
(* src = "CanBsp.scala:282.171" *)
wire _0996_;
(* src = "CanBsp.scala:284.82" *)
wire _0997_;
(* src = "CanBsp.scala:284.60" *)
wire _0998_;
(* src = "CanBsp.scala:282.92" *)
wire _0999_;
(* src = "CanBsp.scala:282.112" *)
wire _1000_;
(* src = "CanBsp.scala:282.131" *)
wire _1001_;
(* src = "CanBsp.scala:282.149" *)
wire _1002_;
(* src = "CanBsp.scala:282.83" *)
wire _1003_;
(* src = "CanBsp.scala:235.36" *)
wire _1004_;
(* src = "CanBsp.scala:235.56" *)
wire _1005_;
(* src = "CanBsp.scala:235.46" *)
wire _1006_;
(* src = "CanBsp.scala:235.66" *)
wire _1007_;
(* src = "CanBsp.scala:754.95" *)
wire _1008_;
(* src = "CanBsp.scala:754.77" *)
wire _1009_;
(* src = "CanBsp.scala:754.65" *)
wire _1010_;
(* src = "CanBsp.scala:754.133" *)
wire _1011_;
(* src = "CanBsp.scala:880.47" *)
wire _1012_;
(* src = "CanBsp.scala:285.57" *)
wire _1013_;
(* src = "CanBsp.scala:936.67" *)
wire _1014_;
(* src = "CanBsp.scala:936.79" *)
wire _1015_;
(* src = "CanBsp.scala:936.63" *)
wire _1016_;
(* src = "CanBsp.scala:937.46" *)
wire _1017_;
(* src = "CanBsp.scala:937.66" *)
wire _1018_;
(* src = "CanBsp.scala:937.82" *)
wire _1019_;
(* src = "CanBsp.scala:260.31" *)
wire _1020_;
(* src = "CanBsp.scala:260.42" *)
wire _1021_;
(* src = "CanBsp.scala:260.39" *)
wire _1022_;
(* src = "CanBsp.scala:814.48" *)
wire _1023_;
(* src = "CanBsp.scala:814.45" *)
wire _1024_;
(* src = "CanBsp.scala:814.69" *)
wire _1025_;
(* src = "CanBsp.scala:938.43" *)
wire _1026_;
(* src = "CanBsp.scala:287.35" *)
wire _1027_;
(* src = "CanBsp.scala:935.60" *)
wire _1028_;
(* src = "CanBsp.scala:700.39" *)
wire _1029_;
(* src = "CanBsp.scala:700.67" *)
wire _1030_;
(* src = "CanBsp.scala:700.64" *)
wire _1031_;
(* src = "CanBsp.scala:700.48" *)
wire _1032_;
(* src = "CanBsp.scala:288.34" *)
wire _1033_;
(* src = "CanBsp.scala:288.49" *)
wire _1034_;
(* src = "CanBsp.scala:288.73" *)
wire _1035_;
(* src = "CanBsp.scala:288.70" *)
wire _1036_;
(* src = "CanBsp.scala:288.94" *)
wire _1037_;
(* src = "CanBsp.scala:288.91" *)
wire _1038_;
(* src = "CanBsp.scala:288.118" *)
wire _1039_;
(* src = "CanBsp.scala:192.48" *)
wire [1:0] _1040_;
(* src = "CanBsp.scala:192.54" *)
wire _1041_;
(* src = "CanBsp.scala:243.85" *)
wire [4:0] _1042_;
(* src = "CanBsp.scala:243.85" *)
wire [3:0] _1043_;
(* src = "CanBsp.scala:243.61" *)
wire [3:0] _1044_;
(* src = "CanBsp.scala:221.43" *)
wire _1045_;
(* src = "CanBsp.scala:271.48" *)
wire _1046_;
(* src = "CanBsp.scala:271.74" *)
wire [2:0] _1047_;
(* src = "CanBsp.scala:271.80" *)
wire [5:0] _1048_;
(* src = "CanBsp.scala:271.95" *)
wire [6:0] _1049_;
(* src = "CanBsp.scala:271.95" *)
wire [5:0] _1050_;
(* src = "CanBsp.scala:272.48" *)
wire _1051_;
(* src = "CanBsp.scala:272.74" *)
wire [2:0] _1052_;
(* src = "CanBsp.scala:272.80" *)
wire [5:0] _1053_;
(* src = "CanBsp.scala:272.95" *)
wire [6:0] _1054_;
(* src = "CanBsp.scala:272.95" *)
wire [5:0] _1055_;
(* src = "CanBsp.scala:238.40" *)
wire _1056_;
(* src = "CanBsp.scala:239.40" *)
wire _1057_;
(* src = "CanBsp.scala:651.34" *)
wire [3:0] _1058_;
(* src = "CanBsp.scala:651.34" *)
wire [2:0] _1059_;
(* src = "CanBsp.scala:663.34" *)
wire [3:0] _1060_;
(* src = "CanBsp.scala:663.34" *)
wire [2:0] _1061_;
(* src = "CanBsp.scala:268.48" *)
wire _1062_;
(* src = "CanBsp.scala:230.49" *)
wire _1063_;
(* src = "CanBsp.scala:630.32" *)
wire [3:0] _1064_;
(* src = "CanBsp.scala:630.32" *)
wire [2:0] _1065_;
(* src = "Bitwise.scala:109.18" *)
wire [7:0] _1066_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1067_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1068_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1069_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1070_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1071_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1072_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1073_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1074_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1075_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1076_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1077_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1078_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1079_;
(* src = "Bitwise.scala:109.44" *)
wire [6:0] _1080_;
(* src = "Bitwise.scala:109.18" *)
wire [3:0] _1081_;
(* src = "Bitwise.scala:109.18" *)
wire [1:0] _1082_;
(* src = "Bitwise.scala:109.18" *)
wire _1083_;
(* src = "Bitwise.scala:109.44" *)
wire _1084_;
(* src = "Bitwise.scala:109.44" *)
wire [1:0] _1085_;
(* src = "Bitwise.scala:109.18" *)
wire _1086_;
(* src = "Bitwise.scala:109.44" *)
wire _1087_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1088_;
(* src = "Bitwise.scala:109.44" *)
wire [2:0] _1089_;
(* src = "Bitwise.scala:109.18" *)
wire [1:0] _1090_;
(* src = "Bitwise.scala:109.18" *)
wire _1091_;
(* src = "Bitwise.scala:109.44" *)
wire _1092_;
(* src = "Bitwise.scala:109.44" *)
wire _1093_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1094_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1095_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1096_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1097_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1098_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1099_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1100_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1101_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1102_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1103_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1104_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1105_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1106_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1107_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1108_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1109_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1110_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1111_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1112_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1113_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1114_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1115_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1116_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1117_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1118_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1119_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1120_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1121_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1122_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1123_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1124_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1125_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1126_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1127_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1128_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1129_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1130_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1131_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1132_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1133_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1134_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1135_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1136_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1137_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1138_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1139_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1140_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1141_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1142_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1143_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1144_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1145_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1146_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1147_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1148_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1149_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1150_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1151_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1152_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1153_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1154_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1155_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1156_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1157_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1158_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1159_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1160_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1161_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1162_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1163_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1164_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1165_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1166_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1167_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1168_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1169_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1170_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1171_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1172_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1173_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1174_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1175_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1176_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1177_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1178_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1179_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1180_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1181_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1182_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1183_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1184_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1185_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1186_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1187_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1188_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1189_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1190_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1191_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1192_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1193_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1194_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1195_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1196_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1197_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1198_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1199_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1200_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1201_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1202_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1203_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1204_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1205_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1206_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1207_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1208_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1209_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1210_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1211_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1212_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1213_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1214_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1215_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1216_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1217_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1218_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1219_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1220_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1221_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1222_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1223_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1224_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1225_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1226_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1227_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1228_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1229_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1230_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1231_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1232_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1233_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1234_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1235_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1236_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1237_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1238_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1239_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1240_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1241_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1242_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1243_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1244_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1245_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1246_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1247_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1248_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1249_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1250_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1251_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1252_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1253_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1254_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1255_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1256_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1257_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1258_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1259_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1260_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1261_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1262_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1263_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1264_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1265_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1266_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1267_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1268_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1269_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1270_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1271_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1272_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1273_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1274_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1275_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1276_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1277_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1278_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1279_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1280_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1281_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1282_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1283_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1284_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1285_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1286_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1287_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1288_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1289_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1290_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1291_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1292_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1293_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1294_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1295_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1296_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1297_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1298_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1299_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1300_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1301_;
(* src = "Bitwise.scala:103.46" *)
wire [5:0] _1302_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1303_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1304_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1305_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1306_;
(* src = "Bitwise.scala:103.21" *)
wire [6:0] _1307_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1308_;
(* src = "Bitwise.scala:103.46" *)
wire [6:0] _1309_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1310_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1311_;
(* src = "Bitwise.scala:103.21" *)
wire [3:0] _1312_;
(* src = "Bitwise.scala:103.31" *)
wire [7:0] _1313_;
(* src = "Bitwise.scala:103.46" *)
wire [3:0] _1314_;
(* src = "Bitwise.scala:103.65" *)
wire [7:0] _1315_;
(* src = "Bitwise.scala:103.75" *)
wire [7:0] _1316_;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] _1317_;
(* src = "Bitwise.scala:103.21" *)
wire [5:0] _1318_;
(* src = "CanBsp.scala:209.27" *)
wire _1319_;
(* src = "CanBsp.scala:209.33" *)
wire _1320_;
(* src = "CanBsp.scala:209.48" *)
wire _1321_;
(* src = "CanBsp.scala:244.63" *)
wire [4:0] _1322_;
(* src = "CanBsp.scala:244.37" *)
wire _1323_;
(* src = "CanBsp.scala:274.31" *)
wire _1324_;
(* src = "CanBsp.scala:274.46" *)
wire _1325_;
(* src = "CanBsp.scala:275.93" *)
wire _1326_;
(* src = "CanBsp.scala:275.91" *)
wire _1327_;
(* src = "CanBsp.scala:275.120" *)
wire _1328_;
(* src = "CanBsp.scala:275.108" *)
wire _1329_;
(* src = "CanBsp.scala:274.130" *)
wire _1330_;
(* src = "CanBsp.scala:274.62" *)
wire _1331_;
(* src = "CanBsp.scala:276.74" *)
wire _1332_;
(* src = "CanBsp.scala:276.71" *)
wire _1333_;
(* src = "CanBsp.scala:276.106" *)
wire _1334_;
(* src = "CanBsp.scala:275.130" *)
wire _1335_;
(* src = "CanBsp.scala:274.59" *)
wire _1336_;
(* src = "CanBsp.scala:277.59" *)
wire _1337_;
(* src = "CanBsp.scala:277.71" *)
wire _1338_;
(* src = "CanBsp.scala:277.118" *)
wire _1339_;
(* src = "CanBsp.scala:277.106" *)
wire _1340_;
(* src = "CanBsp.scala:276.128" *)
wire _1341_;
(* src = "CanBsp.scala:274.71" *)
wire _1342_;
(* src = "CanBsp.scala:278.71" *)
wire _1343_;
(* src = "CanBsp.scala:278.118" *)
wire _1344_;
(* src = "CanBsp.scala:278.106" *)
wire _1345_;
(* src = "CanBsp.scala:277.139" *)
wire _1346_;
(* src = "CanBsp.scala:279.59" *)
wire _1347_;
(* src = "CanBsp.scala:278.139" *)
wire _1348_;
(* src = "CanBsp.scala:280.39" *)
wire _1349_;
(* src = "CanBsp.scala:280.52" *)
wire _1350_;
(* src = "CanBsp.scala:280.67" *)
wire _1351_;
(* src = "CanBsp.scala:274.104" *)
wire _1352_;
(* src = "CanBsp.scala:274.91" *)
wire _1353_;
(* src = "CanBsp.scala:274.120" *)
wire _1354_;
(* src = "CanBsp.scala:274.108" *)
wire _1355_;
(* src = "CanBsp.scala:270.49" *)
wire _1356_;
(* src = "CanBsp.scala:270.62" *)
wire _1357_;
(* src = "CanBsp.scala:270.117" *)
wire _1358_;
(* src = "CanBsp.scala:270.101" *)
wire _1359_;
(* src = "CanBsp.scala:270.82" *)
wire _1360_;
(* src = "Cat.scala:30.58" *)
wire [8:0] _1361_;
(* src = "CanBsp.scala:844.43" *)
wire [9:0] _1362_;
(* src = "CanBsp.scala:844.43" *)
wire [8:0] _1363_;
(* src = "CanBsp.scala:848.43" *)
wire [9:0] _1364_;
(* src = "CanBsp.scala:848.43" *)
wire [8:0] _1365_;
(* src = "CanBsp.scala:851.43" *)
wire [9:0] _1366_;
(* src = "CanBsp.scala:851.43" *)
wire [8:0] _1367_;
(* src = "CanBsp.scala:233.40" *)
wire _1368_;
(* src = "CanBsp.scala:233.56" *)
wire _1369_;
(* src = "CanBsp.scala:233.86" *)
wire _1370_;
(* src = "CanBsp.scala:795.30" *)
wire [3:0] _1371_;
(* src = "CanBsp.scala:795.30" *)
wire [2:0] _1372_;
(* src = "CanBsp.scala:407.27" *)
wire [6:0] _1373_;
(* src = "Cat.scala:30.58" *)
wire [7:0] _1374_;
(* src = "CanBsp.scala:722.54" *)
wire [63:0] _1375_;
(* src = "CanBsp.scala:728.50" *)
wire _1376_;
(* src = "CanBsp.scala:728.78" *)
wire [18:0] _1377_;
(* src = "CanBsp.scala:728.78" *)
wire _1378_;
(* src = "CanBsp.scala:728.19" *)
wire _1379_;
(* src = "CanBsp.scala:732.30" *)
wire [63:0] _1380_;
(* src = "CanBsp.scala:732.30" *)
wire _1381_;
(* src = "CanBsp.scala:738.26" *)
wire [18:0] _1382_;
(* src = "CanBsp.scala:738.26" *)
wire _1383_;
(* src = "CanBsp.scala:722.54" *)
wire _1384_;
(* src = "CanBsp.scala:722.86" *)
wire [63:0] _1385_;
(* src = "CanBsp.scala:722.86" *)
wire _1386_;
(* src = "CanBsp.scala:722.19" *)
wire _1387_;
(* src = "CanBsp.scala:724.30" *)
wire [14:0] _1388_;
(* src = "CanBsp.scala:724.30" *)
wire _1389_;
(* src = "CanBsp.scala:728.50" *)
wire [38:0] _1390_;
(* src = "CanBsp.scala:863.36" *)
wire [9:0] _1391_;
(* src = "CanBsp.scala:863.36" *)
wire [8:0] _1392_;
(* src = "CanBsp.scala:869.38" *)
wire [9:0] _1393_;
(* src = "CanBsp.scala:869.38" *)
wire [8:0] _1394_;
(* src = "CanBsp.scala:111.36" *)
wire _1395_;
(* src = "CanBsp.scala:745.28" *)
wire [6:0] _1396_;
(* src = "CanBsp.scala:745.28" *)
wire [5:0] _1397_;
(* src = "CanBsp.scala:717.20" *)
wire _1398_;
(* src = "CanBsp.scala:717.18" *)
wire _1399_;
(* src = "CanBsp.scala:77.36" *)
wire _1400_;
wire [7:0] _1401_;
wire _1402_;
wire _1403_;
wire _1404_;
wire _1405_;
wire _1406_;
wire _1407_;
wire _1408_;
wire _1409_;
wire [2:0] _1410_;
wire _1411_;
wire [2:0] _1412_;
wire _1413_;
wire _1414_;
wire _1415_;
wire [2:0] _1416_;
wire _1417_;
wire [2:0] _1418_;
wire _1419_;
wire [2:0] _1420_;
wire _1421_;
wire _1422_;
wire _1423_;
wire _1424_;
wire _1425_;
wire [2:0] _1426_;
wire _1427_;
wire [2:0] _1428_;
wire _1429_;
wire _1430_;
wire _1431_;
wire _1432_;
wire _1433_;
wire [2:0] _1434_;
wire _1435_;
wire _1436_;
wire _1437_;
wire [7:0] _1438_;
wire _1439_;
wire [14:0] _1440_;
wire _1441_;
wire _1442_;
wire _1443_;
wire _1444_;
wire _1445_;
wire _1446_;
wire _1447_;
wire _1448_;
wire _1449_;
wire _1450_;
wire _1451_;
wire _1452_;
wire _1453_;
wire _1454_;
wire _1455_;
wire _1456_;
wire _1457_;
wire _1458_;
wire _1459_;
wire _1460_;
wire _1461_;
wire _1462_;
wire _1463_;
wire _1464_;
wire _1465_;
wire _1466_;
wire _1467_;
wire _1468_;
wire _1469_;
wire _1470_;
wire _1471_;
wire _1472_;
wire _1473_;
wire _1474_;
wire _1475_;
wire _1476_;
wire _1477_;
wire _1478_;
wire _1479_;
wire [2:0] _1480_;
wire _1481_;
wire [2:0] _1482_;
wire _1483_;
wire [28:0] _1484_;
wire _1485_;
wire [3:0] _1486_;
wire _1487_;
wire [5:0] _1488_;
wire _1489_;
wire _1490_;
wire _1491_;
wire _1492_;
wire _1493_;
wire [4:0] _1494_;
wire _1495_;
wire [8:0] _1496_;
wire _1497_;
wire [8:0] _1498_;
wire _1499_;
wire _1500_;
wire _1501_;
wire _1502_;
wire _1503_;
wire _1504_;
wire _1505_;
wire _1506_;
wire _1507_;
wire _1508_;
wire _1509_;
wire _1510_;
wire _1511_;
wire [7:0] _1512_;
wire _1513_;
wire _1514_;
wire _1515_;
wire _1516_;
wire _1517_;
wire _1518_;
wire _1519_;
wire _1520_;
wire _1521_;
wire _1522_;
wire _1523_;
wire _1524_;
wire _1525_;
wire [2:0] _1526_;
wire _1527_;
wire _1528_;
wire _1529_;
wire _1530_;
wire _1531_;
wire _1532_;
wire _1533_;
wire _1534_;
wire _1535_;
wire _1536_;
wire _1537_;
wire _1538_;
wire _1539_;
wire _1540_;
wire _1541_;
wire _1542_;
wire _1543_;
wire _1544_;
wire _1545_;
wire _1546_;
wire _1547_;
wire _1548_;
wire _1549_;
wire [3:0] _1550_;
wire _1551_;
wire _1552_;
wire _1553_;
wire [5:0] _1554_;
wire _1555_;
wire _1556_;
wire _1557_;
wire _1558_;
wire _1559_;
wire _1560_;
wire _1561_;
wire [4:0] _1562_;
wire _1563_;
(* src = "CanBsp.scala:232.75" *)
wire ackErr;
(* src = "CanBsp.scala:172.37" *)
reg ackErrLatched;
(* src = "CanBsp.scala:172.37|CanBsp.scala:172.37" *)
wire \ackErrLatched$process$CanBsp_72 ;
(* src = "CanBsp.scala:158.42" *)
reg arbitrationBlocked;
(* src = "CanBsp.scala:158.42|CanBsp.scala:158.42" *)
wire \arbitrationBlocked$process$CanBsp_60 ;
(* src = "CanBsp.scala:157.38" *)
reg [4:0] arbitrationCnt;
(* src = "CanBsp.scala:157.38|CanBsp.scala:157.38" *)
wire [4:0] \arbitrationCnt$process$CanBsp_59 ;
(* src = "CanBsp.scala:247.64" *)
wire arbitrationField;
(* src = "CanBsp.scala:156.41" *)
reg arbitrationFieldD;
(* src = "CanBsp.scala:156.41|CanBsp.scala:156.41" *)
wire \arbitrationFieldD$process$CanBsp_58 ;
(* src = "CanBsp.scala:154.39" *)
reg arbitrationLost;
(* src = "CanBsp.scala:154.39|CanBsp.scala:154.39" *)
wire \arbitrationLost$process$CanBsp_56 ;
(* src = "CanBsp.scala:99.46" *)
reg [4:0] arbitrationLostCapture;
(* src = "CanBsp.scala:99.46|CanBsp.scala:99.46" *)
wire [4:0] \arbitrationLostCapture$process$CanBsp_11 ;
(* src = "CanBsp.scala:155.40" *)
reg arbitrationLostQ;
(* src = "CanBsp.scala:155.40|CanBsp.scala:155.40|CanBsp.scala:155.40" *)
wire \arbitrationLostQ$process$CanBsp_57 ;
(* src = "Cat.scala:30.58" *)
wire [18:0] basicChain;
(* src = "Cat.scala:30.58" *)
wire [63:0] basicChainData;
(* src = "CanBsp.scala:106.30" *)
reg [5:0] bitCnt;
(* src = "CanBsp.scala:106.30|CanBsp.scala:106.30" *)
wire [5:0] \bitCnt$process$CanBsp_15 ;
(* src = "CanBsp.scala:188.39" *)
wire bitDeStuff;
(* src = "CanBsp.scala:215.58" *)
wire bitDeStuffReset;
(* src = "CanBsp.scala:214.38" *)
wire bitDeStuffSet;
(* src = "CanBsp.scala:189.43" *)
wire bitDeStuffTx;
(* src = "CanBsp.scala:269.207" *)
wire bitErr;
(* src = "CanBsp.scala:225.46" *)
wire bitErrCompGoRxCrc;
(* src = "CanBsp.scala:261.57" *)
wire bitErrExc1;
(* src = "CanBsp.scala:262.33" *)
wire bitErrExc2;
(* src = "CanBsp.scala:263.60" *)
wire bitErrExc3;
(* src = "CanBsp.scala:264.82" *)
wire bitErrExc4;
(* src = "CanBsp.scala:265.61" *)
wire bitErrExc5;
(* src = "CanBsp.scala:266.52" *)
wire bitErrExc6;
(* src = "CanBsp.scala:173.37" *)
reg bitErrLatched;
(* src = "CanBsp.scala:173.37|CanBsp.scala:173.37" *)
wire \bitErrLatched$process$CanBsp_73 ;
(* src = "CanBsp.scala:109.35" *)
reg [2:0] bitStuffCnt;
(* src = "CanBsp.scala:109.35|CanBsp.scala:109.35" *)
wire [2:0] \bitStuffCnt$process$CanBsp_18 ;
(* src = "CanBsp.scala:139.37" *)
reg bitStuffCntEn;
(* src = "CanBsp.scala:139.37|CanBsp.scala:139.37" *)
wire \bitStuffCntEn$process$CanBsp_43 ;
(* src = "CanBsp.scala:110.37" *)
reg [2:0] bitStuffCntTx;
(* src = "CanBsp.scala:110.37|CanBsp.scala:110.37" *)
wire [2:0] \bitStuffCntTx$process$CanBsp_19 ;
(* src = "CanBsp.scala:170.31" *)
reg busFree;
(* src = "CanBsp.scala:170.31|CanBsp.scala:170.31" *)
wire \busFree$process$CanBsp_70 ;
(* src = "CanBsp.scala:167.34" *)
reg [3:0] busFreeCnt;
(* src = "CanBsp.scala:167.34|CanBsp.scala:167.34" *)
wire [3:0] \busFreeCnt$process$CanBsp_67 ;
(* src = "CanBsp.scala:168.36" *)
reg busFreeCntEn;
(* src = "CanBsp.scala:168.36|CanBsp.scala:168.36" *)
wire \busFreeCntEn$process$CanBsp_68 ;
(* src = "CanBsp.scala:138.31" *)
reg [2:0] byteCnt;
(* src = "CanBsp.scala:138.31|CanBsp.scala:138.31" *)
wire [2:0] \byteCnt$process$CanBsp_42 ;
(* src = "CanBsp.scala:219.34|CanBsp.scala:524.17" *)
wire [14:0] calculatedCrc;
(* src = "CanBsp.scala:237.25|CanBsp.scala:543.8" *)
wire canAcf_io_idOk;
(* src = "CanBsp.scala:219.34|CanBsp.scala:524.17" *)
wire [14:0] canCrcRx_io_crc;
(* src = "CanBsp.scala:581.14" *)
wire [7:0] canFifo_io_dataOut;
(* src = "CanBsp.scala:586.23" *)
wire [6:0] canFifo_io_infoCnt;
(* src = "CanBsp.scala:587.16" *)
wire canFifo_io_infoEmpty;
(* src = "CanBsp.scala:585.14" *)
wire canFifo_io_overrun;
input clock;
(* src = "CanBsp.scala:140.33" *)
reg crcEnable;
(* src = "CanBsp.scala:140.33|CanBsp.scala:140.33" *)
wire \crcEnable$process$CanBsp_44 ;
(* src = "CanBsp.scala:153.30" *)
reg crcErr;
(* src = "CanBsp.scala:153.30|CanBsp.scala:153.30" *)
wire \crcErr$process$CanBsp_55 ;
(* src = "CanBsp.scala:130.29" *)
reg [14:0] crcIn;
(* src = "CanBsp.scala:130.29|CanBsp.scala:130.29" *)
wire [14:0] \crcIn$process$CanBsp_39 ;
(* src = "CanBsp.scala:160.31" *)
reg [3:0] dataCnt;
(* src = "CanBsp.scala:160.31|CanBsp.scala:160.31" *)
wire [3:0] \dataCnt$process$CanBsp_62 ;
(* src = "CanBsp.scala:107.31" *)
reg [3:0] dataLen;
(* src = "CanBsp.scala:107.31|CanBsp.scala:107.31" *)
wire [3:0] \dataLen$process$CanBsp_16 ;
(* src = "CanBsp.scala:147.42" *)
reg [2:0] delayedDominantCnt;
(* src = "CanBsp.scala:147.42|CanBsp.scala:147.42" *)
wire [2:0] \delayedDominantCnt$process$CanBsp_51 ;
(* src = "CanBsp.scala:144.39" *)
reg enableErrorCnt2;
(* src = "CanBsp.scala:144.39|CanBsp.scala:144.39" *)
wire \enableErrorCnt2$process$CanBsp_48 ;
(* src = "CanBsp.scala:148.42" *)
reg enableOverloadCnt2;
(* src = "CanBsp.scala:148.42|CanBsp.scala:148.42" *)
wire \enableOverloadCnt2$process$CanBsp_52 ;
(* src = "CanBsp.scala:141.30" *)
reg [2:0] eofCnt;
(* src = "CanBsp.scala:141.30|CanBsp.scala:141.30" *)
wire [2:0] \eofCnt$process$CanBsp_45 ;
(* src = "CanBsp.scala:245.124" *)
wire err;
(* src = "CanBsp.scala:81.40" *)
reg [7:0] errorCaptureCode;
(* src = "CanBsp.scala:81.40|CanBsp.scala:81.40" *)
wire [7:0] \errorCaptureCode$process$CanBsp_2 ;
(* src = "CanBsp.scala:182.47" *)
reg errorCaptureCodeBlocked;
(* src = "CanBsp.scala:182.47|CanBsp.scala:182.47" *)
wire \errorCaptureCodeBlocked$process$CanBsp_82 ;
(* src = "CanBsp.scala:186.42" *)
wire errorCaptureCodeDirection;
(* src = "CanBsp.scala:925.16|CanBsp.scala:926.26" *)
wire [1:0] errorCaptureCodeType;
(* src = "CanBsp.scala:145.33" *)
reg [2:0] errorCnt1;
(* src = "CanBsp.scala:145.33|CanBsp.scala:145.33" *)
wire [2:0] \errorCnt1$process$CanBsp_49 ;
(* src = "CanBsp.scala:146.33" *)
reg [2:0] errorCnt2;
(* src = "CanBsp.scala:146.33|CanBsp.scala:146.33" *)
wire [2:0] \errorCnt2$process$CanBsp_50 ;
(* src = "CanBsp.scala:267.155" *)
wire errorFlagOver;
(* src = "CanBsp.scala:180.44" *)
reg errorFlagOverLatched;
(* src = "CanBsp.scala:180.44|CanBsp.scala:180.44" *)
wire \errorFlagOverLatched$process$CanBsp_81 ;
(* src = "CanBsp.scala:143.34" *)
reg errorFrame;
(* src = "CanBsp.scala:143.34|CanBsp.scala:143.34" *)
wire \errorFrame$process$CanBsp_47 ;
(* src = "CanBsp.scala:229.51" *)
wire errorFrameEnded;
(* src = "Cat.scala:30.58" *)
wire [63:0] extendedChainDataExt;
(* src = "Cat.scala:30.58" *)
wire [63:0] extendedChainDataStd;
(* src = "Cat.scala:30.58" *)
wire [38:0] extendedChainExt;
(* src = "Cat.scala:30.58" *)
wire [18:0] extendedChainStd;
(* src = "Cat.scala:30.58" *)
wire [5:0] fifoSelector;
(* src = "CanBsp.scala:166.33" *)
reg finishMsg;
(* src = "CanBsp.scala:166.33|CanBsp.scala:166.33" *)
wire \finishMsg$process$CanBsp_66 ;
(* src = "CanBsp.scala:183.39" *)
reg firstCompareBit;
(* src = "CanBsp.scala:183.39|CanBsp.scala:183.39" *)
wire \firstCompareBit$process$CanBsp_83 ;
(* src = "CanBsp.scala:222.39" *)
wire formErr;
(* src = "CanBsp.scala:175.38" *)
reg formErrLatched;
(* src = "CanBsp.scala:175.38|CanBsp.scala:175.38" *)
wire \formErrLatched$process$CanBsp_75 ;
(* src = "CanBsp.scala:211.40" *)
wire goCrcEnable;
(* src = "CanBsp.scala:217.149" *)
wire goEarlyTx;
(* src = "CanBsp.scala:126.40" *)
reg goEarlyTxLatched;
(* src = "CanBsp.scala:126.40|CanBsp.scala:126.40" *)
wire \goEarlyTxLatched$process$CanBsp_35 ;
(* src = "CanBsp.scala:206.55" *)
wire goRxAck;
(* src = "CanBsp.scala:207.42" *)
wire goRxAckLim;
(* src = "CanBsp.scala:227.45" *)
wire goRxCrc;
(* src = "CanBsp.scala:205.66" *)
wire goRxCrcLim;
(* src = "CanBsp.scala:226.117" *)
wire goRxData;
(* src = "CanBsp.scala:202.55" *)
wire goRxDlc;
(* src = "CanBsp.scala:208.39" *)
wire goRxEof;
(* src = "CanBsp.scala:195.56" *)
wire goRxId1;
(* src = "CanBsp.scala:198.63" *)
wire goRxId2;
(* src = "CanBsp.scala:197.55" *)
wire goRxIde;
(* src = "CanBsp.scala:194.73" *)
wire goRxIdle;
(* src = "CanBsp.scala:201.54" *)
wire goRxR0;
(* src = "CanBsp.scala:200.54" *)
wire goRxR1;
(* src = "CanBsp.scala:196.64" *)
wire goRxRtr1;
(* src = "CanBsp.scala:199.64" *)
wire goRxRtr2;
(* src = "CanBsp.scala:161.33" *)
reg [2:0] headerCnt;
(* src = "CanBsp.scala:161.33|CanBsp.scala:161.33" *)
wire [2:0] \headerCnt$process$CanBsp_63 ;
(* src = "CanBsp.scala:241.29" *)
wire [2:0] headerLen;
(* src = "CanBsp.scala:108.26" *)
reg [28:0] id;
(* src = "CanBsp.scala:108.26|CanBsp.scala:108.26" *)
wire [28:0] \id$process$CanBsp_17 ;
(* src = "CanBsp.scala:237.25|CanBsp.scala:543.8" *)
wire idOk;
(* src = "CanBsp.scala:128.27" *)
reg ide;
(* src = "CanBsp.scala:128.27|CanBsp.scala:128.27" *)
wire \ide$process$CanBsp_37 ;
input io_abortTx;
input [7:0] io_acceptanceCode_0;
input [7:0] io_acceptanceCode_1;
input [7:0] io_acceptanceCode_2;
input [7:0] io_acceptanceCode_3;
input io_acceptanceFilterMode;
input [7:0] io_acceptanceMask_0;
input [7:0] io_acceptanceMask_1;
input [7:0] io_acceptanceMask_2;
input [7:0] io_acceptanceMask_3;
input [7:0] io_addr;
output [4:0] io_arbitrationLostCapture;
output io_busOffOn;
input [7:0] io_dataIn;
output [7:0] io_dataOut;
output [7:0] io_errorCaptureCode;
output io_errorStatus;
input [7:0] io_errorWarningLimit;
input io_extendedMode;
output io_goErrorFrame;
output io_goOverloadFrame;
output io_goRxInter;
output io_goTx;
input io_hardSync;
output io_infoEmpty;
input io_listenOnlyMode;
output io_needToTx;
output io_nodeBusOff;
output io_nodeErrorActive;
output io_nodeErrorPassive;
output io_notFirstBitOfInter;
output io_overloadFrame;
output io_overrun;
input io_readArbitrationLostCaptureReg;
input io_readErrorCodeCaptureReg;
output io_receiveStatus;
input io_releaseBuffer;
input io_resetMode;
output [8:0] io_rxErrorCount;
output io_rxIdle;
output io_rxInter;
output [6:0] io_rxMessageCounter;
input io_samplePoint;
input io_sampledBit;
input io_sampledBitQ;
input io_selfRxRequest;
input io_selfTestMode;
output io_sendAck;
output io_setArbitrationLostIrq;
output io_setBusErrorIrq;
output io_setResetMode;
input io_singleShotTransmission;
output io_transmitStatus;
output io_transmitter;
output io_transmitting;
output io_tx;
input [7:0] io_txData_0;
input [7:0] io_txData_1;
input [7:0] io_txData_10;
input [7:0] io_txData_11;
input [7:0] io_txData_12;
input [7:0] io_txData_2;
input [7:0] io_txData_3;
input [7:0] io_txData_4;
input [7:0] io_txData_5;
input [7:0] io_txData_6;
input [7:0] io_txData_7;
input [7:0] io_txData_8;
input [7:0] io_txData_9;
output [8:0] io_txErrorCount;
output io_txNext;
input io_txPoint;
input io_txRequest;
output io_txState;
output io_txStateQ;
output io_txSuccessful;
input io_writeEnReceiveErrorCounter;
input io_writeEnTransmitErrorCounter;
(* src = "CanBsp.scala:192.39" *)
wire lastBitOfInter;
(* src = "CanBsp.scala:221.34" *)
wire [3:0] limitedDataLen;
(* src = "CanBsp.scala:243.40" *)
wire [3:0] limitedDataLenSubOne;
(* src = "CanBsp.scala:271.35" *)
wire [5:0] limitedTxCntExt;
(* src = "CanBsp.scala:272.35" *)
wire [5:0] limitedTxCntStd;
(* src = "CanBsp.scala:93.32" *)
reg needToTx;
(* src = "CanBsp.scala:93.32|CanBsp.scala:93.32" *)
wire \needToTx$process$CanBsp_8 ;
(* src = "CanBsp.scala:91.34" *)
reg nodeBusOff;
(* src = "CanBsp.scala:91.34|CanBsp.scala:91.34" *)
wire \nodeBusOff$process$CanBsp_7 ;
(* src = "CanBsp.scala:171.35" *)
reg nodeBusOffQ;
(* src = "CanBsp.scala:171.35|CanBsp.scala:171.35|CanBsp.scala:171.35" *)
wire \nodeBusOffQ$process$CanBsp_71 ;
(* src = "CanBsp.scala:101.40" *)
reg nodeErrorPassive;
(* src = "CanBsp.scala:101.40|CanBsp.scala:101.40" *)
wire \nodeErrorPassive$process$CanBsp_12 ;
(* src = "CanBsp.scala:151.36" *)
reg [2:0] overloadCnt1;
(* src = "CanBsp.scala:151.36|CanBsp.scala:151.36" *)
wire [2:0] \overloadCnt1$process$CanBsp_53 ;
(* src = "CanBsp.scala:152.36" *)
reg [2:0] overloadCnt2;
(* src = "CanBsp.scala:152.36|CanBsp.scala:152.36" *)
wire [2:0] \overloadCnt2$process$CanBsp_54 ;
(* src = "CanBsp.scala:268.73" *)
wire overloadFlagOver;
(* src = "CanBsp.scala:79.37" *)
reg overloadFrame;
(* src = "CanBsp.scala:79.37|CanBsp.scala:79.37" *)
wire \overloadFrame$process$CanBsp_1 ;
(* src = "CanBsp.scala:230.58" *)
wire overloadFrameEnded;
(* src = "CanBsp.scala:142.34" *)
reg [2:0] passiveCnt;
(* src = "CanBsp.scala:142.34|CanBsp.scala:142.34" *)
wire [2:0] \passiveCnt$process$CanBsp_46 ;
(* src = "Cat.scala:30.58" *)
wire [14:0] rCalculatedCrc;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_0;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_1;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_10;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_11;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_12;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_2;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_3;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_4;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_5;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_6;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_7;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_8;
(* src = "Bitwise.scala:103.39" *)
wire [7:0] rTxData_9;
(* src = "CanBsp.scala:209.41" *)
wire remoteRq;
input reset;
(* src = "CanBsp.scala:105.34" *)
reg resetModeQ;
(* src = "CanBsp.scala:105.34|CanBsp.scala:105.34|CanBsp.scala:105.34" *)
wire \resetModeQ$process$CanBsp_14 ;
(* src = "CanBsp.scala:244.78" *)
wire resetWrFifo;
(* src = "CanBsp.scala:279.139" *)
wire rstTxPointer;
(* src = "CanBsp.scala:127.28" *)
reg rtr1;
(* src = "CanBsp.scala:127.28|CanBsp.scala:127.28" *)
wire \rtr1$process$CanBsp_36 ;
(* src = "CanBsp.scala:129.28" *)
reg rtr2;
(* src = "CanBsp.scala:129.28|CanBsp.scala:129.28" *)
wire \rtr2$process$CanBsp_38 ;
(* src = "CanBsp.scala:176.38" *)
reg rule3Exc1_0;
(* src = "CanBsp.scala:176.38|CanBsp.scala:176.38" *)
wire \rule3Exc1_0$process$CanBsp_76 ;
(* src = "CanBsp.scala:176.38" *)
reg rule3Exc1_1;
(* src = "CanBsp.scala:176.38|CanBsp.scala:176.38" *)
wire \rule3Exc1_1$process$CanBsp_77 ;
(* src = "CanBsp.scala:270.19" *)
wire rule5;
(* src = "CanBsp.scala:123.29" *)
reg rxAck;
(* src = "CanBsp.scala:123.29|CanBsp.scala:123.29" *)
wire \rxAck$process$CanBsp_32 ;
(* src = "CanBsp.scala:124.32" *)
reg rxAckLim;
(* src = "CanBsp.scala:124.32|CanBsp.scala:124.32" *)
wire \rxAckLim$process$CanBsp_33 ;
(* src = "CanBsp.scala:121.29" *)
reg rxCrc;
(* src = "CanBsp.scala:121.29|CanBsp.scala:121.29" *)
wire \rxCrc$process$CanBsp_30 ;
(* src = "CanBsp.scala:122.32" *)
reg rxCrcLim;
(* src = "CanBsp.scala:122.32|CanBsp.scala:122.32" *)
wire \rxCrcLim$process$CanBsp_31 ;
(* src = "CanBsp.scala:120.30" *)
reg rxData;
(* src = "CanBsp.scala:120.30|CanBsp.scala:120.30" *)
wire \rxData$process$CanBsp_29 ;
(* src = "CanBsp.scala:119.29" *)
reg rxDlc;
(* src = "CanBsp.scala:119.29|CanBsp.scala:119.29" *)
wire \rxDlc$process$CanBsp_28 ;
(* src = "CanBsp.scala:125.29" *)
reg rxEof;
(* src = "CanBsp.scala:125.29|CanBsp.scala:125.29" *)
wire \rxEof$process$CanBsp_34 ;
(* src = "CanBsp.scala:95.36" *)
reg [8:0] rxErrorCount;
(* src = "CanBsp.scala:95.36|CanBsp.scala:95.36" *)
wire [8:0] \rxErrorCount$process$CanBsp_9 ;
(* src = "CanBsp.scala:112.29" *)
reg rxId1;
(* src = "CanBsp.scala:112.29|CanBsp.scala:112.29" *)
wire \rxId1$process$CanBsp_21 ;
(* src = "CanBsp.scala:115.29" *)
reg rxId2;
(* src = "CanBsp.scala:115.29|CanBsp.scala:115.29" *)
wire \rxId2$process$CanBsp_24 ;
(* src = "CanBsp.scala:114.29" *)
reg rxIde;
(* src = "CanBsp.scala:114.29|CanBsp.scala:114.29" *)
wire \rxIde$process$CanBsp_23 ;
(* src = "CanBsp.scala:83.30" *)
reg rxIdle;
(* src = "CanBsp.scala:83.30|CanBsp.scala:83.30" *)
wire \rxIdle$process$CanBsp_3 ;
(* src = "CanBsp.scala:89.31" *)
reg rxInter;
(* src = "CanBsp.scala:89.31|CanBsp.scala:89.31" *)
wire \rxInter$process$CanBsp_6 ;
(* src = "CanBsp.scala:117.28" *)
reg rxR0;
(* src = "CanBsp.scala:117.28|CanBsp.scala:117.28" *)
wire \rxR0$process$CanBsp_26 ;
(* src = "CanBsp.scala:118.27" *)
reg rxR1;
(* src = "CanBsp.scala:118.27|CanBsp.scala:118.27" *)
wire \rxR1$process$CanBsp_27 ;
(* src = "CanBsp.scala:113.30" *)
reg rxRtr1;
(* src = "CanBsp.scala:113.30|CanBsp.scala:113.30" *)
wire \rxRtr1$process$CanBsp_22 ;
(* src = "CanBsp.scala:116.30" *)
reg rxRtr2;
(* src = "CanBsp.scala:116.30|CanBsp.scala:116.30" *)
wire \rxRtr2$process$CanBsp_25 ;
(* src = "CanBsp.scala:242.40" *)
wire storingHeader;
(* src = "CanBsp.scala:233.69" *)
wire stuffErr;
(* src = "CanBsp.scala:174.39" *)
reg stuffErrLatched;
(* src = "CanBsp.scala:174.39|CanBsp.scala:174.39" *)
wire \stuffErrLatched$process$CanBsp_74 ;
(* src = "CanBsp.scala:177.31" *)
reg suspend;
(* src = "CanBsp.scala:177.31|CanBsp.scala:177.31" *)
wire \suspend$process$CanBsp_78 ;
(* src = "CanBsp.scala:179.34" *)
reg [2:0] suspendCnt;
(* src = "CanBsp.scala:179.34|CanBsp.scala:179.34" *)
wire [2:0] \suspendCnt$process$CanBsp_80 ;
(* src = "CanBsp.scala:178.36" *)
reg suspendCntEn;
(* src = "CanBsp.scala:178.36|CanBsp.scala:178.36" *)
wire \suspendCntEn$process$CanBsp_79 ;
(* src = "CanBsp.scala:131.31" *)
reg [7:0] tmpData;
(* src = "CanBsp.scala:131.31|CanBsp.scala:131.31" *)
wire [7:0] \tmpData$process$CanBsp_40 ;
wire [7:0] tmpFifo_canAcf_io_data0_MPORT_data;
wire [7:0] tmpFifo_canAcf_io_data1_MPORT_data;
wire [7:0] tmpFifo_dataForFifo_MPORT_data;
(* src = "CanBsp.scala:87.35" *)
reg transmitter;
(* src = "CanBsp.scala:87.35|CanBsp.scala:87.35" *)
wire \transmitter$process$CanBsp_5 ;
(* src = "CanBsp.scala:85.36" *)
reg transmitting;
(* src = "CanBsp.scala:85.36|CanBsp.scala:85.36" *)
wire \transmitting$process$CanBsp_4 ;
(* src = "CanBsp.scala:103.26" *)
reg tx;
(* src = "CanBsp.scala:103.26|CanBsp.scala:103.26" *)
wire \tx$process$CanBsp_13 ;
(* src = "CanBsp.scala:720.25" *)
wire txBit;
(* src = "CanBsp.scala:97.36" *)
reg [8:0] txErrorCount;
(* src = "CanBsp.scala:97.36|CanBsp.scala:97.36" *)
wire [8:0] \txErrorCount$process$CanBsp_10 ;
(* src = "CanBsp.scala:111.32" *)
reg txPointQ;
(* src = "CanBsp.scala:111.32|CanBsp.scala:111.32|CanBsp.scala:111.32" *)
wire \txPointQ$process$CanBsp_20 ;
(* src = "CanBsp.scala:164.33" *)
reg [5:0] txPointer;
(* src = "CanBsp.scala:164.33|CanBsp.scala:164.33" *)
wire [5:0] \txPointer$process$CanBsp_65 ;
(* src = "CanBsp.scala:159.27" *)
reg txQ;
(* src = "CanBsp.scala:159.27|CanBsp.scala:159.27" *)
wire \txQ$process$CanBsp_61 ;
(* src = "CanBsp.scala:75.31" *)
reg txState;
(* src = "CanBsp.scala:75.31|CanBsp.scala:75.31" *)
wire \txState$process$CanBsp ;
(* src = "CanBsp.scala:77.32" *)
reg txStateQ;
(* src = "CanBsp.scala:77.32|CanBsp.scala:77.32|CanBsp.scala:77.32" *)
wire \txStateQ$process$CanBsp_0 ;
(* src = "CanBsp.scala:169.41" *)
reg waitingForBusFree;
(* src = "CanBsp.scala:169.41|CanBsp.scala:169.41" *)
wire \waitingForBusFree$process$CanBsp_69 ;
(* src = "CanBsp.scala:162.30" *)
reg wrFifo;
(* src = "CanBsp.scala:162.30|CanBsp.scala:162.30" *)
wire \wrFifo$process$CanBsp_64 ;
(* src = "CanBsp.scala:137.42" *)
reg writeDataToTmpFifo;
(* src = "CanBsp.scala:137.42|CanBsp.scala:137.42" *)
wire \writeDataToTmpFifo$process$CanBsp_41 ;
reg [7:0] tmpFifo [7:0];
initial begin
tmpFifo[0] = 8'h00;
tmpFifo[1] = 8'h00;
tmpFifo[2] = 8'h00;
tmpFifo[3] = 8'h00;
tmpFifo[4] = 8'h00;
tmpFifo[5] = 8'h00;
tmpFifo[6] = 8'h00;
tmpFifo[7] = 8'h00;
end
always @(posedge clock) begin
if (_1401_[0])
tmpFifo[byteCnt][0:0] <= tmpData[0];
if (_1401_[1])
tmpFifo[byteCnt][1:1] <= tmpData[1];
if (_1401_[2])
tmpFifo[byteCnt][2:2] <= tmpData[2];
if (_1401_[3])
tmpFifo[byteCnt][3:3] <= tmpData[3];
if (_1401_[4])
tmpFifo[byteCnt][4:4] <= tmpData[4];
if (_1401_[5])
tmpFifo[byteCnt][5:5] <= tmpData[5];
if (_1401_[6])
tmpFifo[byteCnt][6:6] <= tmpData[6];
if (_1401_[7])
tmpFifo[byteCnt][7:7] <= tmpData[7];
end
assign tmpFifo_dataForFifo_MPORT_data = tmpFifo[_0823_[2:0]];
assign tmpFifo_canAcf_io_data1_MPORT_data = tmpFifo[3'h1];
assign tmpFifo_canAcf_io_data0_MPORT_data = tmpFifo[3'h0];
assign _1322_ = { 1'h0, limitedDataLenSubOne } + { 1'h0, _0097_ };
assign _0807_ = { 1'h0, byteCnt } + 4'h1;
assign _0756_ = { 1'h0, bitCnt } + 7'h01;
assign _1064_ = { 1'h0, passiveCnt } + 4'h1;
assign _1058_ = { 1'h0, overloadCnt1 } + 4'h1;
assign _1060_ = { 1'h0, overloadCnt2 } + 4'h1;
assign _1396_ = { 1'h0, txPointer } + 7'h01;
assign _1371_ = { 1'h0, suspendCnt } + 4'h1;
assign _0749_ = { 1'h0, arbitrationCnt } + 6'h01;
assign _1364_ = { 1'h0, io_rxErrorCount } + 10'h001;
assign _1366_ = { 1'h0, io_rxErrorCount } + 10'h008;
assign _1393_ = { 1'h0, txErrorCount } + 10'h008;
assign _0801_ = { 1'h0, busFreeCnt } + 5'h01;
assign _0851_ = { 1'h0, eofCnt } + 4'h1;
assign _0799_ = { 1'h0, bitStuffCnt } + 4'h1;
assign _0797_ = { 1'h0, bitStuffCntTx } + 4'h1;
assign _0982_ = { 1'h0, headerCnt } + 4'h1;
assign _0813_ = { 1'h0, dataCnt } + 5'h01;
assign _0908_ = { 1'h0, errorCnt1 } + 4'h1;
assign _0910_ = { 1'h0, errorCnt2 } + 4'h1;
assign _0849_ = { 1'h0, delayedDominantCnt } + 4'h1;
assign _1401_ = $signed(writeDataToTmpFifo) & (* src = "CanBsp.scala:136.32" *) $signed(1'h1);
assign _0803_ = io_samplePoint & io_sampledBit;
assign _0805_ = _0803_ & _0804_;
assign goRxRtr1 = _0976_ & _0978_;
assign _1285_ = _1284_ & 8'hcc;
assign _1289_ = _0106_ & 8'h55;
assign _1292_ = _1291_ & 8'haa;
assign _1294_ = _0107_ & 8'h0f;
assign _1297_ = _1296_ & 8'hf0;
assign _1301_ = _0108_ & 8'h33;
assign _1304_ = _1303_ & 8'hcc;
assign _1308_ = _0109_ & 8'h55;
assign _1311_ = _1310_ & 8'haa;
assign _1313_ = _0110_ & 8'h0f;
assign goRxIde = _0975_ & rxRtr1;
assign _1316_ = _1315_ & 8'hf0;
assign _1098_ = _0111_ & 8'h33;
assign _1101_ = _1100_ & 8'hcc;
assign _1104_ = _0112_ & 8'h55;
assign _1107_ = _1106_ & 8'haa;
assign _1109_ = _0113_ & 8'h0f;
assign _1113_ = _1112_ & 8'hf0;
assign _1116_ = _0114_ & 8'h33;
assign _1120_ = _1119_ & 8'hcc;
assign _1123_ = _0116_ & 8'h55;
assign _0968_ = _0975_ & rxIde;
assign _1127_ = _1126_ & 8'haa;
assign _1129_ = _0117_ & 8'h0f;
assign _1133_ = _1132_ & 8'hf0;
assign _1136_ = _0118_ & 8'h33;
assign _1139_ = _1138_ & 8'hcc;
assign _1142_ = _0119_ & 8'h55;
assign _1146_ = _1145_ & 8'haa;
assign _1148_ = _0120_ & 8'h0f;
assign _1152_ = _1150_ & 8'hf0;
assign _1155_ = _0121_ & 8'h33;
assign goRxId2 = _0968_ & io_sampledBit;
assign _1158_ = _1157_ & 8'hcc;
assign _1161_ = _0122_ & 8'h55;
assign _1165_ = _1163_ & 8'haa;
assign _1167_ = _0123_ & 8'h0f;
assign _1170_ = _1169_ & 8'hf0;
assign _1173_ = _0124_ & 8'h33;
assign _1177_ = _1175_ & 8'hcc;
assign _1180_ = _0125_ & 8'h55;
assign _1184_ = _1182_ & 8'haa;
assign _1186_ = _0127_ & 8'h0f;
assign _0979_ = _0975_ & rxId2;
assign _1189_ = _1188_ & 8'hf0;
assign _1193_ = _0128_ & 8'h33;
assign _1196_ = _1195_ & 8'hcc;
assign _1200_ = _0129_ & 8'h55;
assign _1203_ = _1202_ & 8'haa;
assign _1205_ = _0130_ & 8'h0f;
assign _1208_ = _1207_ & 8'hf0;
assign _1212_ = _0131_ & 8'h33;
assign _1215_ = _1214_ & 8'hcc;
assign _1218_ = _0132_ & 8'h55;
assign goRxRtr2 = _0979_ & _0981_;
assign _1221_ = _1220_ & 8'haa;
assign _1223_ = _0133_ & 8'h0f;
assign _1226_ = _1225_ & 8'hf0;
assign _1230_ = _0134_ & 8'h33;
assign _1233_ = _1232_ & 8'hcc;
assign _1237_ = _0135_ & 8'h55;
assign _1240_ = _1239_ & 8'haa;
assign _1243_ = _0136_ & 8'h0f;
assign _1246_ = _1245_ & 8'hf0;
assign _1250_ = _0138_ & 8'h33;
assign goRxR1 = _0975_ & rxRtr2;
assign _1253_ = _1252_ & 8'hcc;
assign _1257_ = _0139_ & 8'h55;
assign _1260_ = _1259_ & 8'haa;
assign _1262_ = _0140_ & 8'h0f;
assign _1265_ = _1264_ & 8'hf0;
assign _1269_ = _0141_ & 8'h33;
assign _1272_ = _1271_ & 8'hcc;
assign _1276_ = _0142_ & 8'h55;
assign _1279_ = _1278_ & 8'haa;
assign _1020_ = _0941_ & rxAck;
assign _0972_ = rxIde & _0965_;
assign _1022_ = _1020_ & _1021_;
assign _0913_ = _0912_ & io_samplePoint;
assign _0914_ = _0913_ & _0767_;
assign _0915_ = io_nodeErrorPassive & io_samplePoint;
assign _0917_ = _0915_ & _0916_;
assign errorFlagOver = _0918_ & _0769_;
assign _1062_ = io_samplePoint & _0771_;
assign overloadFlagOver = _1062_ & _0773_;
assign _1356_ = _0912_ & errorFrame;
assign _1357_ = _1356_ & _0766_;
assign goRxR0 = _0975_ & _0973_;
assign _1359_ = io_overloadFrame & _1358_;
assign rule5 = bitErr & _1360_;
assign _1325_ = _1324_ & io_txPoint;
assign _1336_ = _1325_ & _1331_;
assign _1342_ = _1336_ & io_extendedMode;
assign _1353_ = _1342_ & _1352_;
assign _1355_ = _1353_ & _1354_;
assign _1327_ = _1342_ & _1326_;
assign _1329_ = _1327_ & _1328_;
assign _1333_ = _1336_ & _1332_;
assign goRxDlc = _0975_ & rxR0;
assign _1334_ = _1333_ & _1328_;
assign _1337_ = _1325_ & rxData;
assign _1338_ = _1337_ & io_extendedMode;
assign _1340_ = _1338_ & _1339_;
assign _1343_ = _1337_ & _1332_;
assign _1345_ = _1343_ & _1344_;
assign _1347_ = io_txPoint & rxCrcLim;
assign _0999_ = rxEof & _0927_;
assign _1000_ = _0999_ & _1005_;
assign _1003_ = _0966_ & _1002_;
assign _0806_ = _0805_ & waitingForBusFree;
assign _0948_ = _0975_ & rxCrc;
assign _0993_ = _0966_ & io_rxInter;
assign _0995_ = _0993_ & _0994_;
assign _0998_ = _0966_ & _0997_;
assign _0992_ = crcErr & goRxEof;
assign _1033_ = transmitter & io_goRxInter;
assign _1034_ = _1033_ & _0759_;
assign _1036_ = _1034_ & _1035_;
assign _1038_ = _1036_ & _1037_;
assign _0629_ = io_samplePoint & _0621_;
assign _0646_ = _0629_ & _0974_;
assign goRxCrcLim = _0948_ & _0949_;
assign _0651_ = io_samplePoint & rxRtr1;
assign _0669_ = _0651_ & _0974_;
assign _0677_ = io_samplePoint & rxRtr2;
assign _0693_ = _0677_ & _0974_;
assign _0695_ = io_samplePoint & rxIde;
assign _0696_ = _0695_ & _0974_;
assign _0697_ = io_samplePoint & rxDlc;
assign _0698_ = _0697_ & _0974_;
assign _0699_ = io_samplePoint & rxData;
assign _0700_ = _0699_ & _0974_;
assign goRxAck = _0975_ & rxCrcLim;
assign _0704_ = _0700_ & _0703_;
assign _0705_ = io_samplePoint & goRxCrcLim;
assign _0706_ = io_samplePoint & rxCrc;
assign _0707_ = _0706_ & _0974_;
assign _0719_ = io_samplePoint & _0974_;
assign _0721_ = txPointQ & bitStuffCntEn;
assign _0727_ = rule3Exc1_0 & _0766_;
assign _0728_ = _0727_ & io_samplePoint;
assign _0730_ = _0728_ & _0965_;
assign _0732_ = io_transmitter & io_nodeErrorPassive;
assign goRxAckLim = io_samplePoint & rxAck;
assign _0733_ = _0732_ & ackErr;
assign _0809_ = crcEnable & io_samplePoint;
assign _0734_ = io_goRxInter & idOk;
assign _0736_ = _0734_ & _1035_;
assign _0738_ = _0736_ & _0737_;
assign _0739_ = wrFifo & storingHeader;
assign _0821_ = fifoSelector & 6'h37;
assign _0744_ = errorFrame & io_txPoint;
assign _0547_ = _0744_ & _0766_;
assign _0548_ = errorFlagOver & io_sampledBit;
assign goRxEof = io_samplePoint & rxAckLim;
assign _0549_ = errorFrame & _0548_;
assign _0551_ = enableErrorCnt2 & io_txPoint;
assign _0556_ = _0966_ & _0555_;
assign _0560_ = io_samplePoint & _0559_;
assign _0561_ = errorFrame & _0769_;
assign _0562_ = _0561_ & _1370_;
assign _0565_ = io_overloadFrame & io_txPoint;
assign _0566_ = _0565_ & _1358_;
assign _0567_ = overloadFlagOver & io_sampledBit;
assign _0568_ = io_overloadFrame & _0567_;
assign _1320_ = _1319_ & rtr1;
assign _0570_ = enableOverloadCnt2 & io_txPoint;
assign _1029_ = _1324_ & txBit;
assign _1031_ = bitDeStuffTx & _1030_;
assign _1399_ = io_tx & _1398_;
assign _0578_ = io_txPoint & _0577_;
assign _0579_ = _0578_ & _1324_;
assign _0583_ = io_abortTx & errorCaptureCodeDirection;
assign _0585_ = _0941_ & io_txStateQ;
assign _0586_ = _0585_ & io_singleShotTransmission;
assign _0588_ = io_txRequest & io_samplePoint;
assign _1321_ = ide & rtr2;
assign _1008_ = io_samplePoint & _0944_;
assign _1010_ = _0942_ & _1009_;
assign _0595_ = suspend & goRxId1;
assign _0601_ = goRxId1 & _0941_;
assign _0603_ = arbitrationLost & io_txState;
assign _0606_ = io_notFirstBitOfInter & io_transmitter;
assign _0607_ = _0606_ & io_nodeErrorPassive;
assign _0609_ = suspend & io_samplePoint;
assign _0610_ = _0609_ & lastBitOfInter;
assign _0611_ = suspendCntEn & io_samplePoint;
assign bitDeStuffSet = goRxId1 & _0759_;
assign _0617_ = io_transmitter & io_samplePoint;
assign _0618_ = _0617_ & io_tx;
assign _0619_ = _0618_ & arbitrationField;
assign _0620_ = _0619_ & _0965_;
assign _1024_ = arbitrationLost & _1023_;
assign _0622_ = io_writeEnReceiveErrorCounter & _0970_;
assign _0624_ = _0938_ & _0623_;
assign _0625_ = goRxAckLim & _0759_;
assign _0627_ = _0625_ & _0626_;
assign _0630_ = _0627_ & _0628_;
assign _0939_ = _0938_ & io_needToTx;
assign _0634_ = io_goErrorFrame & _0633_;
assign _0636_ = errorFlagOver & _0635_;
assign _0637_ = _0636_ & io_samplePoint;
assign _0638_ = _0637_ & _0965_;
assign _0639_ = _0638_ & _0767_;
assign _0640_ = io_goErrorFrame & rule5;
assign _0643_ = _0966_ & _0642_;
assign _0648_ = _0645_ & _0647_;
assign _0649_ = io_transmitter & _1039_;
assign _0653_ = io_goErrorFrame & _0652_;
assign _0942_ = _0939_ & _0941_;
assign _0654_ = io_transmitter & stuffErr;
assign _0655_ = _0654_ & arbitrationField;
assign _0656_ = _0655_ & io_samplePoint;
assign _0657_ = _0656_ & io_tx;
assign _0658_ = _0657_ & _0965_;
assign _0660_ = _0653_ & _0659_;
assign _0662_ = errorFrame & rule3Exc1_1;
assign _0666_ = _0664_ & _0665_;
assign _0671_ = _0788_ & resetModeQ;
assign _0673_ = _0670_ & _0672_;
assign lastBitOfInter = rxInter & _1041_;
assign _0946_ = _0942_ & _0945_;
assign _0674_ = _0673_ & _0970_;
assign _0678_ = _0675_ & _0676_;
assign _0679_ = _0678_ & _0788_;
assign _0681_ = io_writeEnTransmitErrorCounter & _0680_;
assign _0685_ = io_writeEnTransmitErrorCounter & _0684_;
assign _0687_ = io_sampledBit & busFreeCntEn;
assign _0689_ = _0687_ & _0688_;
assign _0690_ = nodeBusOffQ & _0788_;
assign _0694_ = _0805_ & _0970_;
assign _0904_ = rxId2 & _0903_;
assign _0947_ = _0946_ & io_samplePoint;
assign _0873_ = rxId1 & _0871_;
assign _0893_ = rxId2 & _0891_;
assign _0900_ = errorFrame & io_nodeErrorActive;
assign _1028_ = io_extendedMode & waitingForBusFree;
assign _1015_ = _1014_ & errorCaptureCodeDirection;
assign _1018_ = _1017_ & _1014_;
assign _1019_ = _1018_ & errorCaptureCodeDirection;
assign io_notFirstBitOfInter = io_rxInter & _1013_;
assign io_setResetMode = nodeBusOff & _1027_;
assign io_txSuccessful = _1038_ & _1039_;
assign _0940_ = _0947_ & _0965_;
assign io_setBusErrorIrq = io_goErrorFrame & _1026_;
assign io_setArbitrationLostIrq = _1024_ & _1025_;
assign io_goTx = _1010_ & _1011_;
assign io_sendAck = _1022_ & _0938_;
assign _0465_ = _0809_ & _0974_;
assign _0466_ = _0948_ & _0949_;
assign goEarlyTx = _0940_ & _0967_;
assign _1088_ = _0094_ & 8'h0f;
assign _1096_ = _1095_ & 8'hf0;
assign _1068_ = _0095_ & 8'h33;
assign _1071_ = _1070_ & 8'hcc;
assign _1074_ = _0096_ & 8'h55;
assign _1077_ = _1076_ & 8'haa;
assign _0969_ = _0803_ & lastBitOfInter;
assign _0925_ = _0974_ & rxCrcLim;
assign _0933_ = _0925_ & _0965_;
assign _0934_ = rxAckLim & _0965_;
assign _0937_ = _0936_ & rxEof;
assign _0926_ = _0937_ & _0965_;
assign _0928_ = _0926_ & _0927_;
assign _0930_ = rxEof & _0965_;
assign _0931_ = _0930_ & io_transmitter;
assign formErr = io_samplePoint & _0932_;
assign _0958_ = _0975_ & rxDlc;
assign _0971_ = busFree & _0970_;
assign _0960_ = _0958_ & _0959_;
assign _0964_ = _0960_ & _0963_;
assign goRxData = _0964_ & _0957_;
assign _0954_ = rxDlc & _0959_;
assign _0956_ = _0965_ & _0955_;
assign _0951_ = _0954_ & _0950_;
assign _0952_ = rxData & bitErrCompGoRxCrc;
assign goRxCrc = _0975_ & _0953_;
assign errorFrameEnded = _0919_ & io_txPoint;
assign overloadFrameEnded = _1063_ & io_txPoint;
assign _0966_ = io_samplePoint & _0965_;
assign _0745_ = rxAck & io_samplePoint;
assign _0746_ = _0745_ & io_sampledBit;
assign _0747_ = _0746_ & io_txState;
assign ackErr = _0747_ & _0748_;
assign _1368_ = io_samplePoint & bitStuffCntEn;
assign _1369_ = _1368_ & bitDeStuff;
assign stuffErr = _1369_ & _1370_;
assign _1004_ = io_samplePoint & rxEof;
assign _1006_ = _1004_ & _1005_;
assign _0790_ = _0789_ & io_samplePoint;
assign goRxId1 = _0966_ & _0967_;
assign _0792_ = _0790_ & _0791_;
assign _0764_ = io_txState & arbitrationField;
assign bitErrExc1 = _0764_ & io_tx;
assign _0794_ = _0792_ & _0793_;
assign bitErrExc2 = rxAck & io_tx;
assign _0796_ = _0794_ & _0795_;
assign _0765_ = errorFrame & io_nodeErrorPassive;
assign bitErrExc3 = _0765_ & _0766_;
assign _0781_ = _0796_ & _0780_;
assign _0768_ = errorFrame & _0767_;
assign _0975_ = _0974_ & io_samplePoint;
assign _0770_ = _0768_ & _0769_;
assign _0772_ = io_overloadFrame & _0771_;
assign _0774_ = _0772_ & _0773_;
assign _0783_ = _0781_ & _0782_;
assign _0775_ = errorFrame & _0919_;
assign _0776_ = io_overloadFrame & _1063_;
assign _0785_ = _0783_ & _0784_;
assign _0777_ = _1005_ & rxEof;
assign bitErrExc6 = _0777_ & _0927_;
assign _0787_ = _0785_ & _0786_;
assign _0976_ = _0975_ & rxId1;
assign bitErr = _0787_ & _0788_;
assign _1228_ = _0099_ & 8'h0f;
assign _1299_ = _1287_ & 8'hf0;
assign _1117_ = _0100_ & 8'h33;
assign _1144_ = _1131_ & 8'hcc;
assign _1183_ = _0101_ & 8'h55;
assign _1210_ = _1197_ & 8'haa;
assign _1241_ = _0102_ & 8'h0f;
assign _1267_ = _1255_ & 8'hf0;
assign _1282_ = _0105_ & 8'h33;
assign _0804_ = busFreeCnt == 4'ha;
assign bitDeStuff = bitStuffCnt == 3'h5;
assign bitDeStuffTx = bitStuffCntTx == 3'h5;
assign _1370_ = io_sampledBit == io_sampledBitQ;
assign _1005_ = eofCnt == 3'h6;
assign _1323_ = _0098_ == _1322_;
assign _0771_ = overloadCnt1 == 3'h7;
assign _0916_ = passiveCnt == 3'h6;
assign _1354_ = txPointer == 6'h26;
assign _1328_ = txPointer == 6'h12;
assign _1339_ = txPointer == limitedTxCntExt;
assign _1344_ = txPointer == limitedTxCntStd;
assign _0722_ = io_tx == txQ;
assign _1041_ = _1040_ == 2'h2;
assign _0822_ = 6'h21 == _0821_;
assign _0824_ = 6'h20 == _0821_;
assign _0825_ = 6'h32 == fifoSelector;
assign _0826_ = 6'h31 == fifoSelector;
assign _0827_ = 6'h30 == fifoSelector;
assign _0828_ = 6'h3c == fifoSelector;
assign _0829_ = 6'h3b == fifoSelector;
assign _0830_ = 6'h3a == fifoSelector;
assign _0831_ = 6'h39 == fifoSelector;
assign _0832_ = 6'h38 == fifoSelector;
assign _0978_ = _0977_ == 4'ha;
assign _0642_ = delayedDominantCnt == 3'h7;
assign _0675_ = ! io_rxErrorCount;
assign _0676_ = ! io_txErrorCount;
assign _0684_ = io_dataIn == 8'hff;
assign _0981_ = _0980_ == 5'h11;
assign _0949_ = _0977_ == 4'he;
assign _0944_ = suspendCnt == 3'h7;
assign bitErrCompGoRxCrc = bitCnt == _0763_;
assign _0959_ = _1040_ == 2'h3;
assign _1063_ = overloadCnt2 == 3'h7;
assign _0667_ = rxErrorCount >= 9'h080;
assign _0668_ = txErrorCount >= 9'h080;
assign _0683_ = io_txErrorCount >= 9'h100;
assign _0986_ = io_rxErrorCount >= _0143_;
assign _0987_ = io_txErrorCount >= _0143_;
assign _0989_ = io_rxErrorCount >= 9'h060;
assign _0990_ = io_txErrorCount >= 9'h060;
assign _0628_ = io_rxErrorCount > 9'h000;
assign _0631_ = io_rxErrorCount > 9'h07f;
assign _0645_ = txErrorCount > 9'h000;
assign _0903_ = bitCnt > 6'h04;
assign _0871_ = bitCnt > 6'h07;
assign _1045_ = dataLen < 4'h8;
assign _0936_ = eofCnt < 3'h6;
assign _1056_ = dataLen < 4'h1;
assign _0632_ = io_rxErrorCount < 9'h080;
assign _0664_ = rxErrorCount < 9'h080;
assign _0665_ = txErrorCount < 9'h080;
assign _0680_ = io_dataIn < 8'hff;
assign _0688_ = busFreeCnt < 4'ha;
assign _0891_ = bitCnt < 6'h0d;
assign _1057_ = dataLen < 4'h2;
assign storingHeader = headerCnt < headerLen;
assign _0766_ = errorCnt1 < 3'h7;
assign _1358_ = overloadCnt1 < 3'h7;
assign _0994_ = _1040_ < 2'h2;
assign _0559_ = passiveCnt < 3'h6;
assign _0573_ = errorCnt1 < 3'h6;
assign _0575_ = overloadCnt1 < 3'h6;
assign _1400_ = io_resetMode ? (* src = "CanBsp.scala:77.36" *) 1'h0 : txState;
assign _1395_ = io_resetMode ? (* src = "CanBsp.scala:111.36" *) 1'h0 : io_txPoint;
assign limitedDataLen = _1045_ ? (* src = "CanBsp.scala:221.34" *) dataLen : 4'h8;
assign _0168_ = _0613_ ? (* src = "CanBsp.scala:302.35|CanBsp.scala:303.12" *) 1'h0 : _0162_;
assign _0017_ = _0574_ ? (* src = "CanBsp.scala:692.55" *) _0014_ : _0016_;
assign _0018_ = _0206_ ? (* src = "CanBsp.scala:681.41" *) _0013_ : _0017_;
assign _0020_ = io_txPoint ? (* src = "CanBsp.scala:710.26|CanBsp.scala:711.8|CanBsp.scala:103.26" *) io_txNext : tx;
assign _0022_ = io_txPoint ? (* src = "CanBsp.scala:716.26|CanBsp.scala:717.9|CanBsp.scala:159.27" *) _1399_ : txQ;
assign _0023_ = io_resetMode ? (* src = "CanBsp.scala:714.22|CanBsp.scala:715.9" *) 1'h0 : _0022_;
assign _0031_ = _0580_ ? (* src = "CanBsp.scala:744.81|CanBsp.scala:745.15|CanBsp.scala:164.33" *) _1397_ : txPointer;
assign _0032_ = rstTxPointer ? (* src = "CanBsp.scala:742.22|CanBsp.scala:743.15" *) 6'h00 : _0031_;
assign _0034_ = _0587_ ? (* src = "CanBsp.scala:748.130|CanBsp.scala:749.14" *) 1'h0 : _0033_;
assign _0036_ = _0589_ ? (* src = "CanBsp.scala:756.35|CanBsp.scala:757.22" *) 1'h0 : _0035_;
assign _0038_ = _0593_ ? (* src = "CanBsp.scala:762.68|CanBsp.scala:763.13" *) 1'h0 : _0037_;
assign _0190_ = _0701_ ? (* src = "CanBsp.scala:308.45|CanBsp.scala:309.11" *) 1'h0 : _0179_;
assign _0040_ = _0596_ ? (* src = "CanBsp.scala:770.59|CanBsp.scala:771.17|CanBsp.scala:87.35" *) 1'h0 : transmitter;
assign _0042_ = _0604_ ? (* src = "CanBsp.scala:776.98|CanBsp.scala:777.18|CanBsp.scala:85.36" *) 1'h0 : transmitting;
assign _0045_ = _0605_ ? (* src = "CanBsp.scala:780.63|CanBsp.scala:781.13" *) 1'h0 : _0044_;
assign _0047_ = _0605_ ? (* src = "CanBsp.scala:786.64|CanBsp.scala:787.18" *) 1'h0 : _0046_;
assign _0048_ = _0611_ ? (* src = "CanBsp.scala:794.45|CanBsp.scala:795.16|CanBsp.scala:179.34" *) _1372_ : suspendCnt;
assign _0049_ = _0605_ ? (* src = "CanBsp.scala:792.64|CanBsp.scala:793.16" *) 3'h0 : _0048_;
assign _0052_ = _0615_ ? (* src = "CanBsp.scala:798.56|CanBsp.scala:799.15" *) 1'h0 : _0051_;
assign _0054_ = _0616_ ? (* src = "CanBsp.scala:804.36|CanBsp.scala:805.21" *) 1'h0 : _0053_;
assign _0055_ = io_samplePoint ? (* src = "CanBsp.scala:810.24|CanBsp.scala:811.23|CanBsp.scala:156.41" *) arbitrationField : arbitrationFieldD;
assign _0056_ = arbitrationFieldD ? (* src = "CanBsp.scala:817.29|CanBsp.scala:818.22|CanBsp.scala:820.22" *) _0750_ : 5'h00;
assign _0212_ = _0708_ ? (* src = "CanBsp.scala:314.37|CanBsp.scala:315.11" *) 1'h0 : _0201_;
assign _0057_ = _0719_ ? (* src = "CanBsp.scala:816.38|CanBsp.scala:157.38" *) _0056_ : arbitrationCnt;
assign _0058_ = io_setArbitrationLostIrq ? (* src = "CanBsp.scala:824.34|CanBsp.scala:825.28|CanBsp.scala:99.46" *) arbitrationCnt : arbitrationLostCapture;
assign _0060_ = io_readArbitrationLostCaptureReg ? (* src = "CanBsp.scala:828.42|CanBsp.scala:829.24" *) 1'h0 : _0059_;
assign _0062_ = _0631_ ? (* src = "CanBsp.scala:841.39|CanBsp.scala:842.24|CanBsp.scala:844.24" *) 9'h07f : _1363_;
assign _0063_ = _0644_ ? (* src = "CanBsp.scala:850.116|CanBsp.scala:851.24|CanBsp.scala:95.36" *) _1367_ : rxErrorCount;
assign _0064_ = _0634_ ? (* src = "CanBsp.scala:847.40|CanBsp.scala:848.24" *) _1365_ : _0063_;
assign _0065_ = _0632_ ? (* src = "CanBsp.scala:846.43|CanBsp.scala:95.36" *) _0064_ : rxErrorCount;
assign _0066_ = _0630_ ? (* src = "CanBsp.scala:840.83" *) _0062_ : _0065_;
assign _0067_ = _0624_ ? (* src = "CanBsp.scala:839.68|CanBsp.scala:95.36" *) _0066_ : rxErrorCount;
assign _0068_ = io_setResetMode ? (* src = "CanBsp.scala:836.30|CanBsp.scala:837.18" *) 9'h000 : _0067_;
assign _0012_ = _0718_ ? (* src = "CanBsp.scala:320.34|CanBsp.scala:321.12" *) 1'h0 : _0002_;
assign _0069_ = _0622_ ? (* src = "CanBsp.scala:834.58|CanBsp.scala:835.18" *) _1361_ : _0068_;
assign _0070_ = _0663_ ? (* src = "CanBsp.scala:868.38|CanBsp.scala:869.22|CanBsp.scala:97.36" *) _1394_ : txErrorCount;
assign _0071_ = _0649_ ? (* src = "CanBsp.scala:864.53|CanBsp.scala:97.36" *) _0070_ : txErrorCount;
assign _0073_ = _0648_ ? (* src = "CanBsp.scala:862.68|CanBsp.scala:863.20" *) _1392_ : _0071_;
assign _0074_ = io_setResetMode ? (* src = "CanBsp.scala:860.27|CanBsp.scala:861.20" *) 9'h080 : _0073_;
assign _0075_ = io_writeEnTransmitErrorCounter ? (* src = "CanBsp.scala:857.40|CanBsp.scala:858.18" *) _1361_ : _0074_;
assign _0077_ = _0666_ ? (* src = "CanBsp.scala:874.57|CanBsp.scala:875.22" *) 1'h0 : _0076_;
assign _0079_ = _0682_ ? (* src = "CanBsp.scala:882.138|CanBsp.scala:883.16" *) 1'h0 : _0078_;
assign _0080_ = _0689_ ? (* src = "CanBsp.scala:889.60|CanBsp.scala:890.18|CanBsp.scala:892.18" *) _0802_ : 4'h0;
assign _0081_ = io_samplePoint ? (* src = "CanBsp.scala:888.24|CanBsp.scala:167.34" *) _0080_ : busFreeCnt;
assign _0029_ = _0723_ ? (* src = "CanBsp.scala:326.34|CanBsp.scala:327.10" *) 1'h0 : _0019_;
assign _0082_ = _0694_ ? (* src = "CanBsp.scala:898.87|CanBsp.scala:899.18|CanBsp.scala:168.36" *) 1'h0 : busFreeCntEn;
assign _0086_ = _0971_ ? (* src = "CanBsp.scala:908.34|CanBsp.scala:909.23" *) 1'h0 : _0085_;
assign _0089_ = stuffErr ? (* src = "CanBsp.scala:929.24|CanBsp.scala:930.26|CanBsp.scala:932.26" *) 2'h2 : 2'h3;
assign _0090_ = formErr ? (* src = "CanBsp.scala:927.23|CanBsp.scala:928.26" *) 2'h1 : _0089_;
assign errorCaptureCodeType = bitErr ? (* src = "CanBsp.scala:925.16|CanBsp.scala:926.26" *) 2'h0 : _0090_;
assign _0087_ = io_setBusErrorIrq ? (* src = "CanBsp.scala:916.33|CanBsp.scala:917.22|CanBsp.scala:81.40" *) _0907_ : errorCaptureCode;
assign _0088_ = io_readErrorCodeCaptureReg ? (* src = "CanBsp.scala:914.36|CanBsp.scala:915.22" *) 8'h00 : _0087_;
assign _0093_ = io_readErrorCodeCaptureReg ? (* src = "CanBsp.scala:940.36|CanBsp.scala:941.29" *) 1'h0 : _0091_;
assign _0144_ = reset ? (* src = "CanBsp.scala:160.31|CanBsp.scala:160.31" *) 5'h00 : _0205_;
assign _0145_ = reset ? (* src = "CanBsp.scala:161.33|CanBsp.scala:161.33" *) 4'h0 : _0203_;
assign _0050_ = _0729_ ? (* src = "CanBsp.scala:332.35|CanBsp.scala:333.10" *) 1'h0 : _0039_;
assign io_errorStatus = io_extendedMode ? (* src = "CanBsp.scala:946.24" *) _0988_ : _0991_;
assign io_receiveStatus = io_extendedMode ? (* src = "CanBsp.scala:936.27" *) _1016_ : _1019_;
assign _0224_ = _0822_ ? (* src = "Lookup.scala:33.37" *) _0820_ : _0842_;
assign _0072_ = _0546_ ? (* src = "CanBsp.scala:338.46|CanBsp.scala:339.11" *) 1'h0 : _0061_;
assign _0092_ = _0550_ ? (* src = "CanBsp.scala:344.35|CanBsp.scala:345.12" *) 1'h0 : _0083_;
assign _0115_ = _0557_ ? (* src = "CanBsp.scala:350.38|CanBsp.scala:351.11" *) 1'h0 : _0104_;
assign _0137_ = _0758_ ? (* src = "CanBsp.scala:356.35|CanBsp.scala:357.14" *) 1'h0 : _0126_;
assign _0983_ = ide ? (* src = "CanBsp.scala:241.50" *) 3'h5 : 3'h3;
assign _0147_ = _0569_ ? (* src = "CanBsp.scala:362.38|CanBsp.scala:363.11" *) 1'h0 : _0146_;
assign _0149_ = _0572_ ? (* src = "CanBsp.scala:368.35|CanBsp.scala:369.14" *) 1'h0 : _0148_;
assign _0151_ = _0591_ ? (* src = "CanBsp.scala:374.61|CanBsp.scala:375.11" *) 1'h0 : _0150_;
assign _0154_ = _0614_ ? (* src = "CanBsp.scala:380.67|CanBsp.scala:381.13" *) 1'h0 : _0153_;
assign _0155_ = _0646_ ? (* src = "CanBsp.scala:386.56|CanBsp.scala:387.8|CanBsp.scala:108.26" *) _0985_ : id;
assign _0156_ = _0669_ ? (* src = "CanBsp.scala:390.47|CanBsp.scala:391.10|CanBsp.scala:127.28" *) io_sampledBit : rtr1;
assign _0157_ = _0693_ ? (* src = "CanBsp.scala:394.47|CanBsp.scala:395.10|CanBsp.scala:129.28" *) io_sampledBit : rtr2;
assign _0158_ = _0696_ ? (* src = "CanBsp.scala:398.46|CanBsp.scala:399.9|CanBsp.scala:128.27" *) io_sampledBit : ide;
assign _0159_ = _0698_ ? (* src = "CanBsp.scala:402.46|CanBsp.scala:403.13|CanBsp.scala:107.31" *) _0848_ : dataLen;
assign _0160_ = _0700_ ? (* src = "CanBsp.scala:406.47|CanBsp.scala:407.13|CanBsp.scala:131.31" *) _1374_ : tmpData;
assign headerLen = io_extendedMode ? (* src = "CanBsp.scala:241.29" *) _0983_ : 3'h2;
assign _0161_ = _0705_ ? (* src = "CanBsp.scala:418.43|CanBsp.scala:419.13|CanBsp.scala:138.31" *) 3'h0 : byteCnt;
assign _0163_ = writeDataToTmpFifo ? (* src = "CanBsp.scala:416.28|CanBsp.scala:417.13" *) _0808_ : _0161_;
assign _0164_ = _0707_ ? (* src = "CanBsp.scala:426.46|CanBsp.scala:427.11|CanBsp.scala:130.29" *) _0812_ : crcIn;
assign _0165_ = _0719_ ? (* src = "CanBsp.scala:432.44|CanBsp.scala:433.12|CanBsp.scala:106.30" *) _0757_ : bitCnt;
assign _0166_ = _0717_ ? (* src = "CanBsp.scala:430.132|CanBsp.scala:431.12" *) 6'h00 : _0165_;
assign _0167_ = rxEof ? (* src = "CanBsp.scala:438.23|CanBsp.scala:439.14|CanBsp.scala:141.30" *) _0852_ : eofCnt;
assign _0169_ = _0591_ ? (* src = "CanBsp.scala:436.63|CanBsp.scala:437.14" *) 3'h0 : _0167_;
assign _0170_ = io_samplePoint ? (* src = "CanBsp.scala:435.24|CanBsp.scala:141.30" *) _0169_ : eofCnt;
assign _0171_ = bitDeStuffReset ? (* src = "CanBsp.scala:445.31|CanBsp.scala:446.19|CanBsp.scala:139.37" *) 1'h0 : bitStuffCntEn;
assign _0173_ = _1370_ ? (* src = "CanBsp.scala:454.50|CanBsp.scala:455.19|CanBsp.scala:457.19" *) _0800_ : 3'h1;
assign _1044_ = _1045_ ? (* src = "CanBsp.scala:243.61" *) _1043_ : 4'h7;
assign _0174_ = bitDeStuff ? (* src = "CanBsp.scala:452.31|CanBsp.scala:453.19" *) 3'h1 : _0173_;
assign _0175_ = _1368_ ? (* src = "CanBsp.scala:451.46|CanBsp.scala:109.35" *) _0174_ : bitStuffCnt;
assign _0176_ = bitDeStuffReset ? (* src = "CanBsp.scala:449.25|CanBsp.scala:450.17" *) 3'h1 : _0175_;
assign _0177_ = _0722_ ? (* src = "CanBsp.scala:466.31|CanBsp.scala:467.21|CanBsp.scala:469.21" *) _0798_ : 3'h1;
assign _0178_ = bitDeStuffTx ? (* src = "CanBsp.scala:464.33|CanBsp.scala:465.21" *) 3'h1 : _0177_;
assign _0180_ = _0721_ ? (* src = "CanBsp.scala:463.40|CanBsp.scala:110.37" *) _0178_ : bitStuffCntTx;
assign _0181_ = _0720_ ? (* src = "CanBsp.scala:461.40|CanBsp.scala:462.19" *) 3'h1 : _0180_;
assign _0183_ = goRxCrc ? (* src = "CanBsp.scala:473.22|CanBsp.scala:474.15" *) 1'h0 : _0182_;
assign _0184_ = goRxAck ? (* src = "CanBsp.scala:481.23|CanBsp.scala:482.12|CanBsp.scala:153.30" *) _0810_ : crcErr;
assign _0185_ = _0724_ ? (* src = "CanBsp.scala:479.40|CanBsp.scala:480.12" *) 1'h0 : _0184_;
assign limitedDataLenSubOne = remoteRq ? (* src = "CanBsp.scala:243.40" *) 4'hf : _1044_;
assign _0187_ = _0725_ ? (* src = "CanBsp.scala:485.61|CanBsp.scala:486.19" *) 1'h0 : _0186_;
assign _0189_ = _0725_ ? (* src = "CanBsp.scala:491.61|CanBsp.scala:492.19" *) 1'h0 : _0188_;
assign _0192_ = _0726_ ? (* src = "CanBsp.scala:497.40|CanBsp.scala:498.18" *) 1'h0 : _0191_;
assign _0194_ = _0731_ ? (* src = "CanBsp.scala:503.38|CanBsp.scala:504.18" *) 1'h0 : _0193_;
assign _0196_ = _0725_ ? (* src = "CanBsp.scala:509.61|CanBsp.scala:510.21" *) 1'h0 : _0195_;
assign _0198_ = _0725_ ? (* src = "CanBsp.scala:515.61|CanBsp.scala:516.20" *) 1'h0 : _0197_;
assign _0200_ = resetWrFifo ? (* src = "CanBsp.scala:545.21|CanBsp.scala:546.12" *) 1'h0 : _0199_;
assign _0202_ = _0739_ ? (* src = "CanBsp.scala:553.38|CanBsp.scala:554.15|CanBsp.scala:161.33" *) _0982_ : { 1'h0, headerCnt };
assign _0203_ = resetWrFifo ? (* src = "CanBsp.scala:551.21|CanBsp.scala:552.15" *) 4'h0 : _0202_;
assign _0204_ = wrFifo ? (* src = "CanBsp.scala:559.22|CanBsp.scala:560.13|CanBsp.scala:160.31" *) _0813_ : { 1'h0, dataCnt };
assign limitedTxCntExt = _1046_ ? (* src = "CanBsp.scala:271.35" *) 6'h3f : _1050_;
assign _0205_ = resetWrFifo ? (* src = "CanBsp.scala:557.21|CanBsp.scala:558.13" *) 5'h00 : _0204_;
assign _0833_ = _0832_ ? (* src = "Lookup.scala:33.37" *) _0836_ : tmpFifo_dataForFifo_MPORT_data;
assign _0834_ = _0831_ ? (* src = "Lookup.scala:33.37" *) _0843_ : _0833_;
assign _0835_ = _0830_ ? (* src = "Lookup.scala:33.37" *) _0844_ : _0834_;
assign _0837_ = _0829_ ? (* src = "Lookup.scala:33.37" *) _0845_ : _0835_;
assign _0838_ = _0828_ ? (* src = "Lookup.scala:33.37" *) _0847_ : _0837_;
assign _0839_ = _0827_ ? (* src = "Lookup.scala:33.37" *) _0816_ : _0838_;
assign _0840_ = _0826_ ? (* src = "Lookup.scala:33.37" *) _0817_ : _0839_;
assign _0841_ = _0825_ ? (* src = "Lookup.scala:33.37" *) _0819_ : _0840_;
assign _0842_ = _0824_ ? (* src = "Lookup.scala:33.37" *) _0817_ : _0841_;
assign limitedTxCntStd = _1051_ ? (* src = "CanBsp.scala:272.35" *) 6'h3f : _1055_;
assign _0207_ = _0741_ ? (* src = "CanBsp.scala:590.64|CanBsp.scala:591.16" *) 1'h0 : _0206_;
assign _0208_ = _0547_ ? (* src = "CanBsp.scala:598.59|CanBsp.scala:599.15|CanBsp.scala:145.33" *) _0909_ : errorCnt1;
assign _0209_ = _0743_ ? (* src = "CanBsp.scala:596.64|CanBsp.scala:597.15" *) 3'h0 : _0208_;
assign _0211_ = _0743_ ? (* src = "CanBsp.scala:602.64|CanBsp.scala:603.26" *) 1'h0 : _0210_;
assign _0214_ = _0743_ ? (* src = "CanBsp.scala:608.64|CanBsp.scala:609.21" *) 1'h0 : _0213_;
assign _0215_ = _0551_ ? (* src = "CanBsp.scala:616.44|CanBsp.scala:617.15|CanBsp.scala:146.33" *) _0911_ : errorCnt2;
assign _0216_ = _0743_ ? (* src = "CanBsp.scala:614.64|CanBsp.scala:615.15" *) 3'h0 : _0215_;
assign _0217_ = _0556_ ? (* src = "CanBsp.scala:622.95|CanBsp.scala:623.24|CanBsp.scala:147.42" *) _0850_ : delayedDominantCnt;
assign _0218_ = _0554_ ? (* src = "CanBsp.scala:620.85|CanBsp.scala:621.24" *) 3'h0 : _0217_;
assign _0219_ = _0562_ ? (* src = "CanBsp.scala:629.78|CanBsp.scala:630.18|CanBsp.scala:632.18" *) _1065_ : 3'h1;
assign _0001_ = _0544_ ? (* src = "CanBsp.scala:290.35|CanBsp.scala:291.12" *) 1'h0 : _0000_;
assign _0220_ = _0560_ ? (* src = "CanBsp.scala:628.51|CanBsp.scala:142.34" *) _0219_ : passiveCnt;
assign _0221_ = _0558_ ? (* src = "CanBsp.scala:626.82|CanBsp.scala:627.16" *) 3'h1 : _0220_;
assign _0222_ = io_samplePoint ? (* src = "CanBsp.scala:638.30|CanBsp.scala:639.21|CanBsp.scala:183.39" *) 1'h0 : firstCompareBit;
assign _0005_ = _0563_ ? (* src = "CanBsp.scala:642.46|CanBsp.scala:643.19" *) 1'h0 : _0004_;
assign _0006_ = _0566_ ? (* src = "CanBsp.scala:650.67|CanBsp.scala:651.18|CanBsp.scala:151.36" *) _1059_ : overloadCnt1;
assign _0007_ = _0564_ ? (* src = "CanBsp.scala:648.67|CanBsp.scala:649.18" *) 3'h0 : _0006_;
assign _0009_ = _0564_ ? (* src = "CanBsp.scala:654.67|CanBsp.scala:655.24" *) 1'h0 : _0008_;
assign _0010_ = _0570_ ? (* src = "CanBsp.scala:662.46|CanBsp.scala:663.18|CanBsp.scala:152.36" *) _1061_ : overloadCnt2;
assign _0011_ = _0564_ ? (* src = "CanBsp.scala:660.67|CanBsp.scala:661.18" *) 3'h0 : _0010_;
assign _0013_ = _0573_ ? (* src = "CanBsp.scala:682.29|CanBsp.scala:690.19" *) io_nodeErrorPassive : 1'h1;
assign _0152_ = _0545_ ? (* src = "CanBsp.scala:296.36|CanBsp.scala:297.11" *) 1'h0 : _0103_;
assign _0014_ = _0575_ ? (* src = "CanBsp.scala:693.33|CanBsp.scala:694.19|CanBsp.scala:696.19" *) 1'h0 : 1'h1;
assign _1387_ = _1352_ ? (* src = "CanBsp.scala:722.19" *) _1384_ : _1386_;
assign _1379_ = _1352_ ? (* src = "CanBsp.scala:728.19" *) _1376_ : _1378_;
assign _0025_ = rxCrc ? (* src = "CanBsp.scala:723.23|CanBsp.scala:724.13" *) _1389_ : _0024_;