blob: b6a9035f361d5fdd57cda91cd0ae5c68e497c016 [file] [log] [blame]
package top
import chisel3.stage.ChiselStage
import top.CoreTop
object GenerateVerilog extends App {
(new ChiselStage).emitVerilog(new CoreTop)
}