blob: 82ea452d23848f1df4a9045b8e475fb41212daca [file] [log] [blame]
<pre>make user_proj_example
export CARAVEL_ROOT=/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/caravel &amp;&amp; cd openlane &amp;&amp; make user_proj_example
make[1]: Verzeichnis „/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/openlane“ wird betreten
###############################################
<font color="#00AAAA">[INFO]: </font>
<font color="#00AAAA"> ___ ____ ___ ____ _ ____ ____ ___</font>
<font color="#00AAAA">/ \ | \ / _]| \ | | / || \ / _]</font>
<font color="#00AAAA">| | | o ) [_ | _ || | | o || _ | / [_</font>
<font color="#00AAAA">| O | | _/ _]| | || |___ | || | || _]</font>
<font color="#00AAAA">| | | | | [_ | | || || _ || | || [_</font>
<font color="#00AAAA">\___/ |__| |_____||__|__||_____||__|__||__|__||_____|</font>
<font color="#00AAAA">[INFO]: Version: N/A</font>
<font color="#00AAAA">[INFO]: Running non-interactively</font>
<font color="#00AAAA">[INFO]: Using design configuration at /project/openlane/user_proj_example/config.tcl</font>
<font color="#00AAAA">[INFO]: Sourcing Configurations from /project/openlane/user_proj_example/config.tcl</font>
<font color="#00AAAA">[INFO]: PDKs root directory: /media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk</font>
<font color="#00AAAA">[INFO]: PDK: sky130A</font>
<font color="#00AAAA">[INFO]: Setting PDKPATH to /media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A</font>
<font color="#00AAAA">[INFO]: Standard Cell Library: sky130_fd_sc_ls</font>
<font color="#00AAAA">[INFO]: Optimization Standard Cell Library is set to: sky130_fd_sc_ls</font>
<font color="#00AAAA">[INFO]: Sourcing Configurations from /project/openlane/user_proj_example/config.tcl</font>
<font color="#AA5500">[WARNING]: Removing exisiting run /project/openlane/user_proj_example/runs/user_proj_example</font>
<font color="#00AAAA">[INFO]: Current run directory is /project/openlane/user_proj_example/runs/user_proj_example</font>
<font color="#00AAAA">[INFO]: Preparing LEF Files</font>
<font color="#00AAAA">[INFO]: Extracting the number of available metal layers from /media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.ref/sky130_fd_sc_ls/techlef/sky130_fd_sc_ls.tlef</font>
<font color="#00AAAA">[INFO]: The number of available metal layers is 6</font>
<font color="#00AAAA">[INFO]: The available metal layers are li1 met1 met2 met3 met4 met5</font>
<font color="#00AAAA">[INFO]: Merging LEF Files...</font>
mergeLef.py : Merging LEFs
sky130_fd_sc_ls.lef: SITEs matched found: 0
sky130_fd_sc_ls.lef: MACROs matched found: 399
mergeLef.py : Merging LEFs complete
mergeLef.py : Merging LEFs
NAND3X1.lef: SITEs matched found: 0
NAND3X1.lef: MACROs matched found: 1
CLKBUF2.lef: SITEs matched found: 0
CLKBUF2.lef: MACROs matched found: 1
INVX8.lef: SITEs matched found: 0
INVX8.lef: MACROs matched found: 1
OAI21X1.lef: SITEs matched found: 0
OAI21X1.lef: MACROs matched found: 1
INVX4.lef: SITEs matched found: 0
INVX4.lef: MACROs matched found: 1
OAI22X1.lef: SITEs matched found: 0
OAI22X1.lef: MACROs matched found: 1
XOR2X1.lef: SITEs matched found: 0
XOR2X1.lef: MACROs matched found: 1
BUFX4.lef: SITEs matched found: 0
BUFX4.lef: MACROs matched found: 1
NOR2X1.lef: SITEs matched found: 0
NOR2X1.lef: MACROs matched found: 1
HAX1.lef: SITEs matched found: 0
HAX1.lef: MACROs matched found: 1
AOI21X1.lef: SITEs matched found: 0
AOI21X1.lef: MACROs matched found: 1
MUX2X1.lef: SITEs matched found: 0
MUX2X1.lef: MACROs matched found: 1
BUFX2.lef: SITEs matched found: 0
BUFX2.lef: MACROs matched found: 1
MARTIN1989.lef: SITEs matched found: 0
MARTIN1989.lef: MACROs matched found: 1
OR2X1.lef: SITEs matched found: 0
OR2X1.lef: MACROs matched found: 1
NAND2X1.lef: SITEs matched found: 0
NAND2X1.lef: MACROs matched found: 1
ASYNC3.lef: SITEs matched found: 0
ASYNC3.lef: MACROs matched found: 1
INVX2.lef: SITEs matched found: 0
INVX2.lef: MACROs matched found: 1
AND2X2.lef: SITEs matched found: 0
AND2X2.lef: MACROs matched found: 1
OR2X2.lef: SITEs matched found: 0
OR2X2.lef: MACROs matched found: 1
SUTHERLAND1989.lef: SITEs matched found: 0
SUTHERLAND1989.lef: MACROs matched found: 1
AOI22X1.lef: SITEs matched found: 0
AOI22X1.lef: MACROs matched found: 1
CLKBUF1.lef: SITEs matched found: 0
CLKBUF1.lef: MACROs matched found: 1
XNOR2X1.lef: SITEs matched found: 0
XNOR2X1.lef: MACROs matched found: 1
AND2X1.lef: SITEs matched found: 0
AND2X1.lef: MACROs matched found: 1
VANBERKEL1991.lef: SITEs matched found: 0
VANBERKEL1991.lef: MACROs matched found: 1
ASYNC2.lef: SITEs matched found: 0
ASYNC2.lef: MACROs matched found: 1
INVX1.lef: SITEs matched found: 0
INVX1.lef: MACROs matched found: 1
INV.lef: SITEs matched found: 0
INV.lef: MACROs matched found: 1
mergeLef.py : Merging LEFs complete
<font color="#00AAAA">[INFO]: Merging the following extra LEFs: /project/openlane/user_proj_example/../../cells/lef/NAND3X1.lef /project/openlane/user_proj_example/../../cells/lef/CLKBUF2.lef /project/openlane/user_proj_example/../../cells/lef/INVX8.lef /project/openlane/user_proj_example/../../cells/lef/OAI21X1.lef /project/openlane/user_proj_example/../../cells/lef/INVX4.lef /project/openlane/user_proj_example/../../cells/lef/OAI22X1.lef /project/openlane/user_proj_example/../../cells/lef/XOR2X1.lef /project/openlane/user_proj_example/../../cells/lef/BUFX4.lef /project/openlane/user_proj_example/../../cells/lef/NOR2X1.lef /project/openlane/user_proj_example/../../cells/lef/HAX1.lef /project/openlane/user_proj_example/../../cells/lef/AOI21X1.lef /project/openlane/user_proj_example/../../cells/lef/MUX2X1.lef /project/openlane/user_proj_example/../../cells/lef/BUFX2.lef /project/openlane/user_proj_example/../../cells/lef/MARTIN1989.lef /project/openlane/user_proj_example/../../cells/lef/OR2X1.lef /project/openlane/user_proj_example/../../cells/lef/NAND2X1.lef /project/openlane/user_proj_example/../../cells/lef/ASYNC3.lef /project/openlane/user_proj_example/../../cells/lef/INVX2.lef /project/openlane/user_proj_example/../../cells/lef/AND2X2.lef /project/openlane/user_proj_example/../../cells/lef/OR2X2.lef /project/openlane/user_proj_example/../../cells/lef/SUTHERLAND1989.lef /project/openlane/user_proj_example/../../cells/lef/AOI22X1.lef /project/openlane/user_proj_example/../../cells/lef/CLKBUF1.lef /project/openlane/user_proj_example/../../cells/lef/XNOR2X1.lef /project/openlane/user_proj_example/../../cells/lef/AND2X1.lef /project/openlane/user_proj_example/../../cells/lef/VANBERKEL1991.lef /project/openlane/user_proj_example/../../cells/lef/ASYNC2.lef /project/openlane/user_proj_example/../../cells/lef/INVX1.lef /project/openlane/user_proj_example/../../cells/lef/INV.lef</font>
<font color="#00AAAA">[INFO]: Trimming Liberty...</font>
<font color="#00AAAA">[INFO]: Generating Exclude List...</font>
<font color="#00AAAA">[INFO]: Generating Exclude List...</font>
<font color="#00AAAA">[INFO]: Creating ::env(DONT_USE_CELLS)...</font>
<font color="#00AAAA">[INFO]: Storing configs into config.tcl ...</font>
<font color="#00AAAA">[INFO]: Preparation complete</font>
<font color="#00AAAA">[INFO]: Running Synthesis...</font>
<font color="#00AAAA">[INFO]: current step index: 1</font>
/----------------------------------------------------------------------------\
| |
| yosys -- Yosys Open SYnthesis Suite |
| |
| Copyright (C) 2012 - 2020 Claire Wolf &lt;claire@symbioticeda.com&gt; |
| |
| Permission to use, copy, modify, and/or distribute this software for any |
| purpose with or without fee is hereby granted, provided that the above |
| copyright notice and this permission notice appear in all copies. |
| |
| THE SOFTWARE IS PROVIDED &quot;AS IS&quot; AND THE AUTHOR DISCLAIMS ALL WARRANTIES |
| WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF |
| MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR |
| ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES |
| WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN |
| ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF |
| OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. |
| |
\----------------------------------------------------------------------------/
Yosys 0.9+4052 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os)
[TCL: yosys -import] Command name collision: found pre-existing command `cd&apos; -&gt; skip.
[TCL: yosys -import] Command name collision: found pre-existing command `eval&apos; -&gt; skip.
[TCL: yosys -import] Command name collision: found pre-existing command `exec&apos; -&gt; skip.
[TCL: yosys -import] Command name collision: found pre-existing command `read&apos; -&gt; skip.
[TCL: yosys -import] Command name collision: found pre-existing command `trace&apos; -&gt; skip.
Reading /project/openlane/user_proj_example/runs/user_proj_example/tmp/sky130_fd_sc_ls__tt_025C_1v80.no_pg.lib as a blackbox
1. Executing Liberty frontend.
Imported 386 cell types from liberty file.
2. Executing Liberty frontend.
Imported 24 cell types from liberty file.
3. Executing Verilog-2005 frontend: /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v
Parsing SystemVerilog input from `/project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v&apos; to AST representation.
Replacing existing blackbox module `\AND2X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:10.1-19.10.
Generating RTLIL representation for module `\AND2X1&apos;.
Replacing existing blackbox module `\AND2X2&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:21.1-30.10.
Generating RTLIL representation for module `\AND2X2&apos;.
Replacing existing blackbox module `\AOI21X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:32.1-42.10.
Generating RTLIL representation for module `\AOI21X1&apos;.
Replacing existing blackbox module `\AOI22X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:44.1-55.10.
Generating RTLIL representation for module `\AOI22X1&apos;.
Replacing existing blackbox module `\BUFX2&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:57.1-65.10.
Generating RTLIL representation for module `\BUFX2&apos;.
Replacing existing blackbox module `\BUFX4&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:67.1-75.10.
Generating RTLIL representation for module `\BUFX4&apos;.
Replacing existing blackbox module `\CLKBUF1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:77.1-85.10.
Generating RTLIL representation for module `\CLKBUF1&apos;.
Replacing existing blackbox module `\CLKBUF2&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:87.1-95.10.
Generating RTLIL representation for module `\CLKBUF2&apos;.
Replacing existing blackbox module `\INV&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:97.1-105.10.
Generating RTLIL representation for module `\INV&apos;.
Replacing existing blackbox module `\INVX1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:107.1-115.10.
Generating RTLIL representation for module `\INVX1&apos;.
Replacing existing blackbox module `\INVX2&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:117.1-125.10.
Generating RTLIL representation for module `\INVX2&apos;.
Replacing existing blackbox module `\INVX4&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:127.1-135.10.
Generating RTLIL representation for module `\INVX4&apos;.
Replacing existing blackbox module `\INVX8&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:137.1-145.10.
Generating RTLIL representation for module `\INVX8&apos;.
Replacing existing blackbox module `\MUX2X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:147.1-157.10.
Generating RTLIL representation for module `\MUX2X1&apos;.
Replacing existing blackbox module `\NAND2X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:159.1-168.10.
Generating RTLIL representation for module `\NAND2X1&apos;.
Replacing existing blackbox module `\NAND3X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:170.1-180.10.
Generating RTLIL representation for module `\NAND3X1&apos;.
Replacing existing blackbox module `\NOR2X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:182.1-191.10.
Generating RTLIL representation for module `\NOR2X1&apos;.
Replacing existing blackbox module `\OAI21X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:193.1-203.10.
Generating RTLIL representation for module `\OAI21X1&apos;.
Replacing existing blackbox module `\OAI22X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:205.1-216.10.
Generating RTLIL representation for module `\OAI22X1&apos;.
Replacing existing blackbox module `\OR2X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:218.1-227.10.
Generating RTLIL representation for module `\OR2X1&apos;.
Replacing existing blackbox module `\OR2X2&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:229.1-238.10.
Generating RTLIL representation for module `\OR2X2&apos;.
Replacing existing blackbox module `\XNOR2X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:240.1-249.10.
Generating RTLIL representation for module `\XNOR2X1&apos;.
Replacing existing blackbox module `\XOR2X1&apos; at /project/openlane/user_proj_example/../../verilog//rtl/user_proj_cells.v:251.1-260.10.
Generating RTLIL representation for module `\XOR2X1&apos;.
Successfully finished Verilog frontend.
4. Executing Verilog-2005 frontend: /media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/caravel/verilog/rtl/defines.v
Parsing SystemVerilog input from `/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/caravel/verilog/rtl/defines.v&apos; to AST representation.
Successfully finished Verilog frontend.
5. Executing Verilog-2005 frontend: /project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v
Parsing SystemVerilog input from `/project/openlane/user_proj_example/../../verilog/rtl/user_proj_example.v&apos; to AST representation.
Generating RTLIL representation for module `\user_proj_example&apos;.
Successfully finished Verilog frontend.
6. Generating Graphviz representation of design.
Writing dot description to `/project/openlane/user_proj_example/runs/user_proj_example/tmp/synthesis/hierarchy.dot&apos;.
Dumping module user_proj_example to page 1.
7. Executing HIERARCHY pass (managing design hierarchy).
7.1. Analyzing design hierarchy..
Top module: \user_proj_example
7.2. Analyzing design hierarchy..
Top module: \user_proj_example
Removed 0 unused modules.
8. Executing TRIBUF pass.
9. Executing SYNTH pass.
9.1. Executing HIERARCHY pass (managing design hierarchy).
9.1.1. Analyzing design hierarchy..
Top module: \user_proj_example
9.1.2. Analyzing design hierarchy..
Top module: \user_proj_example
Removed 0 unused modules.
9.2. Executing PROC pass (convert processes to netlists).
9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.
9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
Removed a total of 0 dead cases.
9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
Removed 0 redundant assignments.
Promoted 0 assignments to connections.
9.2.4. Executing PROC_INIT pass (extract init attributes).
9.2.5. Executing PROC_ARST pass (detect async resets in processes).
9.2.6. Executing PROC_MUX pass (convert decision trees to multiplexers).
9.2.7. Executing PROC_DLATCH pass (convert process syncs to latches).
9.2.8. Executing PROC_DFF pass (convert process syncs to FFs).
9.2.9. Executing PROC_MEMWR pass (convert process memory writes to cells).
9.2.10. Executing PROC_CLEAN pass (remove empty switches from decision trees).
Cleaned up 0 empty switches.
9.3. Executing FLATTEN pass (flatten design).
9.4. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.5. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.6. Executing CHECK pass (checking for obvious problems).
Checking module user_proj_example...
Warning: Wire user_proj_example.\wbs_dat_o [31] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [30] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [29] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [28] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [27] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [26] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [25] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [24] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [23] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [22] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [21] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [20] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [19] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [18] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [17] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [16] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [15] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [14] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [13] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [12] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [11] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [10] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [9] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [8] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [7] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [6] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [5] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [4] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [3] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [2] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [1] is used but has no driver.
Warning: Wire user_proj_example.\wbs_dat_o [0] is used but has no driver.
Warning: Wire user_proj_example.\wbs_ack_o is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [127] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [126] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [125] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [124] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [123] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [122] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [121] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [120] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [119] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [118] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [117] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [116] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [115] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [114] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [113] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [112] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [111] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [110] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [109] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [108] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [107] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [106] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [105] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [104] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [103] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [102] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [101] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [100] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [99] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [98] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [97] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [96] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [95] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [94] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [93] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [92] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [91] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [90] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [89] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [88] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [87] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [86] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [85] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [84] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [83] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [82] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [81] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [80] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [79] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [78] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [77] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [76] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [75] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [74] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [73] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [72] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [71] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [70] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [69] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [68] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [67] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [66] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [65] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [64] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [63] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [62] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [61] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [60] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [59] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [58] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [57] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [56] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [55] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [54] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [53] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [52] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [51] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [50] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [49] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [48] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [47] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [46] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [45] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [44] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [43] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [42] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [41] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [40] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [39] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [38] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [37] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [36] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [35] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [34] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [33] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [32] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [31] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [30] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [28] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [27] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [25] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [24] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [22] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [21] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [19] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [18] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [16] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [15] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [14] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [13] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [11] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [10] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [9] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [7] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [6] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [4] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [3] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [2] is used but has no driver.
Warning: Wire user_proj_example.\la_data_out [0] is used but has no driver.
Warning: Wire user_proj_example.\io_out [37] is used but has no driver.
Warning: Wire user_proj_example.\io_out [35] is used but has no driver.
Warning: Wire user_proj_example.\io_out [34] is used but has no driver.
Warning: Wire user_proj_example.\io_out [33] is used but has no driver.
Warning: Wire user_proj_example.\io_out [31] is used but has no driver.
Warning: Wire user_proj_example.\io_out [29] is used but has no driver.
Warning: Wire user_proj_example.\io_out [27] is used but has no driver.
Warning: Wire user_proj_example.\io_out [25] is used but has no driver.
Warning: Wire user_proj_example.\io_out [23] is used but has no driver.
Warning: Wire user_proj_example.\io_out [21] is used but has no driver.
Warning: Wire user_proj_example.\io_out [19] is used but has no driver.
Warning: Wire user_proj_example.\io_out [17] is used but has no driver.
Warning: Wire user_proj_example.\io_out [15] is used but has no driver.
Warning: Wire user_proj_example.\io_out [13] is used but has no driver.
Warning: Wire user_proj_example.\io_out [12] is used but has no driver.
Warning: Wire user_proj_example.\io_out [11] is used but has no driver.
Warning: Wire user_proj_example.\io_out [10] is used but has no driver.
Warning: Wire user_proj_example.\io_out [8] is used but has no driver.
Warning: Wire user_proj_example.\io_out [7] is used but has no driver.
Warning: Wire user_proj_example.\io_out [6] is used but has no driver.
Warning: Wire user_proj_example.\io_out [4] is used but has no driver.
Warning: Wire user_proj_example.\io_out [3] is used but has no driver.
Warning: Wire user_proj_example.\io_out [1] is used but has no driver.
Warning: Wire user_proj_example.\io_out [0] is used but has no driver.
Found and reported 176 problems.
9.7. Executing OPT pass (performing simple optimizations).
9.7.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.7.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \user_proj_example..
Creating internal representation of mux trees.
No muxes found in this module.
Removed 0 multiplexer ports.
9.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
Optimizing cells in module \user_proj_example.
Performed a total of 0 changes.
9.7.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.7.6. Executing OPT_DFF pass (perform DFF optimizations).
9.7.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.7.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.7.9. Finished OPT passes. (There is nothing left to do.)
9.8. Executing FSM pass (extract and optimize FSM).
9.8.1. Executing FSM_DETECT pass (finding FSMs in design).
9.8.2. Executing FSM_EXTRACT pass (extracting FSM from design).
9.8.3. Executing FSM_OPT pass (simple optimizations of FSMs).
9.8.4. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.8.5. Executing FSM_OPT pass (simple optimizations of FSMs).
9.8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding).
9.8.7. Executing FSM_INFO pass (dumping all available information on FSM cells).
9.8.8. Executing FSM_MAP pass (mapping FSMs to basic logic).
9.9. Executing OPT pass (performing simple optimizations).
9.9.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.9.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \user_proj_example..
Creating internal representation of mux trees.
No muxes found in this module.
Removed 0 multiplexer ports.
9.9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
Optimizing cells in module \user_proj_example.
Performed a total of 0 changes.
9.9.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.9.6. Executing OPT_DFF pass (perform DFF optimizations).
9.9.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.9.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.9.9. Finished OPT passes. (There is nothing left to do.)
9.10. Executing WREDUCE pass (reducing word size of cells).
9.11. Executing PEEPOPT pass (run peephole optimizers).
9.12. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.13. Executing ALUMACC pass (create $alu and $macc cells).
Extracting $alu and $macc cells in module user_proj_example:
created 0 $alu and 0 $macc cells.
9.14. Executing SHARE pass (SAT-based resource sharing).
9.15. Executing OPT pass (performing simple optimizations).
9.15.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.15.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.15.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \user_proj_example..
Creating internal representation of mux trees.
No muxes found in this module.
Removed 0 multiplexer ports.
9.15.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
Optimizing cells in module \user_proj_example.
Performed a total of 0 changes.
9.15.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.15.6. Executing OPT_DFF pass (perform DFF optimizations).
9.15.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.15.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.15.9. Finished OPT passes. (There is nothing left to do.)
9.16. Executing MEMORY pass.
9.16.1. Executing OPT_MEM pass (optimize memories).
Performed a total of 0 transformations.
9.16.2. Executing MEMORY_DFF pass (merging $dff cells to $memrd).
9.16.3. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.16.4. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells).
9.16.5. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.16.6. Executing MEMORY_COLLECT pass (generating $mem cells).
9.17. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.18. Executing OPT pass (performing simple optimizations).
9.18.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
&lt;suppressed ~85 debug messages&gt;
9.18.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.18.3. Executing OPT_DFF pass (perform DFF optimizations).
9.18.4. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.18.5. Finished fast OPT passes.
9.19. Executing MEMORY_MAP pass (converting memories to logic and flip-flops).
9.20. Executing OPT pass (performing simple optimizations).
9.20.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.20.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.20.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \user_proj_example..
Creating internal representation of mux trees.
No muxes found in this module.
Removed 0 multiplexer ports.
9.20.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
Optimizing cells in module \user_proj_example.
Performed a total of 0 changes.
9.20.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.20.6. Executing OPT_SHARE pass.
9.20.7. Executing OPT_DFF pass (perform DFF optimizations).
9.20.8. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.20.9. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.20.10. Finished OPT passes. (There is nothing left to do.)
9.21. Executing TECHMAP pass (map to technology primitives).
9.21.1. Executing Verilog-2005 frontend: /build/bin/../share/yosys/techmap.v
Parsing Verilog input from `/build/bin/../share/yosys/techmap.v&apos; to AST representation.
Generating RTLIL representation for module `\_90_simplemap_bool_ops&apos;.
Generating RTLIL representation for module `\_90_simplemap_reduce_ops&apos;.
Generating RTLIL representation for module `\_90_simplemap_logic_ops&apos;.
Generating RTLIL representation for module `\_90_simplemap_compare_ops&apos;.
Generating RTLIL representation for module `\_90_simplemap_various&apos;.
Generating RTLIL representation for module `\_90_simplemap_registers&apos;.
Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr&apos;.
Generating RTLIL representation for module `\_90_shift_shiftx&apos;.
Generating RTLIL representation for module `\_90_fa&apos;.
Generating RTLIL representation for module `\_90_lcu&apos;.
Generating RTLIL representation for module `\_90_alu&apos;.
Generating RTLIL representation for module `\_90_macc&apos;.
Generating RTLIL representation for module `\_90_alumacc&apos;.
Generating RTLIL representation for module `\$__div_mod_u&apos;.
Generating RTLIL representation for module `\$__div_mod_trunc&apos;.
Generating RTLIL representation for module `\_90_div&apos;.
Generating RTLIL representation for module `\_90_mod&apos;.
Generating RTLIL representation for module `\$__div_mod_floor&apos;.
Generating RTLIL representation for module `\_90_divfloor&apos;.
Generating RTLIL representation for module `\_90_modfloor&apos;.
Generating RTLIL representation for module `\_90_pow&apos;.
Generating RTLIL representation for module `\_90_pmux&apos;.
Generating RTLIL representation for module `\_90_lut&apos;.
Successfully finished Verilog frontend.
9.21.2. Continuing TECHMAP pass.
No more expansions possible.
&lt;suppressed ~67 debug messages&gt;
9.22. Executing OPT pass (performing simple optimizations).
9.22.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.22.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.22.3. Executing OPT_DFF pass (perform DFF optimizations).
9.22.4. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.22.5. Finished fast OPT passes.
9.23. Executing ABC pass (technology mapping using ABC).
9.23.1. Extracting gate netlist of module `\user_proj_example&apos; to `&lt;abc-temp-dir&gt;/input.blif&apos;..
Extracted 0 gates and 0 wires to a netlist network with 0 inputs and 0 outputs.
Don&apos;t call ABC as there is nothing to map.
Removing temp directory.
9.24. Executing OPT pass (performing simple optimizations).
9.24.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
9.24.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
9.24.3. Executing OPT_DFF pass (perform DFF optimizations).
9.24.4. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
9.24.5. Finished fast OPT passes.
9.25. Executing HIERARCHY pass (managing design hierarchy).
9.25.1. Analyzing design hierarchy..
Top module: \user_proj_example
9.25.2. Analyzing design hierarchy..
Top module: \user_proj_example
Removed 0 unused modules.
9.26. Printing statistics.
=== user_proj_example ===
Number of wires: 17
Number of wire bits: 607
Number of public wires: 17
Number of public wire bits: 607
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 23
AND2X1 1
AND2X2 1
AOI21X1 1
AOI22X1 1
BUFX2 1
BUFX4 1
CLKBUF1 1
CLKBUF2 1
INV 1
INVX1 1
INVX2 1
INVX4 1
INVX8 1
MUX2X1 1
NAND2X1 1
NAND3X1 1
NOR2X1 1
OAI21X1 1
OAI22X1 1
OR2X1 1
OR2X2 1
XNOR2X1 1
XOR2X1 1
9.27. Executing CHECK pass (checking for obvious problems).
Checking module user_proj_example...
Found and reported 0 problems.
10. Generating Graphviz representation of design.
Writing dot description to `/project/openlane/user_proj_example/runs/user_proj_example/tmp/synthesis/post_techmap.dot&apos;.
Dumping module user_proj_example to page 1.
11. Executing SHARE pass (SAT-based resource sharing).
12. Executing OPT pass (performing simple optimizations).
12.1. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
12.2. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
Running muxtree optimizer on module \user_proj_example..
Creating internal representation of mux trees.
No muxes found in this module.
Removed 0 multiplexer ports.
12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
Optimizing cells in module \user_proj_example.
Performed a total of 0 changes.
12.5. Executing OPT_MERGE pass (detect identical cells).
Finding identical cells in module `\user_proj_example&apos;.
Removed a total of 0 cells.
12.6. Executing OPT_DFF pass (perform DFF optimizations).
12.7. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
12.8. Executing OPT_EXPR pass (perform const folding).
Optimizing module user_proj_example.
12.9. Finished OPT passes. (There is nothing left to do.)
13. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
14. Printing statistics.
=== user_proj_example ===
Number of wires: 17
Number of wire bits: 607
Number of public wires: 17
Number of public wire bits: 607
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 23
AND2X1 1
AND2X2 1
AOI21X1 1
AOI22X1 1
BUFX2 1
BUFX4 1
CLKBUF1 1
CLKBUF2 1
INV 1
INVX1 1
INVX2 1
INVX4 1
INVX8 1
MUX2X1 1
NAND2X1 1
NAND3X1 1
NOR2X1 1
OAI21X1 1
OAI22X1 1
OR2X1 1
OR2X2 1
XNOR2X1 1
XOR2X1 1
mapping tbuf
15. Executing TECHMAP pass (map to technology primitives).
15.1. Executing Verilog-2005 frontend: /media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.tech/openlane/sky130_fd_sc_ls/tribuff_map.v
Parsing Verilog input from `/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.tech/openlane/sky130_fd_sc_ls/tribuff_map.v&apos; to AST representation.
Generating RTLIL representation for module `\$_TBUF_&apos;.
Successfully finished Verilog frontend.
15.2. Continuing TECHMAP pass.
No more expansions possible.
&lt;suppressed ~3 debug messages&gt;
16. Executing SIMPLEMAP pass (map simple cells to gate primitives).
17. Executing MUXCOVER pass (mapping to wider MUXes).
Covering MUX trees in module user_proj_example..
Treeifying 0 MUXes:
Finished treeification: Found 0 trees.
Covering trees:
Added a total of 0 decoder MUXes.
&lt;suppressed ~1 debug messages&gt;
18. Executing TECHMAP pass (map to technology primitives).
18.1. Executing Verilog-2005 frontend: /media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.tech/openlane/sky130_fd_sc_ls/mux4_map.v
Parsing Verilog input from `/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.tech/openlane/sky130_fd_sc_ls/mux4_map.v&apos; to AST representation.
Generating RTLIL representation for module `\$_MUX4_&apos;.
Successfully finished Verilog frontend.
18.2. Continuing TECHMAP pass.
No more expansions possible.
&lt;suppressed ~3 debug messages&gt;
19. Executing SIMPLEMAP pass (map simple cells to gate primitives).
20. Executing TECHMAP pass (map to technology primitives).
20.1. Executing Verilog-2005 frontend: /media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.tech/openlane/sky130_fd_sc_ls/mux2_map.v
Parsing Verilog input from `/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.tech/openlane/sky130_fd_sc_ls/mux2_map.v&apos; to AST representation.
Generating RTLIL representation for module `\$_MUX_&apos;.
Successfully finished Verilog frontend.
20.2. Continuing TECHMAP pass.
No more expansions possible.
&lt;suppressed ~3 debug messages&gt;
21. Executing SIMPLEMAP pass (map simple cells to gate primitives).
22. Executing TECHMAP pass (map to technology primitives).
22.1. Executing Verilog-2005 frontend: /media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.tech/openlane/sky130_fd_sc_ls/latch_map.v
Parsing Verilog input from `/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/../pdk/sky130A/libs.tech/openlane/sky130_fd_sc_ls/latch_map.v&apos; to AST representation.
Generating RTLIL representation for module `\$_DLATCH_P_&apos;.
Generating RTLIL representation for module `\$_DLATCH_N_&apos;.
Successfully finished Verilog frontend.
22.2. Continuing TECHMAP pass.
No more expansions possible.
&lt;suppressed ~4 debug messages&gt;
23. Executing SIMPLEMAP pass (map simple cells to gate primitives).
24. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file).
cell sky130_fd_sc_ls__dfxtp_2 (noninv, pins=3, area=28.77) is a direct match for cell type $_DFF_P_.
cell sky130_fd_sc_ls__dfrtp_2 (noninv, pins=4, area=38.36) is a direct match for cell type $_DFF_PN0_.
cell sky130_fd_sc_ls__dfstp_2 (noninv, pins=4, area=39.96) is a direct match for cell type $_DFF_PN1_.
cell sky130_fd_sc_ls__dfbbn_2 (noninv, pins=6, area=47.95) is a direct match for cell type $_DFFSR_NNN_.
final dff cell mappings:
unmapped dff cell: $_DFF_N_
\sky130_fd_sc_ls__dfxtp_2 _DFF_P_ (.CLK( C), .D( D), .Q( Q));
unmapped dff cell: $_DFF_NN0_
unmapped dff cell: $_DFF_NN1_
unmapped dff cell: $_DFF_NP0_
unmapped dff cell: $_DFF_NP1_
\sky130_fd_sc_ls__dfrtp_2 _DFF_PN0_ (.CLK( C), .D( D), .Q( Q), .RESET_B( R));
\sky130_fd_sc_ls__dfstp_2 _DFF_PN1_ (.CLK( C), .D( D), .Q( Q), .SET_B( R));
unmapped dff cell: $_DFF_PP0_
unmapped dff cell: $_DFF_PP1_
\sky130_fd_sc_ls__dfbbn_2 _DFFSR_NNN_ (.CLK_N( C), .D( D), .Q( Q), .Q_N(~Q), .RESET_B( R), .SET_B( S));
unmapped dff cell: $_DFFSR_NNP_
unmapped dff cell: $_DFFSR_NPN_
unmapped dff cell: $_DFFSR_NPP_
unmapped dff cell: $_DFFSR_PNN_
unmapped dff cell: $_DFFSR_PNP_
unmapped dff cell: $_DFFSR_PPN_
unmapped dff cell: $_DFFSR_PPP_
24.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target).
Mapping DFF cells in module `\user_proj_example&apos;:
25. Printing statistics.
=== user_proj_example ===
Number of wires: 17
Number of wire bits: 607
Number of public wires: 17
Number of public wire bits: 607
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 23
AND2X1 1
AND2X2 1
AOI21X1 1
AOI22X1 1
BUFX2 1
BUFX4 1
CLKBUF1 1
CLKBUF2 1
INV 1
INVX1 1
INVX2 1
INVX4 1
INVX8 1
MUX2X1 1
NAND2X1 1
NAND3X1 1
NOR2X1 1
OAI21X1 1
OAI22X1 1
OR2X1 1
OR2X2 1
XNOR2X1 1
XOR2X1 1
[INFO]: ABC: WireLoad : S_4
26. Executing ABC pass (technology mapping using ABC).
26.1. Extracting gate netlist of module `\user_proj_example&apos; to `/tmp/yosys-abc-Fii22a/input.blif&apos;..
Extracted 0 gates and 0 wires to a netlist network with 0 inputs and 0 outputs.
Don&apos;t call ABC as there is nothing to map.
Removing temp directory.
27. Executing SETUNDEF pass (replace undef values with defined constants).
28. Executing HILOMAP pass (mapping to constant drivers).
29. Executing SPLITNETS pass (splitting up multi-bit signals).
30. Executing OPT_CLEAN pass (remove unused cells and wires).
Finding unused cells or wires in module \user_proj_example..
Removed 0 unused cells and 217 unused wires.
&lt;suppressed ~1 debug messages&gt;
31. Executing INSBUF pass (insert buffer cells for connected wires).
32. Executing CHECK pass (checking for obvious problems).
Checking module user_proj_example...
Found and reported 0 problems.
33. Printing statistics.
=== user_proj_example ===
Number of wires: 17
Number of wire bits: 607
Number of public wires: 17
Number of public wire bits: 607
Number of memories: 0
Number of memory bits: 0
Number of processes: 0
Number of cells: 240
AND2X1 1
AND2X2 1
AOI21X1 1
AOI22X1 1
BUFX2 1
BUFX4 1
CLKBUF1 1
CLKBUF2 1
INV 1
INVX1 1
INVX2 1
INVX4 1
INVX8 1
MUX2X1 1
NAND2X1 1
NAND3X1 1
NOR2X1 1
OAI21X1 1
OAI22X1 1
OR2X1 1
OR2X2 1
XNOR2X1 1
XOR2X1 1
sky130_fd_sc_ls__conb_1 217
Area for cell type \AND2X1 is unknown!
Area for cell type \AND2X2 is unknown!
Area for cell type \AOI21X1 is unknown!
Area for cell type \AOI22X1 is unknown!
Area for cell type \BUFX2 is unknown!
Area for cell type \BUFX4 is unknown!
Area for cell type \CLKBUF1 is unknown!
Area for cell type \CLKBUF2 is unknown!
Area for cell type \INV is unknown!
Area for cell type \INVX1 is unknown!
Area for cell type \INVX2 is unknown!
Area for cell type \INVX4 is unknown!
Area for cell type \INVX8 is unknown!
Area for cell type \MUX2X1 is unknown!
Area for cell type \NAND2X1 is unknown!
Area for cell type \NAND3X1 is unknown!
Area for cell type \NOR2X1 is unknown!
Area for cell type \OAI21X1 is unknown!
Area for cell type \OAI22X1 is unknown!
Area for cell type \OR2X1 is unknown!
Area for cell type \OR2X2 is unknown!
Area for cell type \XNOR2X1 is unknown!
Area for cell type \XOR2X1 is unknown!
Chip area for module &apos;\user_proj_example&apos;: 1040.558400
34. Executing Verilog backend.
Dumping module `\user_proj_example&apos;.
Warnings: 176 unique messages, 176 total
End of script. Logfile hash: 7d02ca64df, CPU: user 22.19s system 0.81s, MEM: 386.93 MB peak
Yosys 0.9+4052 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os)
Time spent: 38% 4x read_liberty (8 sec), 37% 4x stat (8 sec), 21% 1x dfflibmap (4 sec), ...
<font color="#00AAAA">[INFO]: Changing netlist from 0 to /project/openlane/user_proj_example/runs/user_proj_example/results/synthesis/user_proj_example.synthesis.v</font>
<font color="#00AAAA">[INFO]: Running Static Timing Analysis...</font>
<font color="#00AAAA">[INFO]: current step index: 2</font>
OpenROAD GITDIR-NOTFOUND
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 428 library cells
[INFO ODB-0226] Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[WARNING ORD-1011] LEF master AND2X1 has no liberty cell.
[WARNING ORD-1011] LEF master AND2X2 has no liberty cell.
[WARNING ORD-1011] LEF master AOI21X1 has no liberty cell.
[WARNING ORD-1011] LEF master AOI22X1 has no liberty cell.
[WARNING ORD-1011] LEF master BUFX2 has no liberty cell.
[WARNING ORD-1011] LEF master BUFX4 has no liberty cell.
[WARNING ORD-1011] LEF master CLKBUF1 has no liberty cell.
[WARNING ORD-1011] LEF master CLKBUF2 has no liberty cell.
[WARNING ORD-1011] LEF master INV has no liberty cell.
[WARNING ORD-1011] LEF master INVX1 has no liberty cell.
[WARNING ORD-1011] LEF master INVX2 has no liberty cell.
[WARNING ORD-1011] LEF master INVX4 has no liberty cell.
[WARNING ORD-1011] LEF master INVX8 has no liberty cell.
[WARNING ORD-1011] LEF master MUX2X1 has no liberty cell.
[WARNING ORD-1011] LEF master NAND2X1 has no liberty cell.
[WARNING ORD-1011] LEF master NAND3X1 has no liberty cell.
[WARNING ORD-1011] LEF master NOR2X1 has no liberty cell.
[WARNING ORD-1011] LEF master OAI21X1 has no liberty cell.
[WARNING ORD-1011] LEF master OAI22X1 has no liberty cell.
[WARNING ORD-1011] LEF master OR2X1 has no liberty cell.
[WARNING ORD-1011] LEF master OR2X2 has no liberty cell.
[WARNING ORD-1011] LEF master XNOR2X1 has no liberty cell.
[WARNING ORD-1011] LEF master XOR2X1 has no liberty cell.
if {[info exists ::env(CLOCK_PORT)] &amp;&amp; $::env(CLOCK_PORT) != &quot;&quot;} {
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
} else {
create_clock -name __VIRTUAL_CLK__ -period $::env(CLOCK_PERIOD)
set ::env(CLOCK_PORT) __VIRTUAL_CLK__
}
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts &quot;\[INFO\]: Setting output delay to: $output_delay_value&quot;
[INFO]: Setting output delay to: 2.0
puts &quot;\[INFO\]: Setting input delay to: $input_delay_value&quot;
[INFO]: Setting input delay to: 2.0
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts &quot;\[INFO\]: Setting load to: $cap_load&quot;
[INFO]: Setting load to: 0.046689999999999995
set_load $cap_load [all_outputs]
puts &quot;\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)&quot;
[INFO]: Setting clock uncertainity to: 0.25
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)]
puts &quot;\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)&quot;
[INFO]: Setting clock transition to: 0.15
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)]
puts &quot;\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %&quot;
[INFO]: Setting timing derate to: 1.0 %
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
No paths found.
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
No paths found.
max_report_end
timing_report
===========================================================================
report_checks -unique
============================================================================
No paths found.
timing_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: io_in[0] (input port clocked by wb_clk_i)
Endpoint: AND2X1/A (internal pin)
Path Group: (none)
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
2.00 2.00 ^ input external delay
0.01 0.00 2.00 ^ io_in[0] (in)
1 0.00 io_in[0] (net)
0.01 0.00 2.00 ^ AND2X1/A (AND2X1)
0 0.00 io_in[0] (net)
2.00 data arrival time
-----------------------------------------------------------------------------
(Path is unconstrained)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
===========================================================================
max slew violation count 0
max fanout violation count 0
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack INF
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack INF
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock wb_clk_i
No launch/capture paths found.
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Combinational 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
0.0% 0.0% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 0 u^2 100% utilization.
area_report_end
<font color="#00AAAA">[INFO]: Synthesis was successful</font>
<font color="#00AAAA">[INFO]: Running Floorplanning...</font>
<font color="#00AAAA">[INFO]: Running Initial Floorplanning...</font>
<font color="#00AAAA">[INFO]: current step index: 3</font>
OpenROAD GITDIR-NOTFOUND
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 428 library cells
[INFO ODB-0226] Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[WARNING ORD-1011] LEF master AND2X1 has no liberty cell.
[WARNING ORD-1011] LEF master AND2X2 has no liberty cell.
[WARNING ORD-1011] LEF master AOI21X1 has no liberty cell.
[WARNING ORD-1011] LEF master AOI22X1 has no liberty cell.
[WARNING ORD-1011] LEF master BUFX2 has no liberty cell.
[WARNING ORD-1011] LEF master BUFX4 has no liberty cell.
[WARNING ORD-1011] LEF master CLKBUF1 has no liberty cell.
[WARNING ORD-1011] LEF master CLKBUF2 has no liberty cell.
[WARNING ORD-1011] LEF master INV has no liberty cell.
[WARNING ORD-1011] LEF master INVX1 has no liberty cell.
[WARNING ORD-1011] LEF master INVX2 has no liberty cell.
[WARNING ORD-1011] LEF master INVX4 has no liberty cell.
[WARNING ORD-1011] LEF master INVX8 has no liberty cell.
[WARNING ORD-1011] LEF master MUX2X1 has no liberty cell.
[WARNING ORD-1011] LEF master NAND2X1 has no liberty cell.
[WARNING ORD-1011] LEF master NAND3X1 has no liberty cell.
[WARNING ORD-1011] LEF master NOR2X1 has no liberty cell.
[WARNING ORD-1011] LEF master OAI21X1 has no liberty cell.
[WARNING ORD-1011] LEF master OAI22X1 has no liberty cell.
[WARNING ORD-1011] LEF master OR2X1 has no liberty cell.
[WARNING ORD-1011] LEF master OR2X2 has no liberty cell.
[WARNING ORD-1011] LEF master XNOR2X1 has no liberty cell.
[WARNING ORD-1011] LEF master XOR2X1 has no liberty cell.
if {[info exists ::env(CLOCK_PORT)] &amp;&amp; $::env(CLOCK_PORT) != &quot;&quot;} {
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
} else {
create_clock -name __VIRTUAL_CLK__ -period $::env(CLOCK_PERIOD)
set ::env(CLOCK_PORT) __VIRTUAL_CLK__
}
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts &quot;\[INFO\]: Setting output delay to: $output_delay_value&quot;
[INFO]: Setting output delay to: 2.0
puts &quot;\[INFO\]: Setting input delay to: $input_delay_value&quot;
[INFO]: Setting input delay to: 2.0
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts &quot;\[INFO\]: Setting load to: $cap_load&quot;
[INFO]: Setting load to: 0.046689999999999995
set_load $cap_load [all_outputs]
puts &quot;\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)&quot;
[INFO]: Setting clock uncertainity to: 0.25
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)]
puts &quot;\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)&quot;
[INFO]: Setting clock transition to: 0.15
set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)]
puts &quot;\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %&quot;
[INFO]: Setting timing derate to: 1.0 %
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
[INFO IFP-0001] Added 172 rows of 1851 sites.
<font color="#00AAAA">[INFO]: Core area width: 888.48</font>
<font color="#00AAAA">[INFO]: Core area height: 573.3599999999999</font>
<font color="#00AAAA">[INFO]: Final Vertical PDN Offset: 16.32</font>
<font color="#00AAAA">[INFO]: Final Horizontal PDN Offset: 16.65</font>
<font color="#00AAAA">[INFO]: Final Vertical PDN Pitch: 153.6</font>
<font color="#00AAAA">[INFO]: Final Horizontal PDN Pitch: 153.18</font>
<font color="#00AAAA">[INFO]: Changing layout from 0 to /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/3-verilog2def_openroad.def</font>
<font color="#00AAAA">[INFO]: current step index: 4</font>
OpenROAD GITDIR-NOTFOUND
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 428 library cells
[INFO ODB-0226] Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef
[INFO ODB-0127] Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/3-verilog2def_openroad.def
[INFO ODB-0128] Design: user_proj_example
[INFO ODB-0130] Created 607 pins.
[INFO ODB-0131] Created 240 components and 1416 component-terminals.
[INFO ODB-0133] Created 607 nets and 285 connections.
[INFO ODB-0134] Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/3-verilog2def_openroad.def
Top-level design name: user_proj_example
Block boundaries: 0 0 900000 600000
Writing /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.def...
<font color="#00AAAA">[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/3-verilog2def_openroad.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.def</font>
<font color="#00AAAA">[INFO]: Manual Macro Placement...</font>
<font color="#00AAAA">[INFO]: current step index: 5</font>
OpenROAD GITDIR-NOTFOUND
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 428 library cells
[INFO ODB-0226] Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef
[INFO ODB-0127] Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.def
[INFO ODB-0128] Design: user_proj_example
[INFO ODB-0130] Created 607 pins.
[INFO ODB-0131] Created 240 components and 1416 component-terminals.
[INFO ODB-0133] Created 607 nets and 285 connections.
[INFO ODB-0134] Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.def
Placing the following macros:
{&apos;AND2X1&apos;: [&apos;38400&apos;, &apos;23310&apos;, &apos;N&apos;], &apos;AND2X2&apos;: [&apos;38400&apos;, &apos;29970&apos;, &apos;N&apos;], &apos;AOI21X1&apos;: [&apos;38400&apos;, &apos;36630&apos;, &apos;N&apos;], &apos;AOI22X1&apos;: [&apos;38400&apos;, &apos;43290&apos;, &apos;N&apos;], &apos;BUFX2&apos;: [&apos;38400&apos;, &apos;49950&apos;, &apos;N&apos;], &apos;BUFX4&apos;: [&apos;38400&apos;, &apos;56610&apos;, &apos;N&apos;], &apos;CLKBUF1&apos;: [&apos;38400&apos;, &apos;63270&apos;, &apos;N&apos;], &apos;CLKBUF2&apos;: [&apos;38400&apos;, &apos;69930&apos;, &apos;N&apos;], &apos;INV&apos;: [&apos;38400&apos;, &apos;76590&apos;, &apos;N&apos;], &apos;INVX1&apos;: [&apos;38400&apos;, &apos;83250&apos;, &apos;N&apos;], &apos;INVX2&apos;: [&apos;38400&apos;, &apos;89910&apos;, &apos;N&apos;], &apos;INVX4&apos;: [&apos;38400&apos;, &apos;96570&apos;, &apos;N&apos;], &apos;INVX8&apos;: [&apos;38400&apos;, &apos;103230&apos;, &apos;N&apos;], &apos;MUX2X1&apos;: [&apos;38400&apos;, &apos;109890&apos;, &apos;N&apos;], &apos;NAND2X1&apos;: [&apos;38400&apos;, &apos;116550&apos;, &apos;N&apos;], &apos;NAND3X1&apos;: [&apos;38400&apos;, &apos;123210&apos;, &apos;N&apos;], &apos;NOR2X1&apos;: [&apos;38400&apos;, &apos;129870&apos;, &apos;N&apos;], &apos;OAI21X1&apos;: [&apos;38400&apos;, &apos;136530&apos;, &apos;N&apos;], &apos;OAI22X1&apos;: [&apos;38400&apos;, &apos;143190&apos;, &apos;N&apos;], &apos;OR2X1&apos;: [&apos;38400&apos;, &apos;149850&apos;, &apos;N&apos;], &apos;OR2X2&apos;: [&apos;38400&apos;, &apos;156510&apos;, &apos;N&apos;], &apos;XNOR2X1&apos;: [&apos;38400&apos;, &apos;163170&apos;, &apos;N&apos;], &apos;XOR2X1&apos;: [&apos;38400&apos;, &apos;169830&apos;, &apos;N&apos;]}
Design name: user_proj_example
Placing AND2X1
Placing AND2X2
Placing AOI21X1
Placing AOI22X1
Placing BUFX2
Placing BUFX4
Placing CLKBUF1
Placing CLKBUF2
Placing INV
Placing INVX1
Placing INVX2
Placing INVX4
Placing INVX8
Placing MUX2X1
Placing NAND2X1
Placing NAND3X1
Placing NOR2X1
Placing OAI21X1
Placing OAI22X1
Placing OR2X1
Placing OR2X2
Placing XNOR2X1
Placing XOR2X1
Successfully placed 23 instances
<font color="#00AAAA">[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.macro_placement.def</font>
<font color="#00AAAA">[INFO]: Running Tap/Decap Insertion...</font>
<font color="#00AAAA">[INFO]: current step index: 6</font>
OpenROAD GITDIR-NOTFOUND
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 428 library cells
[INFO ODB-0226] Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.macro_placement.def
[INFO ODB-0128] Design: user_proj_example
[INFO ODB-0130] Created 607 pins.
[INFO ODB-0131] Created 240 components and 1416 component-terminals.
[INFO ODB-0133] Created 607 nets and 285 connections.
[INFO ODB-0134] Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.macro_placement.def
[WARNING TAP-0014] endcap_cpp option is deprecated.
[INFO TAP-0001] Found 0 macro blocks.
[INFO TAP-0002] Original rows: 172
[INFO TAP-0003] Created 0 rows for a total of 172 rows.
[INFO TAP-0004] Inserted 344 endcaps.
[INFO TAP-0005] Inserted 5916 tapcells.
<font color="#00AAAA">[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/4-ioPlacer.macro_placement.def to /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def</font>
<font color="#00AAAA">[INFO]: Power planning the following nets</font>
<font color="#00AAAA">[INFO]: Power: vccd1 vccd2 vdda1 vdda2</font>
<font color="#00AAAA">[INFO]: Ground: vssd1 vssd2 vssa1 vssa2</font>
<font color="#00AAAA">[INFO]: Generating PDN...</font>
<font color="#00AAAA">[INFO]: current step index: 7</font>
<font color="#00AAAA">[INFO]: current step index: 8</font>
OpenROAD GITDIR-NOTFOUND
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 428 library cells
[INFO ODB-0226] Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def
[INFO ODB-0128] Design: user_proj_example
[INFO ODB-0130] Created 607 pins.
[INFO ODB-0131] Created 6500 components and 14624 component-terminals.
[INFO ODB-0133] Created 607 nets and 285 connections.
[INFO ODB-0134] Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def
[INFO PDN-0016] Power Delivery Network Generator: Generating PDN
config: /openlane/scripts/openroad/or_pdn_cfg.tcl
[INFO PDN-0008] Design name is user_proj_example.
[INFO PDN-0009] Reading technology data.
[INFO PDN-0011] ****** INFO ******
Type: stdcell, stdcell_grid
Stdcell Rails
Layer: met1 - width: 0.480 pitch: 6.660
Straps
Layer: met4 - width: 1.600 pitch: 153.600 offset: 16.320
Connect: {met1 met4}
Type: macro, CORE_macro_grid_1
Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90
Straps
Connect: {met4_PIN_ver met5}
[INFO PDN-0012] **** END INFO ****
[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.
[INFO PDN-0015] Writing to database.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vccd1 is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.760um, 13.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (22.080um, 10.800um).
[WARNING PSM-0030] VSRC location at (285.760um, 153.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (329.280um, 151.200um).
[WARNING PSM-0030] VSRC location at (565.760um, 293.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (636.480um, 291.600um).
[WARNING PSM-0030] VSRC location at (5.760um, 433.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (22.080um, 432.000um).
[INFO PSM-0031] Number of PDN nodes on net vccd1 = 17928.
[INFO PSM-0064] Number of voltage sources = 4.
[INFO PSM-0040] All PDN stripes on net vccd1 are connected.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vssd1 is not explicitly set.
[WARNING PSM-0021] Using voltage 0.000V for ground network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.760um, 13.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (98.880um, 10.800um).
[WARNING PSM-0030] VSRC location at (285.760um, 153.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (252.480um, 151.200um).
[WARNING PSM-0030] VSRC location at (565.760um, 293.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (559.680um, 291.600um).
[WARNING PSM-0030] VSRC location at (5.760um, 433.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (98.880um, 432.000um).
[INFO PSM-0031] Number of PDN nodes on net vssd1 = 18129.
[INFO PSM-0064] Number of voltage sources = 4.
[INFO PSM-0040] All PDN stripes on net vssd1 are connected.
[INFO]: Setting RC values...
[INFO PSM-0002] Output voltage file is specified as: /project/openlane/user_proj_example/runs/user_proj_example/reports/floorplan/8-pdn.pga.rpt.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vccd1 is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.760um, 13.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (22.080um, 10.800um).
[WARNING PSM-0030] VSRC location at (285.760um, 153.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (329.280um, 151.200um).
[WARNING PSM-0030] VSRC location at (565.760um, 293.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (636.480um, 291.600um).
[WARNING PSM-0030] VSRC location at (5.760um, 433.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (22.080um, 432.000um).
[INFO PSM-0031] Number of PDN nodes on net vccd1 = 17928.
[WARNING PSM-0024] Instance _000_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _001_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _002_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _003_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _004_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _005_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _006_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _007_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _008_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _009_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _010_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _011_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _012_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _013_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _014_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _015_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _016_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _017_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _018_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _019_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _020_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _021_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _022_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _023_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _024_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _025_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _026_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _027_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _028_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _029_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _030_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _031_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _032_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _033_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _034_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _035_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _036_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _037_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _038_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _039_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _040_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _041_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _042_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _043_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _044_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _045_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _046_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _047_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _048_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _049_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _050_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _051_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _052_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _053_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _054_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _055_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _056_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _057_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _058_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _059_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _060_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _061_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _062_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _063_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _064_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _065_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _066_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _067_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _068_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _069_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _070_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _071_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _072_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _073_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _074_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _075_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _076_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _077_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _078_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _079_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _080_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _081_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _082_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _083_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _084_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _085_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _086_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _087_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _088_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _089_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _090_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _091_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _092_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _093_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _094_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _095_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _096_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _097_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _098_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _099_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _100_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _101_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _102_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _103_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _104_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _105_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _106_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _107_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _108_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _109_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _110_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _111_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _112_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _113_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _114_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _115_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _116_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _117_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _118_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _119_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _120_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _121_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _122_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _123_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _124_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _125_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _126_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _127_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _128_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _129_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _130_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _131_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _132_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _133_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _134_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _135_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _136_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _137_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _138_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _139_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _140_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _141_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _142_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _143_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _144_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _145_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _146_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _147_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _148_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _149_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _150_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _151_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _152_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _153_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _154_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _155_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _156_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _157_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _158_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _159_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _160_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _161_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _162_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _163_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _164_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _165_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _166_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _167_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _168_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _169_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _170_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _171_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _172_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _173_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _174_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _175_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _176_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _177_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _178_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _179_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _180_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _181_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _182_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _183_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _184_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _185_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _186_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _187_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _188_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _189_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _190_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _191_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _192_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _193_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _194_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _195_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _196_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _197_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _198_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _199_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _200_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _201_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _202_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _203_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _204_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _205_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _206_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _207_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _208_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _209_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _210_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _211_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _212_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _213_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _214_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _215_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[WARNING PSM-0024] Instance _216_, current node at (5400, 16650) at layer 2 have been moved from (0, 0).
[INFO PSM-0064] Number of voltage sources = 4.
[INFO PSM-0040] All PDN stripes on net vccd1 are connected.
########## IR report #################
Worstcase voltage: 1.80e+00 V
Average IR drop : 9.30e-14 V
Worstcase IR drop: 8.76e-13 V
######################################
<font color="#00AAAA">[INFO]: PDN generation was successful.</font>
<font color="#00AAAA">[INFO]: Changing layout from /project/openlane/user_proj_example/runs/user_proj_example/results/floorplan/user_proj_example.floorplan.def to /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/7-pdn.def</font>
<font color="#AA5500">[WARNING]: All internal macros will not be connected to power.</font>
<font color="#00AAAA">[INFO]: Generating PDN...</font>
<font color="#00AAAA">[INFO]: current step index: 9</font>
<font color="#00AAAA">[INFO]: current step index: 10</font>
OpenROAD GITDIR-NOTFOUND
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 428 library cells
[INFO ODB-0226] Finished LEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/7-pdn.def
[INFO ODB-0128] Design: user_proj_example
[INFO ODB-0130] Created 609 pins.
[INFO ODB-0131] Created 6500 components and 14624 component-terminals.
[INFO ODB-0132] Created 2 special nets and 14122 connections.
[INFO ODB-0133] Created 607 nets and 285 connections.
[INFO ODB-0134] Finished DEF file: /project/openlane/user_proj_example/runs/user_proj_example/tmp/floorplan/7-pdn.def
[INFO PDN-0016] Power Delivery Network Generator: Generating PDN
config: /openlane/scripts/openroad/or_pdn_cfg.tcl
[INFO PDN-0008] Design name is user_proj_example.
[INFO PDN-0009] Reading technology data.
[INFO PDN-0011] ****** INFO ******
Type: stdcell, stdcell_grid
Straps
Layer: met4 - width: 1.600 pitch: 153.600 offset: 19.620
Type: macro, CORE_macro_grid_1 -grid_over_pg_pins
Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90
[INFO PDN-0012] **** END INFO ****
[INFO PDN-0013] Inserting stdcell grid - stdcell_grid.
[INFO PDN-0015] Writing to database.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vccd2 is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.760um, 13.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (21.600um, 299.700um).
[WARNING PSM-0030] VSRC location at (285.760um, 153.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (329.400um, 299.700um).
[WARNING PSM-0030] VSRC location at (565.760um, 293.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (637.200um, 299.700um).
[WARNING PSM-0030] VSRC location at (5.760um, 433.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (21.600um, 299.700um).
[INFO PSM-0031] Number of PDN nodes on net vccd2 = 9.
[INFO PSM-0064] Number of voltage sources = 4.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (788.400um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (793.800um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (480.600um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (486.000um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (172.800um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (178.200um, 299.700um), layer: 5.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vssd2 is not explicitly set.
[WARNING PSM-0021] Using voltage 0.000V for ground network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.760um, 13.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (97.200um, 299.700um).
[WARNING PSM-0030] VSRC location at (285.760um, 153.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (253.800um, 299.700um).
[WARNING PSM-0030] VSRC location at (5.760um, 433.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (97.200um, 299.700um).
[INFO PSM-0031] Number of PDN nodes on net vssd2 = 8.
[INFO PSM-0064] Number of voltage sources = 4.
[WARNING PSM-0038] Unconnected PDN node on net vssd2 at location (864.000um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vssd2 at location (869.400um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vssd2 at location (712.800um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vssd2 at location (405.000um, 299.700um), layer: 5.
[INFO]: Setting RC values...
[INFO PSM-0002] Output voltage file is specified as: /project/openlane/user_proj_example/runs/user_proj_example/reports/floorplan/10-pdn.pga.rpt.
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
[WARNING PSM-0019] Voltage on net vccd2 is not explicitly set.
[WARNING PSM-0022] Using voltage 1.800V for VDD network.
[WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction
[WARNING PSM-0030] VSRC location at (5.760um, 13.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (21.600um, 299.700um).
[WARNING PSM-0030] VSRC location at (285.760um, 153.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (329.400um, 299.700um).
[WARNING PSM-0030] VSRC location at (565.760um, 293.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (637.200um, 299.700um).
[WARNING PSM-0030] VSRC location at (5.760um, 433.320um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (21.600um, 299.700um).
[INFO PSM-0031] Number of PDN nodes on net vccd2 = 9.
[WARNING PSM-0024] Instance PHY_0, current node at (21600, 299700) at layer 5 have been moved from (5760, 13320).
[WARNING PSM-0024] Instance PHY_1, current node at (793800, 299700) at layer 5 have been moved from (892320, 13320).
[WARNING PSM-0024] Instance PHY_10, current node at (21600, 299700) at layer 5 have been moved from (5760, 29970).
[WARNING PSM-0024] Instance PHY_100, current node at (21600, 299700) at layer 5 have been moved from (5760, 179820).
[WARNING PSM-0024] Instance PHY_101, current node at (793800, 299700) at layer 5 have been moved from (892320, 179820).
[WARNING PSM-0024] Instance PHY_102, current node at (21600, 299700) at layer 5 have been moved from (5760, 183150).
[WARNING PSM-0024] Instance PHY_103, current node at (793800, 299700) at layer 5 have been moved from (892320, 183150).
[WARNING PSM-0024] Instance PHY_104, current node at (21600, 299700) at layer 5 have been moved from (5760, 186480).
[WARNING PSM-0024] Instance PHY_105, current node at (793800, 299700) at layer 5 have been moved from (892320, 186480).
[WARNING PSM-0024] Instance PHY_106, current node at (21600, 299700) at layer 5 have been moved from (5760, 189810).
[WARNING PSM-0024] Instance PHY_107, current node at (793800, 299700) at layer 5 have been moved from (892320, 189810).
[WARNING PSM-0024] Instance PHY_108, current node at (21600, 299700) at layer 5 have been moved from (5760, 193140).
[WARNING PSM-0024] Instance PHY_109, current node at (793800, 299700) at layer 5 have been moved from (892320, 193140).
[WARNING PSM-0024] Instance PHY_11, current node at (793800, 299700) at layer 5 have been moved from (892320, 29970).
[WARNING PSM-0024] Instance PHY_110, current node at (21600, 299700) at layer 5 have been moved from (5760, 196470).
[WARNING PSM-0024] Instance PHY_111, current node at (793800, 299700) at layer 5 have been moved from (892320, 196470).
[WARNING PSM-0024] Instance PHY_112, current node at (21600, 299700) at layer 5 have been moved from (5760, 199800).
[WARNING PSM-0024] Instance PHY_113, current node at (793800, 299700) at layer 5 have been moved from (892320, 199800).
[WARNING PSM-0024] Instance PHY_114, current node at (21600, 299700) at layer 5 have been moved from (5760, 203130).
[WARNING PSM-0024] Instance PHY_115, current node at (793800, 299700) at layer 5 have been moved from (892320, 203130).
[WARNING PSM-0024] Instance PHY_116, current node at (21600, 299700) at layer 5 have been moved from (5760, 206460).
[WARNING PSM-0024] Instance PHY_117, current node at (793800, 299700) at layer 5 have been moved from (892320, 206460).
[WARNING PSM-0024] Instance PHY_118, current node at (21600, 299700) at layer 5 have been moved from (5760, 209790).
[WARNING PSM-0024] Instance PHY_119, current node at (793800, 299700) at layer 5 have been moved from (892320, 209790).
[WARNING PSM-0024] Instance PHY_12, current node at (21600, 299700) at layer 5 have been moved from (5760, 33300).
[WARNING PSM-0024] Instance PHY_120, current node at (21600, 299700) at layer 5 have been moved from (5760, 213120).
[WARNING PSM-0024] Instance PHY_121, current node at (793800, 299700) at layer 5 have been moved from (892320, 213120).
[WARNING PSM-0024] Instance PHY_122, current node at (21600, 299700) at layer 5 have been moved from (5760, 216450).
[WARNING PSM-0024] Instance PHY_123, current node at (793800, 299700) at layer 5 have been moved from (892320, 216450).
[WARNING PSM-0024] Instance PHY_124, current node at (21600, 299700) at layer 5 have been moved from (5760, 219780).
[WARNING PSM-0024] Instance PHY_125, current node at (793800, 299700) at layer 5 have been moved from (892320, 219780).
[WARNING PSM-0024] Instance PHY_126, current node at (21600, 299700) at layer 5 have been moved from (5760, 223110).
[WARNING PSM-0024] Instance PHY_127, current node at (793800, 299700) at layer 5 have been moved from (892320, 223110).
[WARNING PSM-0024] Instance PHY_128, current node at (21600, 299700) at layer 5 have been moved from (5760, 226440).
[WARNING PSM-0024] Instance PHY_129, current node at (793800, 299700) at layer 5 have been moved from (892320, 226440).
[WARNING PSM-0024] Instance PHY_13, current node at (793800, 299700) at layer 5 have been moved from (892320, 33300).
[WARNING PSM-0024] Instance PHY_130, current node at (21600, 299700) at layer 5 have been moved from (5760, 229770).
[WARNING PSM-0024] Instance PHY_131, current node at (793800, 299700) at layer 5 have been moved from (892320, 229770).
[WARNING PSM-0024] Instance PHY_132, current node at (21600, 299700) at layer 5 have been moved from (5760, 233100).
[WARNING PSM-0024] Instance PHY_133, current node at (793800, 299700) at layer 5 have been moved from (892320, 233100).
[WARNING PSM-0024] Instance PHY_134, current node at (21600, 299700) at layer 5 have been moved from (5760, 236430).
[WARNING PSM-0024] Instance PHY_135, current node at (793800, 299700) at layer 5 have been moved from (892320, 236430).
[WARNING PSM-0024] Instance PHY_136, current node at (21600, 299700) at layer 5 have been moved from (5760, 239760).
[WARNING PSM-0024] Instance PHY_137, current node at (793800, 299700) at layer 5 have been moved from (892320, 239760).
[WARNING PSM-0024] Instance PHY_138, current node at (21600, 299700) at layer 5 have been moved from (5760, 243090).
[WARNING PSM-0024] Instance PHY_139, current node at (793800, 299700) at layer 5 have been moved from (892320, 243090).
[WARNING PSM-0024] Instance PHY_14, current node at (21600, 299700) at layer 5 have been moved from (5760, 36630).
[WARNING PSM-0024] Instance PHY_140, current node at (21600, 299700) at layer 5 have been moved from (5760, 246420).
[WARNING PSM-0024] Instance PHY_141, current node at (793800, 299700) at layer 5 have been moved from (892320, 246420).
[WARNING PSM-0024] Instance PHY_142, current node at (21600, 299700) at layer 5 have been moved from (5760, 249750).
[WARNING PSM-0024] Instance PHY_143, current node at (793800, 299700) at layer 5 have been moved from (892320, 249750).
[WARNING PSM-0024] Instance PHY_144, current node at (21600, 299700) at layer 5 have been moved from (5760, 253080).
[WARNING PSM-0024] Instance PHY_145, current node at (793800, 299700) at layer 5 have been moved from (892320, 253080).
[WARNING PSM-0024] Instance PHY_146, current node at (21600, 299700) at layer 5 have been moved from (5760, 256410).
[WARNING PSM-0024] Instance PHY_147, current node at (793800, 299700) at layer 5 have been moved from (892320, 256410).
[WARNING PSM-0024] Instance PHY_148, current node at (21600, 299700) at layer 5 have been moved from (5760, 259740).
[WARNING PSM-0024] Instance PHY_149, current node at (793800, 299700) at layer 5 have been moved from (892320, 259740).
[WARNING PSM-0024] Instance PHY_15, current node at (793800, 299700) at layer 5 have been moved from (892320, 36630).
[WARNING PSM-0024] Instance PHY_150, current node at (21600, 299700) at layer 5 have been moved from (5760, 263070).
[WARNING PSM-0024] Instance PHY_151, current node at (793800, 299700) at layer 5 have been moved from (892320, 263070).
[WARNING PSM-0024] Instance PHY_152, current node at (21600, 299700) at layer 5 have been moved from (5760, 266400).
[WARNING PSM-0024] Instance PHY_153, current node at (793800, 299700) at layer 5 have been moved from (892320, 266400).
[WARNING PSM-0024] Instance PHY_154, current node at (21600, 299700) at layer 5 have been moved from (5760, 269730).
[WARNING PSM-0024] Instance PHY_155, current node at (793800, 299700) at layer 5 have been moved from (892320, 269730).
[WARNING PSM-0024] Instance PHY_156, current node at (21600, 299700) at layer 5 have been moved from (5760, 273060).
[WARNING PSM-0024] Instance PHY_157, current node at (793800, 299700) at layer 5 have been moved from (892320, 273060).
[WARNING PSM-0024] Instance PHY_158, current node at (21600, 299700) at layer 5 have been moved from (5760, 276390).
[WARNING PSM-0024] Instance PHY_159, current node at (793800, 299700) at layer 5 have been moved from (892320, 276390).
[WARNING PSM-0024] Instance PHY_16, current node at (21600, 299700) at layer 5 have been moved from (5760, 39960).
[WARNING PSM-0024] Instance PHY_160, current node at (21600, 299700) at layer 5 have been moved from (5760, 279720).
[WARNING PSM-0024] Instance PHY_161, current node at (793800, 299700) at layer 5 have been moved from (892320, 279720).
[WARNING PSM-0024] Instance PHY_162, current node at (21600, 299700) at layer 5 have been moved from (5760, 283050).
[WARNING PSM-0024] Instance PHY_163, current node at (793800, 299700) at layer 5 have been moved from (892320, 283050).
[WARNING PSM-0024] Instance PHY_164, current node at (21600, 299700) at layer 5 have been moved from (5760, 286380).
[WARNING PSM-0024] Instance PHY_165, current node at (793800, 299700) at layer 5 have been moved from (892320, 286380).
[WARNING PSM-0024] Instance PHY_166, current node at (21600, 299700) at layer 5 have been moved from (5760, 289710).
[WARNING PSM-0024] Instance PHY_167, current node at (793800, 299700) at layer 5 have been moved from (892320, 289710).
[WARNING PSM-0024] Instance PHY_168, current node at (21600, 299700) at layer 5 have been moved from (5760, 293040).
[WARNING PSM-0024] Instance PHY_169, current node at (793800, 299700) at layer 5 have been moved from (892320, 293040).
[WARNING PSM-0024] Instance PHY_17, current node at (793800, 299700) at layer 5 have been moved from (892320, 39960).
[WARNING PSM-0024] Instance PHY_170, current node at (21600, 299700) at layer 5 have been moved from (5760, 296370).
[WARNING PSM-0024] Instance PHY_171, current node at (793800, 299700) at layer 5 have been moved from (892320, 296370).
[WARNING PSM-0024] Instance PHY_172, current node at (21600, 299700) at layer 5 have been moved from (5760, 299700).
[WARNING PSM-0024] Instance PHY_173, current node at (793800, 299700) at layer 5 have been moved from (892320, 299700).
[WARNING PSM-0024] Instance PHY_174, current node at (21600, 299700) at layer 5 have been moved from (5760, 303030).
[WARNING PSM-0024] Instance PHY_175, current node at (793800, 299700) at layer 5 have been moved from (892320, 303030).
[WARNING PSM-0024] Instance PHY_176, current node at (21600, 299700) at layer 5 have been moved from (5760, 306360).
[WARNING PSM-0024] Instance PHY_177, current node at (793800, 299700) at layer 5 have been moved from (892320, 306360).
[WARNING PSM-0024] Instance PHY_178, current node at (21600, 299700) at layer 5 have been moved from (5760, 309690).
[WARNING PSM-0024] Instance PHY_179, current node at (793800, 299700) at layer 5 have been moved from (892320, 309690).
[WARNING PSM-0024] Instance PHY_18, current node at (21600, 299700) at layer 5 have been moved from (5760, 43290).
[WARNING PSM-0024] Instance PHY_180, current node at (21600, 299700) at layer 5 have been moved from (5760, 313020).
[WARNING PSM-0024] Instance PHY_181, current node at (793800, 299700) at layer 5 have been moved from (892320, 313020).
[WARNING PSM-0024] Instance PHY_182, current node at (21600, 299700) at layer 5 have been moved from (5760, 316350).
[WARNING PSM-0024] Instance PHY_183, current node at (793800, 299700) at layer 5 have been moved from (892320, 316350).
[WARNING PSM-0024] Instance PHY_184, current node at (21600, 299700) at layer 5 have been moved from (5760, 319680).
[WARNING PSM-0024] Instance PHY_185, current node at (793800, 299700) at layer 5 have been moved from (892320, 319680).
[WARNING PSM-0024] Instance PHY_186, current node at (21600, 299700) at layer 5 have been moved from (5760, 323010).
[WARNING PSM-0024] Instance PHY_187, current node at (793800, 299700) at layer 5 have been moved from (892320, 323010).
[WARNING PSM-0024] Instance PHY_188, current node at (21600, 299700) at layer 5 have been moved from (5760, 326340).
[WARNING PSM-0024] Instance PHY_189, current node at (793800, 299700) at layer 5 have been moved from (892320, 326340).
[WARNING PSM-0024] Instance PHY_19, current node at (793800, 299700) at layer 5 have been moved from (892320, 43290).
[WARNING PSM-0024] Instance PHY_190, current node at (21600, 299700) at layer 5 have been moved from (5760, 329670).
[WARNING PSM-0024] Instance PHY_191, current node at (793800, 299700) at layer 5 have been moved from (892320, 329670).
[WARNING PSM-0024] Instance PHY_192, current node at (21600, 299700) at layer 5 have been moved from (5760, 333000).
[WARNING PSM-0024] Instance PHY_193, current node at (793800, 299700) at layer 5 have been moved from (892320, 333000).
[WARNING PSM-0024] Instance PHY_194, current node at (21600, 299700) at layer 5 have been moved from (5760, 336330).
[WARNING PSM-0024] Instance PHY_195, current node at (793800, 299700) at layer 5 have been moved from (892320, 336330).
[WARNING PSM-0024] Instance PHY_196, current node at (21600, 299700) at layer 5 have been moved from (5760, 339660).
[WARNING PSM-0024] Instance PHY_197, current node at (793800, 299700) at layer 5 have been moved from (892320, 339660).
[WARNING PSM-0024] Instance PHY_198, current node at (21600, 299700) at layer 5 have been moved from (5760, 342990).
[WARNING PSM-0024] Instance PHY_199, current node at (793800, 299700) at layer 5 have been moved from (892320, 342990).
[WARNING PSM-0024] Instance PHY_2, current node at (21600, 299700) at layer 5 have been moved from (5760, 16650).
[WARNING PSM-0024] Instance PHY_20, current node at (21600, 299700) at layer 5 have been moved from (5760, 46620).
[WARNING PSM-0024] Instance PHY_200, current node at (21600, 299700) at layer 5 have been moved from (5760, 346320).
[WARNING PSM-0024] Instance PHY_201, current node at (793800, 299700) at layer 5 have been moved from (892320, 346320).
[WARNING PSM-0024] Instance PHY_202, current node at (21600, 299700) at layer 5 have been moved from (5760, 349650).
[WARNING PSM-0024] Instance PHY_203, current node at (793800, 299700) at layer 5 have been moved from (892320, 349650).
[WARNING PSM-0024] Instance PHY_204, current node at (21600, 299700) at layer 5 have been moved from (5760, 352980).
[WARNING PSM-0024] Instance PHY_205, current node at (793800, 299700) at layer 5 have been moved from (892320, 352980).
[WARNING PSM-0024] Instance PHY_206, current node at (21600, 299700) at layer 5 have been moved from (5760, 356310).
[WARNING PSM-0024] Instance PHY_207, current node at (793800, 299700) at layer 5 have been moved from (892320, 356310).
[WARNING PSM-0024] Instance PHY_208, current node at (21600, 299700) at layer 5 have been moved from (5760, 359640).
[WARNING PSM-0024] Instance PHY_209, current node at (793800, 299700) at layer 5 have been moved from (892320, 359640).
[WARNING PSM-0024] Instance PHY_21, current node at (793800, 299700) at layer 5 have been moved from (892320, 46620).
[WARNING PSM-0024] Instance PHY_210, current node at (21600, 299700) at layer 5 have been moved from (5760, 362970).
[WARNING PSM-0024] Instance PHY_211, current node at (793800, 299700) at layer 5 have been moved from (892320, 362970).
[WARNING PSM-0024] Instance PHY_212, current node at (21600, 299700) at layer 5 have been moved from (5760, 366300).
[WARNING PSM-0024] Instance PHY_213, current node at (793800, 299700) at layer 5 have been moved from (892320, 366300).
[WARNING PSM-0024] Instance PHY_214, current node at (21600, 299700) at layer 5 have been moved from (5760, 369630).
[WARNING PSM-0024] Instance PHY_215, current node at (793800, 299700) at layer 5 have been moved from (892320, 369630).
[WARNING PSM-0024] Instance PHY_216, current node at (21600, 299700) at layer 5 have been moved from (5760, 372960).
[WARNING PSM-0024] Instance PHY_217, current node at (793800, 299700) at layer 5 have been moved from (892320, 372960).
[WARNING PSM-0024] Instance PHY_218, current node at (21600, 299700) at layer 5 have been moved from (5760, 376290).
[WARNING PSM-0024] Instance PHY_219, current node at (793800, 299700) at layer 5 have been moved from (892320, 376290).
[WARNING PSM-0024] Instance PHY_22, current node at (21600, 299700) at layer 5 have been moved from (5760, 49950).
[WARNING PSM-0024] Instance PHY_220, current node at (21600, 299700) at layer 5 have been moved from (5760, 379620).
[WARNING PSM-0024] Instance PHY_221, current node at (793800, 299700) at layer 5 have been moved from (892320, 379620).
[WARNING PSM-0024] Instance PHY_222, current node at (21600, 299700) at layer 5 have been moved from (5760, 382950).
[WARNING PSM-0024] Instance PHY_223, current node at (793800, 299700) at layer 5 have been moved from (892320, 382950).
[WARNING PSM-0024] Instance PHY_224, current node at (21600, 299700) at layer 5 have been moved from (5760, 386280).
[WARNING PSM-0024] Instance PHY_225, current node at (793800, 299700) at layer 5 have been moved from (892320, 386280).
[WARNING PSM-0024] Instance PHY_226, current node at (21600, 299700) at layer 5 have been moved from (5760, 389610).
[WARNING PSM-0024] Instance PHY_227, current node at (793800, 299700) at layer 5 have been moved from (892320, 389610).
[WARNING PSM-0024] Instance PHY_228, current node at (21600, 299700) at layer 5 have been moved from (5760, 392940).
[WARNING PSM-0024] Instance PHY_229, current node at (793800, 299700) at layer 5 have been moved from (892320, 392940).
[WARNING PSM-0024] Instance PHY_23, current node at (793800, 299700) at layer 5 have been moved from (892320, 49950).
[WARNING PSM-0024] Instance PHY_230, current node at (21600, 299700) at layer 5 have been moved from (5760, 396270).
[WARNING PSM-0024] Instance PHY_231, current node at (793800, 299700) at layer 5 have been moved from (892320, 396270).
[WARNING PSM-0024] Instance PHY_232, current node at (21600, 299700) at layer 5 have been moved from (5760, 399600).
[WARNING PSM-0024] Instance PHY_233, current node at (793800, 299700) at layer 5 have been moved from (892320, 399600).
[WARNING PSM-0024] Instance PHY_234, current node at (21600, 299700) at layer 5 have been moved from (5760, 402930).
[WARNING PSM-0024] Instance PHY_235, current node at (793800, 299700) at layer 5 have been moved from (892320, 402930).
[WARNING PSM-0024] Instance PHY_236, current node at (21600, 299700) at layer 5 have been moved from (5760, 406260).
[WARNING PSM-0024] Instance PHY_237, current node at (793800, 299700) at layer 5 have been moved from (892320, 406260).
[WARNING PSM-0024] Instance PHY_238, current node at (21600, 299700) at layer 5 have been moved from (5760, 409590).
[WARNING PSM-0024] Instance PHY_239, current node at (793800, 299700) at layer 5 have been moved from (892320, 409590).
[WARNING PSM-0024] Instance PHY_24, current node at (21600, 299700) at layer 5 have been moved from (5760, 53280).
[WARNING PSM-0024] Instance PHY_240, current node at (21600, 299700) at layer 5 have been moved from (5760, 412920).
[WARNING PSM-0024] Instance PHY_241, current node at (793800, 299700) at layer 5 have been moved from (892320, 412920).
[WARNING PSM-0024] Instance PHY_242, current node at (21600, 299700) at layer 5 have been moved from (5760, 416250).
[WARNING PSM-0024] Instance PHY_243, current node at (793800, 299700) at layer 5 have been moved from (892320, 416250).
[WARNING PSM-0024] Instance PHY_244, current node at (21600, 299700) at layer 5 have been moved from (5760, 419580).
[WARNING PSM-0024] Instance PHY_245, current node at (793800, 299700) at layer 5 have been moved from (892320, 419580).
[WARNING PSM-0024] Instance PHY_246, current node at (21600, 299700) at layer 5 have been moved from (5760, 422910).
[WARNING PSM-0024] Instance PHY_247, current node at (793800, 299700) at layer 5 have been moved from (892320, 422910).
[WARNING PSM-0024] Instance PHY_248, current node at (21600, 299700) at layer 5 have been moved from (5760, 426240).
[WARNING PSM-0024] Instance PHY_249, current node at (793800, 299700) at layer 5 have been moved from (892320, 426240).
[WARNING PSM-0024] Instance PHY_25, current node at (793800, 299700) at layer 5 have been moved from (892320, 53280).
[WARNING PSM-0024] Instance PHY_250, current node at (21600, 299700) at layer 5 have been moved from (5760, 429570).
[WARNING PSM-0024] Instance PHY_251, current node at (793800, 299700) at layer 5 have been moved from (892320, 429570).
[WARNING PSM-0024] Instance PHY_252, current node at (21600, 299700) at layer 5 have been moved from (5760, 432900).
[WARNING PSM-0024] Instance PHY_253, current node at (793800, 299700) at layer 5 have been moved from (892320, 432900).
[WARNING PSM-0024] Instance PHY_254, current node at (21600, 299700) at layer 5 have been moved from (5760, 436230).
[WARNING PSM-0024] Instance PHY_255, current node at (793800, 299700) at layer 5 have been moved from (892320, 436230).
[WARNING PSM-0024] Instance PHY_256, current node at (21600, 299700) at layer 5 have been moved from (5760, 439560).
[WARNING PSM-0024] Instance PHY_257, current node at (793800, 299700) at layer 5 have been moved from (892320, 439560).
[WARNING PSM-0024] Instance PHY_258, current node at (21600, 299700) at layer 5 have been moved from (5760, 442890).
[WARNING PSM-0024] Instance PHY_259, current node at (793800, 299700) at layer 5 have been moved from (892320, 442890).
[WARNING PSM-0024] Instance PHY_26, current node at (21600, 299700) at layer 5 have been moved from (5760, 56610).
[WARNING PSM-0024] Instance PHY_260, current node at (21600, 299700) at layer 5 have been moved from (5760, 446220).
[WARNING PSM-0024] Instance PHY_261, current node at (793800, 299700) at layer 5 have been moved from (892320, 446220).
[WARNING PSM-0024] Instance PHY_262, current node at (21600, 299700) at layer 5 have been moved from (5760, 449550).
[WARNING PSM-0024] Instance PHY_263, current node at (793800, 299700) at layer 5 have been moved from (892320, 449550).
[WARNING PSM-0024] Instance PHY_264, current node at (21600, 299700) at layer 5 have been moved from (5760, 452880).
[WARNING PSM-0024] Instance PHY_265, current node at (793800, 299700) at layer 5 have been moved from (892320, 452880).
[WARNING PSM-0024] Instance PHY_266, current node at (21600, 299700) at layer 5 have been moved from (5760, 456210).
[WARNING PSM-0024] Instance PHY_267, current node at (793800, 299700) at layer 5 have been moved from (892320, 456210).
[WARNING PSM-0024] Instance PHY_268, current node at (21600, 299700) at layer 5 have been moved from (5760, 459540).
[WARNING PSM-0024] Instance PHY_269, current node at (793800, 299700) at layer 5 have been moved from (892320, 459540).
[WARNING PSM-0024] Instance PHY_27, current node at (793800, 299700) at layer 5 have been moved from (892320, 56610).
[WARNING PSM-0024] Instance PHY_270, current node at (21600, 299700) at layer 5 have been moved from (5760, 462870).
[WARNING PSM-0024] Instance PHY_271, current node at (793800, 299700) at layer 5 have been moved from (892320, 462870).
[WARNING PSM-0024] Instance PHY_272, current node at (21600, 299700) at layer 5 have been moved from (5760, 466200).
[WARNING PSM-0024] Instance PHY_273, current node at (793800, 299700) at layer 5 have been moved from (892320, 466200).
[WARNING PSM-0024] Instance PHY_274, current node at (21600, 299700) at layer 5 have been moved from (5760, 469530).
[WARNING PSM-0024] Instance PHY_275, current node at (793800, 299700) at layer 5 have been moved from (892320, 469530).
[WARNING PSM-0024] Instance PHY_276, current node at (21600, 299700) at layer 5 have been moved from (5760, 472860).
[WARNING PSM-0024] Instance PHY_277, current node at (793800, 299700) at layer 5 have been moved from (892320, 472860).
[WARNING PSM-0024] Instance PHY_278, current node at (21600, 299700) at layer 5 have been moved from (5760, 476190).
[WARNING PSM-0024] Instance PHY_279, current node at (793800, 299700) at layer 5 have been moved from (892320, 476190).
[WARNING PSM-0024] Instance PHY_28, current node at (21600, 299700) at layer 5 have been moved from (5760, 59940).
[WARNING PSM-0024] Instance PHY_280, current node at (21600, 299700) at layer 5 have been moved from (5760, 479520).
[WARNING PSM-0024] Instance PHY_281, current node at (793800, 299700) at layer 5 have been moved from (892320, 479520).
[WARNING PSM-0024] Instance PHY_282, current node at (21600, 299700) at layer 5 have been moved from (5760, 482850).
[WARNING PSM-0024] Instance PHY_283, current node at (793800, 299700) at layer 5 have been moved from (892320, 482850).
[WARNING PSM-0024] Instance PHY_284, current node at (21600, 299700) at layer 5 have been moved from (5760, 486180).
[WARNING PSM-0024] Instance PHY_285, current node at (793800, 299700) at layer 5 have been moved from (892320, 486180).
[WARNING PSM-0024] Instance PHY_286, current node at (21600, 299700) at layer 5 have been moved from (5760, 489510).
[WARNING PSM-0024] Instance PHY_287, current node at (793800, 299700) at layer 5 have been moved from (892320, 489510).
[WARNING PSM-0024] Instance PHY_288, current node at (21600, 299700) at layer 5 have been moved from (5760, 492840).
[WARNING PSM-0024] Instance PHY_289, current node at (793800, 299700) at layer 5 have been moved from (892320, 492840).
[WARNING PSM-0024] Instance PHY_29, current node at (793800, 299700) at layer 5 have been moved from (892320, 59940).
[WARNING PSM-0024] Instance PHY_290, current node at (21600, 299700) at layer 5 have been moved from (5760, 496170).
[WARNING PSM-0024] Instance PHY_291, current node at (793800, 299700) at layer 5 have been moved from (892320, 496170).
[WARNING PSM-0024] Instance PHY_292, current node at (21600, 299700) at layer 5 have been moved from (5760, 499500).
[WARNING PSM-0024] Instance PHY_293, current node at (793800, 299700) at layer 5 have been moved from (892320, 499500).
[WARNING PSM-0024] Instance PHY_294, current node at (21600, 299700) at layer 5 have been moved from (5760, 502830).
[WARNING PSM-0024] Instance PHY_295, current node at (793800, 299700) at layer 5 have been moved from (892320, 502830).
[WARNING PSM-0024] Instance PHY_296, current node at (21600, 299700) at layer 5 have been moved from (5760, 506160).
[WARNING PSM-0024] Instance PHY_297, current node at (793800, 299700) at layer 5 have been moved from (892320, 506160).
[WARNING PSM-0024] Instance PHY_298, current node at (21600, 299700) at layer 5 have been moved from (5760, 509490).
[WARNING PSM-0024] Instance PHY_299, current node at (793800, 299700) at layer 5 have been moved from (892320, 509490).
[WARNING PSM-0024] Instance PHY_3, current node at (793800, 299700) at layer 5 have been moved from (892320, 16650).
[WARNING PSM-0024] Instance PHY_30, current node at (21600, 299700) at layer 5 have been moved from (5760, 63270).
[WARNING PSM-0024] Instance PHY_300, current node at (21600, 299700) at layer 5 have been moved from (5760, 512820).
[WARNING PSM-0024] Instance PHY_301, current node at (793800, 299700) at layer 5 have been moved from (892320, 512820).
[WARNING PSM-0024] Instance PHY_302, current node at (21600, 299700) at layer 5 have been moved from (5760, 516150).
[WARNING PSM-0024] Instance PHY_303, current node at (793800, 299700) at layer 5 have been moved from (892320, 516150).
[WARNING PSM-0024] Instance PHY_304, current node at (21600, 299700) at layer 5 have been moved from (5760, 519480).
[WARNING PSM-0024] Instance PHY_305, current node at (793800, 299700) at layer 5 have been moved from (892320, 519480).
[WARNING PSM-0024] Instance PHY_306, current node at (21600, 299700) at layer 5 have been moved from (5760, 522810).
[WARNING PSM-0024] Instance PHY_307, current node at (793800, 299700) at layer 5 have been moved from (892320, 522810).
[WARNING PSM-0024] Instance PHY_308, current node at (21600, 299700) at layer 5 have been moved from (5760, 526140).
[WARNING PSM-0024] Instance PHY_309, current node at (793800, 299700) at layer 5 have been moved from (892320, 526140).
[WARNING PSM-0024] Instance PHY_31, current node at (793800, 299700) at layer 5 have been moved from (892320, 63270).
[WARNING PSM-0024] Instance PHY_310, current node at (21600, 299700) at layer 5 have been moved from (5760, 529470).
[WARNING PSM-0024] Instance PHY_311, current node at (793800, 299700) at layer 5 have been moved from (892320, 529470).
[WARNING PSM-0024] Instance PHY_312, current node at (21600, 299700) at layer 5 have been moved from (5760, 532800).
[WARNING PSM-0024] Instance PHY_313, current node at (793800, 299700) at layer 5 have been moved from (892320, 532800).
[WARNING PSM-0024] Instance PHY_314, current node at (21600, 299700) at layer 5 have been moved from (5760, 536130).
[WARNING PSM-0024] Instance PHY_315, current node at (793800, 299700) at layer 5 have been moved from (892320, 536130).
[WARNING PSM-0024] Instance PHY_316, current node at (21600, 299700) at layer 5 have been moved from (5760, 539460).
[WARNING PSM-0024] Instance PHY_317, current node at (793800, 299700) at layer 5 have been moved from (892320, 539460).
[WARNING PSM-0024] Instance PHY_318, current node at (21600, 299700) at layer 5 have been moved from (5760, 542790).
[WARNING PSM-0024] Instance PHY_319, current node at (793800, 299700) at layer 5 have been moved from (892320, 542790).
[WARNING PSM-0024] Instance PHY_32, current node at (21600, 299700) at layer 5 have been moved from (5760, 66600).
[WARNING PSM-0024] Instance PHY_320, current node at (21600, 299700) at layer 5 have been moved from (5760, 546120).
[WARNING PSM-0024] Instance PHY_321, current node at (793800, 299700) at layer 5 have been moved from (892320, 546120).
[WARNING PSM-0024] Instance PHY_322, current node at (21600, 299700) at layer 5 have been moved from (5760, 549450).
[WARNING PSM-0024] Instance PHY_323, current node at (793800, 299700) at layer 5 have been moved from (892320, 549450).
[WARNING PSM-0024] Instance PHY_324, current node at (21600, 299700) at layer 5 have been moved from (5760, 552780).
[WARNING PSM-0024] Instance PHY_325, current node at (793800, 299700) at layer 5 have been moved from (892320, 552780).
[WARNING PSM-0024] Instance PHY_326, current node at (21600, 299700) at layer 5 have been moved from (5760, 556110).
[WARNING PSM-0024] Instance PHY_327, current node at (793800, 299700) at layer 5 have been moved from (892320, 556110).
[WARNING PSM-0024] Instance PHY_328, current node at (21600, 299700) at layer 5 have been moved from (5760, 559440).
[WARNING PSM-0024] Instance PHY_329, current node at (793800, 299700) at layer 5 have been moved from (892320, 559440).
[WARNING PSM-0024] Instance PHY_33, current node at (793800, 299700) at layer 5 have been moved from (892320, 66600).
[WARNING PSM-0024] Instance PHY_330, current node at (21600, 299700) at layer 5 have been moved from (5760, 562770).
[WARNING PSM-0024] Instance PHY_331, current node at (793800, 299700) at layer 5 have been moved from (892320, 562770).
[WARNING PSM-0024] Instance PHY_332, current node at (21600, 299700) at layer 5 have been moved from (5760, 566100).
[WARNING PSM-0024] Instance PHY_333, current node at (793800, 299700) at layer 5 have been moved from (892320, 566100).
[WARNING PSM-0024] Instance PHY_334, current node at (21600, 299700) at layer 5 have been moved from (5760, 569430).
[WARNING PSM-0024] Instance PHY_335, current node at (793800, 299700) at layer 5 have been moved from (892320, 569430).
[WARNING PSM-0024] Instance PHY_336, current node at (21600, 299700) at layer 5 have been moved from (5760, 572760).
[WARNING PSM-0024] Instance PHY_337, current node at (793800, 299700) at layer 5 have been moved from (892320, 572760).
[WARNING PSM-0024] Instance PHY_338, current node at (21600, 299700) at layer 5 have been moved from (5760, 576090).
[WARNING PSM-0024] Instance PHY_339, current node at (793800, 299700) at layer 5 have been moved from (892320, 576090).
[WARNING PSM-0024] Instance PHY_34, current node at (21600, 299700) at layer 5 have been moved from (5760, 69930).
[WARNING PSM-0024] Instance PHY_340, current node at (21600, 299700) at layer 5 have been moved from (5760, 579420).
[WARNING PSM-0024] Instance PHY_341, current node at (793800, 299700) at layer 5 have been moved from (892320, 579420).
[WARNING PSM-0024] Instance PHY_342, current node at (21600, 299700) at layer 5 have been moved from (5760, 582750).
[WARNING PSM-0024] Instance PHY_343, current node at (793800, 299700) at layer 5 have been moved from (892320, 582750).
[WARNING PSM-0024] Instance PHY_35, current node at (793800, 299700) at layer 5 have been moved from (892320, 69930).
[WARNING PSM-0024] Instance PHY_36, current node at (21600, 299700) at layer 5 have been moved from (5760, 73260).
[WARNING PSM-0024] Instance PHY_37, current node at (793800, 299700) at layer 5 have been moved from (892320, 73260).
[WARNING PSM-0024] Instance PHY_38, current node at (21600, 299700) at layer 5 have been moved from (5760, 76590).
[WARNING PSM-0024] Instance PHY_39, current node at (793800, 299700) at layer 5 have been moved from (892320, 76590).
[WARNING PSM-0024] Instance PHY_4, current node at (21600, 299700) at layer 5 have been moved from (5760, 19980).
[WARNING PSM-0024] Instance PHY_40, current node at (21600, 299700) at layer 5 have been moved from (5760, 79920).
[WARNING PSM-0024] Instance PHY_41, current node at (793800, 299700) at layer 5 have been moved from (892320, 79920).
[WARNING PSM-0024] Instance PHY_42, current node at (21600, 299700) at layer 5 have been moved from (5760, 83250).
[WARNING PSM-0024] Instance PHY_43, current node at (793800, 299700) at layer 5 have been moved from (892320, 83250).
[WARNING PSM-0024] Instance PHY_44, current node at (21600, 299700) at layer 5 have been moved from (5760, 86580).
[WARNING PSM-0024] Instance PHY_45, current node at (793800, 299700) at layer 5 have been moved from (892320, 86580).
[WARNING PSM-0024] Instance PHY_46, current node at (21600, 299700) at layer 5 have been moved from (5760, 89910).
[WARNING PSM-0024] Instance PHY_47, current node at (793800, 299700) at layer 5 have been moved from (892320, 89910).
[WARNING PSM-0024] Instance PHY_48, current node at (21600, 299700) at layer 5 have been moved from (5760, 93240).
[WARNING PSM-0024] Instance PHY_49, current node at (793800, 299700) at layer 5 have been moved from (892320, 93240).
[WARNING PSM-0024] Instance PHY_5, current node at (793800, 299700) at layer 5 have been moved from (892320, 19980).
[WARNING PSM-0024] Instance PHY_50, current node at (21600, 299700) at layer 5 have been moved from (5760, 96570).
[WARNING PSM-0024] Instance PHY_51, current node at (793800, 299700) at layer 5 have been moved from (892320, 96570).
[WARNING PSM-0024] Instance PHY_52, current node at (21600, 299700) at layer 5 have been moved from (5760, 99900).
[WARNING PSM-0024] Instance PHY_53, current node at (793800, 299700) at layer 5 have been moved from (892320, 99900).
[WARNING PSM-0024] Instance PHY_54, current node at (21600, 299700) at layer 5 have been moved from (5760, 103230).
[WARNING PSM-0024] Instance PHY_55, current node at (793800, 299700) at layer 5 have been moved from (892320, 103230).
[WARNING PSM-0024] Instance PHY_56, current node at (21600, 299700) at layer 5 have been moved from (5760, 106560).
[WARNING PSM-0024] Instance PHY_57, current node at (793800, 299700) at layer 5 have been moved from (892320, 106560).
[WARNING PSM-0024] Instance PHY_58, current node at (21600, 299700) at layer 5 have been moved from (5760, 109890).
[WARNING PSM-0024] Instance PHY_59, current node at (793800, 299700) at layer 5 have been moved from (892320, 109890).
[WARNING PSM-0024] Instance PHY_6, current node at (21600, 299700) at layer 5 have been moved from (5760, 23310).
[WARNING PSM-0024] Instance PHY_60, current node at (21600, 299700) at layer 5 have been moved from (5760, 113220).
[WARNING PSM-0024] Instance PHY_61, current node at (793800, 299700) at layer 5 have been moved from (892320, 113220).
[WARNING PSM-0024] Instance PHY_62, current node at (21600, 299700) at layer 5 have been moved from (5760, 116550).
[WARNING PSM-0024] Instance PHY_63, current node at (793800, 299700) at layer 5 have been moved from (892320, 116550).
[WARNING PSM-0024] Instance PHY_64, current node at (21600, 299700) at layer 5 have been moved from (5760, 119880).
[WARNING PSM-0024] Instance PHY_65, current node at (793800, 299700) at layer 5 have been moved from (892320, 119880).
[WARNING PSM-0024] Instance PHY_66, current node at (21600, 299700) at layer 5 have been moved from (5760, 123210).
[WARNING PSM-0024] Instance PHY_67, current node at (793800, 299700) at layer 5 have been moved from (892320, 123210).
[WARNING PSM-0024] Instance PHY_68, current node at (21600, 299700) at layer 5 have been moved from (5760, 126540).
[WARNING PSM-0024] Instance PHY_69, current node at (793800, 299700) at layer 5 have been moved from (892320, 126540).
[WARNING PSM-0024] Instance PHY_7, current node at (793800, 299700) at layer 5 have been moved from (892320, 23310).
[WARNING PSM-0024] Instance PHY_70, current node at (21600, 299700) at layer 5 have been moved from (5760, 129870).
[WARNING PSM-0024] Instance PHY_71, current node at (793800, 299700) at layer 5 have been moved from (892320, 129870).
[WARNING PSM-0024] Instance PHY_72, current node at (21600, 299700) at layer 5 have been moved from (5760, 133200).
[WARNING PSM-0024] Instance PHY_73, current node at (793800, 299700) at layer 5 have been moved from (892320, 133200).
[WARNING PSM-0024] Instance PHY_74, current node at (21600, 299700) at layer 5 have been moved from (5760, 136530).
[WARNING PSM-0024] Instance PHY_75, current node at (793800, 299700) at layer 5 have been moved from (892320, 136530).
[WARNING PSM-0024] Instance PHY_76, current node at (21600, 299700) at layer 5 have been moved from (5760, 139860).
[WARNING PSM-0024] Instance PHY_77, current node at (793800, 299700) at layer 5 have been moved from (892320, 139860).
[WARNING PSM-0024] Instance PHY_78, current node at (21600, 299700) at layer 5 have been moved from (5760, 143190).
[WARNING PSM-0024] Instance PHY_79, current node at (793800, 299700) at layer 5 have been moved from (892320, 143190).
[WARNING PSM-0024] Instance PHY_8, current node at (21600, 299700) at layer 5 have been moved from (5760, 26640).
[WARNING PSM-0024] Instance PHY_80, current node at (21600, 299700) at layer 5 have been moved from (5760, 146520).
[WARNING PSM-0024] Instance PHY_81, current node at (793800, 299700) at layer 5 have been moved from (892320, 146520).
[WARNING PSM-0024] Instance PHY_82, current node at (21600, 299700) at layer 5 have been moved from (5760, 149850).
[WARNING PSM-0024] Instance PHY_83, current node at (793800, 299700) at layer 5 have been moved from (892320, 149850).
[WARNING PSM-0024] Instance PHY_84, current node at (21600, 299700) at layer 5 have been moved from (5760, 153180).
[WARNING PSM-0024] Instance PHY_85, current node at (793800, 299700) at layer 5 have been moved from (892320, 153180).
[WARNING PSM-0024] Instance PHY_86, current node at (21600, 299700) at layer 5 have been moved from (5760, 156510).
[WARNING PSM-0024] Instance PHY_87, current node at (793800, 299700) at layer 5 have been moved from (892320, 156510).
[WARNING PSM-0024] Instance PHY_88, current node at (21600, 299700) at layer 5 have been moved from (5760, 159840).
[WARNING PSM-0024] Instance PHY_89, current node at (793800, 299700) at layer 5 have been moved from (892320, 159840).
[WARNING PSM-0024] Instance PHY_9, current node at (793800, 299700) at layer 5 have been moved from (892320, 26640).
[WARNING PSM-0024] Instance PHY_90, current node at (21600, 299700) at layer 5 have been moved from (5760, 163170).
[WARNING PSM-0024] Instance PHY_91, current node at (793800, 299700) at layer 5 have been moved from (892320, 163170).
[WARNING PSM-0024] Instance PHY_92, current node at (21600, 299700) at layer 5 have been moved from (5760, 166500).
[WARNING PSM-0024] Instance PHY_93, current node at (793800, 299700) at layer 5 have been moved from (892320, 166500).
[WARNING PSM-0024] Instance PHY_94, current node at (21600, 299700) at layer 5 have been moved from (5760, 169830).
[WARNING PSM-0024] Instance PHY_95, current node at (793800, 299700) at layer 5 have been moved from (892320, 169830).
[WARNING PSM-0024] Instance PHY_96, current node at (21600, 299700) at layer 5 have been moved from (5760, 173160).
[WARNING PSM-0024] Instance PHY_97, current node at (793800, 299700) at layer 5 have been moved from (892320, 173160).
[WARNING PSM-0024] Instance PHY_98, current node at (21600, 299700) at layer 5 have been moved from (5760, 176490).
[WARNING PSM-0024] Instance PHY_99, current node at (793800, 299700) at layer 5 have been moved from (892320, 176490).
[WARNING PSM-0024] Instance _000_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _001_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _002_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _003_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _004_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _005_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _006_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _007_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _008_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _009_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _010_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _011_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _012_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _013_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _014_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _015_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _016_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _017_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _018_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _019_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _020_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _021_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _022_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _023_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _024_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _025_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _026_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _027_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _028_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _029_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _030_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _031_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _032_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _033_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _034_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _035_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _036_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _037_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _038_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _039_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _040_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _041_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _042_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _043_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _044_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _045_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _046_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _047_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _048_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _049_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _050_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _051_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _052_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _053_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _054_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _055_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _056_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _057_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _058_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _059_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _060_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _061_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _062_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _063_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _064_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _065_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _066_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _067_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _068_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _069_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _070_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _071_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _072_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _073_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _074_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _075_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _076_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _077_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _078_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _079_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _080_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _081_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _082_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _083_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _084_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _085_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _086_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _087_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _088_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _089_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _090_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _091_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _092_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _093_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _094_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _095_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _096_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _097_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _098_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _099_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _100_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _101_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _102_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _103_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _104_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _105_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _106_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _107_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _108_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _109_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _110_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _111_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _112_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _113_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _114_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _115_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _116_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _117_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _118_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _119_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _120_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _121_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _122_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _123_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _124_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _125_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _126_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _127_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _128_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _129_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _130_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _131_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _132_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _133_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _134_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _135_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _136_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _137_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _138_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _139_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _140_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _141_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _142_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _143_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _144_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _145_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _146_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _147_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _148_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _149_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _150_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _151_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _152_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _153_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _154_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _155_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _156_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _157_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _158_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _159_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _160_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _161_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _162_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _163_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _164_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _165_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _166_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _167_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _168_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _169_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _170_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _171_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _172_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _173_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _174_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _175_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _176_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _177_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _178_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _179_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _180_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _181_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _182_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _183_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _184_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _185_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _186_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _187_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _188_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _189_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _190_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _191_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _192_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _193_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _194_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _195_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _196_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _197_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _198_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _199_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _200_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _201_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _202_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _203_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _204_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _205_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _206_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _207_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _208_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _209_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _210_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _211_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _212_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _213_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _214_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _215_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[WARNING PSM-0024] Instance _216_, current node at (21600, 299700) at layer 5 have been moved from (0, 0).
[INFO PSM-0064] Number of voltage sources = 4.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (788.400um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (793.800um, 299.700um), layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_1 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_101 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_103 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_105 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_107 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_109 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_11 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_111 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_113 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_115 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_117 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_119 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_121 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_123 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_125 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_127 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_129 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_13 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_131 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_133 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_135 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_137 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_139 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_141 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_143 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_145 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_147 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_149 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_15 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_151 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_153 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_155 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_157 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_159 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_161 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_163 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_165 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_167 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_169 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_17 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_171 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_173 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_175 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_177 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_179 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_181 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_183 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_185 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_187 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_189 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_19 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_191 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_193 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_195 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_197 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_199 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_201 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_203 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_205 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_207 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_209 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_21 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_211 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_213 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_215 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_217 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_219 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_221 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_223 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_225 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_227 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_229 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_23 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_231 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_233 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_235 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_237 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_239 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_241 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_243 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_245 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_247 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_249 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_25 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_251 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_253 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_255 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_257 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_259 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_261 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_263 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_265 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_267 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_269 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_27 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_271 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_273 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_275 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_277 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_279 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_281 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_283 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_285 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_287 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_289 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_29 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_291 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_293 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_295 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_297 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_299 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_3 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_301 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_303 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_305 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_307 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_309 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_31 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_311 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_313 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_315 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_317 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_319 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_321 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_323 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_325 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_327 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_329 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_33 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_331 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_333 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_335 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_337 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_339 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_341 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_343 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_35 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_37 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_39 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_41 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_43 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_45 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_47 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_49 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_5 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_51 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_53 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_55 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_57 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_59 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_61 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_63 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_65 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_67 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_69 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_7 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_71 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_73 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_75 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_77 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_79 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_81 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_83 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_85 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_87 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_89 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_9 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_91 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_93 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_95 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_97 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0039] Unconnected instance PHY_99 at location (793.800um, 299.700um) layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (480.600um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (486.000um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (172.800um, 299.700um), layer: 5.
[WARNING PSM-0038] Unconnected PDN node on net vccd2 at location (178.200um, 299.700um), layer: 5.
<font color="#AA0000">[ERROR]: PDN generation failed.</font>
<font color="#AA0000">[ERROR]: You may need to adjust your macro placements or PDN offsets/pitches to power all standard cell rails (or other PDN stripes) in your design.</font>
<font color="#00AAAA">[INFO]: Calculating Runtime From the Start...</font>
<font color="#00AAAA">[INFO]: flow failed for user_proj_example/2021.11.13_16.14.25 in 0h2m58s</font>
<font color="#00AAAA">[INFO]: Generating Final Summary Report...</font>
<font color="#00AAAA">[INFO]: Design Name: user_proj_example</font>
<font color="#00AAAA">Run Directory: /project/openlane/user_proj_example/runs/user_proj_example</font>
<font color="#00AAAA">Source not found.</font>
<font color="#00AAAA">----------------------------------------</font>
<font color="#00AAAA">LVS Summary:</font>
<font color="#00AAAA">Source: /project/openlane/user_proj_example/runs/user_proj_example/results/lvs/user_proj_example.lvs_parsed.gds.log</font>
<font color="#00AAAA">Source not found.</font>
<font color="#00AAAA">----------------------------------------</font>
<font color="#00AAAA">Antenna Summary:</font>
<font color="#00AAAA">No antenna report found.</font>
<font color="#00AAAA">[INFO]: check full report here: /project/openlane/user_proj_example/runs/user_proj_example/reports/final_summary_report.csv</font>
<font color="#00AAAA">[INFO]: Saving Runtime Environment</font>
<font color="#AA0000">[ERROR]: Flow Failed.</font>
while executing
&quot;quit_on_unconnected_pdn_nodes&quot;
(procedure &quot;gen_pdn&quot; line 14)
invoked from within
&quot;gen_pdn&quot;
(procedure &quot;run_power_grid_generation&quot; line 94)
invoked from within
&quot;run_power_grid_generation&quot;
(procedure &quot;run_floorplan&quot; line 40)
invoked from within
&quot;[lindex $step_exe 0] [lindex $step_exe 1] &quot;
(procedure &quot;run_non_interactive_mode&quot; line 43)
invoked from within
&quot;run_non_interactive_mode {*}$argv&quot;
invoked from within
&quot;if { [info exists flags_map(-interactive)] || [info exists flags_map(-it)] } {
puts_info &quot;Running interactively&quot;
if { [info exists arg_values(-file)...&quot;
(file &quot;/openlane/flow.tcl&quot; line 356)
make[1]: *** [Makefile:43: user_proj_example] Fehler 1
make[1]: Verzeichnis „/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project/openlane“ wird verlassen
make: *** [Makefile:70: user_proj_example] Fehler 2
philipp@philippina:/media/philipp/Daten/skywater/caravel_stdcelllib_stdcells_project$
</pre>